JP2004505273A - 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 - Google Patents

転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 Download PDF

Info

Publication number
JP2004505273A
JP2004505273A JP2002516600A JP2002516600A JP2004505273A JP 2004505273 A JP2004505273 A JP 2004505273A JP 2002516600 A JP2002516600 A JP 2002516600A JP 2002516600 A JP2002516600 A JP 2002516600A JP 2004505273 A JP2004505273 A JP 2004505273A
Authority
JP
Japan
Prior art keywords
template
substrate
light
patterned
gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002516600A
Other languages
English (en)
Inventor
ベイリー,トッド
チョイ,ビュン・ジン
コルバーン,マシュー
スリーニバサン,エス・ブイ
ウィルソン,シイ・グラント
エッカート,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2004505273A publication Critical patent/JP2004505273A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C31/00Handling, e.g. feeding of the material to be shaped, storage of plastics material before moulding; Automation, i.e. automated handling lines in plastics processing plants, e.g. using manipulators or robots
    • B29C31/04Feeding of the material to be moulded, e.g. into a mould cavity
    • B29C31/042Feeding of the material to be moulded, e.g. into a mould cavity using dispensing heads, e.g. extruders, placed over or apart from the moulds
    • B29C31/044Feeding of the material to be moulded, e.g. into a mould cavity using dispensing heads, e.g. extruders, placed over or apart from the moulds with moving heads for distributing liquid or viscous material into the moulds
    • B29C31/045Feeding of the material to be moulded, e.g. into a mould cavity using dispensing heads, e.g. extruders, placed over or apart from the moulds with moving heads for distributing liquid or viscous material into the moulds moving along predetermined circuits or distributing the material according to predetermined patterns
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C31/00Handling, e.g. feeding of the material to be shaped, storage of plastics material before moulding; Automation, i.e. automated handling lines in plastics processing plants, e.g. using manipulators or robots
    • B29C31/04Feeding of the material to be moulded, e.g. into a mould cavity
    • B29C31/042Feeding of the material to be moulded, e.g. into a mould cavity using dispensing heads, e.g. extruders, placed over or apart from the moulds
    • B29C31/047Feeding of the material to be moulded, e.g. into a mould cavity using dispensing heads, e.g. extruders, placed over or apart from the moulds combined with moving moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29DPRODUCING PARTICULAR ARTICLES FROM PLASTICS OR FROM SUBSTANCES IN A PLASTIC STATE
    • B29D11/00Producing optical elements, e.g. lenses or prisms
    • B29D11/00009Production of simple or compound lenses
    • B29D11/00038Production of contact lenses
    • B29D11/00125Auxiliary operations, e.g. removing oxygen from the mould, conveying moulds from a storage to the production line in an inert atmosphere
    • B29D11/0023Transferring contact lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C2037/90Measuring, controlling or regulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C59/022Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing characterised by the disposition or the configuration, e.g. dimensions, of the embossments or the shaping tools therefor

Abstract

転写リソグラフィ・プロセスで使用される、テンプレートと基板の間のギャップと配向を高精度で測定する方法が説明されている。本明細書で示すギャップおよび配向測定方法には、広帯域光をベースとした測定技法が使用されている。

Description

【0001】
(発明の背景)
(1.発明の分野)
本発明は、転写リソグラフィにおける高精度ギャップ測定および配向測定を達成する方法およびシステムに関する。
【0002】
(2.関連技術の説明)
転写リソグラフィは、サイズが50nm未満のフィーチャを基板に印刷することができる技法である。転写リソグラフィは、100nm未満のレジームにおける半導体製造のための選択肢として、フォトリソグラフィに取って代わる潜在力を有している。いくつかの転写リソグラフィ・プロセスが1990年代の間に導入されたが、それらのほとんどには限界があり、フォトリソグラフィに取って代わる実際的な代用として使用することはできなかった。これらの従来技法の限界として、例えば温度による変化が大きいこと、高圧を必要とすること、およびフレキシブル・テンプレートを使用しなければならないことが挙げられる。
【0003】
転写リソグラフィ・プロセスを使用することにより、室温で、かつ、低圧を使用して、水晶テンプレートから基板表面へ高分解能パターンを転写することができる。ステップ・アンド・フラッシュ転写リソグラフィ(SFIL)プロセスでは、硬い水晶テンプレートが、光硬化液体材料中で基板表面と間接的に接触している。光を当てることによって光硬化液体材料が硬化し、硬化した液体にテンプレートのパターンが転写される。
【0004】
転写リソグラフィ・プロセスを使用して、単層および多層デバイスを製造することができる。単層デバイスは、基板上の所望のフィーチャ中に薄い材料層を形成することによって製造される。フィーチャのサイズが100nm未満のデバイスを製造するためには、被転写層の厚さは、広帯域光の平均波長の1/4未満でなければならならず、また、被転写層は実質的に平面でなければならない。したがって、転写リソグラフィ・プロセスの間、テンプレートと基板の間のギャップおよび配向を正確かつ迅速に測定する方法を有することが望ましい。
【0005】
より詳細には、転写リソグラフィのためには、ギャップおよび配向測定プロセスは、10nmないし30μm未満の範囲のギャップ測定を容易にするものでなければならない。したがってギャップ測定は、10nm未満の分解能で、テンプレートと基板に接触することなく達成しなければならない。
【0006】
(発明の概要)
本明細書で説明する実施態様には、転写リソグラフィ・プロセスにおけるギャップ・センシングに適用することができる方法およびシステムが含まれている。
【0007】
いくつかの実施態様の、テンプレートと基板の間の間隙を測定する方法には、テンプレートと基板の間にギャップが生成されるように、テンプレートと基板を互いに間隔を隔てて位置決めするステップが含まれている。複数の波長を有する光が、テンプレートと基板に照射される。テンプレートと基板の表面で反射する光がモニタされる。例えば、テンプレートと基板の表面で反射する光の全波長範囲に渡る強度変化がモニタされ、モニタした光に基づいて、テンプレートの表面と基板の表面の間の距離が測定される。実施態様の中には、テンプレートの表面と基板の表面の間の所望の距離と、テンプレートの表面と基板の表面の間の測定された距離との差に相当する誤差信号を決定するステップが含まれている実施態様もある。この誤差信号を使用して、1つまたは複数のアクチュエータが制御される。1つまたは複数のアクチュエータは、テンプレートと基板の間の距離を調整するように構成されている。
【0008】
実施態様の中には、実質的に平行な構成を達成するべく、1つまたは複数のアクチュエータが、テンプレートの表面と基板の表面の相対位置を調整するように構成された実施態様もあり、このような実施態様の場合、テンプレートと基板の間の間隙を測定する方法には、テンプレートの表面と基板の表面の間の距離を、同一直線上にはない3ヶ所以上の位置で測定するステップが含まれている。誤差信号は、測定された3つ以上の距離に基づいて決定される。
【0009】
一実施態様では、本明細書において開示する方法およびシステムでの使用に適したテンプレートは、パターン化されたテンプレートまたは実質的に平面状のテンプレートである。パターン化されたテンプレートを使用することにより、基板にパターンを転写することができ、また、実質的に平面状のテンプレートを使用することにより、パターン化された基板を平らにすることができる。テンプレートには、1つまたは複数のギャップ・センシング・セクションが含まれている。例えば、ギャップ・センシング・セクションは、テンプレートの表面上に既知の深さの複数の凹所を備えており、凹所の各々の深さは、例えば、テンプレートと基板に照射される光の平均波長の少なくとも1/4である。テンプレートと基板に照射される光は、テンプレートのギャップ・センシング・セクションを通過する。テンプレートは、水晶、インジウム錫酸化物、Xが2未満であるSiOxを始めとする材料で形成されているが、これらに限定されるものではない。例えば上記Xは、約1.5である。
【0010】
一実施態様では、本明細書において開示する方法およびシステムでの使用に適した基板は半導体ウェハである。基板は、シリコン、ガリウム、ゲルマニウム、インジウム、サファイヤ、二酸化シリコン、ポリシリコン、または他の誘電材料を始めとする材料で形成されているが、これらに限定されるものではない。また、基板は、基板表面上に、それぞれ屈折率が既知の1つまたは複数の層を備えている。この実施態様の場合、テンプレートと基板の間の間隙を測定する方法には、基板表面上の各層の厚さを測定するステップが含まれる。
【0011】
テンプレートと基板の間の距離を測定するために、上記方法には、少なくともいくつかの波長の反射光の強度を表すデータを取得するステップが含まれる。取得したデータに基づいて、テンプレートと基板の間に配置される材料の屈折率および屈折光の波長の関数である波数が計算され、続いてテンプレートと基板の間の距離が計算される。テンプレートと基板の間の距離は、波数および波数に対応する反射光の強度の関数である。テンプレートと基板の間の距離を計算するステップには波数および強度データのフーリエ変換を決定するステップが含まれている。実施態様の中には、テンプレートと基板の間の間隙を測定する方法に、フーリエ変換実施後のデータの少なくとも1つの局部最大または局部最小を決定するステップがさらに含まれる実施態様もある。
【0012】
また、本明細書において開示する実施態様には、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法が含まれる。このような実施態様を使用して、例えば半導体デバイスを形成することができる。いくつかの実施態様では基板がパターン化されており、このような実施態様では、実質的に平面状のテンプレートを使用して、実質的に平面状の領域が基板に形成される。他の実施態様ではテンプレートがパターン化されており、このような実施態様では、パターン化されたテンプレートを使用して基板にパターンが形成される。
【0013】
一実施態様の、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法には、活性化光硬化液を基板の一部に塗布するステップが含まれる。テンプレートと基板は、テンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて配置される。実施態様の中には、光をベースとした測定デバイスを使用して、テンプレートと基板の間の距離を測定している実施態様もある。また、実施態様の中には、光をベースとした測定デバイスを使用して、テンプレートと基板の間の距離をモニタしている実施態様もあり、このような実施態様では、テンプレートと基板が互いに所定の距離をおいて配置されるよう、テンプレートと基板の間の距離をモニタしながら、基板に対するテンプレートの位置が調整される。活性化光は、テンプレートを通して活性化光硬化液に照射される。活性化光を照射することにより、活性化光硬化液が実質的に硬化する。一実施態様では、パターン化されたテンプレートのパターンが、硬化した活性化光硬化液中に形成される。例えば、テンプレートには、サイズが250nm未満の少なくともいくつかのフィーチャを有するパターンが含まれている。硬化した活性化光硬化液からテンプレートが分離されると、硬化した活性化光硬化液に、サイズが約250nm未満の少なくともいくつかのフィーチャが残される。他の実施態様では、硬化した活性化光硬化液が基板上に実質的に平面状の領域を形成している。また、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法には、硬化した活性化光硬化液からテンプレートを分離するステップが含まれている。
【0014】
活性化光硬化液は、1つまたは複数のディスペンサを使用して基板に塗布される。所定のパターンが生成されるよう、活性化光硬化液がディスペンスされている間、活性化光硬化液ディスペンサに対して基板が移動する。所定のパターンは、テンプレートと基板が間隔を隔てて配置されるため、テンプレートと活性化光硬化液が接触する際の硬化液中の気泡の形成を抑止するように構成されている。また、所定のパターンは、テンプレートにパターンが含まれている場合、活性化光硬化液がテンプレートの表面積に実質的に等しい領域のギャップを充填するように選択される。あるいは、基板がパターン化されている場合、所定のパターンは、活性化光硬化液が基板の表面積に実質的に等しい領域のギャップを充填するように選択される。
【0015】
テンプレートと基板を間隔を隔てて位置決めするステップには、テンプレートを基板の上方に位置決めするステップ、および所望の間隔が得られるまでテンプレートを基板に向けて移動させるステップが含まれている。テンプレートが基板に向かって移動すると、テンプレートと基板の間のギャップが、基板上の活性化光硬化液で実質的に充填される。一実施態様における望ましい間隔は、テンプレートと基板の間の距離が約200nm未満である。実施態様の中には、テンプレートと基板を間隔を隔てて位置決めするステップに、誤差信号を決定するステップが含まれる実施態様もある。誤差信号は、テンプレートの表面と基板の表面の間の所望の距離と、テンプレートの表面と基板の表面の間の測定された距離との差に対応している。誤差信号は、少なくとも1つのアクチュエータに送られる。この少なくとも1つのアクチュエータは、テンプレートと基板を互いに間隔を隔てて位置決めするように構成されている。一実施態様では、テンプレートと基板を間隔を隔てて位置決めするステップに、テンプレートと基板を実質的に平行な配向で位置決めするステップがさらに含まれる。
【0016】
実施態様の中には、テンプレートと基板を間隔を隔てて位置決めするステップに、テンプレートと基板が実質的に非平行になるようにテンプレートを基板の上方に位置決めするステップが含まれている実施態様もある。テンプレートは、基板との実質的に非平行な配向を維持した状態で基板に向かって移動する。テンプレートと基板の間の所望の間隔が得られると、テンプレートは、基板に対して実質的に平行に配向される。
【0017】
光をベースとした測定デバイスを使用して、テンプレートと基板の間の距離を測定するステップには、テンプレートと基板に光を照射するステップが含まれている。照射する光には複数の波長が含まれている。テンプレートと基板の表面で反射する光がモニタされ、モニタした光に基づいて、テンプレートと基板の間の距離が測定される。テンプレートと基板の間の距離を測定するために、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法には、少なくともいくつかの波長の反射光の強度を表すデータを取得するステップが含まれている。取得したデータに基づいて、テンプレートと基板の間に配置される材料の屈折率および屈折光の波長の関数である波数が計算され、続いてテンプレートと基板の間の距離が計算される。テンプレートと基板の間の距離は、波数および波数に対応する反射光の強度の関数である。テンプレートと基板の間の距離を計算するステップには、波数および強度データのフーリエ変換を決定するステップが含まれている。実施態様の中には、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法に、フーリエ変換実施後のデータの少なくとも1つの局部最大または局部最小を決定するステップがさらに含まれている実施態様もある。
【0018】
実施態様の中には、テンプレートと基板の間の距離を測定するステップに、同一直線上にはない3ヶ所以上の位置で距離を測定するステップが含まれ、さらに、3つ以上の測定された距離に基づいて、テンプレートの表面と基板の表面が実質的に平行であるかどうかを決定するステップが含まれている実施態様もあり、1つまたは複数のアクチュエータが、実質的に平行な構成を達成すべく、テンプレートの表面と基板の表面の相対位置を調整するように構成されている。このような実施態様の場合、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法には、測定された3つ以上の距離に基づいて誤差信号を決定するステップが含まれている。誤差信号は、1つまたは複数のアクチュエータに送られる。アクチュエータは、実質的に平行な構成を達成するべく、テンプレートと基板の相対位置を調整している。
【0019】
本明細書において開示する実施態様での使用に適した活性化光硬化液は、紫外光硬化組成物である。この活性化光硬化液はフォトレジスト材である。
【0020】
硬化した活性化光硬化液からテンプレートを分離するステップには、テンプレートを実質的に非平行配向で基板へ向かって移動させ、かつ、基板から遠ざかる方向に移動させるステップが含まれている。
【0021】
いくつかの実施態様の、テンプレートを使用して基板にパターンを形成する方法には、活性化光硬化液を基板に塗布する前に、基板に転写層を形成するステップが含まれている。転写層は、パターン化されたテンプレートが基板から分離された後、エッチングされる。転写層をエッチングすることにより、転写層にパターンが付与される。
【0022】
テンプレートを使用して基板にパターンを形成するためのシステムは、
頂部フレーム、
頂部フレームに結合された配向ステージ、
基板を支えるように構成された、配向ステージの下側の基板ステージ、および
配向ステージに結合された、光をベースとした測定デバイス
を備えているが、これらに限定されるものではない。配向ステージはテンプレート・サポートを備えており、テンプレート・サポートの下側にテンプレートが配置される。光をベースとした測定デバイスは、テンプレートと基板の間の距離を測定するように構成されている。また、頂部フレームには1つまたは複数の液体ディスペンサが結合されている。
【0023】
配向ステージは、さらに、使用中、第1の配向軸の周りにピボットするように構成された第1のたわみ部材、および、使用中、第2の配向軸の周りにピボットするように構成された、第1のたわみ部材に結合された第2のたわみ部材を備えている。第1の配向軸は、第2の配向軸に対して実質的に直角をなしている。テンプレート・サポートは第2のたわみ部材に結合されている。テンプレート・サポートは、使用中、テンプレートを保持するように構成されている。第2のたわみ部材は、テンプレートがテンプレート・サポート内に配置されると、使用中、第1および第2の配向軸が交差するピボット・ポイントの周りをテンプレートが移動するように、第1のたわみ部材に結合されている。
【0024】
いくつかの実施態様では、第1のたわみ部材は、第1および第2のアームを備えている。第1のアームは、第1のたわみ部材の第1の配向軸の周のピボット運動をもたらすように構成された第1のセットのたわみ継手を備えている。第2のアームは、第1のたわみ部材の第1の配向軸の周りのピボット運動をもたらすように構成された第2のセットのたわみ継手を備えている。同様に、第2のたわみ部材は、第3および第4のアームを備えている。第3のアームは、第2のたわみ部材の第2の配向軸の周りのピボット運動をもたらすように構成された第3のセットのたわみ継手を備えている。第4のアームは、第2のたわみ部材の第2の配向軸の周りのピボット運動をもたらすように構成された第4のセットのたわみ継手を備えている。複数のアクチュエータが第1および第2のたわみ部材に結合されている。この複数のアクチュエータは、使用中、第1および第2のたわみ部材を、それぞれ第1および第2の配向軸の周りにピボットさせるように構成されている。これらのアクチュエータは例えば圧電アクチュエータである。第1のたわみ部材は第1の開口を備えており、第2のたわみ部材は第2の開口を備えている。テンプレート・サポートは第3の開口を備えている。第1、第2および第3の開口の各々は、使用中、活性化光がテンプレート上に導かれるように構成されている。第1、第2および第3の開口は、第1のたわみ部材が第2のたわみ部材に結合されると実質的に整列する。
【0025】
光をベースとした測定デバイスは、テンプレートを通して光を導くように構成された少なくとも1つの光プローブを備えている。光をベースとした測定デバイスは、基板で反射した光を導くように構成された少なくとも1つの光プローブを備えている。どちらの光プローブも、テンプレート上方の第1の位置から、テンプレートから離れた第2の位置へ移動することができるように構成されている。別法としては、両光プローブを、光の選択波長に対して実質的に透明にすることもできる。例えばシステムに活性化光源を持たせ、光の選択波長を活性化光源が発生する光の波長に対応させることができる。また、光をベースとした測定デバイスは、電子画像化デバイスを備えている。光をベースとした測定デバイスは、広帯域分光計またはレーザ干渉計を備えている。
【0026】
光をベースとした測定デバイスは、使用中、テンプレートを通して検出光を導くように構成された照明システムを備えている。照明システムは、テンプレートと活性化光源の間に配置され、活性化光源が発生する活性化光に対して実質的に透明である。別法としては、活性化光源およびテンプレートを光妨害しない位置に照明システムを配置することができるように、照明システムを移動可能にすることもできる。光をベースとした測定デバイスは、さらに、照明システムに光結合された検出システムを備えている。検出システムは、基板ステージに配置された基板で反射する光を検出するように構成されている。
【0027】
実施態様の中には、基板がその表面上に既知の屈折率を有する少なくとも1つの層を備えた実施態様もあり、このような実施態様では、光をベースとした測定デバイスは、さらに、基板表面の少なくとも1つの層の厚さを測定するように構成されている。
【0028】
実施態様の中には、テンプレートがアライメント・マークを備えた実施態様もあり、このような実施態様では、テンプレートのアライメント・マークは、基板上のアライメント・マークと相補をなしている。
【0029】
さらに、本システムは、配向ステージおよび頂部フレームに結合された予備較正ステージを備えている。予備較正ステージは、使用中、配向ステージを基板に向けて移動させ、また、基板から遠ざかる方向に移動させるように構成されている。予備較正ステージは、配向ステージに結合された少なくとも1つのアクチュエータを備えている。アクチュエータは、配向ステージを基板に向けて移動させ、また、基板から遠ざかる方向に移動させるように構成されている。予備較正ステージは、さらに第1および第2のサポート部材を備えており、このような実施態様では、頂部フレームおよび第2のサポート部材に少なくとも1つのアクチュエータが結合されている。アクチュエータは、第1のサポート部材を貫通して延びている。第1のサポート部材は頂部フレームに結合され、第2のサポート部材は、第1のサポート部材および配向ステージに結合されている。
【0030】
基板ステージは真空チャックを備えており、真空チャックは、チャック・ボディおよびチャック・ボディに結合された真空流システムを備えている。真空フロー・システムは、使用中、チャック・ボディの表面に吸引力を印加するように構成されている。また、基板ステージは、実質的に平行な平面に沿って、テンプレートに向けて基板を移動させるように構成されている。
【0031】
本発明は、様々な改変および代替形態が可能であるが、添付の図面には、本発明の特定の実施形態が例として示されており、また、本明細書ではそれらについて詳細に説明する。しかしながら図面および図面に対する詳細説明が、本発明をそれらによって開示される特定の形態に制限することを意図したものではなく、逆に、本発明が、特許請求の範囲の各請求項に定義されている本発明の精神および範囲の範疇であるすべての改変、等価物および代替形態を包含していることを理解すべきである。
【0032】
(発明の詳細な説明)
本明細書に示す実施形態は、一般にシステム、デバイス、および小型デバイスの製造に関連する製造プロセスに関している。より詳細には、本明細書に示す実施形態は、システム、デバイス、および転写リソグラフィに関連するプロセスに関している。例えば、これらの実施形態は、半導体ウェハなどの基板上に極めて小さいフィーチャを転写することの応用を有している。これらの実施形態が、上記の応用の他に、例えば費用有効性の高い超小型電気機械システム(すなわちMEMS:Micro−Electro−Mechanical System)の製造など、他のタスクへの応用を有していることを理解すべきである。また、実施形態は、これには限らないが、データ記憶用パターン化磁気媒体、マイクロ光学デバイス、生物および化学デバイス、X線光学デバイス等を始めとする他の種類のデバイスの製造に対する応用を有している。
【0033】
図、特に図1Aおよび1Bを参照すると、転写リソグラフィを使用して所望のフィーチャを転写する基板20に対して予備配置されたテンプレート12の配列が示されている。詳細には、テンプレート12は、基板20に転写させることになる所望のフィーチャ形状を持たせて製造された表面14を備えている。実施形態の中には、基板20とテンプレート12の間に転写層18を配置した実施形態もある。転写層18は、被転写層16を介してテンプレート12から所望のフィーチャを受け取っている。当分野で良く知られているように、転写層18により、低アスペクト比被転写フィーチャから高アスペクト比構造(すなわちフィーチャ)を得ることができる。
【0034】
転写リソグラフィのためには、テンプレート12と基板20を可能な限り互いに接近させ、かつ、平行な状態に維持することが重要である。例えば幅および奥行きが約100nmのフィーチャの場合、転写リソグラフィ・プロセスを成功させるためには、平均ギャップが約200nm以下、基板20の転写領域全体に対するギャップの変動が約50nm未満であることが必要である。本明細書に示す実施形態により、このように厳しく、かつ、正確なギャップ要求事項が与えられた転写リソグラフィを成功させるための、テンプレート12と基板20の間の間隔を制御する方法が提供される。
【0035】
図1Aおよび1Bは、転写リソグラフィに生じる2種類の問題を示している。図1Aでは、被転写層16の一方の端部において、テンプレート12が基板20に接近しすぎているため、被転写層16がくさび形になっている。図1Aは、パターンを転写している間、テンプレート12および基板20を実質的に平行に維持することの重要性を示している。図1Bは、被転写層16が厚くなり過ぎていることを示している。このような状態は、いずれも極めて望ましくない状態である。本明細書に示す実施形態により、図1Aおよび1Bに示す状態を除去し、かつ、従来技術によるリソグラフィ技法に関連するその他の配向問題を除去することができるシステム、プロセスおよび関連するデバイスが提供される。
【0036】
図2Aないし2Eは、転写リソグラフィ・プロセスの一実施形態を一括して30で示したものである。図2Aでは、テンプレート12と基板20を分離している隙間にギャップ31が形成されるように、テンプレート12は、基板20と間隔を隔てて配向されている。テンプレート12の表面14は、テンプレートの界面エネルギーを小さくし、かつ、基板20からのテンプレート12の分離を促進する薄層13で処理されている。以下、配向方法およびテンプレート12と基板20の間のギャップ31を制御するためのデバイスについて考察する。次にギャップ31に、処理済みの表面14の形状通りになる物質40が充填される。別法としては、一実施形態では、テンプレート12を基板20に対して所望の位置へ移動させる前に、基板20に物質40が設けられている。
【0037】
物質40は、図1Aおよび1Bに示す被転写層16のような被転写層を形成している。物質40は、高温を使用することなくギャップ31の隙間を比較的容易に充填することができ、かつ、高圧を必要とすることなくギャップを密閉することができる液体であることが好ましい。物質40の適切な選択については、以下でさらに詳細に考察する。
【0038】
物質40を硬化させ、ギャップ31によって決まる隙間の形状にするために、テンプレート12に硬化剤32が塗布される。この方法によれば、所望のフィーチャ44(図2D)を、テンプレート12から基板20の上部表面に転写することができる。転写層18は、基板20の上部表面に直接設けられている。転写層18は、テンプレート12から転写されるフィーチャの、高アスペクト比のフィーチャを生成するための増幅を容易にしている。
【0039】
図2Dに示すように、基板20からテンプレート12が除去され、所望のフィーチャ44が基板20に残される。テンプレート12と基板20の分離は、所望のフィーチャ44がそのままの状態を維持するよう、基板20の表面から外れたりまたはちぎれたりすることなく実施しなければならない。本明細書に示す実施形態により、所望のフィーチャ44を維持することができる、転写後における基板20からのテンプレート12のピール・プルのための方法および関連システム(「ピール・プル」法と言及する)が提供される。
【0040】
最後に、図2Eでは、二分子層レジスト・プロセスを使用する場合に知られているように、転写層18の作用によって、テンプレート12から物質40へ転写されたフィーチャ44のサイズが垂直方向に増幅されている。それによって得られた構造が、良く知られている技法を使用してさらに処理され、製造プロセスが完了する。図3は、一括して50で示す転写リソグラフィ・プロセスの一実施形態を流れ図の形で要約したものである。最初にステップ52でテンプレートと基板の大まかな配向が実施され、テンプレートと基板の大まかなアライメントが達成される。ステップ52における大まかな配向の利点は、高い効率で、かつ、優れた製造歩留まりで多数のデバイスが製造される製造環境で予備較正を実施することができることである。例えば、基板が多くのダイのうちの1つを半導体ウェハ上に備えている場合、第1のダイに対して大まかなアライメント(ステップ52)を1回実施し、単一生産運転の間、他のすべてのダイに適用することができる。この方法によれば、生産サイクル時間を短縮することができ、かつ、歩留まりを向上させることができる。
【0041】
ステップ54で基板に物質が配置される。物質は硬化性有機ケイ素溶液であり、あるいは活性化光に露出されると固体になる他の有機液である。液体が使用されているため、従来技術によるリソグラフィ技法に関連する高温および高圧を使用する必要がない。次にステップ56でテンプレートと基板の間の間隔が制御され、2つの層の間に、転写を成功させるために必要な正確な配向を可能にする比較的一様なギャップが生成される。本明細書に示す実施形態により、ステップ56で必要な配向(粗配向および精細配向の両方)を達成するためのデバイスおよびシステムが提供される。
【0042】
ステップ58で、テンプレートを基板および物質に精細配向することによってギャップが閉じられる。物質が硬化され(ステップ59)、硬化した物質が、テンプレートのフィーチャを有する形になる。次にステップ60でテンプレートと基板が分離され、テンプレートのフィーチャが基板に転写される。最後にステップ62で、残留材料を除去するための予備エッチングおよび転写層をエッチングするための良く知られている酸素エッチング技法を使用して構造がエッチングされる。
【0043】
様々な実施形態では、テンプレートには、i)テンプレート表面を有する平面に、ii)テンプレート中に凹まされた、iii)テンプレートから突出した、またはiv)上記を組合せた非パターン化領域が組み込まれている。テンプレートは、硬い突出部を使用して製造されている。このような突出部により、粒子裕度(particle tolerance)と、格子、ホログラム等の光学デバイスとに有用な一様なスペーサ層が提供される。別法としては、テンプレートは、圧縮可能な突出部を使用して製造されている。
【0044】
テンプレートは、一般的に、i)側面、ii)背面、iii)前面、またはiv)上記の組合せとの面接触を介してテンプレートを支える剛体を有している。テンプレート・サポートは、加えられる圧力下でのテンプレートの変形すなわちひずみを制限する利点を有している。実施形態の中には、テンプレートの一部の領域が反射被覆材で被覆されている実施形態もある。いくつかのこのような実施形態では、光がテンプレート中に入り、あるいは通過するよう、テンプレートの反射被覆に孔が組み込まれている。このような被覆は、干渉法を使用してオーバレイ補正する場合のテンプレートの位置付けに有用である。また、このような被覆は、テンプレートの上面ではなく側面を通して照射する硬化剤源を使用した硬化を可能にしている。このことは、とりわけギャップ・センシング技法およびオーバレイ・マーク検出システムにおけるテンプレート・ホルダの設計を柔軟にしている。テンプレートの露光は、i)テンプレートへの垂直入射によって、ii)テンプレートの斜めから、またはiii)テンプレートの側面を通して実施される。実施形態の中には、硬いテンプレートを柔軟な表面と組み合せて使用している実施形態もある。
【0045】
テンプレートは、光リソグラフィ、電子ビーム・リソグラフィ、イオン・ビーム・リソグラフィ、x線リソグラフィ、極紫外リソグラフィ、走査プローブ・リソグラフィ、集束イオン・ビーム・ミリング、干渉リソグラフィ、エピタキシャル成長、薄膜蒸着、化学エッチング、プラズマ・エッチング、イオン・ミリング、リアクティブ・イオン・エッチング、または上記の組合せを使用して製造できる。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板上に形成されている。テンプレートは、平面、放物面、球面、または他の表面トポグラフィを有する基板と共に使用することができる。基板には、予めパターン化されたトポグラフィおよび/または複数の材料の薄膜スタックが含まれている。
【0046】
図4に示す一実施形態では、テンプレートは、パターン化領域401、エントレインメント・チャネル402およびエッジ403を備えている。テンプレート・エッジ403は、テンプレートをテンプレート・ホルダ内に保持するために利用されている。エントレインメント・チャネル402は、以下でより詳細に考察するように、過剰液体を吸収することによって隣接するパターン化領域への過剰液体の広がりを防止する。いくつかの実施形態では、テンプレートのパターン化された領域は平面である。このような実施形態は、基板を平面化するために有用である。
【0047】
実施形態の中には、テンプレートが多種深さ設計(multi−depth design)で製造されている実施形態もある。つまり、テンプレートの様々なフィーチャが、テンプレートの表面に関連して異なる深さになっている。例えば、エントレインメント・チャネル402の深さは、パターン化領域401の深さより深くなっている。このような実施形態の利点は、テンプレートと基板の間のギャップをセンシングする精度が改善されることである。極めて狭いギャップ(例えば、約100nm未満)をセンスすることは困難であり、したがってテンプレートに既知の深さの段を追加することにより、より正確なギャップのセンシングを可能にしている。2種深さ設計(dual−depth design)の利点は、このような設計により、標準化されたテンプレート・ホルダを使用して、様々なサイズのダイを含んだ所与のサイズの転写テンプレートを保持することができることである。2種深さ設計の第3の利点は、周辺領域を使用してテンプレートを保持することができることである。このようなシステムでは、機能構造を有している、テンプレートと基板の境界のあらゆる部分を硬化剤に露出させることができる。図5に示すように、周辺領域501の深さが適切に設計されたテンプレート500が隣接転写体502、503に接触しているが、転写テンプレート500の周辺領域501は、転写体503から離れた安全な垂直距離を維持している。
【0048】
上で説明したように、2種深さ転写テンプレートは、様々な方法を使用して製造されている。図6に示す一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン602、および分解能が小さく、かつ、深さの深い周辺パターン603を有する単一の分厚い基板601が形成されている。図7に示すように、一実施形態では、分解能が高く、かつ、深さの浅いダイ・パターン701を有する薄い基板702(例えば水晶ウェハ)が形成され、このダイ・パターン701が基板702から切り取られ、より分厚い基板703に接着される。基板703は、転写マシン上の転写テンプレート・ホルダに適合するサイズになっている。この接着は、テンプレート材の屈折率と類似した硬化剤(例えば紫外光)屈折率を有する接着剤704を使用して達成されることが好ましい。
【0049】
図8A、8Bおよび8Cは、その他の転写テンプレート設計を示したもので、それぞれ一括して数表示801、802および803で参照されている。テンプレート設計801、802および803の各々は、ギャップ測定および/または過剰液体の吸収に有用な凹所領域を備えている。
【0050】
一実施形態では、テンプレートは、液体の広がりを制御するため、材料の物理特性およびテンプレートの幾何学に基づいたメカニズムを備えている。基板領域のロスを生じさせることなく許容することができる過剰液体の量は、様々な材料の界面エネルギー、液体密度およびテンプレート幾何学によって制限されている。したがってリリーフ構造を使用して、所望の成形領域すなわちパターン化領域を取り囲んでいる領域を包囲している過剰液体が吸収されている。この領域は、一般に「切溝」と呼ばれている。切溝中のリリーフ構造は、パターンまたは成形リリーフ構造を構築するために使用される標準の処理技法を使用して、上で考察したように、テンプレート表面を凹まされている。
【0051】
従来のフォトリソグラフィでは、フォトマスク設計における光学近接補正の使用が、設計寸法通りの正確なパターンを生成するための標準になりつつある。マイクロおよびナノ成形すなわち転写リソグラフィにも同様の概念を適用することができる。転写リソグラフィ・プロセスにおける実質的な相異は、誤差が、回折すなわち光学的な干渉によるものではなく、処理中に生じる物理特性の変化によるものであることである。このような物理特性の変化が、テンプレートの幾何学における工夫を凝らしたリリーフ補正の性質または必要性を決定している。パターン・リリーフ構造が、転写中における材料変化(収縮または膨張など)に適応するように設計された、光リソグラフィで使用されている光学近接補正と同様の概念のテンプレートの場合、このような物理特性の変化による誤差が排除される。ボリュームの膨張または収縮などの物理特性の変化を考慮することにより、リリーフ構造を調整し、所望する正確な複製フィーチャを生成することができる。例えば図9は、材料特性の変化を考慮することなく形成された転写例901、および材料特性の変化を考慮して形成された転写例902を示したものである。ある実施形態では、硬化中における材料の収縮により、実質的に長方形の輪郭904を有するフィーチャを備えたテンプレートが変形している。このような材料収縮を補償するために、テンプレートのフィーチャには、角度の付いた輪郭905が設けられている。
【0052】
転写リソグラフィ・プロセスに関しては、テンプレートの耐久力およびテンプレートのリリース特性が重要である。耐久力のあるテンプレートは、ケイ素基板または二酸化ケイ素基板で形成されている。他の適切な材料としては、それには限らないが、炭化シリコンゲルマニウム、チッ化ガリウム、シリコンゲルマニウム、サファイヤ、ヒ化ガリウム、エピタキシャルシリコン、ポリシリコン、ゲート酸化物、水晶、またはそれらの組合せがある。また、テンプレートには、アライメント・マークなどの検出可能フィーチャを形成するために使用される材料が含まれている。例えば検出可能フィーチャは、xが2未満であるSiOxで形成されている。実施形態の中には、xが約1.5の実施形態もある。この材料は可視光に対して不透明であるが、いくつかの活性化光の波長に対しては透明であるとされている。
【0053】
実験の結果から、テンプレートを処理してテンプレートの表面に薄層を形成することにより、テンプレートの耐久力を改善することができることが分かっている。例えば、アルキルシラン層、フルオロアルキルシラン層またはフルオロアルキルトリクロロシラン層を表面に形成することができ、特に、トリデカフルオロ−1、1、2、2−テトラヒドロオクチルトリクロロシラン(C13SiCl)が使用されている。このような処理により、テンプレートの表面にセルフ・アセンブル単分子膜(SAM)が形成される。
【0054】
低界面エネルギー被覆とするために表面処理プロセスを最適化させる。このような被覆は、転写リソグラフィのための転写テンプレートの製作に使用することができる。処理されたテンプレートは、未処理テンプレートに勝る望ましいリリース特性を有している。例えば、新しく処理されたテンプレートは、約14ダイン/cmの界面自由エネルギーαtreatedを有している。未処理テンプレートの表面の界面自由エネルギーαuntreatedは、約65ダイン/cmである。本明細書において開示する処理手順により、高水準の耐久力を有する薄膜がもたらされる。耐久力があれば、製造において多くの転写に耐えることができるテンプレートとすることができるため、耐久力に優れていることが極めて望ましい。
【0055】
テンプレート表面への被覆は、液相プロセスまたは気相プロセスのいずれかを使用して形成される。液相プロセスの場合、前駆体の溶液および溶媒に基板が浸され、また、気相プロセスの場合は、不活性キャリア・ガスを介して前駆体が引き渡される。液相処理に使用するための純粋に無水の溶媒を得ることは困難である。処理中におけるバルク相の水分は、被覆の最終品質すなわちカバレージに悪影響を及ぼすクランプ・デポジットの原因になることがある。気相プロセスの一実施形態では、真空容器内にテンプレートが置かれ、その後、真空容器がサイクル・パージされ、過剰水分が除去されている。若干の吸着水分がテンプレートの表面に残ることがある。被覆を形成する表面反応を完了させるためには、少量の水分が必要である。反応は、次の式
R−SiCl3+3H2O=>R−Si(OH)3+3HCl
によって記述することができる。反応を容易にするために、テンプレートの温度を、温度制御チャックを介して所望の反応温度にすることができる。次に、所定時間の間、反応容器に前駆体が供給される。テンプレート温度、前駆体濃度、流れ幾何学等の反応パラメータは、特定の前駆体とテンプレート基板の組合せに合せることができる。
【0056】
前述のように、物質40は液体であり、したがってギャップ31の隙間に充填することができる。例えば物質40は、低粘性液体モノマー溶液である。適切な溶液の粘性の範囲は、約0.01cpsから約100cpsまでである(25℃で測定して)。高分解能(例えば100nm未満)構造の場合、低粘性であることが特に望ましい。詳細には、50nm未満の場合、溶液の粘性は約25cps以下でなければならず、約5cps未満であることがより好ましい(25℃で測定して)。一実施形態では、適切な溶液には、50重量%のアクリル酸n−ブチルと50重量%のSIA0210.0(3−アクリオロキプロピルトリストリメチルシロキサン)シランの混合物が含まれている。この溶液には微量の重合開始剤(例えばフォトイニシエータ)を添加することができる。例えば、Irg819とIrg184が1:1の3重量%の溶液および5%のSIB1402.0が適している。この混合物の粘性は約1cpsである。
【0057】
一実施形態では、転写リソグラフィ・システムには、基板(例えば半導体ウェハ)の表面に液体をディスペンスするための自動液体ディスペンス方法およびシステムが含まれている。自動液体ディスペンス方法には、1つまたは複数の延びたディスペンサ・チップを備えたモジュール方式自動化液体ディスペンサが使用されている。自動液体ディスペンス方法には、ディスペンサ・チップと基板の間の相対な横方向運動を生成するためのX−Yステージが使用されている。この方法により、低粘性液体を使用した転写リソグラフィのいくつかの問題が解決される。例えば、この方法により、気泡トラッピングおよび転写領域の局部変形が除去される。また、実施形態により、過剰液体を不必要に廃棄することなく、液体を転写テンプレートと基板の間のギャップ全体に広げる一方で低転写圧力を達成する方法が提供される。
【0058】
一実施形態では、ディスペンスされる量は、通常、1インチの転写領域に対して約130nl(ナノリットル)未満である。ディスペンスが終了すると、次のプロセスには、テンプレートおよび基板のアセンブリを硬化剤に露出させるステップが含まれている。テンプレートと基板を分離させることにより、被転写表面の頂部に転写イメージが残される。転写されたイメージは、残留している露出材料の薄層上に残される。残留層は、「ベース層」と呼ばれている。ベース層は製造可能転写のためには、薄く、かつ、一様でなければならない。
【0059】
転写プロセスには、テンプレートと基板の境界に高圧および/または高温を加える必要があるが、高分解能オーバレイ・アライメントが含まれている製造可能転写リソグラフィ・プロセスのためには、高圧および高温は回避しなければならない。本明細書において開示する実施形態は、低粘性フォト硬化液を使用することにより、高温の必要性を回避している。また、液体を転写領域全体に広げるために必要な力を小さくすることにより、転写圧力も最小化されている。したがって、液体ベースの転写リソグラフィのためには、液体ディスペンス・プロセスは、以下の特性を満足しなければならない。
1.気泡をテンプレートと基板の間にトラップさせてはならない。
2.粒子の発生を最小にするために、ディスペンサ・チップと基板の間の直接接触を回避しなければならない。
3.テンプレートと基板の間のギャップを充填するために必要な圧力を最小にしなければならない。
4.テンプレート−基板界面の非一様な局部変形を小さくするために、非一様な液体ビルドアップおよび/または圧力勾配を最小にしなければならない。
5.ディスペンス液体の浪費を最小にしなければならない。
【0060】
実施形態の中には、吐出ベース液体ディスペンサ・チップと基板の間の相対運動を使用して、実質的に連続する線を有するパターンを転写領域上に形成している実施形態もある。線の断面の大きさおよび線の形状は、ディスペンス速度と相対運動をバランスさせることによって制御することができる。ディスペンス・プロセスの間、ディスペンサ・チップは、基板の近傍(例えば、数十ミクロン程度)に固定される。図10Aおよび10Bは、線パターンを形成するための2つの方法を示したものである。図10Aおよび10Bに示すパターンは、正弦波パターンであるが、他のパターンも可能である。図10Aおよび10Bに示すように、単一のディスペンサ・チップ1001あるいは複数のディスペンサ・チップ1002のいずれかを使用して、連続する線パターンを引くことができる。
【0061】
ディスペンス速度V、および基板の相対運動速度Vは、次のように関連付けることができる。
=V/t(ディスペンスボリューム/ディスペンス周期)  (1)
=L/t(線の長さ/ディスペンス周期)       (2)
=aL(「a」は、線パターンの断面積)       (3)
したがって
=aV                       (4)
初期の線パターンの幅は、通常、ディスペンサのチップ・サイズによって決まる。チップ・ディスペンサは固定されている。一実施形態では、液体ディスペンス・コントローラ1111(図11に示す)を使用して、ディスペンスされる液体のボリューム(V)および液体をディスペンスするために要する時間(t)が制御されている。Vおよびtが一定であると仮定すると、線の長さを長くすることにより、パターン化される線の断面の高さが低くなる。パターン長さの延長は、周期パターンの空間周波数を増加させることによって達成される。パターンの高さを低くすることにより、転写プロセス中に変位する液体の量が少なくなる。同じディスペンス線に接続された複数のチップを使用することにより、単一ディスペンサ・チップの場合と比較して、長さの長い線パターンをより速く形成することができる。一実施形態では、吐出ベース液体デリバリ・システムは、液体容器1101、入口チューブ1102、入口弁1103、出口弁1104、シリンジ1105、シリンジ・アクチュエータ1106、ディスペンサ・チップ1107、Xステージ・アクチュエータ1109、Yステージ・アクチュエータ1110、ディスペンサ・コントローラ1111、XYステージコントローラ1112および主制御コンピュータ1113を備えている。適切な吐出ベース・ディスペンサは、Hamilton社から購入することができる。
【0062】
図12は、低粘性液体に対するいくつかの望ましくない液体パターンすなわちディスペンス方法を示したものである。これらのディスペンス・パターンにより、気泡のトラッピング、局部変形および液体の浪費を始めとする1つまたは複数の問題が生じる。例えば転写領域の中央に一滴ディスペンスする場合1201、あるいは不規則な線をディスペンスする場合1205、テンプレートおよび/または基板に局部変形が生じる。複数の滴をディスペンスする場合1202、または円周パターンの線をディスペンスする場合1206、気泡のトラッピングが生じる。閉じた円周に近いパターンを有する他のパターンをディスペンスする場合1204も、同じく気泡のトラッピングが生じる。同様に、噴霧すなわち無作為変位の飛沫の場合1203も気泡のトラッピングが生じる。基板を低粘性液体でスピン被覆する場合、薄膜の不安定性による「ディウェッティング(dewetting)」問題が生じる。ディウェッティングにより、薄く、かつ、一様な液体層ではなく、多数の微小液体滴が基板に形成される。
【0063】
一実施形態の液体ディスペンス方法によれば、後で広がることで連続体となる複数の微小液体滴がディスペンスされる。図13は、5滴の液体滴を使用した場合について示したものである。この場合の5滴は、単に説明用として使用したものに過ぎない。正弦波線、「W」または「X」など、その他の「開放」パターンについても、この方法を使用して実施することができる。テンプレートと基板の間のギャップが狭くなると、円形滴1301がより薄く、かつ、より幅広くなり、隣接する滴が1つになる1302。したがって、初期ディスペンスに連続した形が含まれていない場合であっても、液体が広がることにより、テンプレートと基板の間のギャップから空気が追い出されることになる。この方法での使用に有効なパターンは、飛沫が広がる際に、それらがテンプレートと基板の間のいかなる空気もトラップしないような方法でディスペンスしなければならない。
【0064】
ボリュームが正確に規定されている微小液体滴は、圧力サポート・ユニットを備えたマイクロ電磁弁を使用してディスペンスされる。他のタイプの液体ディスペンス・アクチュエータには、圧電作動ディスペンサが含まれている。吐出ベース液体ディスペンサと比較した場合のマイクロ電磁弁ディスペンサを備えたシステムの利点は、ディスペンス時間がより速いこと、およびボリュームをより正確に制御することができることである。これらの利点は、転写のサイズがより大きい(例えば、さしわたし数インチ)場合、特に望ましい。図14は、マイクロ電磁弁を備えたシステムの一実施形態を示したものである。このシステムは、液体容器1401、入口チューブ1402、入口弁1403、ポンプ1404、出口弁1405、ポンプ・コントローラ1406、マイクロ電磁弁1407、マイクロ電磁弁コントローラ1408、X−Yステージ1409、X−Yステージ・コントローラ1410およびメイン・コンピュータ1412を備えている。X−Yステージ1409上に基板1411が置かれている。適切なマイクロ弁ディスペンサ・システムは、Lee社から購入することができる。
【0065】
図15Aは、転写領域が広い(例えば、数インチを超える)場合に有用なパターンの設計を示したものである。この実施形態では、液体平行線1503がディスペンスされている。液体平行線1503は、テンプレート1501が基板1502に近づくと、ギャップから空気が追い出されるような方法で広がることになる。所望の方法での線1503の広がりを容易にするために、テンプレート1501は、故意にくさび状になされた構成(図15Bに示すように)でギャップに近づけることができる。つまり、テンプレート/基板のギャップは、線1503に沿って閉じられる(例えば、くさびの角度を線1503に平行にすることができる)。
【0066】
良好に分散された初期液体層を提供する利点は、テンプレートと基板の間の配向誤差が補償されることである。これは、液体の薄層の流体力学と配向ステージの従順さによるものである。テンプレートの下側の部分がテンプレートの他の部分より早くディスペンスされた液体と接触する。テンプレートと基板の間のギャップが狭くなるにつれて、テンプレートの下側部分と上側部分の間の反力の不平衡が大きくなる。力のこの不平衡により、テンプレートおよび基板の運動が修正され、テンプレートおよび基板が実質的に平行になる。
【0067】
転写リソグラフィを成功させるためには、テンプレートと基板の間のギャップを制御するための、基板に対するテンプレートの正確なアライメントおよび配向が必要である。本明細書に示す実施形態により、製造プロセスにおける正確なアライメントおよびギャップ制御を達成することができるシステムが提供される。一実施形態では、システムは高分解能X−Y並進ステージを備えている。一実施形態では、システムは、テンプレートと基板表面の間の大まかな予備アライメント操作を実施するための予備較正ステージを設け、相対アライメントを微少運動配向ステージの運動範囲内でできるようにしている。この予備較正ステージは、新しいテンプレートが装置に設置された場合にのみ必要である(しばしばステッパとしても知られている)。予備較正ステージは、ベース・プレート、たわみコンポーネント、およびベース・プレートとたわみコンポーネントを結合している複数のマイクロメータすなわち高分解能アクチュエータからなっている。
【0068】
テンプレートと基板の間の配向アライメントがX−Y運動と無関係である場合、基板ウェハ全体に対して、配置誤差を一度補償するだけで良い(例えば「全体オーバレイ」)。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ/または基板上の極端な局部配向変化が存在する場合は、基板に対するテンプレートのX−Y部分変化を補償しなければならない(すなわち、フィールド対フィールド・オーバレイ)。オーバレイ・アライメント問題については、オーバレイ・アライメントのセクションに関連してさらに考察する。図21および22は、それぞれ全体オーバレイ誤差補償アルゴリズムおよびフィールド対フィールド・オーバレイ誤差補償アルゴリズムを示したものである。
【0069】
一実施形態では、予備較正ステージ(アクチュエータを使用して自動的に、あるいはマイクロメータを使用して手動で)および精密配向ステージによって、テンプレートおよび基板の配向を達成している。精密配向ステージは、能動であってもあるいは受動であっても良い。これらのステージのいずれか一方、あるいは両方のステージに他のメカニズムを設けることができるが、粒子を避けるためには、たわみベースのメカニズムであることが好ましい。較正ステージはフレームに取り付けられ、精密配向ステージは予備較正ステージに取り付けられている。したがってこのような実施形態は、シリアル機械配置を形成している。
【0070】
精密配向ステージは、1つまたは複数の受動従順性部材(passive compliant menber)を備えている。「受動従順性部材」とは、一般的にその運動を従順さから得ている部材を指している。つまり、液体との直接的または間接的な接触によって運動が起動される。精密配向ステージが受動である場合、精密配向ステージは、2つの配向軸の周りに最も優勢な従順さを持たせるように設計される。2つの配向軸は直交しており、テンプレートの下部表面上に位置している(図43を参照して説明する)。テンプレートが正方形である場合、通常、2つの直交ねじり従順性の値は同じである。精密配向ステージは、テンプレートが液体と接触する場合のように、基板に対してテンプレートが非平行である場合に、非平行であることによる非一様な液体圧が速やかに配向誤差を補正するように設計されている。一実施形態では、この補正は、最小のオーバシュートで、あるいはオーバシュートすることなく実施されている。また、精密配向ステージは、上で説明したように、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
【0071】
一実施形態では、精密配向ステージは、1つまたは複数のアクチュエータを備えている。例えば圧電アクチュエータ(図46を参照して説明する)が適している。このような実施形態では、予備較正ステージと結合した精密配向ステージの有効受動従順性は、やはり実質的に2つの配向軸の周りのねじり従順性でなければならない。すべての構造エレメントおよび能動エレメントの幾何学パラメータおよび材料パラメータは、共にこの有効受動剛性に寄与している。例えば圧電アクチュエータも、引張りおよび圧縮においては従順である。幾何学パラメータおよび材料パラメータを合成し、2つの直交配向軸の周りに所望のねじり従順性を得ることができる。幾何学パラメータおよび材料パラメータを合成するための簡単な手法は、精密配向ステージにおけるアクチュエータの動作方向に沿ったアクチュエータの従順性を、残りのステージ・システムの構造従順性より大きくすることである。これにより、非平行テンプレートが基板上の液体と接触する際の受動自己補正機能が提供される。また、この従順性は、最小のオーバシュートで、あるいはオーバシュートすることなく、速やかに配向誤差が補正されるように選択しなければならない。精密配向ステージは、液体を硬化させるために十分に長い期間の間、テンプレートと基板の間を実質的に平行な配向に保持している。
【0072】
オーバレイ・アライメント・スキームには、転写テンプレートの正確なアライメントおよび基板上の所望の転写位置を達成するためのアライメント誤差の測定、およびアライメント誤差の測定に続く誤差の補償が含まれている。プロキシミティ・リソグラフィ、x線リソグラフィおよびフォトリソグラフィ(例えばレーザ・干渉法、キャパシタンス・センシング、マスクおよび基板上のオーバレイ・マークの自動画像処理等)に使用されている測定技法は、適切に修正することによって転写リソグラフィ・プロセスに適合させることができる。
【0073】
リソグラフィ・プロセスにおけるオーバレイ誤差のタイプには、配置誤差、シータ誤差、倍率誤差およびマスクひずみ誤差が含まれている。本明細書において開示する実施形態の利点は、開示するプロセスが比較的低い温度(例えば室温)および小さい圧力で動作するため、マスクひずみ誤差が存在しないことである。したがってこれらの実施形態では、重大なひずみが誘導されることはない。また、これらの実施形態には、比較的分厚い基板でできたテンプレートが使用されているため、マスクが比較的薄い基板でできている他のリソグラフィ・プロセスと比較すると、マスク(すなわちテンプレート)ひずみ誤差がはるかに小さくなっている。さらに、転写リソグラフィ・プロセスのためのテンプレート領域全体が、硬化剤(例えば紫外光)に対して透明になっているため、硬化剤からのエネルギーによる加熱が最小化されている。加熱が小さいため、金属被覆が存在するためにマスクの底部表面のかなりの部分が不透明になっているフォトリソグラフィ・プロセスと比較すると、加熱によって誘導されるひずみの発生が最少化されている。
【0074】
配置誤差は一般に、テンプレートと基板の間のX−Y位置誤差(つまり、X軸および/またはY軸に沿った並進)による。シータ誤差は一般に、Z軸の周りの相対配向誤差(つまり、Z軸の周りの回転)による。倍率誤差は一般に、テンプレート上の原始パターン化領域と比較した、転写領域における熱誘導または材料誘導による収縮または膨張による。
【0075】
転写リソグラフィ・プロセスでは、基板上に過度のフィールド対フィールド表面変化が存在する場合、ギャップの制御を目的とした、図23に示す角度αおよびβに対応するテンプレートと基板の間の配向アライメントを頻繁に実施しなければならない。一般的には、転写領域全体の変化は、被転写フィーチャの高さの約半分より小さいことが望ましい。配向アライメントがテンプレートおよび基板のX−Y位置と結合している場合は、フィールド対フィールド配置誤差を補償しなければならない。しかし、本明細書に示す配向ステージの実施形態の場合、配置誤差を誘導することなく配向アライメントを実施することができる。
【0076】
集束レンズ系を使用しているフォトリソグラフィ・プロセスでは、2つのアライメント・マーク(一方はマスク上、もう一方は基板上)の画像を同一集束平面上に配置することができるように、マスクおよび基板が位置付けされている。アライメント誤差は、これらのアライメント・マークの相対位置を参照することによって誘導されている。転写リソグラフィ・プロセスでは、オーバレイ誤差を測定している間、テンプレートおよび基板が比較的狭いギャップ(マイクロメートル程度未満)を維持するため、オーバレイ誤差測定ツールは、異なる平面からの2つのオーバレイ・マークの焦点を同一集束平面上に合せなければならない。このような要求事項は、フィーチャが比較的大きい(例えば約0.5μm)場合、デバイスにとってはそれほど重大ではないが、100nm領域未満のクリティカルなフィーチャの場合、高分解能オーバレイ誤差測定を達成するためには、同一集束平面上で2つのオーバレイ・マークの画像を捕えなければならない。
【0077】
したがって、転写リソグラフィ・プロセスのためのオーバレイ誤差の測定方法および誤差の補償方法は、以下の要求事項を満足しなければならない。
1. オーバレイ誤差測定ツールは、同一平面上ではない2つのオーバレイ・マークに焦点を合せることができなければならない。
2. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、テンプレートおよび基板をXおよびYに相対的に移動させることができなければならない。
3. オーバレイ誤差補正ツールは、テンプレートと基板の間に液体の薄層が存在している状態で、シータ誤差を補償することができなければならない。
4. オーバレイ誤差補正ツールは、倍率誤差を補償することができなければならない。
【0078】
上に示した第1の要求事項は、i)光学画像化ツールを上下に移動させることによって(米国特許5,204,739の場合のように)、あるいはii)2つの異なる波長を有する照明源を使用することによって満足することができる。これらの手法にはいずれも、テンプレートと基板の間のギャップ測定の知識が有用であり、特に第2の方法の場合に有用である。テンプレートと基板の間のギャップは、広帯域干渉法、レーザ・干渉法およびキャパシタンス・センサを始めとする既存の複数の非接触膜厚測定ツールの1つを使用して測定されている。
【0079】
図24は、テンプレート2400、基板2401、液体2403、ギャップ2405およびオーバレイ誤差測定ツール2402の位置を示したものである。測定ツールの高さは、同一結像平面上に2つのオーバレイ・マークを得るために、ギャップ情報に基づいて調整される2406。この手法を満足するためには、画像記憶デバイス2403が必要である。また、テンプレートおよびウェハを位置決めするためのデバイスは、測定デバイス2402の上下運動の振動から絶縁しなければならない。さらに、高分解能オーバレイ・アライメントのために、テンプレートと基板の間のX−Y方向の走査運動を必要とする場合、この手法では、オーバレイ・マークの連続画像を生成することはできない。したがってこの手法は、転写リソグラフィ・プロセスに対しては、比較的分解能の小さいオーバレイ・アライメント・スキームに適合している。
【0080】
図25は、異なる平面からの2つのアライメント・マークの焦点を、単一の集束平面上に合せるための装置を示したものである。装置2500は、照明源として使用されている異なる波長を有する光による焦点距離の変化を利用している。装置2500は、画像記憶デバイス2503、照明源(図示せず)および集光デバイス2505を備えている。個別の複数の光源を使用するか、あるいは単一の広帯域光源を使用して、結像平面とアライメント・マークの間に光学的なバンドパス・フィルタを挿入することによって、区別できる異なる波長を有する光を発生することができる。テンプレート2501と基板2502の間のギャップに応じて、異なる2つの波長が焦点距離を調整するために選択される。図26に示すように、各照明の下で、オーバレイ・マークの各々が結像平面上に2つの画像を生成する。第1の画像2601は、明確に焦点合わせされた画像である。第2の画像2602は、焦点外れの画像になっている。個々の焦点外れ画像を除去するために、いくつかの方法が使用されている。
【0081】
第1の方法では、第1の波長の光を有する照明源の下で、結像アレイ(例えばCCDアレイ)によって2つの画像が受け取られる。図26は、受け取られた画像を示したもので、一括して数表示2604で参照されている。画像2602は、基板上のオーバレイ・アライメント・マークに対応している。画像2601は、テンプレート上のオーバレイ・アライメント・マークに対応している。画像2602に焦点が合わされると、画像2601は焦点外れになり、その逆の場合についても同様である。一実施形態では、画像2602に関連する画素に対応する幾何学データが消去するために、ある画像処理技法を使用する。その技法で焦点を外れた基板マークの画像が除去され、画像2601が残される。第2の波長の光と同じ手順を使用して、画像2605および2606が結像アレイ上に形成される。この手順により、焦点外れの画像2606が除去され、したがって画像2605が残される。次に、残された2つの焦点の合った画像2601と2605が、単一結像平面上で結合され2603、オーバレイ誤差が測定される。
【0082】
第2の方法は、図27に示すように2つの共面偏光アレイと偏光照明源を利用している。図27は、オーバレイ・マーク2701および直交偏光したアレイ2702を示したものである。偏光アレイ2702は、テンプレート表面に構築され、あるいはテンプレート表面の上方に置かれている。2つの偏光照明源の下で、画像2703のみが結像平面上に結像される(それぞれ異なる波長および偏光に対応している)。したがって焦点を外れた画像は、偏光アレイ2702によってフィルタ除去される。この方法の利点は、焦点を外れた画像を除去するための画像処理技法を必要としないことである。
【0083】
オーバレイ誤差測定中におけるテンプレートと基板の間のギャップが狭すぎる場合、スティクションすなわち液体の薄層のせん断力が増加するため、誤差補正が困難になることに留意すべきである。また、ギャップが広すぎる場合、テンプレートと基板の間の望ましくない垂直運動によるオーバレイ誤差が生じるため、オーバレイ誤差の測定および補正を実施する、テンプレートと基板の間の最適ギャップを決定しなければならない。
【0084】
光リソグラフィ・プロセスには、モアレ・パターンをベースとしたオーバレイ測定が使用されている。モアレ・パターンの2つの層が同一平面上にはなく、かつ、結像アレイ内でオーバラップしている転写リソグラフィ・プロセスの場合、焦点が合った2つの個別画像を得ることは困難であるが、テンプレートと基板の間を直接接触させることなく、テンプレートと基板の間のギャップを、光学測定ツールの焦点深度の範囲内に慎重に制御することにより、集束の問題をほとんど生じることなく、モアレ・パターンの2つの層を同時に得ることができる。転写リソグラフィ・プロセスに、モアレ・パターンに基づく他の標準オーバレイ・スキームを直接組み込むことができる。
【0085】
配置誤差は、キャパシタンス・センサまたはレーザ干渉計および高分解能X−Yステージを使用して補償される。テンプレートと基板の間の配向アライメントがX−Y運動と無関係である実施形態では、基板(例えば半導体ウェハ)全体に対して、配置誤差を一度補償するだけで良い。このような方法は、「全体オーバレイ」と呼ばれている。テンプレートと基板の間の配向アライメントがX−Y運動と結合し、かつ、基板上に極端な局部配向変化が存在する場合は、キャパシタンス・センサおよび/またはレーザ干渉計を使用して、テンプレートのX−Y部分変化が補償される。このような方法は、「フィールド対フィールド・オーバレイ」と呼ばれている。図28および29は、適切なセンサの実施形態を示したものである。図28は、キャパシタンス・センシング・システムの一実施形態を示したものである。キャパシタンス・センシング・システムは、キャパシタンス・センサ2801、導電被覆2802、テンプレート2803を備えている。したがってキャパシタンスの差をセンスすることにより、テンプレート2803の位置を正確に測定することができる。同様に、図29は、反射被覆2901、レーザ信号2902およびレシーバ2903を備えたレーザ干渉計システムの一実施形態を示したものである。レシーバ2903が受信するレーザ信号を使用して、テンプレート2904の位置が決定される。
【0086】
倍率誤差が存在している場合は、基板およびテンプレートの温度を慎重に制御することによって補償される。基板およびテンプレートの熱膨張特性の違いを利用して、基板上の既存のパターン済み領域のサイズが、新しいテンプレートのサイズに調整される。しかし、転写リソグラフィ・プロセスが室温および低圧で実施される場合、倍率誤差の大きさは、配置誤差またはシータ誤差の大きさよりはるかに小さいとされている。
【0087】
シータ誤差は、フォトリソグラフィ・プロセスで広く使用されているシータ・ステージを使用して補償される。シータ誤差は、高分解能シータ誤差予測を与えるために、十分に距離を隔てて分離された2つの個別アライメント・マークを使用して補償される。シータ誤差は、テンプレートが基板から数ミクロン離れて位置付けされる場合に補償される。したがって、既存パターンのせん断が生じることはない。
【0088】
UV硬化液体材料を使用している転写リソグラフィ・プロセスのオーバレイ・アライメントに関わる他の問題は、アライメント・マークの可視性の問題である。オーバレイ誤差を測定する場合、1つがテンプレート上、もう1つが基板上にある2つのアライメント・マークが使用されるが、テンプレートを硬化剤に対して透明にすることが望ましいため、通常、テンプレートのオーバレイ・マークには不透明の線が含まれていない。そうではなく、テンプレートのオーバレイ・マークは、テンプレート表面のトポグラフィカル・フィーチャになっている。実施形態の中には、マークがテンプレートの材料と同じ材料でできている実施形態もある。また、UV硬化液には、テンプレート材(例えば水晶)の屈折率と同様の屈折率を持つ傾向があるため、テンプレートと基板の間のギャップがUV硬化液で充填されると、テンプレートのオーバレイ・マークを認識することが極めて困難になる。テンプレートのオーバレイ・マークが不透明材料(例えばクロム)でできている場合、オーバレイ・マークの下側のUV硬化液がUV光に適切に露出されなくなり、これは極めて望ましくない状態である。
【0089】
液体が存在している中でのテンプレート・オーバレイ・マークの認識の問題を解決するための2つの方法を開示する。第1の方法は、高分解能ギャップ制御ステージと共に正確な液体ディスペンス・システムを使用したものである。本明細書において、適切な液体ディスペンス・システムおよびギャップ制御ステージを開示する。説明用として、図30に3つのオーバレイ・アライメント・ステップを示す。図30に示すオーバレイ・マークの位置および液体パターンは、単に説明を目的としたものに過ぎず、本発明を制限する意味で解釈してはならない。他の様々なオーバレイ・マーク、オーバレイ・マークの位置および/または液体ディスペンス・パターンも可能である。先ず、ステップ3001で基板3002に液体3003がディスペンスされる。次にステップ3004で、高分解能配向ステージを使用して、テンプレート3005と基板3002の間のギャップがディスペンスされた液体3003で完全には充填されないよう、テンプレートと基板の間のギャップが慎重に制御される。ステップ3004で、ギャップは、最終転写ギャップよりわずかに大きい程度である。ギャップの大部分が液体で充填されるため、オーバレイ補正は、ギャップがあたかも液体で完全に充填されたものとして実施される。オーバレイ補正が終了すると、ギャップが最終転写ギャップに近づけられる(ステップ3006)。これは、残りの転写領域への液体の広がりを可能にしている。ステップ3004とステップ3006の間のギャップの変化は極めて小さい(例えば約10nm)ため、ギャップ接近運動による重大なオーバレイ誤差は、何ら生じない。
【0090】
第2の方法の場合、オーバレイ測定ツールに見える特殊なオーバレイ・マークをテンプレート上に形成しなければならないが、硬化剤(例えばUV光)に対して不透明であってはならない。図31は、この手法の一実施形態を示したものである。図31では、テンプレート上のオーバレイ・マーク3102は、完全な不透明線ではなく、微細な偏光線3101で形成されている。例えば、適切な微細偏光線は、硬化剤として使用される活性化光の波長の約1/2ないし1/4の幅を有している。偏光線3101の線幅は、2本の線の間を通過する活性化光が十分に回折して線の下側のすべての液体を硬化させるよう、十分な細さでなければならない。このような実施形態では、オーバレイ・マーク3102の偏光に従って活性化光が偏光される。活性化光を偏光させることにより、オーバレイ・マーク3102を有する領域を含んだすべてのテンプレート領域が、比較的一様に露出される。テンプレート上のオーバレイ・マーク3102を捜し出すために使用される光は、広帯域光または液体材料を硬化させない特殊な波長である。この光を偏光させる必要はない。偏光線3101は、測定光に対して実質的に不透明であり、したがって設置されたオーバレイ誤差測定ツールを使用してオーバレイ・マークを視覚可能にしている。微細偏光オーバレイ・マークは、電子ビーム・リソグラフィなどの既存の技法を使用して、テンプレート上に形成される。
【0091】
第3の実施形態では、オーバレイ・マークは、テンプレートの材料とは異なる材料で形成されている。例えば、テンプレートのオーバレイ・マークを形成するために選択される材料は、可視光に対して実質的に不透明であるが、硬化剤として使用される活性化光(例えばUV光)に対しては透明である。例えばXが2未満であるSiOxがこのような材料を形成している。詳細には、Xが約1.5であるSiOxで形成された構造は、可視光に対して実質的に不透明であるが、UV光に対しては透明である。
【0092】
図32は、テンプレート12などのテンプレートを、基板20などの転写すべき基板に対して較正し、かつ、配向するためのシステムのアセンブリを一括して100で示したものである。システム100は、ステッパなどの機械に、本明細書で説明する転写リソグラフィ・プロセスを使用した製造環境におけるデバイスの量産用として利用されている。図に示すように、システム100は、ハウジング120を支持している頂部フレーム110に取り付けられている。ハウジング120は、テンプレート150を基板(図32には図示せず)に対して大まかにアライメントするための予備較正ステージを備えている。
【0093】
ハウジング120は中間フレーム114に結合されており、中間フレーム114には、ハウジング120の反対側に、ガイド・シャフト112aおよび112bが取り付けられている。一実施形態では、ハウジング120を支持するために3つのガイド・シャフト(図32では、後側のガイド・シャフトは見えない)が使用され、テンプレート150が垂直に並進している間、上下にスライドしている。中間フレーム114の周囲の対応するガイド・シャフト112aおよび112bに取り付けられたスライダ116aおよび116bが、ハウジング120のこの上下運動を容易にしている。
【0094】
システム100は、ハウジング120の底部部分に取り付けられた円板形ベース・プレート122を備えている。ベース・プレート122は、円板形たわみリング124に結合されている。たわみリング124は、下方配置配向ステージに備えられた第1のたわみ部材126と第2のたわみ部材128を支えている。以下、たわみ部材126、128の配向および構成について詳細に考察する。図33に示すように、第2のたわみ部材128は、転写プロセスの間、テンプレート150を所定の位置に保持するテンプレート・サポート130を備えている。テンプレート150は、通常、所望のフィーチャが形成された水晶片を備えている。また、テンプレート150は、良く知られている方法に従って、他の物質を備えている。
【0095】
図33に示すように、アクチュエータ134a、134bおよび134cは、ハウジング120の内部に固定され、ベース・プレート122およびたわみリング124に動作可能に結合されている。動作時に、アクチュエータ134a、134bおよび134cは、たわみリング124の運動が達成されるように制御される。アクチュエータの運動が大まかな予備較正を可能にしている。実施形態の中には、アクチュエータ134a、134bおよび134cが、高分解能アクチュエータを備えている実施形態もある。このような実施形態では、アクチュエータがハウジング120の周りに等間隔で配置されている。このような実施形態により、リング124を垂直方向に極めて正確に並進させ、それによりギャップを正確に制御することができる。したがってシステム100は、転写すべき基板に対するテンプレート150の大まかな配向アライメントおよび正確なギャップ制御を達成することができる。
【0096】
システム100は、テンプレート150を正確に制御することができるメカニズムを備えているため、正確な配向アライメントを達成することができ、また、テンプレートによって基板表面に対する一様なギャップが維持される。また、システム100は、転写に続いて、基板表面からフィーチャをせん断することなく、基板の表面からテンプレート150を分離させる方法を提供している。それぞれ第1のたわみ部材126および第2のたわみ部材128の構成によって、正確なアライメントおよびギャップの制御が容易になっている。
【0097】
一実施形態では、図51に示すように、硬化剤に対して透明な個別の固定支持プレート5101を使用して、テンプレート5102を所定の位置に保持している。テンプレート5102の裏側の支持プレート5101が転写力を保持しているが、固定支持プレート5101とテンプレート5102の間に真空を加えることにより、分離力が生じる。横方向の力に対してテンプレート5102を支持するために、圧電アクチュエータ5103が使用されている。この横方向支持力は、圧電アクチュエータ5103を使用して慎重に制御されている。また、この設計は、転写リソグラフィ・プロセスにおける層対層アライメントのための倍率およびひずみ補正機能を提供している。ひずみ補正は、電子ビーム・リソグラフィによって構築されたテンプレート構造に存在するスティッチング誤差および配置誤差を克服するため、また、基板上に既に存在している構造のひずみを補償するために極めて重要である。倍率補正は、テンプレートの各々の面の1つの圧電アクチュエータに対して(つまり、4面テンプレートの場合、合計4つの圧電アクチュエータに対して)のみ必要である。圧電アクチュエータは、一様な力が表面全体に印加されるような方法で、テンプレートの表面に接続されている。一方、ひずみ補正は、個別に制御された力をテンプレートの各々の面に印加する複数の個別圧電アクチュエータに対して実施しなければならない。必要なひずみ制御のレベルに応じて、個別圧電アクチュエータの数が規定されている。圧電アクチュエータが多いほど、より良好なひずみ制御が提供される。倍率補正およびひずみ補正は、テンプレートの頂部表面および底部表面がいずれも拘束されていない状態においてのみ正しく制御されるため、倍率誤差補正およびひずみ誤差補正は、真空を使用してテンプレートの頂部表面を拘束する前に完了していなければならない。実施形態の中には、図51に示すテンプレート・ホルダ・システムが、テンプレート5102の下側の領域の一部に対して硬化剤を妨害することになる機械設計を有している実施形態もあるが、これは、テンプレート5102の下側の液体の一部が硬化しないため、望ましいことではない。この液体はテンプレートにへばり付き、それ以降のテンプレートの使用に弊害をもたらすことになる。テンプレート・ホルダに関わるこの問題は、テンプレート・ホルダに1組のミラーを組み込み、テンプレート5102の一方のエッジの下側の領域に導かれた硬化剤が彎曲して、テンプレート5102のもう一方のエッジの下側の被妨害部分を硬化させるような方法で、被妨害硬化剤を分流させることによって回避することができる。
【0098】
一実施形態では、基板とテンプレートの間の最小ギャップが、センシング技法を使用することができる範囲内になるようにテンプレートを設計することによって、高分解能ギャップ・センシングが達成されている。測定中のギャップは、実際のパターン化表面のギャップとは無関係に維持されるため、ギャップの制御を、センシング技法の有効レンジ内で実施することができる。例えば、ギャップを解析するために、スペクトル反射率解析技法を約150nmないし20ミクロンの有効センシング・レンジで使用する場合、テンプレートは、テンプレート中に約150nm以上の深さでパターン化されたフィーチャを有していなければならない。これにより、センスすべき最小ギャップを確実に150nmより大きくすることができる。
【0099】
テンプレートを基板に向けて下げていく間に、基板とテンプレートの間のギャップから液体が追い出される。基板とテンプレートの間のギャップは、粘性力が印加圧縮力との平衡条件に近づく実用下限に接近する。これは、テンプレートの表面と基板が極めて接近した場合に生じる。例えば、このレジームは、半径1cmのテンプレートに、14kPaの圧力を1秒間加えた場合、1cPの液体に対して約100nmのギャップ高さになる。その結果、一様かつ平行なギャップが維持されれば、ギャップはセルフリミッチングである。また、追い出される(または吸収される)液体の量を明確に予測することができる。吸収される液体の量は、流体力学および表面現象の慎重な計算に基づいて予測することができる。
【0100】
プロダクション・スケールの転写をパターン化する場合、基板に対するテンプレートの傾斜およびギャップを制御することが望ましい。配向およびギャップの制御を達成するためには、レチクル製造技法を使用して製造されたテンプレートは、i)単一波長干渉法、ii)多重波長干渉法、iii)楕円偏光法、iv)キャパシタンス・センサ、またはv)圧力センサなどのギャップ・センシング技法と組み合せて使用される。
【0101】
一実施形態では、テンプレートと基板の間のギャップを正確に測定する方法は、基板の薄膜の厚さを計算するために使用されている。本明細書においては、広帯域分光計から得られる反射データの高速フーリエ変換(FFT)に基づく技法の説明を開示する。この技法を使用して、テンプレートと基板の間のギャップおよび薄膜の厚さを測定することができる。多層薄膜の場合、この技法により、各薄膜の平均厚さおよび厚さの変化が提供される。また、一方の表面を介した少なくとも3ヶ所の異なるポイントにおける測定により、例えば転写リソグラフィ・プロセスの場合のテンプレートと基板など、近接した2つの表面の間の平均ギャップ情報および配向情報を得ることができる。
【0102】
一実施形態では、ギャップ測定プロセスは、広帯域干渉法と高速フーリエ変換(FFT)の組合せをベースにしている。この業界におけるいくつかの応用では、広帯域干渉法のための様々な曲線適合技法を利用して、単層の膜厚が測定されているが、このような技法では、特に転写リソグラフィ・プロセスのための多層薄膜の場合、実時間のギャップ測定を提供することができないとされている。このような問題を解決するために、先ず、反射率が1/λhighと1/λlowの間の波数領域でディジタル化される。次に、ディジタル化されたデータがFFTアルゴリズムを使用して処理される。この新規な手法により、FFT信号のピークが明確に生成される。このピークは、測定されたギャップに正確に対応している。2層の場合は、FFT信号によって、各層の厚さに直線比例する2つの明確なピークが生成される。
【0103】
光学薄膜の場合、反射率における振動は、次の式で与えられる、単一光学薄膜の反射率で示されるように、波長(λ)ではなく波数(w)において周期的である。
【数1】
Figure 2004505273
上の式で、ρi、i+1は、i−1界面とi界面の境界面における反射率係数、nは屈折率、dは測定する薄膜(図52の材料2)の厚さ、αは薄膜(図52の材料2)の吸収係数である。ここではw=1/λである。
【0104】
この特性により、フーリエ解析は、wによって表される関数Rの周期を決定するための有用な技法である。単一薄層の場合、明確に画定される単一ピーク(p)が、R(w)のフーリエ変換が得られた場合の結果であることに留意されたい。膜厚(d)は、このピークの位置の関数であり、次の式で与えられる。
d=p/(Δw×2n)  (8)
上式で、Δw=w−w、w=1/λminおよびw=1/λmaxである。
【0105】
FFTは、離散信号である周波数が計算的に有効な方法で計算される、確立された技法である。したがってこの技法は、現場解析および実時間応用に有用である。図34は、反射率信号のFFTプロセスを介した膜厚またはギャップ測定のプロセス・フローの一実施形態を示したものである。異なる反射率を有する多層薄膜の場合、FFTプロセスにおけるピークの位置は、各膜厚の一次結合に対応している。例えば2層薄膜の場合、FFT解析において2つの異なるピーク位置がもたらされる。図35は、2つのピーク位置に基づいて2つの薄膜の厚さを正確に測定する方法を示したものである。
【0106】
本明細書に示す実施形態により、反射率データの振動が測定波数範囲内の完全な1周期未満を含んでいる場合であっても、ギャップまたは薄膜の厚さを測定することができる。このような場合、FFTは不正確なピーク位置をもたらすことになる。このような問題を解決し、かつ、測定可能膜厚の下限を広げるための新規な方法を本明細書において開示する。振動周期を計算するためのFFTアルゴリズムを使用する代わりに、wとwの反射率の局部最ドット(w)または局部最大点(w)を見出すアルゴリズムを使用して、wおよびwにおける周期情報dR/dw=0が計算される。式7の反射率R(w)は、w=0においてその最大を有している。また、典型的な分光計の波数範囲(Δw)は、wより大きくなっている。波数範囲が200nm〜800nmの分光計の場合、Δw=3/800であり、w=1/800である。したがって0とwの間の反射率データの振動長は、Δwより短くなっている。図36に示すように、w=0がR(w)の最大点であると仮定すると、Δwの範囲内に最小位置があるケースと最大位置があるケースの2つのケースが存在する。したがって膜厚は次のように計算される。
・ケース1 WW0:局部最小がwに存在する場合。したがってw=周期振動の半分、故にd=0.5/(w×2n)
・ケース2 WW1:局部最大がwに存在する場合。したがってw=周期振動の1周期、故にd=1/(w×2n)
【0107】
測定ツールの実用的な構成には、広帯域光源、光ファイバを備えた分光計、データ収集基板および処理コンピュータが含まれている。いくつかの既存の信号処理技法により、FFTデータの感度が改善されている。例えば、これに限らないが、フィルタリング、拡大、データ・ポイント数の増加、異なる波長レンジ等を始めとする技法を、本明細書において開示するギャップまたは膜厚測定方法と共に利用することができる。
【0108】
本明細書において開示する実施形態には、2つの平面(例えばテンプレートと基板)の間の高精度ギャップ測定および配向測定方法が含まれている。ここで示すギャップ測定および配向測定方法には、広帯域干渉法および縞ベース干渉法が使用されている。一実施形態では、本明細書において開示する、広帯域干渉法を使用した方法により、広帯域干渉計の欠点、すなわち広帯域信号の平均波長の約1/4より狭いギャップを正確に測定することができない、という欠点を解決している。干渉縞ベース干渉法は、設置直後のテンプレートの配向誤差をセンスするために使用されている。
【0109】
転写リソグラフィ・プロセスを実行して、単層および多層デバイスを製造することができる。ミクロン・サイズの光学ミラー、高分解能光フィルタ、ライト・ガイドなどの単層デバイスは、基板上に特定の幾何学形状の材料薄層を形成することによって製造される。このようなデバイスの中には、転写される層の厚さが広帯域信号の平均波長の約1/4より薄く、かつ、能動領域全体に渡って一様なデバイスもある。広帯域干渉計の欠点は、広帯域信号の平均波長の約1/4より狭いギャップ(例えば約180nm)を正確に測定することができないことである。一実施形態では、テンプレートの表面に、正確に測定することができるマイクロメートル・サイズの段がエッチングされている。段は、図37に示すように、測定を実施する部分に、連続した線3701の形態で、あるいは隔離された複数のドット3702の形態でエッチングされる。テンプレート上の有効能動面積を最大化する観点からすれば、隔離ドット3702が好ましい。パターン化されるテンプレート表面が、基板からわずか数ナノメートル程度であっても、広帯域干渉計を使用して、最小ギャップ測定問題に煩わされることなく、ギャップを正確に測定することができる。
【0110】
図38は、ここで説明するギャップ測定の略図を示したものである。プローブ3801は、図39に示すような傾斜構成の場合にも使用することができる。4つ以上のプローブを使用する場合、冗長情報を使用することによってギャップ測定精度を改善することができる。分かり易くするために、3つのプローブを使用するものとして説明を確実にする。段のサイズhsAC2は、説明を分かり易くするために拡大されている。パターン化された領域の平均ギャップhは、
=[(h+h+h)/3]−h   (9)
で与えられる。プローブの位置が分かれば((x、y)、ただしx軸およびy軸は基板表面上)、基板に対するテンプレートの相対配向を、x−y軸が基板の頂部表面上に位置しているフレームに対するテンプレート表面に垂直をなす単位ベクトル(n)で表すことができる。
n=r/‖r‖              (10)
上式で、r=[(x、y、h)−(x、y、h)]×[(x、y、h)−(x、y、h)]である。n=(001)またはh=h=hのとき、2つの平面の間の完全な配向アライメントが達成される。
【0111】
測定されたギャップおよび配向は、転写アクチュエータへのフィードバック情報として使用される。測定広帯域干渉ビームのサイズは、約75μm程度の大きさである。実用的な転写リソグラフィ・プロセスの場合、クリア領域にはいかなるパターンもエッチングすることができないため、ギャップを測定するためにのみ使用されるクリア領域を最小化することが望ましい。また、測定ツールが存在することによる硬化剤に対する妨害を最小にしなければならない。
【0112】
図40は、基板上の多層材料の略図を示したもので、例えば基板4001は、層4002、4003、および基板4001とテンプレート4004の間に液体4005を有している。これらの材料層を使用して、基板表面上に一層毎に垂直に多重パターンが転写される。光ビーム4006を使用してギャップ測定が実行されるクリア領域における各層の厚さは一様になっている。多層薄膜が存在している中での、広帯域干渉法を使用した頂部層の厚さの正確な測定が示されている。下部薄膜層の光学特性および厚さが正確に分かると、頂部層の厚さを測定することによって、テンプレートと基板表面(あるいは多層デバイスの場合、金属デポジット表面)の間のギャップ情報および配向情報を得ることができる。各層の厚さは、同じセンシング測定プローブを使用して測定される。
【0113】
新しいテンプレートを設置した場合、あるいは機械コンポーネントを再構成した場合は、配向測定および対応する較正を実施しなければならない。テンプレート4102と基板4103の間の配向誤差は、図41に示すような、テンプレートと基板の境界における干渉縞パターンを介して測定される。オプティカル・フラットが2つの場合、干渉縞パターンは、平行な暗い帯と明るい帯4101として現われる。配向較正は、本明細書において開示する予備較正ステージを使用して実施される。差動マイクロメータを使用して、基板表面に対するテンプレートの相対配向が調整される。干渉縞帯が出現しない場合は、この手法を使用して、配向誤差が、使用されている光源の波長の1/4未満になるように補正される。
【0114】
図42Aおよび42Bを参照すると、それぞれ第1のたわみ部材126および第2のたわみ部材128の実施形態がより詳細に示されている。詳細には、第1のたわみ部材126は、対応する剛体164、166に結合された複数のたわみ継手160を備えている。たわみ継手160および剛体164、166は、フレーム170から延びたアーム172および174の一部を形成している。たわみフレーム170は開口182を有している。開口182は、サポート130に保持された場合に、硬化剤(例えばUV光)を透過させ、テンプレート150に到達させる。いくつかの実施形態は、4つのたわみ継手160が、たわみ部材126の第1の配向軸180の周りの運動を提供している。第1のたわみ部材126のフレーム170は、図43に示すように、第2のたわみ部材128との結合のための結合メカニズムを設けている。
【0115】
同様に、第2のたわみ部材128も、フレーム206から延びた一対のアーム202および204を備えている。アーム202および204は、たわみ継手162および対応する剛体208、210を備えている。剛体208および210は、たわみ部材128の第2の配向軸200の周りの運動をもたらす。テンプレート・サポート130は、第2のたわみ部材128のフレーム206に統合される。フレーム182と同様、フレーム206も、硬化剤を透過させ、サポート130に保持されるテンプレート150に到達させる開口212を有している。
【0116】
動作に関しては、図43に示すように、第1のたわみ部材126および第2のたわみ部材128が結合され、配向ステージ250を形成している。第1のたわみ部材126と第2のたわみ部材128の結合を容易にするためのブレース220および222が、第1の配向軸180および第2の配向軸200が互いに実質的に直交するように設けられている。このような構造により、第1の配向軸180と第2の配向軸200が、ほぼテンプレート基板境界254のピボット・ポイント252で交差することになる。第1の配向軸180と第2の配向軸200が直交し、かつ、境界254上に位置していることにより、微細アライメントおよびギャップ制御を行うことができる。詳細には、この構造により、層対層オーバレイ・アライメントからの配向アライメントのデカップリング(decoupling)が達成される。さらに、以下で説明するように、第1の配向軸180と第2の配向軸200の相対位置が、テンプレート150と基板を、所望のフィーチャのせん断を生じることなく分離させるために使用される配向ステージ250を提供している。したがってテンプレート150から転写されるフィーチャは、完全な状態で基板上に維持される。
【0117】
図42A、42Bおよび43を参照すると、たわみ継手160および162はノッチ形状になっており、剛体164、166、208および210のノッチの最も薄い断面に沿って位置付けされたピボット軸の周りの運動を提供している。この構成は、デカップリング従順性運動軸180および200を有する精細デカップリングされた配向ステージ250に、2つのたわみベース・サブシステムとなっている。たわみ部材126および128は、テンプレート150の運動がピボット・ポイント252の周りに生じ、それにより転写されたフィーチャを基板からせん断する「揺れ」およびその他の運動が実質的に除去されるよう、適合する表面を介して組み合わされている。したがって配向ステージ250は、テンプレート150をピボット・ポイント252の周りに正確に移動させ、それにより基板からの所望のフィーチャのせん断を、後続する転写リソグラフィから除去している。
【0118】
図44を参照すると、システム100が動作している間、Z並進ステージ(図示せず)が、配向アライメントを提供することなく、テンプレート150と基板の間の間隔を制御している。予備較正ステージ260は、テンプレート150と基板表面の間の予備アライメント操作を実行し、相対アライメントを配向ステージ250の運動範囲限界内にもたらしている。特定の実施形態では、予備較正は、新しいテンプレートが機械に設置されたときに一度だけ必要である。
【0119】
図45を参照すると、配向ステージ250などの精細デカップリング配向ステージの動作原理を理解するために役に立つたわみモデルが、一括して300で示されている。たわみモデル300は、4バー・リンケージ・システムを公称構成および回転構成を提供する継手1、2、3および4の4つの平行継手を備えている。線310は、継手1および2を通過している。線312は、継手3および4を通過している。角度αおよびαは、従順性アライメント軸(すなわち配向軸)が、実質的にテンプレート−ウェハ境界254上に位置するように選択されている。精細配向が変化すると、継手2と3の間の剛体314が、ポイントCで表されている軸の周りに回転する。剛体314は、たわみ部材126および128の剛体170および206を代表している。
【0120】
第2のたわみコンポーネントを第1のたわみコンポーネントに直角に取り付けることにより(図43に示すように)、互いに直交し、かつ、テンプレートと基板の境界254上に位置する2つのデカップリング配向軸を有するデバイスが提供される。たわみコンポーネントは、硬化剤(例えばUV光)にテンプレート150を通過させるための開口を有する。
【0121】
配向ステージ250は、テンプレート150を基板に対して精密にアライメントさせ、かつ、正確に運動させることができる。配向を調整することにより、境界における横方向運動を完全に無視することができ、かつ、境界表面に対して垂直の周りの、選択的に拘束される高構造剛性によるねじり運動を完全に無視することができる。たわみ継手160、162を備えたたわみ部材126、128のもう1つの利点は、それらが、摩擦継手が生成するような粒子を生成しないことである。転写リソグラフィ・プロセスの場合、粒子は特に有害であるため、転写リソグラフィ・プロセスを成功させるためには、このことは重要な要素である。
【0122】
精密なギャップ制御を必要とするため、本明細書に示す実施形態には、テンプレートと基板の間の500nm程度以下の微小ギャップを測定することができるギャップ・センシング方法を利用する必要がある。このようなギャップ・センシング方法には、約50ナノメートル以下の分解能が必要である。このようなギャップ・センシングが完全に実時間で提供される。ギャップ・センシングが実時間で提供されるため、ギャップ・センシングを使用して、アクチュエータを能動制御するためのフィードバック信号を生成することができる。
【0123】
一実施形態では、能動的な従順性を有するたわみ部材が設けられている。例えば図46は、圧電アクチュエータを備えたたわみ部材を一括して400で示したものである。たわみ部材400は、第2のたわみ部材と組み合わされ、能動配向ステージを形成している。たわみ部材400は、テンプレートと基板の境界における横方向運動のない純傾斜運動を生成している。このようなたわみ部材を使用することにより、単一オーバレイ・アライメント・ステップで、半導体ウェハ全体に層を転写することができる。これは、配向運動と横方向運動の間の結合運動を有するオーバレイ・アライメントとは対照的である。このようなオーバレイ・アライメント・ステップは、X−Yアライメントが乱され、そのために、適切なアライメントを保証するための複雑なフィールド対フィールド・オーバレイ制御ループを必要としている。
【0124】
一実施形態では、たわみ部材250は、側への運動すなわち回転が望ましくない方向では大きい剛性を有し、また、必要な配向運動が望ましい方向では剛性が小さくなっている。このような実施形態は選択従順性デバイスを提供している。つまり、たわみ部材250は、テンプレートと基板の間の適切な配向運動を達成する一方で、比較的大きい負荷を支えている。
【0125】
上で考察したように、テンプレート150と転写された層の分離は、転写リソグラフィ・プロセスにおける非常に重要な最終ステップである。テンプレート150と基板は、ほぼ完全に平行であるため、テンプレート、転写された層および基板のアセンブリは、近オプティカル・フラット間に実質的に一様な接触をもたらしている。このようなシステムの場合、一般的に大きな分離力が必要である。たわみテンプレートまたはたわみ基板の場合、分離は単なる「ピーリング・プロセス」に過ぎないが、高分解能オーバレイ・アライメントの観点からすれば、たわみテンプレートまたはたわみ基板は望ましくない。水晶テンプレートおよびシリコン基板の場合、このピーリング・プロセスの実行は容易ではないが、テンプレートと転写された層の分離は、「ピール・プル」プロセスによって首尾良く実行することができる。図49A、49Bおよび49Cは、第1のピール・プル・プロセスを示したものである。図50A、50Bおよび50Cは、第2のピール・プル・プロセスを示したものである。テンプレートと転写された層を分離するプロセスには、第1および第2のピール・プル・プロセスの組合せも含まれている。
【0126】
分かり易くするために、図1Aおよび1Bに従って参照数表示12、18、20および40を使用して、それぞれテンプレート、転写層、基板および硬化性物質が参照されている。物質40が硬化したあと、テンプレート12または基板20のいずれかが傾斜させられ、テンプレート12と基板20の間に角度500が故意に誘導される。配向ステージ250はこの目的のために使用される。基板20は、真空チャック478によって所定の位置に保持されている。テンプレート12と基板20の間の相対横方向運動は、傾斜軸がテンプレートと基板の境界に近接して位置付けされている場合、傾斜運動の間は重要ではない。テンプレート12と基板20の間の角度500が十分に大きくなると、Z軸運動(すなわち垂直運動)のみを使用して、テンプレート12と基板20が分離される。このピール・プル方法により、望ましくないせん断を生じることなく、所望のフィーチャ44が転写層18および基板20上に完全な状態で残される。
【0127】
図50A、50Bおよび50Cは、第2のピール・プル方法を示したものである。第2のピール・プル方法では、テンプレートに隣接して1つまたは複数の圧電アクチュエータ502が設置されている。1つまたは複数の圧電アクチュエータ502を使用して、テンプレート12と基板20の間に相対傾斜が誘導される(図50A)。圧電アクチュエータ502の一端は基板20と接触している。したがってアクチュエータ502が大きくなると(図50B)、テンプレート12が基板20から押し出され、テンプレート12と基板20の間に角度が誘導される。次にテンプレート12と基板20の間のZ軸運動(図50C)を使用して、テンプレート12と基板20が分離される。アクチュエータ502の一端は、転写された層のアクチュエータ表面への粘着を防止するために、テンプレート12の下部表面の処理と同様に表面処理されている。
【0128】
要約すると、本明細書に示した実施形態は、転写リソグラフィを高温または高圧の使用を必要とすることなく成功させるためのシステム、プロセスおよび関連デバイスを開示している。特定の実施形態では、テンプレートとそのテンプレートから所望のフィーチャを転写する基板との間のギャップの正確な制御が達成されている。また、所望のフィーチャを破壊することなく、あるいはせん断を生じることなく、テンプレートと基板(および転写された層)を分離することができる。また、本明細書における実施形態は、転写リソグラフィの間、基板を所定の位置に保持する方法を、適切な真空チャックの形で開示している。さらに、実施形態は、転写リソグラフィ・システムでの使用に適した高精度X−Y並進ステージを備えている。また、適切な転写リソグラフィ・テンプレートを形成し、処理する方法を備えている。
【0129】
以上、様々な実例実施形態を参照して本発明を説明したが、以上の説明は、本発明を限定する意味で解釈してはならない。説明を参照すれば、当分野の技術者には、実例実施形態に対する様々な改変、組合せ、および本発明の他の実施形態が明らかであろう。したがって特許請求の範囲の各請求項は、このような改変または実施形態のすべてを包含しているものとする。
【図面の簡単な説明】
【図1】
テンプレートと基板の間のギャップを示す横断面図である。
【図2A】
転写リソグラフィ・プロセスを示す横断面図である。
【図2B】
転写リソグラフィ・プロセスを示す横断面図である。
【図2C】
転写リソグラフィ・プロセスを示す横断面図である。
【図2D】
転写リソグラフィ・プロセスを示す横断面図である。
【図2E】
転写リソグラフィ・プロセスを示す横断面図である。
【図3】
転写リソグラフィ・プロセスのシーケンス・ステップを示すプロセス流れ図である。
【図4】
パターン化されたテンプレートの底面図である。
【図5】
基板上に位置決めされたテンプレートを示す横断面図である。
【図6】
第1の実施形態による転写リソグラフィ・テンプレートを形成するためのプロセスを示す横断面図である。
【図7】
第2の実施形態による転写リソグラフィ・テンプレートを形成するためのプロセスを示す横断面図である。
【図8】
パターン化されたテンプレートの横断面図である。
【図9】
パターン化された代替テンプレート設計を示す横断面図である。
【図10】
硬化液を基板に塗布するためのプロセスを示す上面図である。
【図11】
転写リソグラフィック・プロセス中に液体をディスペンスするための装置を示す略図である。
【図12】
転写リソグラフィック・プロセスに使用される液体ディスペンス・パターンを示す図である。
【図13】
基板上に複数の滴を含んだ液体パターンを示す図である。
【図14】
転写リソグラフィック・プロセス中に液体をディスペンスするための代替装置を示す略図である。
【図15】
複数の実質的に平行な線を含んだ液体パターンを示す図である。
【図16】
基板サポート・システムの投影図である。
【図17】
代替基板サポート・システムの投影図である。
【図18】
たわみジョイントの運動を示す4バー・リンケージの略図である。
【図19】
たわみジョイントの代替運動を示す4バー・リンケージの略図である。
【図20】
磁気線形サーボ・モータの投影図である。
【図21】
多重転写の全体処理を示すプロセス流れ図である。
【図22】
多重転写の局部処理を示すプロセス流れ図である。
【図23】
基板に対するテンプレートの回転軸を示す投影図である。
【図24】
パターン化されたテンプレート上に位置決めされた測定デバイスを示す図である。
【図25】
光学アライメント測定デバイスを示す略図である。
【図26】
アライメント・マークを使用して、基板に対するテンプレートのアライメントを正確に測定するためのスキームを示す図である。
【図27】
偏光フィルタを使用したアライメント・マークを使用して、基板に対するテンプレートのアライメントを正確に測定するためのスキームを示す図である。
【図28】
容量テンプレート・アライメント測定デバイスを示す略図である。
【図29】
レーザ干渉計アライメント測定デバイスを示す略図である。
【図30】
テンプレートと基板の間のギャップを使用して、ギャップが部分的に液体で充填されている場合のアライメントを正確に測定するためのスキームを示す図である。
【図31】
複数のエッチングされた線を含んだアライメント・マークを示す図である。
【図32】
配向ステージの投影図である。
【図33】
配向ステージの分解図である。
【図34】
ギャップ測定技法のプロセス・フローを示す図である。
【図35】
2つの材料の間のギャップを正確に測定するための技法を示す横断面図である。
【図36】
ギャップの局部最小および局部最大の正確な測定を示すグラフである。
【図37】
ギャップ測定凹所を備えたテンプレートを示す図である。
【図38】
テンプレートと干渉計の間のギャップを測定するための干渉計の使用を示す略図である。
【図39】
プローブ−プリズムの組合せを使用した、テンプレートと基板の間のギャップの探測を示す略図である。
【図40】
転写リソグラフィック・プロセスを示す横断面図である。
【図41】
テンプレートを照明するためのプロセスを示す略図である。
【図42】
たわみ部材を示す投影図である。
【図43】
使用するために組み立てられた第1および第2のたわみ部材を示す図である。
【図44】
配向ステージの底面の投影図である。
【図45】
たわみアームを示す略図である。
【図46】
1対のたわみアーム示す横断面図である。
【図47】
基板を平面化させるためのスキームを示す図である。
【図48】
基板を保持するための真空チャックを示す様々な図である。
【図49】
硬化後における基板からのテンプレートの除去スキームを示す図である。
【図50】
硬化後における基板からのテンプレートの除去方法を示す横断面図である。
【図51】
テンプレート・サポート・システムを示す略図である。
【図52】
テンプレートと基板の間のギャップを示す側面図である。

Claims (11)

  1. パターン化されたテンプレートと基板の間の間隙を測定する方法であって、
    パターン化されたテンプレートと基板を、パターン化されたテンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて位置決めするステップと、
    複数の波長からなる光を、パターン化されたテンプレートと基板に照射するステップと、
    パターン化されたテンプレートと基板の表面で反射する光をモニタするステップと、
    モニタした光に基づいて、パターン化されたテンプレートの表面と基板の表面の間の距離を測定するステップとを含む方法。
  2. 実質的に平面状のテンプレートとパターン化された基板の間の間隙を測定する方法であって、
    実質的に平面状のテンプレートおよびパターン化された基板を、実質的に平面状のテンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて位置決めするステップと、
    複数の波長からなる光を、実質的に平面状のテンプレートおよびパターン化された基板に照射するステップと、
    実質的に平面状のテンプレートの表面およびパターン化された基板の表面で反射する光をモニタするステップと、
    モニタした光に基づいて、実質的に平面状のテンプレートとパターン化された基板の間の距離を測定するステップとを含む方法。
  3. パターン化された、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法であって、
    基板の一部に活性化光硬化液を塗布するステップと、
    パターン化されたテンプレートと基板を、パターン化されたテンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて位置決めするステップと、
    光をベースとした測定デバイスを使用して、パターン化されたテンプレートと基板の間の距離を測定するステップと、
    活性化光硬化液が実質的に硬化し、パターン化されたテンプレートのパターンが、硬化した活性化光硬化液中に形成されるように、パターン化されたテンプレートを通して活性化光硬化液に活性化光を照射するステップと、
    パターン化されたテンプレートと硬化した活性化光硬化液を分離するステップとを含む方法。
  4. 請求項37に記載の方法によって製造された半導体デバイス。
  5. 活性化光に対して透明な実質的に平面状のテンプレートを使用して基板を平らにする方法であって、
    基板の一部に活性化光硬化液を塗布するステップと、
    テンプレートと基板を、テンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて位置決めするステップと、
    光をベースとした測定デバイスを使用して、テンプレートと基板の間の距離を測定するステップと、
    活性化光硬化液が実質的に硬化し、硬化した活性化光硬化液が実質的に平面状になるように、テンプレートを通して活性化光硬化液に活性化光を照射するステップと、
    テンプレートと硬化した活性化光硬化液を分離するステップとを含む方法。
  6. 請求項66に記載の方法によって製造された半導体デバイス。
  7. パターン化された、活性化光に対して透明なテンプレートを使用して基板にパターンを形成する方法であって、
    基板の一部に活性化光硬化液を塗布するステップと、
    パターン化されたテンプレートと基板を、パターン化されたテンプレートと基板の間にギャップが生成されるように互いに間隔を隔てて位置決めするステップと、
    光をベースとした測定デバイスを使用して、パターン化されたテンプレートと表面の間の距離をモニタするステップと、
    テンプレートと基板が互いに所定の距離になるよう、テンプレートと基板の間の距離をモニタしながら、基板に対するテンプレートの位置を調整するステップと、
    テンプレートを通して活性化光硬化液に活性化光を照射するステップであって、活性化光を照射することにより、活性化光硬化液が実質的に硬化し、パターン化されたテンプレートのパターンが、硬化した活性化光硬化液中に形成されるステップと、
    パターン化されたテンプレートと硬化した活性化光硬化液を分離するステップとを含む方法。
  8. パターン化されたテンプレートを使用して基板にパターンを形成するシステムであって、
    頂部フレームと、
    頂部フレームに結合され、テンプレート・サポートを備え、パターン化されたテンプレートがテンプレート・サポート内に配置される配向ステージと、
    配向ステージの下側に配置され、基板を支えるように構成された基板ステージと、
    配向ステージに結合され、パターン化されたテンプレートと基板の間の距離を測定するように構成され、光をベースとした測定デバイスとを備えたシステム。
  9. パターン化されたテンプレートを使用して基板にパターンを形成するシステムであって、
    頂部フレームと、
    頂部フレームに結合された配向ステージであって、テンプレート・サポートとそのテンプレート・サポート内に配置されたパターン化されたテンプレートとを備え、テンプレートがギャップ・センシング・セクションを備えた配向ステージと、
    配向ステージの下側に配置され、基板を支えるように構成された基板ステージと、
    配向ステージに結合され、パターン化されたテンプレートのギャップ・センシング・セクションと基板の間の距離を測定するように構成された、光をベースとした測定デバイスとを備えたシステム。
  10. パターン化されたテンプレートを使用して基板にパターンを形成するシステムであって、
    頂部フレームと、
    頂部フレームに結合された配向ステージであって、テンプレート・サポートを備え、パターン化されたテンプレートがテンプレート・サポート内に配置される配向ステージと、
    配向ステージの下側に配置され、基板を支えるように構成された基板ステージと、
    パターン化されたテンプレートに光結合され、パターン化されたテンプレートを通して基板に活性化光を導くように構成された活性化光源と、
    配向ステージに結合され、パターン化されたテンプレートと基板の間の距離を測定するように構成された、光をベースとした測定デバイスとを備え、
    前記測定デバイスが、
    使用中、テンプレートを通して検出光を導くように構成され、テンプレートと活性化光源の間に配置され、かつ活性化光源が発生する活性化光に対して実質的に透明である照明システムと、
    照明システムに光結合され、基板ステージに配置された基板で反射する光を検出するように構成された検出システムとを備えたシステム。
  11. パターン化されたテンプレートを使用して基板にパターンを形成するシステムであって、
    頂部フレームと、
    頂部フレームに結合され、テンプレート・サポートとそのテンプレート・サポート内に配置されたパターン化されたテンプレートとを備える配向ステージと、
    配向ステージの下側に配置され、基板を支えるように構成された基板ステージと、
    パターン化されたテンプレートに光結合され、パターン化されたテンプレートを通して基板に活性化光を導くように構成された活性化光源と、
    配向ステージに結合され、パターン化されたテンプレートと基板の間の距離を測定するように構成された、光をベースとした測定デバイスとを備え、
    その測定デバイスが、使用中、テンプレートを通して検出光を導くように構成された照明システムであって、使用中、テンプレートと活性化光源の間に配置され、また、活性化光源およびパターン化されたテンプレートを光妨害しない位置に配置することができるように移動させることができる照明システムと、
    照明システムに光結合され、基板ステージに配置された基板で反射する光を検出するように構成された検出システムとを備えたシステム。
JP2002516600A 2000-08-01 2001-08-01 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法 Withdrawn JP2004505273A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22223300P 2000-08-01 2000-08-01
PCT/US2001/024216 WO2002010721A2 (en) 2000-08-01 2001-08-01 Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography

Publications (1)

Publication Number Publication Date
JP2004505273A true JP2004505273A (ja) 2004-02-19

Family

ID=22831411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002516600A Withdrawn JP2004505273A (ja) 2000-08-01 2001-08-01 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法

Country Status (7)

Country Link
US (1) US6954275B2 (ja)
EP (1) EP1309897A2 (ja)
JP (1) JP2004505273A (ja)
KR (1) KR20030040378A (ja)
CN (2) CN1221858C (ja)
AU (1) AU2001280980A1 (ja)
WO (1) WO2002010721A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006514428A (ja) * 2002-12-12 2006-04-27 モレキュラー・インプリンツ・インコーポレーテッド 液体の形状を使用して基板の特性を求める方法及びシステム
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
JP2006332678A (ja) * 2005-05-27 2006-12-07 Asml Netherlands Bv インプリントリソグラフィ
JP2007523492A (ja) * 2004-02-19 2007-08-16 モレキュラー・インプリンツ・インコーポレーテッド 基板の上に配置された膜の特性を測定する方法およびシステム
JP2007305944A (ja) * 2006-05-15 2007-11-22 Univ Waseda 転写装置及び転写方法
JP2008116272A (ja) * 2006-11-02 2008-05-22 Canon Inc パターン検査方法およびパターン検査装置
JP2009206519A (ja) * 2009-06-01 2009-09-10 Hitachi Ltd ナノプリント用スタンパ、及び微細構造転写方法
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP2010251601A (ja) * 2009-04-17 2010-11-04 Toshiba Corp テンプレート及びその製造方法、並びにパターン形成方法
JP2012028536A (ja) * 2010-07-22 2012-02-09 Dainippon Printing Co Ltd インプリント方法およびインプリント装置
JP2013200269A (ja) * 2012-03-26 2013-10-03 Dainippon Screen Mfg Co Ltd 転写装置および転写方法
WO2014196255A1 (ja) * 2013-06-07 2014-12-11 オリンパス株式会社 半導体装置、固体撮像装置、および撮像装置

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7122296B2 (en) * 2002-03-05 2006-10-17 Brewer Science Inc. Lithography pattern shrink process and articles
DE10217151A1 (de) * 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
EP1362682A1 (en) * 2002-05-13 2003-11-19 ZBD Displays Ltd, Method and apparatus for liquid crystal alignment
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
AU2003261317A1 (en) * 2002-08-01 2004-02-23 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
ITMI20021961A1 (it) * 2002-09-16 2004-03-17 Consiglio Nazionale Ricerche Procedimento per la fabbricazione ed il controllo mediante stampaggio su scale micro- e nanometriche di strutture e motivi di sostanze solubili e colloidali con riduzione delle dimensioni dei motivi dello stampo.
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
AU2003300865A1 (en) 2002-12-13 2004-07-09 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
GB0229191D0 (en) * 2002-12-14 2003-01-22 Plastic Logic Ltd Embossing of polymer devices
US7483148B1 (en) * 2003-01-31 2009-01-27 J. A. Woollam Co., Inc. Ellipsometric investigation of very thin films
ATE487579T1 (de) * 2003-05-14 2010-11-15 Molecular Imprints Inc Verfahren, system, halter und anordnung zur übertragung von templates bei imprint- lithographieverfahren
DE10330456B9 (de) * 2003-07-05 2007-11-08 Erich Thallner Vorrichtung zum Erstellen einer Oberflächenstruktur auf einem Wafer
US7790231B2 (en) * 2003-07-10 2010-09-07 Brewer Science Inc. Automated process and apparatus for planarization of topographical surfaces
DE10343323A1 (de) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie
RU2240280C1 (ru) 2003-10-10 2004-11-20 Ворлд Бизнес Ассошиэйтс Лимитед Способ формирования упорядоченных волнообразных наноструктур (варианты)
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
KR100974144B1 (ko) 2003-12-05 2010-08-04 오브듀캇 아베 대면적 리소그래피용 장치 및 방법
EP1696471A1 (en) * 2003-12-11 2006-08-30 Tokyo University of Agriculture and Technology TLO Co., Ltd. Pattern-forming process utilizing nanoimprint and apparatus for performing such process
KR100551682B1 (ko) * 2004-01-06 2006-02-13 한국기계연구원 나노 임프린트 리소그래피 시스템
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
JP4573873B2 (ja) * 2004-06-03 2010-11-04 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム マイクロリソグラフィにおけるアラインメントとオーバーレイを改善するシステムおよび方法
US7768624B2 (en) * 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
US7141275B2 (en) * 2004-06-16 2006-11-28 Hewlett-Packard Development Company, L.P. Imprinting lithography using the liquid/solid transition of metals and their alloys
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
JP3857703B2 (ja) * 2004-08-19 2006-12-13 株式会社日本製鋼所 成形体の製造方法および製造装置
DE102004043385B3 (de) * 2004-09-08 2006-05-18 Seereal Technologies Gmbh Verfahren und Einrichtung zur Replikation fein strukturierter Flachoptiken und optischen Masken mit derartigen strukturierten Optiken
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7295288B1 (en) * 2004-12-01 2007-11-13 Advanced Micro Devices, Inc. Systems and methods of imprint lithography with adjustable mask
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7523701B2 (en) 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7604690B2 (en) * 2005-04-05 2009-10-20 Wostec, Inc. Composite material for ultra thin membranes
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
JP5198282B2 (ja) * 2005-12-08 2013-05-15 モレキュラー・インプリンツ・インコーポレーテッド 基板とモールドとの間に位置するガスを排出するための方法
RU2427913C2 (ru) * 2005-12-19 2011-08-27 Интернэшнл Фронтьер Текнолоджи Лэборетери, Инк. Карта, которая может быть аутентифицирована посредством чипа голограммы
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) * 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US20070178666A1 (en) * 2006-01-31 2007-08-02 Stats Chippac Ltd. Integrated circuit system with waferscale spacer system
US7414310B2 (en) * 2006-02-02 2008-08-19 Stats Chippac Ltd. Waferscale package system
US8850980B2 (en) * 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
EP2001602B1 (en) * 2006-04-03 2011-06-22 Molecular Imprints, Inc. Lithography imprinting system
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
US7775785B2 (en) * 2006-12-20 2010-08-17 Brewer Science Inc. Contact planarization apparatus
EP2118706B1 (en) 2007-02-06 2019-09-18 Canon Kabushiki Kaisha Imprint apparatus and process
US7454307B2 (en) * 2007-04-05 2008-11-18 United Microelectronics Corp. Method and system for detecting tilt or shift of wafer transferred onto hot plate in real time, and method system for monitoring baking process of wafers in real time
KR100931603B1 (ko) * 2007-05-10 2009-12-14 주식회사 에이디피엔지니어링 임프린트 공정 시스템 및 패턴형성방법
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
KR100944534B1 (ko) * 2007-12-24 2010-03-03 주식회사 에이디피엔지니어링 전자 방출 소자를 이용하는 웨이퍼 범핑을 위한 고분해능오버레이 얼라인 장치 및 방법
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
JP4679620B2 (ja) * 2008-09-25 2011-04-27 株式会社東芝 テンプレート検査方法および欠陥検査装置
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US20110031650A1 (en) * 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
JP5809409B2 (ja) * 2009-12-17 2015-11-10 キヤノン株式会社 インプリント装置及びパターン転写方法
KR101583894B1 (ko) * 2010-09-03 2016-01-08 에베 그룹 에. 탈너 게엠베하 웨지 에러를 줄이기 위한 장치 및 방법
KR20130006744A (ko) * 2011-04-05 2013-01-18 삼성전자주식회사 마스크의 제조 방법 및 이를 수행하기 위한 장치
WO2013006077A1 (en) 2011-07-06 2013-01-10 Wostec, Inc. Solar cell with nanostructured layer and methods of making and using
KR20140054183A (ko) 2011-08-05 2014-05-08 워스텍, 인코포레이티드 나노구조 층을 갖는 발광 다이오드 및 그의 제조 및 사용 방법
JP5535164B2 (ja) * 2011-09-22 2014-07-02 株式会社東芝 インプリント方法およびインプリント装置
WO2013089578A1 (en) 2011-12-12 2013-06-20 Wostec, Inc. Sers-sensor with nanostructured surface and methods of making and using
WO2013109157A1 (en) 2012-01-18 2013-07-25 Wostec, Inc. Arrangements with pyramidal features having at least one nanostructured surface and methods of making and using
JP5687640B2 (ja) * 2012-02-15 2015-03-18 株式会社東芝 インプリント装置およびインプリント方法
WO2013141740A1 (en) 2012-03-23 2013-09-26 Wostec, Inc. Sers-sensor with nanostructured layer and methods of making and using
US9500789B2 (en) 2013-03-13 2016-11-22 Wostec, Inc. Polarizer based on a nanowire grid
DE102013207243B4 (de) * 2013-04-22 2019-10-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zur herstellung einer struktur aus aushärtbarem material durch abformung
JP6120678B2 (ja) * 2013-05-27 2017-04-26 キヤノン株式会社 インプリント方法、インプリント装置及びデバイス製造方法
JP6230353B2 (ja) * 2013-09-25 2017-11-15 キヤノン株式会社 パターン形状を有する膜の製造方法、光学部品の製造方法、回路基板の製造方法、電子機器の製造方法
US20170194167A1 (en) 2014-06-26 2017-07-06 Wostec, Inc. Wavelike hard nanomask on a topographic feature and methods of making and using
JP2016164977A (ja) * 2015-02-27 2016-09-08 キヤノン株式会社 ナノインプリント用液体材料、ナノインプリント用液体材料の製造方法、硬化物パターンの製造方法、光学部品の製造方法、回路基板の製造方法、および電子部品の製造方法
JP6584182B2 (ja) * 2015-07-16 2019-10-02 キヤノン株式会社 インプリント装置、インプリント方法および物品製造方法
JP2017032308A (ja) * 2015-07-29 2017-02-09 三菱重工業株式会社 隙間計測装置、及び、隙間管理システム
JP6685821B2 (ja) * 2016-04-25 2020-04-22 キヤノン株式会社 計測装置、インプリント装置、物品の製造方法、光量決定方法、及び、光量調整方法
WO2018093284A1 (en) 2016-11-18 2018-05-24 Wostec, Inc. Optical memory devices using a silicon wire grid polarizer and methods of making and using
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
WO2018156042A1 (en) 2017-02-27 2018-08-30 Wostec, Inc. Nanowire grid polarizer on a curved surface and methods of making and using
CN107871673B (zh) * 2017-10-26 2019-09-03 苏州华博电子科技有限公司 一种厚介质层薄膜多层封装基板制作方法
CN108036732B (zh) * 2017-11-30 2020-05-26 中国科学院光电技术研究所 一种基于超分辨光刻的间隙检测装置
CN108037647A (zh) * 2017-12-18 2018-05-15 中国科学院光电技术研究所 一种接近式光刻机实时调平系统及调平方法
US11249405B2 (en) * 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
NL2023051B1 (en) * 2019-05-02 2020-11-23 Suss Microtec Lithography Gmbh Framework for a replication device, replication device as well as method for producing nanostructured and/or microstructured components by means of a 5 replication device
US11679533B2 (en) 2020-03-12 2023-06-20 Magic Leap, Inc. Methods and apparatuses for casting optical polymer films
EP4172517A1 (de) 2020-06-30 2023-05-03 EV Group E. Thallner GmbH Vorrichtung und verfahren zum ausrichten von substraten
CN116659386B (zh) * 2023-08-01 2023-09-29 山东省地质测绘院 地质裂缝表面勘查测量装置

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) * 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
JPS5588332A (en) * 1978-12-26 1980-07-04 Fujitsu Ltd Method of mask alignment
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
JPS577931A (en) * 1980-06-18 1982-01-16 Toshiba Corp Method for measuring gap
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
EP0091651B1 (en) * 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4776695A (en) 1986-05-16 1988-10-11 Prometrix Corporation High accuracy film thickness measurement system
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63138730A (ja) * 1986-12-01 1988-06-10 Canon Inc ギヤツプ・位置合せ装置
US4707611A (en) 1986-12-08 1987-11-17 Rockwell International Corporation Incremental monitoring of thin films
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) * 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH073365B2 (ja) 1988-06-08 1995-01-18 大日本クスリーン製造株式会社 顕微分光装置
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) * 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) * 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) * 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) * 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
US5160402A (en) 1990-05-24 1992-11-03 Applied Materials, Inc. Multi-channel plasma discharge endpoint detection method
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) * 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) * 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5421981A (en) * 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH05157521A (ja) 1991-08-29 1993-06-22 Nkk Corp エリプソパラメータ測定方法及びエリプソメータ
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
US5877032A (en) * 1995-10-12 1999-03-02 Lucent Technologies Inc. Process for device fabrication in which the plasma etch is controlled by monitoring optical emission
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) * 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
JP3157605B2 (ja) 1992-04-28 2001-04-16 東京エレクトロン株式会社 プラズマ処理装置
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
AU4689293A (en) * 1992-07-15 1994-02-14 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US5360893A (en) * 1992-07-20 1994-11-01 University Of Colorado Foundation, Inc. DNA sequences encoding proteins used to elicit and detect programmed cell death
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) * 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) * 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
JPH06183561A (ja) * 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2866559B2 (ja) * 1993-09-20 1999-03-08 大日本スクリーン製造株式会社 膜厚測定方法
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5573877A (en) * 1994-03-15 1996-11-12 Matsushita Electric Industrial Co., Ltd. Exposure method and exposure apparatus
US5417802A (en) * 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
JPH08232087A (ja) * 1994-12-08 1996-09-10 Sumitomo Metal Ind Ltd エッチング終点検出方法及びエッチング装置
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5747102A (en) * 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6049373A (en) * 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
JP3296239B2 (ja) * 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6068783A (en) * 1998-04-28 2000-05-30 Winbond Electronics Corp In-situ and non-intrusive method for monitoring plasma etch chamber condition utilizing spectroscopic technique
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6388755B1 (en) * 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
US6052183A (en) * 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) * 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6383928B1 (en) * 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
WO2002067055A2 (en) * 2000-10-12 2002-08-29 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6383888B1 (en) * 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
JP4799861B2 (ja) * 2002-04-16 2011-10-26 プリンストン ユニバーシティ マイクロ流体とナノ流体間のインターフェース用勾配構造と、その製造方法および使用方法
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006514428A (ja) * 2002-12-12 2006-04-27 モレキュラー・インプリンツ・インコーポレーテッド 液体の形状を使用して基板の特性を求める方法及びシステム
JP2007523492A (ja) * 2004-02-19 2007-08-16 モレキュラー・インプリンツ・インコーポレーテッド 基板の上に配置された膜の特性を測定する方法およびシステム
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US8834144B2 (en) 2004-12-09 2014-09-16 Canon Kabushiki Kaisha Imprinting machine and device manufacturing method
JP2006332678A (ja) * 2005-05-27 2006-12-07 Asml Netherlands Bv インプリントリソグラフィ
JP4515413B2 (ja) * 2005-05-27 2010-07-28 エーエスエムエル ネザーランズ ビー.ブイ. インプリントリソグラフィ
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP2007305944A (ja) * 2006-05-15 2007-11-22 Univ Waseda 転写装置及び転写方法
JP2008116272A (ja) * 2006-11-02 2008-05-22 Canon Inc パターン検査方法およびパターン検査装置
JP2010251601A (ja) * 2009-04-17 2010-11-04 Toshiba Corp テンプレート及びその製造方法、並びにパターン形成方法
JP2009206519A (ja) * 2009-06-01 2009-09-10 Hitachi Ltd ナノプリント用スタンパ、及び微細構造転写方法
JP2012028536A (ja) * 2010-07-22 2012-02-09 Dainippon Printing Co Ltd インプリント方法およびインプリント装置
JP2013200269A (ja) * 2012-03-26 2013-10-03 Dainippon Screen Mfg Co Ltd 転写装置および転写方法
WO2014196255A1 (ja) * 2013-06-07 2014-12-11 オリンパス株式会社 半導体装置、固体撮像装置、および撮像装置
JP2014239157A (ja) * 2013-06-07 2014-12-18 オリンパス株式会社 半導体装置、固体撮像装置、および撮像装置
US9748178B2 (en) 2013-06-07 2017-08-29 Olympus Corporation Semiconductor device, solid-state imaging device, and imaging device

Also Published As

Publication number Publication date
CN1454333A (zh) 2003-11-05
CN1221858C (zh) 2005-10-05
KR20030040378A (ko) 2003-05-22
AU2001280980A1 (en) 2002-02-13
US6954275B2 (en) 2005-10-11
EP1309897A2 (en) 2003-05-14
CN1696826A (zh) 2005-11-16
WO2002010721A2 (en) 2002-02-07
WO2002010721A3 (en) 2003-03-06
US20020093122A1 (en) 2002-07-18

Similar Documents

Publication Publication Date Title
JP4740518B2 (ja) 転写リソグラフィ・プロセスのための自動液体ディスペンス方法およびシステム
JP4601712B2 (ja) テンプレートおよび転写リソグラフィシステム
JP2004505273A (ja) 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
US8016277B2 (en) Flexure based macro motion translation stage
US7229273B2 (en) Imprint lithography template having a feature size under 250 nm

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20081007