JP2006514428A - 液体の形状を使用して基板の特性を求める方法及びシステム - Google Patents

液体の形状を使用して基板の特性を求める方法及びシステム Download PDF

Info

Publication number
JP2006514428A
JP2006514428A JP2004560779A JP2004560779A JP2006514428A JP 2006514428 A JP2006514428 A JP 2006514428A JP 2004560779 A JP2004560779 A JP 2004560779A JP 2004560779 A JP2004560779 A JP 2004560779A JP 2006514428 A JP2006514428 A JP 2006514428A
Authority
JP
Japan
Prior art keywords
volume
liquid
substrate
substrates
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004560779A
Other languages
English (en)
Other versions
JP4563182B2 (ja
Inventor
チョイ,ビュン−ジン
スリニーヴァッサン,シトルガタ・ヴイ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2006514428A publication Critical patent/JP2006514428A/ja
Application granted granted Critical
Publication of JP4563182B2 publication Critical patent/JP4563182B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Investigating Or Analyzing Non-Biological Materials By The Use Of Chemical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本発明は、汚染物の存在、形状、間隔を開けた基板間の空間的関係などの、基板の特性を求めるための技術を提供する。この空間的関係は、第1及び第2の間隔を開けた基板間の距離と角度の向きを含む。この技術は、第2の基板上に、ある液体のボリュームであって、そのボリュームと関連するある面積を有する液体を形成することを含む。この液体のボリュームは、第1及び第2の基板間で圧縮されその面積の性状に変化を引き起こし、変化した性状を決める。変化した性状が検知され、第1及び第2の基板の特性は、この変化した性状の関数として求められる。

Description

本発明は、一般にリソグラフィ・システムに関する。より詳細には、本発明はインプリンティング・モールドを使用してパターンが形成される基板とインプリンティング・モールドとの間の空間的関係を求めることに関する。
インプリンティング・リソグラフィは、50nmより小さなフィーチャサイズを有するパターンの製造において、有望な結果を示してきている。その結果、多くの従来技術のインプリンティング・リソグラフィ技術が提唱されてきた。Willson他による米国特許第6334960号明細書は、トランスファー層を有する基板を形成することを含む例示的なリソグラフィ・インプリンティング技術を開示している。このトランスファー層は重合可能な液体成分によって被覆される。モールドがこの重合可能な液体と機械的な接触を行う。このモールドはリリーフ構造(relief structure)を含み、重合可能な液体成分がこのリリーフ構造を満たす。重合可能な液体成分は次いで、それを固化し重合する状態に曝され、トランスファー層上にモールドの構造と相補的なリリーフ構造を含む凝固した高分子材料を形成する。モールドは次いで、凝固した高分子材料内にモールド内のリリーフ構造の複製品が形成されるように固体の高分子材料から分離される。このトランスファー層と凝固した高分子材料が、凝固した高分子材料に対して選択的にトランスファー層をエッチングする環境に曝され、トランスファー層内にリリーフの像を形成する。
Chouによる米国特許第5772905号明細書は、少なくとも1つの突起するフィーチャを有するモールドが、基板上に設けられた薄いフィルム内に押し込まれる、基板上に被覆された薄いフィルムにパターンを作るリソグラフィ方法及び装置を開示する。モールド内の突起するフィーチャが薄いフィルム内に凹部を作る。モールドがフィルムから取り除かれる。この薄いフィルムは次いで、凹部の薄いフィルムを取り除き、下にある基板を露出するように処理される。その結果、モールド内のパターンが薄いフィルム内に置き換えられ、リソグラフィが完了する。この薄いフィルム内のパターンは、後続の処理で、基板内又は基板上に加えられた別の材料内に再生される。
さらに別のインプリント・リソグラフィ技術がChou他によって、2002年6月のUltrafast and Direct Imprint of Nanostructures in Silicon、Nature、Col.417、ページ835〜837に開示されており、それはレーザ補助直接インプリンティング(laser assisted direct imprinting)(LADI)プロセスと呼ばれる。このプロセスでは、基板のある区域がその区域をレーザで加熱することによって流動性を有するように、例えば液化される。この区域が所望の粘性に達した後、その上にパターンを有するモールドがその区域と接触して配置される。流動性を有する区域は、パターンの形状に従い、次いで冷却され、パターンを基板内に固化させる。
このようにしてパターンを形成するときの重要な問題は、基板上に記録すべきパターンを含むモールドと基板との間の距離と向きの制御を保つことである。そうでなければ、所望しないフィルム及びパターン異常が起きる可能性がある。
したがって、モールドと、そのモールドがインプリンティング・リソグラフィ・プロセスを使用してその上にパターンを形成しようとする基板との間の空間的関係を正確に求めるニーズが存在する。
本発明は、汚染物質の存在や形状のみならず、間隔を開けた基板間の空間的関係などの基板の特性を求める方法及びシステムを提供する。空間的関係は、第1と第2の間隔を開けた基板間の距離と角度の向きを含む。この方法は、第2の基板上に液体のボリュームを形成することを含み、そのボリュームと関連するある面積を有する液体のボリュームを形成することを含む。液体のこのボリュームは、第1と第2の基板間で圧縮され、その面積の性状に変化を引き起こし、変化した性状の意味を明らかにする。変化した性状が検知され、第1及び第2の基板の特性は、この変化した性状の関数として求められる。このシステムは、この方法の機能を実施するための特徴を含む。これらの及び他の実施形態は、以下でより十分に論述する。
図1は、本発明の一実施形態による検出システムを含む、リソグラフィ・システム10を示す。システム10は、インプリント・ヘッド12及びインプリント・ヘッド12に対向して配置される試料台14を含む。放射源16が、移動試料台14上に化学作用のある放射線を入射させるために、システム10に連結される。そのために、インプリント・ヘッド12がスルーウェイ18を有し、放射源16からの化学作用のある放射線を試料台14の区域22上に入射させるように、ミラー20がスルーウェイ18内に放射線を結合させる。区域22に対向して、CCDセンサ23と波形整形光学素子(wave shaping optics)24を含む検出システムが配置される。CCDセンサ23は、区域22からの画像を検知するように位置決めされる。検出システムは、CCDセンサ23とミラー20の間に配置される波形整形光学素子24を伴って構成される。プロセッサ25が、CCDセンサ23、インプリント・ヘッド12、試料台14、放射源16とデータ通信している。
図1及び2の両方を参照すると、モールド28を有する第1の基板26が、インプリント・ヘッド12に結合されている。第1の基板26は、任意の既知の技術を使用してインプリント・ヘッド12に保持させることができる。この例では、インプリント・ヘッド12に結合され、第1の基板26に真空を加える真空チャック(図示せず)を使用して、第1の基板26がインプリント・ヘッド12によって保持される。これらに含めることができる例示的なチャッキング・システムが、「A Chucking System for Modulating Shapes of Substrates」という名称の、米国特許仮出願第10/293224号明細書に開示されており、これは参考として本明細書で援用する。モールド28は、平面又はその上にフィーチャを含む。この例では、モールド28は、複数の間隔を開けた凹部28aと突起部28bによって定められる複数のフィーチャを含む。この複数のフィーチャは、試料台14に連結されたウェハ30などの第2の基板内に転写されるべき、原型パターンを決める。インプリント・ヘッド12がZ軸に沿って移動することによって、モールド28とウェハ30の間の距離「d」を変更する。試料台14は、Y軸は図1が示されている用紙の中への方向であるとの理解のもと、ウェハ30をX、Y軸に沿って移動させるようになっている。この構成によって、モールド28上のフィーチャを、ウェハ30の流動性を有する区域内でインプリントすることができるが、これについては以下でより十分に論議する。放射源16は、モールド28が放射源16とウェハ30の間に配置されるように配置される。モールド28は、放射源16が発生する放射線に対して実質的に透明である溶融シリカ又は石英ガラスなどの材料から製造される。
図2、3の両方を参照すると、インプリンティング層34などの流動性を有する区域は、実質的に平坦な外形を示す表面32の一部に配設される。流動性を有する区域は、その全体が本明細書で参考として援用する米国特許第5772905号明細書に開示されたhot embossing process、又はChou他による2002年6月のUltrafast and Direct Imprint of Nanostructures in Silicon、Nature、Col.417、ページ835〜837に開示された形式のレーザ補助直接インプリンティング(LADI)プロセスなどの任意の既知の技術を使用して形成することができる。しかしながら、本実施形態では、流動性を有する区域は、ウェハ30上の材料36aの複数の間隔を開けた不連続なビード36として堆積させたインプリンティング層34から構成される。これについてはより十分に以下で論議する。インプリンティング層34は、記録されたパターンを決める、原型パターンを記録するため、選択的に重合し、架橋することができる材料36aから形成される。材料36aは図4に36bの点で架橋されたものとして示される、架橋された高分子材料36cを形成する。
図2、3、5を参照すると、インプリンティング層34内に記録されるパターンは、1部はモールド28との機械的接触によって生成される。そのために、インプリント・ヘッド12は、インプリンティング層34がモールド28と機械的接触の状態になるように距離「d」を減少させ、表面32上に材料36aが連続的に形成されたインプリンティング層34を形成するようにビード36を広げる。モールド28に平坦な表面が設けられている場合は、実質的に平坦な表面を有するインプリンティング層を形成するために距離「d」を減少させることができるであろう。この例では、距離「d」は、インプリンティング層34の小部分34aが凹部28a内に入り込み、凹部28aを充填することができるように減少させることができる。
凹部28aの充填を促進するために、材料36aは、表面32を材料36aの連続した形成によって覆いながら凹部28aを完全に充填するような必須の特性を有するように形成される。この例では、突起部28bと重ね合わされたインプリンティング層34の小部分34bは、所望の通常最小距離「d」に到達した後で、厚さtを有する小部分34aと厚さtを有する小部分34bを残したままである。厚さ「t」、「t」は、用途に応じて任意の厚さである。通常は、図5により明瞭に示すように、tは小部分34aの幅uの2倍を超えないように、すなわちt<2uであるように選択される。
図2、3、4を参照すると、所望の距離「d」に到達した後、図1に示す放射源16が、材料36aを重合し架橋させる化学作用のある放射線を発生させ、架橋した高分子材料36cを形成する。その結果、インプリンティング層34の成分は、材料36aから固体である材料36cに変換する。具体的には、材料36cは、図5により明瞭に示すように、モールド28の表面28cの形状に合致する形状を有するインプリンティング層34の側面34cをもたらすように固化される。インプリンティング層34が図4に示すように材料36cから構成されるように変換された後、図2に示すインプリント・ヘッド12は距離「d」を増加させるように移動させられ、その結果、モールド28とインプリンティング層34の間隔が開けられる。
図5を参照すると、ウェハ30のパターン付けを完了させるために追加の処理を利用することができる。例えば、図6に示すようにパターン付けされた表面32aを形成するように、ウェハ30とインプリンティング層34をエッチングして、インプリンティング層34のパターンをウェハ30内に転写させることができる。エッチングを容易にするために、インプリンティング層34が形成される材料は、ウェハに対する相対的なエッチング速度を所望どおりにするように変更することができる。ウェハ30に対するインプリンティング層34の相対的エッチング速度は、約1.5:1から100:1の範囲である。
代替として、又は加えるに、インプリンティング層34は、その上に選択的に配設されるフォトレジスト材料(図示せず)に対してエッチング差を設けることができる。フォトレジスト材料(図示せず)は、既知の技術を使用して、インプリンティング層34にさらなるパターン付けを実現することができる。所望のエッチング速度、ウェハ30を形成する下にある構成成分、さらにはインプリンティング層34に応じて、任意のエッチング方法を使用することができる。例示的なエッチング方法には、プラズマ・エッチング、反応性イオンエッチング、化学的湿式エッチングなどが含まれる。
図1、2の両方を参照すると、例示的な放射源16は紫外線放射線を生成する。熱的、電磁気的などの他の放射源も使用することができる。インプリンティング層34内の材料の重合を開始させるために使用する放射線の選択は、当業者に知られており、通常所望の具体的な用途に依存する。さらに、モールド28上の複数のフィーチャが、狭間胸壁(battlement)の形状を有するモールド28の断面となっている、突起部28bに平行な方向に沿って延びる凹部28aとして示されている。しかしながら、凹部28aと突起部28bは、集積回路を作り出すために必要な事実上任意のフィーチャに対応することができ、10分の1ナノメータの数倍位に小さくすることができる。その結果、システム10の構成部品を、例えば、ほぼ室温(例えば、25℃)で10ppm/℃より低い熱膨張係数を有する熱的に安定な材料から製造することが望ましい。いくつかの実施形態では、構造物の材料は、約10ppm/℃より低い、又は1ppm/℃より低い熱膨張係数を有する。
図1、2、7を参照すると、インプリント・リソグラフィ技術を成功裡に実施するための重要な問題は、正確に距離「d」を求めることである。そのために、本発明の検出システムは、距離「d」が減少するにしたがって、ビード36の形状が変化することをうまく利用するように構成されている。ビード36がボリューム「v」を有する非圧縮性液体として振舞うと仮定すると、距離「d」は以下のように定義される。
(1) d=V/A
ここで、AはCCDセンサ23で測定される液体で満たされた面積である。そのために、CCDセンサ23と波形整形光学素子24の組合せは、検出システムに区域22内の1つ又は複数のビード36を検知させる。第1の基板26をウェハ30から間隔を開けることによって、1つ又は複数のビード36のボリュームは、各ビード36にそれに関連する面積40を与える。距離「d」が減少し、基板26がビード36と機械的に接触すると圧縮が起きる。この圧縮はビード36の面積40の特性に、変化した特性と呼ばれる変化を引き起こす。これらの変化は、面積の形状、サイズ又は対称性などの1つ又は複数のビード36の幾何学的形状に関する。この例では、変化した特性は42として示されており、その面積のサイズと関係する。具体的には、圧縮は結果的にビード36の面積の拡大となる。
面積40の変化はCCDセンサ23によって検知され、その変化に対応するデータを生成する。プロセッサ25は、面積40の変化に対応するデータを受信し、等式1を使用して距離「d」を計算する。CCDセンサ23がN×Mの配列のピルセルから構成されると仮定すると、距離「d」は以下の等式によってプロセッサ25によって決められる。
(2) d=V/t(P
ここで、tはN×M配列中のピクセルの総数であり、Pは各ピクセルの面積である。
ビード36のボリュームが固定されていると、面積Aを正確に測定するのが望まれるCCDセンサ23の解像度は以下のように定義できる。
(3) ΔA=(A/d)Δd
CCDセンサ23によって検知される1つのビード36の全ボリュームvが200nl、すなわち0.1mmかつd=200nmであると仮定すると、液体が満たされた面積は1000mmになる。等式(3)から、CCDセンサ23の所望の解像度は5mmであると求めることができる。
プロセッサ25は、フィードバック・ループ動作で使用することができることに注目されたい。この方法では、距離「d」は、所望の距離「d」に到達したことが求められるまで、複数回計算する。そのような計算は、インプリント・ヘッド12のZ軸に沿った増分移動が起きるにつれて距離「d」を求めて、動的にリアル・タイムで、又は順次行うことができる。別法として、又はそれに加えて、プロセッサ25は、ルックアップテーブル29の形式のコンピュータ読み出し可能情報を含むメモリ27とデータ通信をすることができる。ルックアップテーブル29内の情報は、d、d、dとして示される異なる距離に関連する31a、31b、31cとして示される幾何学的形状を含む。このようにすると、1つ又は複数のビード36の幾何学的形状に関する情報をCCDセンサ23によって得ることができ、かつ、プロセッサ25によって受信される。次いで、この情報は、CCDセンサ23によって検知された1つ又は複数のビード36の幾何学的形状と最も近似して合致するルックアップテーブル29内の幾何学的形状と同じものに関連するように処理される。合致が行われた後は、プロセッサは合致する幾何学的形状に関連する、ルックアップテーブル29内に存在する距離dの大きさを求める。
第1の基板とウェハ30の間の距離d以外であり得る、第1の基板とウェハ30の特性に関する追加の情報は、1つ又は複数のビード36の液体の幾何学的形状を分析することによって得ることができる。例えば、ビード36の対称性を分析することによって、第1の基板26とウェハ30の間の角度の向きを求めることができる。第1の基板26が第1の平面Pにあり、ウェハ30が第2の平面Pにあると仮定する。面積40が半径方向に対称であると仮定すると、面積40内の半径方向対称性の任意の欠損を、第1の平面P1と第2の平面Pとが互いに平行に延びていないことを求めるために使用することができる。さらに、面積40の形状に関するデータ、この場合は半径方向対称性の欠如は、図8に示す第1と第2の平面P、Pの間、したがって、第1の基板26とウェハ30の間に形成された角度Θを求めるために使用することができる。その結果、インプリンティング層34内の望ましくない厚さを求めることができ、したがって、避けることができる。微粒子物質による第1の基板26又はウェハ30又はその両方の汚染などの他の情報も得ることができる。
具体的には、基板上の微粒子物質の存在は、多くの異なる形状として現れるであろう。この議論の目的のためにいえば、その面積と関連する非対称面積を有する1つ又は複数のビード36が、第1の基板26又はウェハ30のいずれかの上に微粒子汚染物の存在を示すことがある。さらに、汚染物の先験的な(priori)知識によると、1つ又は複数のビードの具体的な形状が微粒子汚染物などの特定の欠陥や、例えば、第1の基板26、ウェハ30及び/又は試料台上の欠陥の存在と関連する場合がある。この情報は、プロセッサが欠陥を分類して、それにしたがって、第1の基板26及び/又はウェハ30を特徴付けるように、上記で論じたルックアップテーブル内に含めることができる。
図1、2、9を参照すると、区域22内に36dと36eとして示す2個又はそれ以上のビードからの情報を分析することによって、第1の基板26とウェハ30の間の距離「d」の大きさを異なる場所で具体的に求めることができる。ビード36d、36e各々の距離情報は、上記で論じたように求めることができる。ビード36d、36eが実質的に同一の面積を有すると仮定すると、第1の基板26とウェハ30が実質的に平行ならば、それと機械的に接触する第1の基板26に起因する面積の変化は実質的に同一であり、距離「d」は、区域22にわたり均一であろう。第1の基板36との機械的な接触の後で、ビード36dと36eの面積の間にどのような相違があっても、それは第1の基板26とウェハ30が平行でないことに帰すことができ、区域22にわたり第1の基板26とウェハの間の距離が均一でない結果となる。さらに、第1の基板26とウェハ30の間に形成された角度θも、上記で論じたようにこの情報から求めることができる。ビード36d、36eの面積が最初に異なっていることを仮定すると、第1の基板26との機械的接触のその結果生じるビード36d、36eの面積の相対的な変化を比較することによって同様な情報を得ることができる。
具体的には、ビード36d、36eの面積の間の相対的な変化を分析することによって、ビード36d、36eに近接して配置された位置の第1の基板26とウェハ30が等しい距離「d」で間隔が空いているかどうかを求めるため、距離「d」が均一であるかどうかを求めることができる。この場合均一であるならば、その場合は、第1の基板26とウェハ30は互いに平行に延びると結論付けることができる。そうではなく、第1の基板26とウェハ30が互いに平行に延びていないことが見出された場合は、その間に形成される角度Θの大きさを求めることができる。
図1、2、10を参照すると、ビード36f、36g、36h、36i、36jなどの、ある区域内の複数のビードを検査する別の利点は、第1の基板26又はウェハ30のいずれかの形状を求めることができることである。これは、ビードの変化を検査することによって示される。例えば、第1の基板26によってビード36f、36g、36h、36i、36jが圧縮された後、各々に圧縮パターン137をそれぞれ決める136f、136g、136h、136i、136jの面積が形成される。示すように、ビード136fと136jは最も大きな面積を有し、ビード136g、136iは2番目に大きな面積を有し、ビード136hは最も小さな面積を有する。これは、第1の基板26が凹面の表面、すなわち、反っていること、又はウェハ30が反っていることを示す。実験分析から、システム10の異なる形状又は欠陥を分類し特徴付けるために、圧縮パターンの異なる種類に関するいくつかの異なる情報を得ることができる。これらもルックアップテーブル29内で使用することができ、その結果、プロセッサ25は、CCVセンサ23によって検知された圧縮パターンをルックアップテーブル29内の圧縮パターンに合致させ、システム10によって実行される処理の性質、すなわち、システムが適切に機能しているか、又は許容できるインプリントが作り出されているかを自動的に確認することができる。
CCDセンサ23は、インプリンティング層34のウェハ30上の広がりの終点検出のためにも使用することもできる。そのために、CCDセンサの1つ又は複数のピクセルを、ウェハ30の1部分を検知するために配置することができる。図8に87a、87b、88a、88bとして示されたこの部分は、区域22内に配置され、「d」が所望の大きさに達した後のインプリンティング層34の周辺に近接している。このようにすると、CCDセンサのピクセルは、結果として所望の厚さのインプリンティング層34を形成するようにビード36を広げる、所望の距離「d」が達成されたときを示す終点検出システムとして使用することができる。これによって、インプリンティング層34のインプリントをうまく進めるためにインプリント・ヘッド12が行わなければならない動作の大きさを求めるのが容易になる。そのために、CCDセンサが87a、87b、88a、88bの部分に近接してインプリンティング層34の存在を検出すると、それに関するデータがプロセッサ25に伝達される。それに応じて、プロセッサ25は、インプリント・ヘッド12の動作を停止させるよう動作し、第1の基板26とウェハ30の間の「d」を固定する。
本発明の別の実施形態による図2、7、11を参照すると、検出システムは、終点検出を容易にするために含めることができる、1つ又は複数のフォトダイオードを含む。そのうちの4つが90a、90b、90c、90dとして示されている。フォトダイオード90a、90b、90c、90dは、波形整形光学素子91を含み、88aなどの第1の基板26の所定の部分を検出するように配置されている。しかしながら、部分88b、87a、87bを検知するフォトダイオードも同様に有するのが有利である。しかしながら、論議を容易にするため、この論議は区域87a、87b、88bを検知するのに別のフォトダイオードを使用することにも等しく当てはまるという理解のもとで、区域88aに対してフォトダイオードを論議する。
終点検出を容易にするために、フォトダイオード90a、90b、90c、90dは、「d」が所望の大きさに達した後のインプリンティング層34の周辺に近接して位置する第1の基板26の一部分に配置される。その結果、フォトダイオード90a、90b、90c、90dは、図1に示すCCDセンサ23に関して上記で論議した終点検出システムとして使用することができる。再度図2、11を参照すると、フォトダイオード90a、90b、90c、90dは、部分88から反射される光の強度などの、部分88に関連する情報を伝送するために、プロセッサ25とデータ通信している。具体的には、部分88は反射性であること、すなわち周辺をフォトダイオード90a、90b、90c、90d上に反射させるミラーである。インプリンティング層34によって被覆されると、部分88から反射する光のエネルギは、完全に減衰しないとしてもかなり減少し、それにより、フォトダイオード90a、90b、90c、90d上に入射する光エネルギの力は減少する。フォトダイオード90a、90b、90c、90dは、それに応答する信号を生成し、プロセッサ25によって解釈される。それに応じて、プロセッサ25は、インプリント・ヘッド12の動作を停止させるよう動作し、第1の基板26とウェハ30の間の距離「d」を固定する。フォトダイオード90a、90b、90c、90dに関して論じた検出システムは、図1に関して論じたCCDセンサ23や波形整形光学素子24と関連して使用することができることは理解されたい。フォトダイオード90a、90b、90c、90dを使用することの利点は、データの取得がCCDセンサ23のピクセルによるものより速いことである。
図2、11、12を参照すると、ビード36と関連するボリュームを知ることなしに第1の基板26とウェハ30の特性を求めるのを容易にする、本発明の別の実施形態を示す。そのために、システム110の本実施形態は、CCDセンサ23、フォトダイオード90a、90b、90c、90d、又は両者の組合せと共に使用することができる干渉計98を含む。上記で論議したように、システム110は、波形整形光学素子24、放射源16、ミラー20、インプリント・ヘッド12を含む。インプリント・ヘッド12は、試料台14によって支持されたウェハ30とともにその反対側に配設された第1の基板26を保持する。プロセッサ25は、インプリント・ヘッド12、試料台14、放射源16、CCDセンサ23、干渉計98とデータ通信している。干渉計98の光学通路内に、干渉計が発生するビームを区域上に反射させ、その一方CCDセンサ23が区域22を検知することができる、50−50ミラー25も配置されている。
干渉法を使用すると、ビード36の初期のボリュームに関する正確な情報を有することなしに、距離「d」を求めることが容易になる。距離「d」を測定するために使用される例示的な干渉法システムは、「Alignment Systems for Imprint Lithography」という名称の、米国特許出願第10/210894号明細書に開示されており、それを本明細書で参考として援用する。
干渉計98を使用すると、初期の距離「d」と距離の変化Δdを同時に求めることが容易になる。この情報から、1つ又は複数のビード36に関連するボリュームを得ることができる。例えば、干渉計98は、第1の基板の変位測定値Lを求めるために、2つの異なる時間t、tにおける第1の基板26の2つの測定値を求めるために使用することができる。同じ時間の間に、同様な方法により、ウェハ30の変位測定値Lを求めることができる。第1の基板26とウェハ30の間の距離の変化、Δdは、以下のように求められる。
(4) Δd=|L−L
時間t、tの間に、1つ又は複数のビード36の面積の変化を、1つ又は複数のビード36が検知されるピクセルの総数の関数として求めるために、CCDセンサ23による測定が行われる。時間tにおいて、1つ又は複数のビード36が検知されたピクセルの総数はnp1である。時間tにおいて、1つ又は複数のビード36が検知されたピクセルの総数はnp2である。これらの2つの値から、ピクセルの変化Δnは以下で定義される。
(5) Δn=|np2−np1
等式4及び5から、距離dの値は以下の等式のいずれかから求められる。
(6) d=(Δd/Δn)np1
(7) d=(Δd/Δn)np2
ここで、d=d=dである。dとdを知れば、置き換えによって、我々はCCDセンサ23によって検知された1つ又は複数のビードのボリュームを以下の等式のいずれかによって求めることができる。
(8) V=d(np1×ピクセルサイズ)
(9) V=d(np2×ピクセルサイズ)
ここで、V=V=V、及び(np1×ピクセルサイズ)=(np2×ピクセルサイズ)=Aである。第1の基板26とウェハ30を平行に保持することができるときは、干渉計98は、図1に示すように区域22の外側で測定することができる。そうでない場合は、干渉計測定は区域22の中央又は延びているビードに近接して行うべきである。このようにして、図1に示すシステム10を使用して得られる基板特性情報は、図12に示すシステム110を使用して得ることができる。
上記で説明した本発明の実施形態は例示である。本発明の範囲内に留まりながら、多くの変更及び改変を上記で述べた開示に対して行うことができる。したがって、本発明の範囲は、上記の説明に則して決めるべきではなく、その代わりに、添付の特許請求の範囲及びそれと一緒にその均等物の全範囲に則して決めるべきである。
本発明の一実施形態による、検出システムを組み込んだリソグラフィ・システムの簡略化した平面図である。 図1に示すリソグラフィ・システムの部分的に簡略化した立面図である。 図2に示すインプリンティング層が構成される、重合され架橋される前の材料を簡略化して示す図である。 図3に示す材料が放射線に曝された後変換された、架橋した高分子材料の簡略化した図である。 図1に示すインプリンティング層にパターン付けを行った後、インプリンティング層から間隔を開けたモールドの簡略化した立面図である。 第1のインプリンティング層内のパターンがその中に転写された後の、図5に示す基板の上に配置された追加のインプリンティング層の簡略化した立面図である。 本発明の一実施形態による、図1に示す検出システムによって検知された、図1に示すウェハの一区域の下向き図である。 互いに平行な向きにないモールド及びウェハによって形成された、図1に示すインプリンティング層の、その結果得られた形状の断面図である。 本発明の代替実施形態による、図1に示す検出システムによって検知された、図1に示すウェハの一区域の下向き図である。 本発明の別の代替実施形態による、図1に示す検出システムによって検知された、図1に示すウェハの一区域の下向き図である。 本発明の第2の実施形態による、検出システムを組み込んだリソグラフィ・システムの簡略化した平面図である。 本発明の第3の実施形態による、検出システムを組み込んだリソグラフィ・システムの簡略化した平面図である。

Claims (24)

  1. 液体のボリュームであって、前記ボリュームと関連する面積を有する液体の前記ボリュームを第2の基板上に形成する段階と、
    液体の前記ボリュームを前記第1と第2の基板間で圧縮し、前記面積の性状に変化を引き起こさせ、変化した性状の意味を明らかにする段階と、
    前記変化した性状を検知する段階と、
    前記変化した性状の関数として前記第1と第2の基板の特性を求め、測定された特性の意味を明らかにする段階とを含む、第1と第2の基板の特性を求める方法。
  2. 前記性状が、サイズ、形状、対称性を含む1組の幾何学的形状から選択された幾何学的形状である請求項1に記載の方法。
  3. 前記性状が前記第2の基板の所定の位置への前記液体の拡張を含む請求項1に記載の方法。
  4. 液体の前記ボリュームを形成する段階が、前記第2の基板上に前記液体の第1と第2の間隔を開けて液滴を堆積させる段階をさらに含み、前記ボリュームを圧縮する段階が、前記第1と第2の液滴の1つの幾何学的形状に変化を起こさせるように前記第1と第2の液滴を圧縮する段階をさらに含む請求項1に記載の方法。
  5. 前記第1の液滴がそれと関連する第1の幾何学的形状を有し、かつ前記第2の液滴がそれと関連する第2の幾何学的形状を有し、液体の前記ボリュームを形成する段階が、前記第2の基板上に前記液体の第1と第2の間隔を開けて液滴を堆積させる段階を含み、前記ボリュームを圧縮する段階が、前記第1の幾何学的形状に変化を起こさせるように前記第1と第2の液滴を圧縮し、変化した幾何学的形状の意味を明らかにする段階をさらに含み、かつ、前記変化した幾何学的形状を前記第2の幾何学的形状とその間の変化を求めるために比較し、変化の意味を明らにする段階をさらに含み、前記特性を求める段階が前記変化の関数として前記特性を求める段階をさらに含む請求項1に記載の方法。
  6. 特性を求める段階が、前記第1と第2の基板間の距離を求める段階をさらに含む請求項1に記載の方法。
  7. 特性を求める段階が、前記第1と第2の基板が互いに平行に延びているかどうかを求める段階をさらに含む請求項1に記載の方法。
  8. 前記第1の基板が第1の平面内にあり、前記第2の基板が前記第1の平面とある角度をなす第2の平面内にあり、前記特性を求める段階が前記角度を求める段階をさらに含む請求項1に記載の方法。
  9. 前記変化した性状を検知する段階が、液体の前記ボリュームを圧縮する前に前記ボリュームが配置された前記第2の基板の区域の第1の画像を取得し、かつ液体の前記ボリュームを圧縮した後で前記区域の第2の画像を取得し、液体の前記ボリュームと関連する前記第1と第2の画像内の情報を比較する段階とをさらに含む請求項1に記載の方法。
  10. 前記特性が、汚染物の存在、空間的関係、形状からなる1組の特性から選択される請求項1に記載の方法。
  11. 所望の空間的関係を得るため、前記測定された空間的関係に応答して前記第1と第2の基板間の前記空間的関係を調整する段階をさらに含む請求項10に記載の方法。
  12. 液体のボリュームであって、前記ボリュームと関連する面積を有する液体の前記ボリュームを第2の基板上に形成する段階と、
    液体の前記ボリュームを前記第1と第2の基板間で圧縮し、前記面積の性状に変化を引き起こさせ、変化した性状の意味を明らかにする段階であって、前記性状はサイズ、形状、対称性を含む1組の性状から選択される段階と、
    前記変化した性状を検知する段階と、
    前記変化した性状の関数として前記第1と第2の基板の特性を求め、測定された特性の意味を明らかにする段階であって、空間的関係が前記第1と第2の平面間の距離と前記第1と第2の平面間に形成された角度を含む1組の関係から選択される段階とを含む、第1の平面内にある第1の基板と第2の平面内にある第2の基板間の空間的関係を求める方法。
  13. 液体の前記ボリュームを形成する段階が、前記第2の基板上に前記液体の第1と第2の間隔を開けて液滴を堆積させる段階をさらに含み、前記ボリュームを圧縮する段階が、前記第1の液滴の面積に変化を起こさせるように前記第1と第2の液滴を圧縮し、変化した第1の面積の意味を明らかにする段階をさらに含み、かつ、前記変化した第1の面積を前記第2の液滴の面積とその間の変化を求めるために比較し、変化の意味を明らにする段階をさらに含み、前記空間的関係を求める段階が、前記変化の関数として前記第1と第2の基板間の前記空間的関係を求める段階をさらに含む請求項12に記載の方法。
  14. 前記変化した性状を検知する段階が、液体の前記ボリュームを圧縮する前に前記ボリュームが配置された前記第2の基板の区域の第1の画像を取得し、かつ液体の前記ボリュームを圧縮した後で前記区域の第2の画像を取得し、液体の前記ボリュームと関連する前記第1と第2の画像内の情報を比較する段階とをさらに含む請求項12に記載の方法。
  15. 所望の空間的関係を得るため、前記測定された空間的関係に応答して前記第1と第2の基板間の前記空間的関係を調整する段階を含む請求項13に記載の方法。
  16. 第1の平面内にある第1の基板の特性と、第2の平面内にある、その上に置かれた液体のボリュームを有する第2の基板の特性を求めるためのシステムにおいて、
    前記第1と第2の基板間の距離を変化させる変位機構であって、前記距離はギャップであり、液体の前記ボリュームはそのボリュームと関連する面積を有し、前記変位機構は前記面積の性状に変化を起こさせるように前記第1と第2の基板間の液体の前記ボリュームを圧縮し、変化した特性の意味を明らかにするようになされた変位機構と、
    前記変化した性状を検知し、それに応答するデータを発生する検出システムと、
    前記データを受信し、前記第1と第2の基板間の空間的関係に対応する情報を前記変化した性状の関数として発生させ、測定された空間的関係の意味を明らかにするプロセッシング・システムとを備える、システム。
  17. 前記特性が、汚染物の存在、空間的関係、形状からなる1組の特性から選択される請求項16に記載のシステム。
  18. 前記性状が、サイズ、形状、対称性を含む1組の幾何学的形状から選択された幾何学的形状である請求項16に記載のシステム。
  19. 前記性状が前記第2の基板の所定の位置への前記液体の拡張を含む請求項16に記載のシステム。
  20. 前記変位機構が前記情報を受けるように接続され、所望の空間的関係を得るため前記情報に応答して前記第1と第2の基板間の前記空間的関係を調整する請求項16に記載のシステム。
  21. 前記検出システムが、前記第1と第2の基板のうちの1つの所定の位置に液体の前記ボリュームが存在することを検知する終点検出システムをさらに含む請求項17に記載のシステム。
  22. 液体の前記ボリュームが前記第2の基板上に配置された前記液体の第1と第2の間隔を開けた液滴をさらに含み、前記変位機構が前記第1と第2の液滴の1つの幾何学的形状に変化を起こさせるように前記第1と第2の液滴の1つを圧縮するようになされ、前記検出システムがCCDセンサを含む請求項17に記載のシステム。
  23. 液体の前記ボリュームが、それと関連する第1の幾何学的形状を有する第1の液滴、とそれと関連する第2の幾何学的形状を有する第2の液滴をさらに含み、前記第1と第2の液滴が前記第2の基板上に間隔を開け配置され、前記変位機構が前記第1と第2の幾何学的形状に変化を起こさせるように前記第1と第2の液滴を圧縮し、変化した第1の幾何学的形状と変化した第2の幾何学的形状の意味を明らかにするようになされており、前記プロセッサは、その間の相違を求めるために前記変化した第1と第2の幾何学的形状を比較するように接続され、差異の意味を明らかにし、前記特性を前記差異の関数として求める請求項16に記載の方法。
  24. 前記検出システムが、前記第1と第2の基板間の距離を求めるための干渉計をさらに含む請求項17に記載のシステム。
JP2004560779A 2002-12-12 2003-12-12 液体の形状を使用して基板の特性を求める方法及びシステム Expired - Lifetime JP4563182B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/318,365 US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries
PCT/US2003/039449 WO2004055594A2 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries

Publications (2)

Publication Number Publication Date
JP2006514428A true JP2006514428A (ja) 2006-04-27
JP4563182B2 JP4563182B2 (ja) 2010-10-13

Family

ID=32506324

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004560779A Expired - Lifetime JP4563182B2 (ja) 2002-12-12 2003-12-12 液体の形状を使用して基板の特性を求める方法及びシステム

Country Status (7)

Country Link
US (3) US6871558B2 (ja)
EP (2) EP2418544B1 (ja)
JP (1) JP4563182B2 (ja)
KR (1) KR101141560B1 (ja)
CN (1) CN100485350C (ja)
AU (1) AU2003302248A1 (ja)
WO (1) WO2004055594A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007139752A (ja) * 2005-10-18 2007-06-07 Canon Inc パターン形成装置、パターン形成方法およびパターン形成用モールド
JP2008270686A (ja) * 2007-04-25 2008-11-06 Toshiba Corp パターン形成装置、パターン形成方法及びテンプレート
JP2011003616A (ja) * 2009-06-16 2011-01-06 Canon Inc インプリント装置及び物品の製造方法
JP2012505544A (ja) * 2008-10-10 2012-03-01 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・システムでの硬化用のエネルギー源
JP2014064022A (ja) * 2013-11-11 2014-04-10 Canon Inc インプリント装置
JP2014150263A (ja) * 2004-09-21 2014-08-21 Molecular Imprints Inc インサイチュ嵌込み構造物形成方法
JP2015056589A (ja) * 2013-09-13 2015-03-23 キヤノン株式会社 インプリント装置、インプリント方法、検出方法及びデバイス製造方法
JP2015144305A (ja) * 2015-03-13 2015-08-06 キヤノン株式会社 インプリント装置および方法ならびに物品製造方法
JP2016042501A (ja) * 2014-08-14 2016-03-31 キヤノン株式会社 インプリント装置及び物品の製造方法
KR20170092649A (ko) 2014-12-12 2017-08-11 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법 및 물품의 제조 방법
KR20170121707A (ko) * 2016-04-25 2017-11-02 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법, 및 물품의 제조 방법

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7168936B2 (en) * 2004-03-19 2007-01-30 Intel Corporation Light transparent substrate imprint tool with light blocking distal end
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
KR101193918B1 (ko) * 2004-06-03 2012-10-29 몰레큘러 임프린츠 인코퍼레이티드 나노-스케일 제조공정을 위한 유체 배분방법과 필요에 따른액적 배분방법
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7085673B2 (en) * 2004-08-31 2006-08-01 Hewlett-Packard Development Company, L.P. Displacement estimation system and method
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
KR20060079710A (ko) * 2005-01-03 2006-07-06 삼성전자주식회사 셀 간격 측정 방법, 이를 포함하는 액정 표시 장치의 제조방법, 이를 위한 셀 간격 측정 장치 및 이를 포함하는인라인 시스템
JP4500183B2 (ja) * 2005-02-25 2010-07-14 東芝機械株式会社 転写装置
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP5002211B2 (ja) * 2005-08-12 2012-08-15 キヤノン株式会社 インプリント装置およびインプリント方法
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4987012B2 (ja) * 2005-12-08 2012-07-25 モレキュラー・インプリンツ・インコーポレーテッド 基板の両面パターニングする方法及びシステム
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US7998651B2 (en) 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US8025829B2 (en) * 2006-11-28 2011-09-27 Nanonex Corporation Die imprint by double side force-balanced press for step-and-repeat imprint lithography
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP2012522327A (ja) * 2009-03-23 2012-09-20 インテバック・インコーポレイテッド パターニングされた媒体の溝と島の比率の最適化のための方法
JP5583374B2 (ja) * 2009-09-07 2014-09-03 株式会社島津製作所 光硬化樹脂の特性試験装置、その試験装置で使用する保持具、特性試験方法
US8891080B2 (en) * 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
SG11201610436SA (en) * 2014-07-03 2017-01-27 Dws Srl Stereolithography method comprising a vertical compensation process, as well as apparatus and computer program product suited to implement said method.
JP6685821B2 (ja) * 2016-04-25 2020-04-22 キヤノン株式会社 計測装置、インプリント装置、物品の製造方法、光量決定方法、及び、光量調整方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
JP2004505273A (ja) * 2000-08-01 2004-02-19 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート

Family Cites Families (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
EP0091651B1 (en) 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US5240658A (en) * 1991-03-26 1993-08-31 Lukacs Iii Alexander Reaction injection molding of silicon nitride ceramics having crystallized grain boundary phases
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
JPH06183561A (ja) 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5837892A (en) * 1996-10-25 1998-11-17 Camelot Systems, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6112588A (en) * 1996-10-25 2000-09-05 Speedline Technologies, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6182042B1 (en) * 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
JP3149855B2 (ja) * 1998-08-27 2001-03-26 日本電気株式会社 固体撮像装置およびその製造方法
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6016696A (en) * 1998-09-25 2000-01-25 Lucent Technologies Inc. Method for determining volume changes in viscous liquids
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6052183A (en) * 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6521324B1 (en) * 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
EP2264522A3 (en) * 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
DE60131203T2 (de) * 2000-08-25 2008-08-07 Asml Netherlands B.V. Lithographischer Apparat
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
TW525221B (en) * 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6735972B2 (en) * 2002-03-26 2004-05-18 Bae Systems Controls, Inc. Apparatus and method to substantially minimize low-cycle fatigue of electrical connections
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
JP2004505273A (ja) * 2000-08-01 2004-02-19 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 転写リソグラフィのための透明テンプレートと基板の間のギャップおよび配向を高精度でセンシングするための方法
JP2004523906A (ja) * 2000-10-12 2004-08-05 ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム 室温かつ低圧マイクロおよびナノ転写リソグラフィのためのテンプレート

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014150263A (ja) * 2004-09-21 2014-08-21 Molecular Imprints Inc インサイチュ嵌込み構造物形成方法
JP2007139752A (ja) * 2005-10-18 2007-06-07 Canon Inc パターン形成装置、パターン形成方法およびパターン形成用モールド
JP2008270686A (ja) * 2007-04-25 2008-11-06 Toshiba Corp パターン形成装置、パターン形成方法及びテンプレート
JP2012505544A (ja) * 2008-10-10 2012-03-01 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・システムでの硬化用のエネルギー源
JP2011003616A (ja) * 2009-06-16 2011-01-06 Canon Inc インプリント装置及び物品の製造方法
KR101783081B1 (ko) * 2013-09-13 2017-09-28 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법, 검출 방법 그리고 디바이스를 제조하는 방법
JP2015056589A (ja) * 2013-09-13 2015-03-23 キヤノン株式会社 インプリント装置、インプリント方法、検出方法及びデバイス製造方法
US10303050B2 (en) * 2013-09-13 2019-05-28 Canon Kabushiki Kaisha Imprint apparatus, imprint method, detecting method, and method of manufacturing device
US10042249B2 (en) 2013-09-13 2018-08-07 Canon Kabushiki Kaisha Imprint apparatus to detect a contact state between a mold and a substrate
JP2014064022A (ja) * 2013-11-11 2014-04-10 Canon Inc インプリント装置
JP2016042501A (ja) * 2014-08-14 2016-03-31 キヤノン株式会社 インプリント装置及び物品の製造方法
US10514599B2 (en) 2014-08-14 2019-12-24 Canon Kabushiki Kaisha Imprint apparatus and method of manufacturing article
KR20170092649A (ko) 2014-12-12 2017-08-11 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법 및 물품의 제조 방법
US10416551B2 (en) 2014-12-12 2019-09-17 Canon Kabushiki Kaisha Imprinting apparatus, imprinting method, and article manufacturing method
JP2015144305A (ja) * 2015-03-13 2015-08-06 キヤノン株式会社 インプリント装置および方法ならびに物品製造方法
KR20170121707A (ko) * 2016-04-25 2017-11-02 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법, 및 물품의 제조 방법
KR102126177B1 (ko) 2016-04-25 2020-06-24 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법, 및 물품의 제조 방법

Also Published As

Publication number Publication date
US7036389B2 (en) 2006-05-02
JP4563182B2 (ja) 2010-10-13
CN100485350C (zh) 2009-05-06
US6871558B2 (en) 2005-03-29
EP2418544A2 (en) 2012-02-15
US20050028618A1 (en) 2005-02-10
EP2418544A3 (en) 2012-04-04
US20040223883A1 (en) 2004-11-11
AU2003302248A1 (en) 2004-07-09
EP1570249B1 (en) 2012-10-03
KR20050085630A (ko) 2005-08-29
KR101141560B1 (ko) 2012-05-03
EP1570249A4 (en) 2009-03-25
WO2004055594A2 (en) 2004-07-01
EP1570249A2 (en) 2005-09-07
US6990870B2 (en) 2006-01-31
WO2004055594A3 (en) 2004-12-23
AU2003302248A8 (en) 2004-07-09
US20040112153A1 (en) 2004-06-17
EP2418544B1 (en) 2016-11-02
CN1739015A (zh) 2006-02-22

Similar Documents

Publication Publication Date Title
JP4563182B2 (ja) 液体の形状を使用して基板の特性を求める方法及びシステム
KR101076028B1 (ko) 기판 상에 증착된 필름의 특성을 측정하는 방법 및 시스템
EP2227720B1 (en) High throughput imprint based on contact line motion tracking control
JP4791597B2 (ja) ナノ・インプリント・プロセスにおける基板のアラインメント・システム及び方法
CN100365507C (zh) 用于室温下低压微刻痕和毫微刻痕光刻的模板
US7136150B2 (en) Imprint lithography template having opaque alignment marks
US7854867B2 (en) Method for detecting a particle in a nanoimprint lithography system
JP4391420B2 (ja) 基板の形状を調整するチャック・システムと方法
US20040089979A1 (en) Method of reducing pattern distortions during imprint lithography processes
US20070264588A1 (en) Imprint lithography system to produce light to impinge upon and polymerize a liquid in superimposition with template overlay marks
KR20070041585A (ko) 임프린트 리소그래피 템플릿을 위한 모트 시스템
US8202075B2 (en) Imprint apparatus and imprint method
US11604409B2 (en) Template replication
JP2010067969A (ja) インプリントリソグラフィ
CN101454636A (zh) 间隙测量方法、压印方法和压印设备
KR102354220B1 (ko) 나노임프린트 리소그래피에서의 오버레이 개선
US10969680B2 (en) System and method for adjusting a position of a template
KR20240011622A (ko) 제어 방법, 성형 장치, 및 물품 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100713

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100728

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4563182

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term