KR101141560B1 - 유체 기하학을 이용한 기판의 특성을 결정하는 방법 및시스템 - Google Patents

유체 기하학을 이용한 기판의 특성을 결정하는 방법 및시스템 Download PDF

Info

Publication number
KR101141560B1
KR101141560B1 KR1020057010814A KR20057010814A KR101141560B1 KR 101141560 B1 KR101141560 B1 KR 101141560B1 KR 1020057010814 A KR1020057010814 A KR 1020057010814A KR 20057010814 A KR20057010814 A KR 20057010814A KR 101141560 B1 KR101141560 B1 KR 101141560B1
Authority
KR
South Korea
Prior art keywords
substrate
substrates
determining
fluid
volumetric fluid
Prior art date
Application number
KR1020057010814A
Other languages
English (en)
Other versions
KR20050085630A (ko
Inventor
병진 최
시들가타 브이. 스리니바산
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20050085630A publication Critical patent/KR20050085630A/ko
Application granted granted Critical
Publication of KR101141560B1 publication Critical patent/KR101141560B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Investigating Or Analyzing Non-Biological Materials By The Use Of Chemical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

본 발명은 기판의 특성, 예컨대 오염물의 존재, 형상, 뿐만 아니라 이격된 기판 간의 공간 관계를 결정하는 기술을 제공한다. 공간 관계는 제1 및 제2 이격된 기판 간의 거리 및 각 배향을 포함한다. 이 기술은 제2 기판 상에 부피를 갖는 유체를 형성하는 것을 포함하며, 부피를 갖는 유체는 이와 관련된 영역을 가진다. 부피를 갖는 유체는 제1 기판과 제2 기판 사이에서 가압되어 영역의 성질 변화를 수행함으로써 변경된 성질을 규정한다. 변경된 성질은 감지되고, 제1 및 제2 기판의 특성은 변경된 성질의 함수로서 결정된다.
기판, 공간 관계, 유체, 영역, 인장, 리소그래피

Description

유체 기하학을 이용한 기판의 특성을 결정하는 방법 및 시스템{METHOD AND SYSTEM FOR DETERMINING CHARACTERISTICS OF SUBSTRATES EMPLOYING FLUID GEOMETRIES}
본 발명은 일반적으로 리소그래피 시스템에 관한 것이다. 보다 구체적으로, 본 발명은 인장(imprinting) 몰드와 상기 인장 몰드를 사용하여 패턴이 형성되는 기판 간의 공간 관계를 결정하는 것에 관한 것이다.
인장 리소그래피는 50 nm보다 더 작은 형상 크기를 가진 패턴의 제조에서 유망한 결과를 보여주었다. 그 결과, 많은 선행 인장 리소그래피 기술이 생겨났다. 미국 특허 제6,334,960호(Willson et al.)에는 전사층을 갖춘 기판을 제공하는 것을 비롯한 예시적인 리소그래피 인장 기술이 개시되어 있다. 전사층을 중합성 유체 조성물로 피복한다. 몰드를 중합성 유체와 기계적 접촉을 하게 한다. 몰드는 릴리프 구조물 포함하며, 중합성 유체 조성물을 릴리프 구조물에 채운다. 그 다음, 중합성 유체 조성물을, 상기를 고화시키고 중화시키는 조건 하에 놓아서 몰드와 상보적인 릴리프 구조물을 함유하는 전사층 상에 고화된 중합 재료를 형성한다. 그 다음, 몰드를 고상 중합 재료에서 분리하여 몰드 내 릴리프 구조물의 복사본이 고화된 중합 재료에 형성되도록 한다. 전사층 및 고화된 중합 재료는 전사층 내에 릴리 프 이미지를 형성하기 위하여 고화된 중합 재료에 대하여 전사층을 선택적으로 에칭하는 환경에 놓는다.
미국 특허 제5,772,905호(Chou)에는 기판 상에 코팅된 박막 내에 패턴을 형성하기 위한 리소그래피 방법 및 장치가 개시되어 있으며, 1 이상의 돌출 형상을 가진 몰드를 기판 상에 담지된 박막으로 가압한다. 몰드 내 돌출된 형상은 박막에 오목부를 형성한다. 몰드를 박막에서 제거한다. 그 다음, 박막을 가공하여 오목부 내 박막이 제거되어 하도 기판을 노출시킨다. 따라서, 몰드 내 패턴은 박막에 재배치되어 리소그래피 공정을 완결한다. 박막 내 패턴은 후속 공정에서 기판에, 또는 기판에 첨가되는 다른 재료에 재생될 것이다.
또 다른 인장 리소그래피 기술은 문헌(Chou et al., Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002)에 개시되어 있으며, 레이저 보조 직접 인장(LADI) 공정으로 언급한다. 이 공정에서, 기판의 영역은 그 영역을 레이저로 가열함으로써 유동성, 예를 들면 액화된다. 그 영역이 소정의 점도에 도달한 후, 패턴이 위에 형성된 몰드는 상기 영역과 접촉된다. 유동성 영역은 패턴의 프로파일에 정합된 다음, 냉각되어, 패턴을 기판으로 고화시킨다.
이러한 방식으로 패턴을 형성할 때, 중요한 고찰은 기판과, 기판 상에 기록하고자 하는 패턴을 함유하는 몰드 간의 거리와 배향의 제어를 유지하는 것이다. 그렇지 않으면, 바람직하지 않은 필름 및 패턴 이상이 일어날 수 있다.
그러므로, 몰드와 기판 사이의 공간 관계를 정확하게 결정하여 몰드를 인장 리소그래피 공정을 사용하여 패턴을 형성하는 필요성이 있다.
발명의 개요
본 발명은 기판의 특성, 예컨대 오염물의 존재, 형태, 뿐만 아니라 이격된 기판 간의 공간 관계를 결정하는 방법 및 시스템을 제공한다. 공간 관계는 제1 및 제2 이격 기판 간의 거리 및 각 배향을 포함한다. 이 방법은 제2 기판 상에 일정 부피의 유체를 형성하는 것을 포함하고, 상기 부피의 유체는 이와 관련된 영역을 가진다. 부피를 갖는 유체는 제1 및 제2 기판 사이에 가압되어 영역의 성질 변화를 수행함으로써 변경된 성질을 한정한다. 변경된 성질은 감지되고, 제1 및 제2 기판의 특성은 변경된 성질의 함수로서 결정된다. 시스템은 본 발명의 방법의 기능을 수행하는 양태를 포함한다. 이들 및 다른 구체예는 이하에 보다 상세하게 논의하기로 한다.
도 1은 본 발명의 구체예에 따른 검출 시스템을 포함하는 리소그래피 시스템의 간략 평면도이고;
도 2는 도 1에 도시된 리소그래피 시스템의 부분 간략 입면도이며;
도 3은 도 2에 도시된 인장층이 중합 및 가교되기 전에 이루어지는 재료의 간략 대표도이고;
도 4는 도 3에 도시된 재료가 조사된 후 변형되는 가교된 중합체 재료의 간략 대표도이며;
도 5는 인장층의 패턴 형성 후 도 1에 도시된 인장층으로부터 이격된 몰드의 간략 입면도이고;
도 6은 제1 인장층 내 패턴이 전사된 후, 도 5에 도시된 기판의 상부에 위치 설정된 추가의 인장층의 간략 입면도이며;
도 7은 본 발명의 일구체예에 따라 도시된 검출 시스템에 의해 감지된, 도 1에 도시된 웨이퍼의 영역의 포괄도이고;
도 8은 몰드와 웨이퍼가 상호에 관하여 병렬 배향되지 않게 형성된, 도 1에 도시된 인장층의 생성 형태의 단면도이며;
도 9는 본 발명의 대안의 구체예에 따라 도시된 검출 시스템에 의해 감지된, 도 1에 도시된 웨이퍼의 영역의 포괄도이고;
도 10은 본 발명의 다른 대안의 구체예에 따라 도시된 검출 시스템에 의해 감지된, 도 1에 도시된 웨이퍼의 영역의 포괄도이며;
도 11은 본 발명의 제2 구체예에 따른 검출 시스템을 포함하는 리소그래피 시스템의 간략 평면도이고;
도 12는 본 발명의 제3 구체예에 따른 검출 시스템을 포함하는 리소그래피 시스템의 간략 평면도이다.
발명의 상세한 설명
도 1은 본 발명의 일구체예에 따른 검출 시스템이 포함된 리소그래피 시스템(10)를 도시한다. 시스템(10)은 인장 헤드(12) 및 인장 헤드(12)에 대향 배치된 스테이지(14)를 포함한다. 방사선원(16)은 시스템(10)에 커플링되어 화학 방사선을 동작 스테이지(14)에 충돌시킨다. 이를 위하여, 인장 헤드(12)는 관통로(18)를 포 함하고, 미러(20)는 방사선원(16)으로부터의 화학 방사선을 관통로(18)에 커플링하여 스테이지(14)의 영역(22)에 충돌시킨다. CCD 센서(23) 및 파형 광학장치(24)를 포함하는 검출 시스템은 영역(22)에 대향 배치된다. CCD 센서(23)는 영역(22)으로부터 이미지를 감지하도록 위치 설정된다. 검출 시스템은 파형 광학장치(24)가 CCD 센서(23)와 미러(20) 사이에 위치 설정된 구조이다. 프로세서(25)는 CCD 센서(23), 인장 헤드(12), 스테이지(14) 및 방사선원(16)과 데이터 통신 관계에 있다.
도 1 및 도 2를 참조하면, 몰드(28)가 위에 있는 제1 기판(26)은 인장 헤드(12)에 연결되어 있다. 제1 기판(26)은 임의의 공지 기술을 사용하여 인장 헤드(12)에 유지될 수 있다. 본 예에서, 제1 기판(26)은 인장 헤드(12)에 연결되고, 진공을 제1 기판(26)에 인가하는 진공 척(도시하지 않음)을 사용함으로써 인장 헤드(12)에 의해 보류된다. 포함될 수 있는 예시적인 처킹 시스템은 본 명세서에서 참고로 포함하는 미국 특허 출원 제10/293,224호, 발명의 명칭 "기판의 형상을 조절하기 위한 처킹 시스템"에 개시되어 있다. 몰드(28)는 평면이거나, 그 위에 형상을 포함할 수 있다. 본 예에서, 몰드(28)는 다수의 이격된 오목부(28a) 및 돌출부(28b)에 의해 형성된 다수의 형상을 포함한다. 다수의 형상은 제1 기판, 예컨대 웨이퍼(30)로 전사되고, 스테이지(14)에 커플링하고자 하는 원래의 패턴을 형성한다. 이를 위하여, 인장 헤드(12)는 Z 축을 따라 이동하고, 몰드(28)와 웨이퍼(30) 간의 거리 "d"를 변경시키도록 채택된다. 스테이지(14)는 X 및 Y 축을 따라 웨이퍼(30)를 이동시키도록 채택되는데, Y 축은 도 1에 도시된 시트 방향인 것으로 이해하면 된다. 이 구성에서, 몰드(28) 상의 형상은 웨이퍼(30)의 유동성 영역으로 인장될 수 있는데, 이하에서 보다 상세하게 논의할 것이다. 방사선원(16)은 몰드(28)가 방사선원(16)과 웨이퍼(30) 사이에 위치 설정되도록 위치된다. 그 결과, 몰드(28)는 방사선원(16)에 의해 생성되는 방사선에 실질적으로 투명할 수 있는 재료, 예컨대 훈증 실리카 또는 석영 유리로 제조된다.
도 2 및 도 3을 참조하면, 유동성 영역, 예컨대 인장층(34)은 실질적으로 평면 프로파일을 제공하는 표면(32)의 부분 상에 배치된다. 유동성 영역은 임의의 공지된 기술, 예컨대 그 전체가 본 명세서에서 참고로 포함되는 미국 특허 제5,772,905호에 개시된 열간 엠보싱 공정 또는 문헌(Chou et al., Ultrafast and Direct Imprint of Nanostructures in Silicon, Nature, Col. 417, pp. 835-837, June 2002)에 기재된 유형의 레이저 보조 직접 인장(LADI) 공정을 사용하여 형성될 수 있다. 그러나, 본 구체예에서, 유동성 영역은 웨이퍼(30) 상의 재료(36a)의 다수의 이격된 개별 비드(36)로 배치된 인장층(34)으로 구성된다. 인장층(34)은 선택적으로 중합되고 가교될 수 있는 재료(36a)로부터 형성되어 원래의 패턴을 기록하고, 기록된 패턴을 형성한다. 재료(36a)는 지점(36b)에서 가교됨에 따라 가교된 중합체 재료(36c)를 형성하는 것으로 도 4에 도시되어 있다.
도 2, 도 3 및 도 5를 참조하면, 인장층(34)에 기록된 패턴은 몰드(28)와의 기계적 접촉에 의해 부분적으로 생성된다. 이를 위하여, 인장 헤드(12)는 거리 "d"를 감소시켜서 인장층(34)이 몰드(28)와 기계적 접촉을 하게 하여, 표면(32)에 걸쳐 재료(36a)가 인접 형성된 인장층(34)을 형성하도록 비드(36)를 유포한다. 몰드(28)가 평면으로 제공되면, 거리 "d"는 감소되어 실질적으로 평면인 인장층(34)을 제공할 것이다. 본 예에서, 거리 "d"는 감소되어 인장층(34)의 하위 부분(34a)이 오목부(28a)에 들어가 채우게 된다.
오목부(28a)의 충전을 촉진하기 위하여, 재료(36a)는 오목부(28a)를 완전히 충전하도록 필수 성질을 제공하는 한편, 재료(36a)의 인접 형성으로 표면(32)을 피복시킨다. 본 예에서, 돌출부(28b)와 겹쳐지는 인장층(34)의 하위 부분(34b)은 소정의 통상의 최소 거리 "d"에 도달한 후 유지되어, 두께 t1으로 하위 부분(34a)을 이탈하고, 두께 t2로 하위 부분(34b)을 이탈한다. 두께 "t1" 및 "t2"는 분야에 따라서 임의의 소정 두께일 수 있다. 통상적으로, t1은 하위 부분(34a)의 폭 u에 2 배 이하, 즉, 도 5에 보다 분명하게 도시된 바와 같이, t1 < 2u가 되도록 선택된다.
도 2, 도 3 및 도 4를 참조하면, 소정 거리 "d"에 도달한 후, 도 1에 도시된 방사선원(16)은 재료(36a)를 중합 및 가교하여 중합체 재료(36c)를 형성하는 화학 방사선을 생성한다. 그 결과, 인장층(34)의 조성은 재료(36a)로부터 고체인 재료(36c)로 전환된다. 구체적으로, 재료(36c)는 고화되어, 도 5에 보다 명백하게 도시된, 몰드(28)의 표면(28c)의 형상에 정합하는 형상을 가진 인장층(34)의 면(34c)을 제공한다. 인장층(34)이 전환되어 도 4에 도시된 바와 같이 재료(36c)로 구성된 후, 도 2에 도시된 인장 헤드(12)는 몰드(28)와 인장층(34)이 이격하도록 이동하여 거리 "d"를 증가시킨다.
도 5를 참조하면, 추가 공정은 웨이퍼(30)의 패턴 형성을 완결하는 데 사용할 수 있다. 예를 들면, 웨이퍼(30)와 인장층(34)은 에칭되어 인장층(34)의 패턴을 웨이퍼(30)로 전사함으로써 도 6에 도시된 패턴 형성된 표면(32a)을 제공한다. 에칭을 촉진하기 위하여 인장층(34)이 형성되는 재료는, 필요에 따라 웨이퍼(30)에 관한 상대 에칭 속도를 규정하도록 변경될 수 있다. 웨이퍼(30)에 대한 인장층(34)의 상대 에칭 속도는 약 1.5:1 내지 약 100:1의 범위일 수 있다.
대안으로 또는 이외에, 인장층(34)은 그 위에 선택적으로 배치된 포토레지스트 재료(도시하지 않음)에 관하여 에칭차를 제공할 수 있다. 포토레지스트 재료(도시하지 않음)는 공지 기술을 사용하여 패턴 인장층(34)을 더 패턴 형성하도록 제공될 수 있다. 임의의 에칭 기술을 소정의 에칭 속도 및 웨이퍼(30)와 인장층(34)을 형성하는 하도 구성 성분에 따라 사용할 수 있다. 예시적인 에칭 공정으로는 플라즈마 에칭, 반응성 이온 에칭, 화학 습식 에칭 등이 있다.
도 1 및 도 2를 참조하면, 예시적인 방사선원(16)은 자외 방사선을 생성할 수 있다. 다른 방사선원, 예컨대 열, 전자기 등을 사용할 수 있다. 인장층(34) 내 재료의 중합을 개시하는 데 사용되는 방사선의 선택은 당업자에게 공지되어 있으며, 통상적으로 필요에 따라 특정 분야에 의존한다. 더욱이, 몰드(28) 상의 다수의 형상은 흉벽 형태를 가진 몰드(28)의 단면을 제공하는 돌출부(28b)에 평행인 방향으로 연장하는 오목부(28a)로서 도시되어 있다. 그러나, 오목부(28a) 및 돌출부(28b)는 집적 회로를 형성하는 데 요하는 실질적으로 임의의 형상에 해당할 수 있으며, 나노미터의 10분의 수 단위 정도로 작을 수 있다. 그 결과, 열적으로 안정한, 예를 들면 약 실온(예컨대, 25℃)에서 약 10 ppm/℃ 미만의 열 팽창 계수를 가진 재료로부터 시스템(10)의 성분을 제조하는 것이 바람직할 수 있다. 일부 구체예 에서, 구조물의 재료는 약 10 ppm/℃ 미만, 또는 1 ppm/℃ 미만의 열 팽창 계수를 가질 수 있다.
도 1, 도 2 및 도 7을 참조하면, 인장 리소그래피 기술을 연속적으로 실행하기 위한 중요한 고찰은 거리 "d"를 정확하게 결정하는 것이다. 이를 위하여, 본 발명의 검출 시스템은 거리 "d"가 감소함에 따라 비드(36)의 기하 구조 변화의 이점을 취하도록 구성된다. 비드(36)가 부피 "v"를 가진 비가압성 유체로 거동한다고 가정하면, 거리 "d"는 다음과 같이 정의할 수 있다:
Figure 112005031102477-pct00001
상기 식에서, A는 CCD 센서(23)에 의해 측정되는 액체 충전 영역이다. 이를 위하여, CCD 센서(23) 및 파형 광학장치(24)의 조합은 검출 시스템으로 하여금 영역(22) 내 1 이상의 비드(36)를 감지할 수 있게 한다. 웨이퍼(30)로부터 이격된 제1 기판(26)으로, 1 이상의 비드(36)의 부피는 이와 관련된 영역(40)을 가진 비드(36)를 제공한다. 거리 "d"가 감소하고, 기판(26)이 비드(36)와 기계적으로 접촉할 때, 가압이 일어난다. 이 가압은 비드(36)의 영역(40)의 성질 변화에 영향을 미치며, 변화된 성질이라고 한다. 이러한 변화는 영역(40)의 형상, 크기 또는 대칭과 같은 1 이상의 비드(36)의 기하 구조에 관한다. 본 예에서, 변화된 성질은 도면 번호 42로 나타내며, 영역(40)의 크기에 관한다. 구체적으로, 가압은 비드(36) 영역을 증가시킨다.
영역(40) 변화는 CCD 센서(23)에 의해 감지되며, 상기에 해당하는 데이터를 생성한다. 프로세서(25)는 영역(40) 변화에 해당하는 데이터를 수용하고, 식 1을 사용하여 거리 "d"를 산출한다. CCD 센서(23)가 픽셀의 N x M 어레이로 구성되었다고 가정하면, 거리 "d"는 하기 식을 통하여 프로세서(25)에 의해 얻어진다:
Figure 112005031102477-pct00002
상기 식에서, tp는 N x M 어레이에서 픽셀의 총수이고, Pa는 각 픽셀의 영역이다.
비드(36)의 부피가 고정되면, 영역 A를 정확하게 측정하는 것이 바람직한 CCD 센서(23)의 해상도는 다음과 같이 정의할 수 있다:
Figure 112005031102477-pct00003
CCD 센서(23)에 의해 감지된 비드(36) 중 하나의 총 부피 v가 200 nl, 즉 0.1 ㎣이고, d = 200 nm이라고 가정하면, 액체 충전된 영역 "A"은 1000 ㎟이다. 식(2)로부터, CCD 센서(23)의 소정 해상도가 5 ㎟인 것으로 결정할 수 있다.
프로세서(25)가 피드백 루프 공정에 사용될 수 있음을 인식해야 한다. 이 방식에서, 거리 "d"는 소정의 거리 "d"에 도달하는 것으로 결정될 때까지 다수 회 계산할 수 있다. 그러한 계산은 역학적으로 실시간, 또는 연속적으로 수행할 수 있는데, 거리 "d"는 Z 축을 따른 인장 헤드(12)의 증분 이동이 일어날 때 결정된다. 대안으로 또는 이 외에 프로세서(25)는 검색표(29)의 형태로 컴퓨터 판독 가능한 정보를 포함하는 메모리(27)와 데이터 통신 관계에 있을 수 있다. 검색표(29) 내 정보는 da, db 및 dc로 도시된 차등 거리에 관한 것으로서 도면 번호 31a, 31b 및 31c로 도시된 기하 구조를 포함할 수 있다. 이 방식으로, 1 이상의 비드(36)의 기하 구조에 관한 정보는 CCD 센서(23)에 의해 얻어지고, 프로세서(25)에 의해 수용될 수 있다. 그 다음, 정보는 상기를, CCD 센서(23)에 의해 감지된 1 이상의 비드(36)의 기하 구조를 가장 밀접하게 정합하는 검색표(29) 내 기하 구조에 관련시킨다. 정합이 이루어지면, 프로세서(25)는 정합 기하 구조와 관련된 검색표(29)에 존재하는 거리 "d"의 크기를 결정한다.
제1 기판(26) 및 웨이퍼(30)의 특성에 관한 추가 정보는 이들 거리 "d" 이외에, 1 이상의 비드(36)의 유체 기하 구조를 분석함으로써 얻을 수 있다. 예를 들면, 비드(36)의 대칭을 분석함으로써 제1 기판(26)과 웨이퍼(30) 상의 각 배향을 결정할 수 있다. 제1 기판(26)이 제1 면 P1에 놓이고, 웨이퍼(30)가 제2 면 P2에 놓인다고 가정한다. 영역(40)이 방사상으로 대칭이라고 가정하면, 영역(40)의 방사 대칭 손실은 제1 면 P1 및 제2 면 P2가 서로 평행하게 연장되지 않는다고 결정하는 데 사용될 수 있다. 또한, 방사 대칭이 결여된 이 경우에서, 영역(40)의 형상에 관한 데이터는 도 8에 도시된 바와 같이 제1 및 제2 면 P1 및 P2 간에, 그러므로 제1 기판(26)과 웨이퍼(30) 간에 형성된 각 Θ을 결정하는 데 사용할 수 있다. 그 결과, 바람직하지 않은 인장층(34)의 두께를 확인할 수 있으며, 따라서 피할 수 있다. 뿐만 아니라, 다른 정보, 예컨대 제1 기판(26) 또는 웨이퍼(30) 또는 둘 다의 특정 물질에 의한 오염도 얻을 수 있다.
구체적으로, 기판(26) 상의 미립자 물질의 존재는 많은 상이한 형상으로서 나타난다. 본 논의의 목적을 위하여, 이와 관련된 비대칭 영역을 가진, 도 2에 도시된 바와 같은 1 이상의 비드(36)는 제1 기판(26) 또는 웨이퍼(30) 상에 미립자의 존재를 가리킬 수 있다. 또한, 오염물의 연역적 지식으로, 1 이상의 비드(36)의 특정 형상은 특정 결함, 예컨대 미립자 오염, 뿐만 아니라 예를 들면 제1 기판(26), 웨이퍼(30) 및/또는 스테이지(14) 상의 결함의 존재와 관련있을 수 있다. 이 정보는 상기 논의된 바와 같은 검색표에 포함되어 프로세서가 결함을 분류하고, 따라서 제1 기판(26) 및/또는 웨이퍼(30)를 특성화할 수 있게 된다.
도 1, 도 2 및 도 9를 참조하면, 영역(22) 내 도면 번호 36d 및 36e로 표시된 2 이상의 비드로부터의 정보를 분석함으로써 제1 기판(26)과 웨이퍼(30) 간의 거리 "d"의 정도를 상이한 부위에서 동시에 결정할 수 있다. 각각의 비드(36d 및 36e)에 대한 거리 정보는 상기 논의된 바와 같이 결정한다. 비드(36d 및 36e)가 실질적으로 동일한 영역을 가진다고 가정하면, 이들과 기계적 접촉을 하는 제1 기판(26)으로 인한 영역 변화는 실질적으로 동일해야 하고, 제1 기판(26) 및 웨이퍼(30)는 실질적으로 평행해야 하며, 거리 "d"는 영역(22)에 걸쳐 균일해야 한다. 제1 기판(26)과의 기계적 접촉 후 비드(36d 및 36e)의 영역 간의 차는 평행하지 않은 제1 기판(26)과 웨이퍼(30)에 기인할 수 있으며, 영역(22)에 걸쳐서 제1 기판(26)과 웨이퍼(30) 간에 불균일한 거리 "d"를 초래할 수 있다. 또한, 제1 기판(26)과 웨이퍼(30) 사이에 형성된 각 Θ은 상기 논의된 바와 같이 이 정보로부터 결정할 수 있다. 비드(36d 및 36e)의 영역이 초기에 상이하다고 가정하면, 제1 기판(26)과의 기계적 접척으로 초래하는 비드(36d 및 36e)의 영역의 상대 변화를 비교함으로써 유사한 정보가 얻어질 수 있다.
구체적으로, 비드(36d 및 36e)의 영역 간의 상대 변화를 분석함으로써 비드(36d 및 36e)에 근접 위치된 영역에서 제1 기판(26) 및 웨이퍼(30)가 동일 거리 "d"로 이격되어 있는 지를 결정할 수 있다. 이 경우에서, 제1 기판(26)과 웨이퍼(30)가 서로 평행하게 연장되어 있다고 결론지을 수 있다. 그렇지 않으면, 제1 기판(26)과 웨이퍼(30)가 서로 평행하게 연장되지 않은 것으로 밝혀진다면, 그 사이에 형성된 각 Θ의 크기를 결정할 수 있다.
도 1, 도 2 및 도 10을 참조하면, 비드(36f, 36g, 36h, 36i 및 36j)와 같은 영역 내 다중 비드를 조사하는 다른 이점은 제1 기판(26) 또는 웨이퍼(30)의 형상을 얻을 수 있다는 것이다. 이는 비드(36) 변화를 조사함으로써 나타낸다. 예를 들면, 기판(26)에 의한 비드(36f, 36g, 36h, 36i 및 36j)의 가압 후, 각각은 가압 패턴(137)을 형성하는 영역(136f, 136g, 136h, 136i 및 136j)에 각기 제공된다. 도시된 바와 같이, 비드(136f 및 136j)는 가장 큰 영역을 가지며, 비드(136g 및 136i)는 두번째로 큰 영역을 갖고, 비드(36h)은 가장 작은 영역을 가진다. 이는 제1 기판(26)이 오목 표면, 즉 구부러져 있거나, 또는 웨이퍼(30)가 구부러져 있음을 가리킬 수 있다. 실험 분석으로부터, 상이한 유형의 가압 패턴에 관한 추가 정보를 얻어서 시스템(10) 내 상이한 형상 또는 결함을 분류하고 특성화할 수 있다. 도한, 이들은 검색표(29)에 사용하여 프로세서(25)가 CCD 센서(23)에 의해 감지된 가압 패턴을 검색표(29) 내 가압 패턴과 맞추고, 자동적으로 시스템(10)에 의해 수행된 가공의 성질, 즉 시스템이 적당하게 기능하고 있는 지 및/또는 허용 가능한 인장이 생성되었는 지를 확인할 수 있다.
또한, CCD 센서(23)는 웨이퍼(30)에 걸친 인장층(34)의 확산의 종점 검출에 대해 수행할 수도 있다. 이를 위하여, CCD 센서(23)의 1 이상의 픽셀을 배열하여 웨이퍼(30)의 부분을 감지할 수 있다. 도 7에 도면 번호 87a, 87b, 88a 및 88b로 도시된 부분은 영역(22)에 위치히고, "d"가 소정 정도에 도달한 후 인장층(34)의 주변에 근접한다. 이 방식에서, CCD 센서(23)의 픽셀은 소정 거리 "d"에 도달하였을 때 가리키는 종점 검출 시스템으로서 사용할 수 있으며, 이로써 소정 두께의 인장층(34)을 형성하기 위한 비드(36)의 확산을 결과한다. 이는 인장 헤드(12)가 인장층(34)의 인장을 촉진하기 위하여 착수해야 하는 이동 정도를 결정하는 것을 촉진한다. 이를 위하여, CCD 센서(23)가 부분(87a, 87b, 88a 및 88b)에 근접한 인장층(34)의 조재를 검출하면, 상기에 관한 데이터는 프로세서(25)에 전달된다. 이에 따라, 프로세서(25)는 작동하여 인장 헤드(12)의 이동을 중지시켜서 제1 기판(26)과 웨이퍼(30) 간의 거리 "d"를 고정한다.
본 발명의 다른 구체예에 따른 도 2, 도 7 및 도 11을 참조하면, 검출 시스템은 1 이상의 포토다이오드를 포함할 수 있는데, 도면 번호 90a, 90b, 90c 및 90d로 나타낸 네 개는 종점 검출을 촉진하기 위하여 포함될 수 있다. 포토다이오드(90a, 90b, 90c 및 90d)는 파형 광학장치(91)를 포함하고, 제1 기판(26)의 소정 부분, 예컨대 부분(88a)을 감지하도록 배열된다. 그러나, 포토다이오드(90a, 90b, 90c 및 90d)가 부분(88b, 87a 및 87b)도 감지하는 것도 유리하다. 그러나, 논의의 용이함을 위하여, 포토다이오드는 영역(88a)에 대하여 논의하며, 본 논의는 영역(87a, 87b 및 88b)을 감지하기 위한 추가의 포토다이오드의 사용에 동일하게 적용됨을 이해해야 한다.
종점 검출을 촉진하기 위하여, 포토다이오드(90a, 90b, 90c 및 90d)는 "d"가 소정 정도에 도달한 후 인장층(34)의 주변에 근접 위치된 제1 기판(26)의 부분을 감지하도록 위치 설정된다. 그 결과, 포토다이오드(90a, 90b, 90c 및 90d)는 도 1에 도시된 CCD 센서(23)에 관하여 상기 논의된 바와 같은 종점 검출 시스템으로서 사용될 수 있다. 도 2, 도 7 및 도 11을 다시 참조하면, 포토다이오드(90a, 90b, 90c 및 90d)는 프로세서(25)와 데이터 통신 상태에 있어서 부분(88a 및 88b)에 대한 정보, 예컨대 부분(88)으로부터 반사된 광의 강도를 전송한다. 구체적으로, 부분(88)은 반사성인데, 즉 미러는 주변의 포토다이오드(90a, 90b, 90c 및 90d)로 반사한다. 인장층(34)에 의해 피복되었을 때, 부분(88a 및 88b)으로부터 반사하는 광의 에너지는 완전히 약화되지 않는다면 실질적으로 감소되며, 이로써 포토다이오드(90a, 90b, 90c 및 90d)에 작용하는 광학 에너지의 파워를 감소시킨다. 포토다이오드(90a, 90b, 90c 및 90d)는 시그널을 생성하며, 이에 따라 프로세서(25)에 의해 해석된다. 따라서, 프로세서(25)는 인장 헤드(12)의 이동을 중지하도록 작동하고, 제1 기판(26)과 웨이퍼(30) 간의 거리 "d"를 고정한다. 포토다이오드(90a, 90b, 90c 및 90d)에 관하여 논의된 검출 시스템은 도 1에 관하여 논의된 CCD 센서(23) 및 파형 광학장치(24)와 연관하여 사용될 수 있음을 이해해야 한다. 포토다이오드(90a, 90b, 90c 및 90d)를 사용하는 이점은 데이터 획득이 CCD 센서(23)의 픽셀에 의해 제공되는 것보다 빠르다는 것이다.
도 2, 도 11 및 도 12를 참조하면, 본 발명의 다른 구체예는 비드(36)와 관련된 부피를 모르면서 제1 기판(26) 및 웨이퍼(30)의 특성을 검출하는 것을 촉진하는 것으로 나타난다. 이를 위하여, 시스템(110)의 본 구체예는 CCD 센서(23)와 함께 포토다이오드(90a, 90b, 90c 및 90d) 또는 이들의 조합을 사용할 수 있는 간섭계(98)를 포함한다. 상기 논의된 바와 같이, 시스템(110)은 파형 광학장치(24) 및 방사선원(16), 미러(20) 및 인장 헤드(12)를 포함한다. 인장 헤드(12)는 웨이퍼(30)에 대향 배치된 제1 기판(26)을 보유하며, 웨이퍼(30)는 스테이지(14)에 의해 지지된다. 프로세서(120)는 인장 헤드(12), 스테이지(14), 방사선원(16), CCD 센서(23) 및 간섭계(98)와 데이터 통신 상태에 있다. 또한, 간섭계(98)에 의해 생성된 빔을 영역(22)으로 반시시킬 수 있는 한편, CCD 센서(23)로 하여금 영역(22)를 감지할 수 있게 하는 50-50 미러(25)는 간섭계(98)의 광학 경로에 배치된다.
간섭계를 사용하면, 비드(36)의 초기 부피에 관한 정확한 정보를 갖지 않아도 거리 "d"를 결정하는 것을 촉진한다. 거리 "d"를 측정하는 데 사용되는 예시적인 간섭계 시스템은 본 명세서에서 참고로 포함하는 미국 특허 출원 제10/210,894호, 발명의 명칭 "인장 리소그래피용 배열 시스템"에 기재되어 있다.
간섭계(98)를 사용하면, 초기 거리 "d" 및 거리 변화 Δd를 동시에 측정하는 것을 촉진한다. 이 정보로부터, 1 이상의 비드(36)와 관련된 부피를 얻을 수 있다. 예를 들면, 간섭계(98)는 두 개의 상이한 시간 t1 및 t2에서 제1 기판(26)의 두 측정치를 얻어서 제1 기판(26) 변위 측정치 LT를 얻는 데 사용할 수 있다. 동일 시간 동안, 웨이퍼(30) 변위 측정치 LS를 유사한 방식으로 얻을 수 있다. 제1 기판(26)과 웨이퍼(30) 간의 거리 변화 Δd는 다음과 같이 얻는다:
Figure 112005031102477-pct00004
시간 t1 및 t2 동안, 측정치를 CCD 센서(23)로 취하여 1 이상의 비드(36)가 감지된 픽셀의 총 수의 함수로서 1 이상의 비드(36)의 영역 변화를 결정한다. 시간 t1에서, 1 이상의 비드(36)가 감지된 픽셀의 총 수는 np1이다. 시간 t2에서, 1 이상의 비드(36)가 감지된 픽셀의 총 수는 np2이다. 이들 두 값으로부터, 픽셀 변화 Δnp는 다음과 같이 정의한다:
Figure 112005031102477-pct00005
식 4 및 5로부터, 거리 d의 값은 하기 식으로부터 얻을 수 있다:
Figure 112005031102477-pct00006
Figure 112005031102477-pct00007
상기 식에서, d = d1 = d2이다. d1 및 d2를 알면, 치환에 의해 CCD 센서(23)에 의해 감지된 1 이상의 비드(36)의 부피 V를 하기 식에 의해 얻을 수 있다.
Figure 112005031102477-pct00008
Figure 112005031102477-pct00009
싱기 식에서, V = V1 = V2이고, (np1 x 픽셀 크기) = (np2 x 픽셀 크기) = A이다. 제1 기판(26) 및 웨이퍼(30)가 평행하게 유지될 때, 간섭계(98)는 도 1에 도시된 영역(22)의 외측에서 측정될 수 있다.
그렇지 않으면, 간섭계(98) 측정은 영역(22)의 중심에 인접하거나, 비드(36)를 연장하여 이루어져야 한다. 이 방식에서, 도 1에 도시된 시스템(10)을 사용하여 얻어진 기판(26) 특성 정보는 도 12에 도시된 시스템(110)을 사용하여 얻어질 수 있다.
전술한 본 발명의 구체예들은 예시일 뿐이다. 많은 변경과 수정이 본 발명의 범주 내에 유지되면서 전술한 개시 내용에서 이루어질 수 있다. 그러므로, 본 발명의 범주는 상기 설명을 참고로 결정되는 것이 아니라, 균등론의 모든 범주에 따라 첨부된 특허 청구의 범위를 참고로 결정되어야 한다.

Claims (24)

  1. 제1 및 제2 기판의 특성을 결정하는 방법으로서,
    상기 제2 기판의 소정 영역 상에 부피를 갖는 유체를 형성하는 단계;
    상기 부피를 갖는 유체를 상기 제2 기판 위에서 이동시켜 상기 영역의 성질에 변화를 달성함으로써 변화된 성질을 규정(define)하는 단계;
    상기 변화된 성질을 감지하는 단계; 및
    상기 제1 및 제2 기판 중 하나의 특성을 상기 변화된 성질의 함수로서 결정하여 측정된 특성을 규정하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 변화된 성질은 크기, 형상 및 대칭 중에서 선택되는 것을 특징으로 하는 방법.
  3. 삭제
  4. 제1항에 있어서, 상기 부피를 갖는 유체 형성 단계는 상기 제2 기판 상에 상기 유체의 제1 및 제2 이격된 액적을 배치하는 단계를 더 포함하고, 이동 단계는 상기 제1 및 제2 액적을 가압하여 상기 제1 및 제2 액적 중 하나의 기하구조에 변화를 달성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 상기 부피를 갖는 유체 형성 단계는 상기 제2 기판 상에 상기 유체의 제1 및 제2 이격된 액적을 배치하는 단계를 더 포함하고, 상기 제1 액적은 이와 관련된 제1 기하구조를 가지며, 상기 제2 액적은 이와 관련된 제2 기하구조를 갖고, 상기 부피를 갖는 유체 형성 단계는 상기 제2 기판 상에 상기 유체의 제1 및 제2 이격된 액적을 배치하는 단계를 더 포함하며, 상기 부피를 갖는 유체를 가압하는 단계는 상기 제1 및 제2 액적을 가압하여 상기 제1 기하구조에 변화를 달성하여 변화된 기하구조를 규정하는 단계를 더 포함하고, 상기 변화된 기하구조를 상기 제2 기하구조와 비교하여 이들의 차이를 결정함으로써 변동(variance)을 규정하는 단계를 더 포함하며, 상기 특성 결정 단계는 상기 특성을 상기 변동의 함수로서 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 특성 결정 단계는 상기 제1 기판과 제2 기판 간의 거리를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제6항에 있어서,
    상기 제1 및 제2 기판 간의 거리를 조정하는 단계;
    상기 변화된 성질을 재감지하는 단계; 및
    상기 제1 및 제2 기판의 특성을 상기 변화된 성질의 함수로서 재결정하여 측정된 특성을 규정하는 단계를 더 포함하며,
    상기 측정된 특성은 상기 제1 및 제2 기판 간의 조정된 거리를 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서, 상기 특성 결정 단계는 상기 제1 및 제2 기판이 서로 평행하게 연장되는지를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서, 상기 제1 기판은 제1 면에 놓이고, 상기 제2 기판은 제2 면에 놓여서 상기 제1 면과 각을 형성하고, 상기 특성 결정 단계는 상기 각을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제1항에 있어서, 상기 변화된 성질 감지 단계는 상기 부피를 갖는 유체가 상기 부피를 갖는 유체를 가압하기 전에 위치된 상기 제2 기판의 영역의 제1 이미지를 획득하는 단계 및 상기 부피를 갖는 유체를 가압한 후의 상기 영역의 제2 이미지를 획득하는 단계 및 상기 부피를 갖는 유체와 관련된 상기 제1 및 제2 이미지의 정보를 비교하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제1 면에 놓인 제1 기판, 및 부피를 갖는 유체가 기판 위에 배치되고, 제2 면에 놓인 제2 기판의 특성을 결정하기 위한 시스템으로서,
    상기 부피를 갖는 유체가 배치된 상기 기판의 영역을 변화시켜 변화된 성질을 규정하기 위한 변위 메커니즘; 및
    상기 변화된 성질을 감지하고, 상기 제1 및 제2 기판 간의 공간 관계에 상응하는 정보를 상기 변화된 성질의 함수로서 생산하여 확정된 변수를 규정하기 위한 프로세싱 시스템을 포함하는 것을 특징으로 하는 시스템.
  12. 제11항에 있어서, 상기 변화된 성질은 크기, 형상 및 대칭 중에서 선택되는 것을 특징으로 하는 시스템.
  13. 삭제
  14. 제11항에 있어서, 상기 변위 메커니즘은 상기 정보를 수용하고, 그것에 대응하여 상기 제1 및 제2 기판 간의 상기 공간 관계를 조정하여, 원하는(desired) 공간 관계를 획득할 수 있도록 결합되는 것을 특징으로 하는 시스템.
  15. 제11항에 있어서, 상기 프로세싱 시스템은 상기 제1 및 제2 기판 간의 거리를 결정하기 위한 간섭계를 더 포함하는 것을 특징으로 하는 시스템.
  16. 제1 면에 놓인 제1 기판 및 제2 면에 놓인 제2 기판 사이에 배치된 부피를 갖는 유체 특성을 측정함으로써 시스템 조건을 결정하기 위한 시스템으로서,
    상기 부피를 갖는 유체와 상기 제1 및 제2 기판 중 하나 사이에 상대적 이동을 야기하여 상기 부피를 갖는 유체와 중첩(superimposition)되는 상기 제1 및 제2 기판의 영역에 변화를 달성하기 위한 변위 메커니즘;
    상기 영역 변화를 감지하고, 상기 영역 변화에 관한 정보를 지닌 신호를 생산하기 위한 검출기 시스템;
    프로세싱 조건 변화에 따른 상기 부피를 갖는 형상 변화에 관한 데이터의 룩업 테이블을 함유하는 메모리; 및
    상기 신호를 수용하고, 상기 정보를 상기 데이터와 비교하고, 상기 시스템 조건에 상응하는 정보를 생산하기 위한, 상기 메모리와 데이터 통신하는 프로세싱 시스템
    을 포함하는 것을 특징으로 하는 시스템.
  17. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 사이의 상대적 각 위치를 포함하는 것을 특징으로 하는 시스템.
  18. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 면의 상대적 평행 배향을 포함하는 것을 특징으로 하는 시스템.
  19. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 중 하나에 결함의 존재를 더 포함하는 것을 특징으로 하는 시스템.
  20. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 중 하나에 결함의 존재 및 상기 결함의 출처로서 상기 제1 및 제2 기판 사이의 구별을 더 포함하는 것을 특징으로 하는 시스템.
  21. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 중 하나 상에 미립자 오염물의 존재를 더 포함하는 것을 특징으로 하는 시스템.
  22. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 중 하나 상에 미립자 오염물의 존재 및 상기 미립자 오염물의 출처로서 상기 제1 및 제2 기판 사이의 구별을 더 포함하는 것을 특징으로 하는 시스템.
  23. 제16항에 있어서, 상기 프로세싱 조건은 상기 제1 및 제2 기판 간의 거리를 더 포함하는 것을 특징으로 하는 시스템.
  24. 제16항에 있어서, 상기 프로세싱 시스템은 일정 시간 동안 측정된 상기 영역 변화에 대응하여 상기 프로세싱 조건을 결정하는 것을 특징으로 하는 시스템.
KR1020057010814A 2002-12-12 2003-12-12 유체 기하학을 이용한 기판의 특성을 결정하는 방법 및시스템 KR101141560B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/318,365 2002-12-12
US10/318,365 US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries
PCT/US2003/039449 WO2004055594A2 (en) 2002-12-12 2003-12-12 Method and system for determining characteristics of substrates employing fluid geometries

Publications (2)

Publication Number Publication Date
KR20050085630A KR20050085630A (ko) 2005-08-29
KR101141560B1 true KR101141560B1 (ko) 2012-05-03

Family

ID=32506324

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057010814A KR101141560B1 (ko) 2002-12-12 2003-12-12 유체 기하학을 이용한 기판의 특성을 결정하는 방법 및시스템

Country Status (7)

Country Link
US (3) US6871558B2 (ko)
EP (2) EP1570249B1 (ko)
JP (1) JP4563182B2 (ko)
KR (1) KR101141560B1 (ko)
CN (1) CN100485350C (ko)
AU (1) AU2003302248A1 (ko)
WO (1) WO2004055594A2 (ko)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7168936B2 (en) * 2004-03-19 2007-01-30 Intel Corporation Light transparent substrate imprint tool with light blocking distal end
WO2005120834A2 (en) * 2004-06-03 2005-12-22 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4574240B2 (ja) 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7085673B2 (en) * 2004-08-31 2006-08-01 Hewlett-Packard Development Company, L.P. Displacement estimation system and method
SG147417A1 (en) * 2004-09-21 2008-11-28 Molecular Imprints Inc Method of forming an in-situ recessed structure
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
KR20060079710A (ko) * 2005-01-03 2006-07-06 삼성전자주식회사 셀 간격 측정 방법, 이를 포함하는 액정 표시 장치의 제조방법, 이를 위한 셀 간격 측정 장치 및 이를 포함하는인라인 시스템
JP4500183B2 (ja) * 2005-02-25 2010-07-14 東芝機械株式会社 転写装置
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
JP5002211B2 (ja) * 2005-08-12 2012-08-15 キヤノン株式会社 インプリント装置およびインプリント方法
JP5268239B2 (ja) * 2005-10-18 2013-08-21 キヤノン株式会社 パターン形成装置、パターン形成方法
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
CN104317161A (zh) * 2005-12-08 2015-01-28 分子制模股份有限公司 用于衬底双面图案形成的方法和系统
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US7998651B2 (en) * 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US8025829B2 (en) * 2006-11-28 2011-09-27 Nanonex Corporation Die imprint by double side force-balanced press for step-and-repeat imprint lithography
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
JP4810496B2 (ja) * 2007-04-25 2011-11-09 株式会社東芝 パターン形成装置、パターン形成方法及びテンプレート
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
JP2012522327A (ja) * 2009-03-23 2012-09-20 インテバック・インコーポレイテッド パターニングされた媒体の溝と島の比率の最適化のための方法
JP5173944B2 (ja) * 2009-06-16 2013-04-03 キヤノン株式会社 インプリント装置及び物品の製造方法
JP5583374B2 (ja) * 2009-09-07 2014-09-03 株式会社島津製作所 光硬化樹脂の特性試験装置、その試験装置で使用する保持具、特性試験方法
US8891080B2 (en) * 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
JP6282069B2 (ja) 2013-09-13 2018-02-21 キヤノン株式会社 インプリント装置、インプリント方法、検出方法及びデバイス製造方法
JP2014064022A (ja) * 2013-11-11 2014-04-10 Canon Inc インプリント装置
RU2664884C2 (ru) * 2014-07-03 2018-08-23 Двс С.Р.Л. Способ стереолитографии, включающий выполнение компенсации по вертикали, и устройство, служащее для реализации такого способа
JP6472189B2 (ja) * 2014-08-14 2019-02-20 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
KR101980464B1 (ko) 2014-12-12 2019-05-20 캐논 가부시끼가이샤 임프린트 장치, 임프린트 방법 및 물품의 제조 방법
JP5933060B2 (ja) * 2015-03-13 2016-06-08 キヤノン株式会社 インプリント装置および方法ならびに物品製造方法
JP6700936B2 (ja) * 2016-04-25 2020-05-27 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法
JP6685821B2 (ja) * 2016-04-25 2020-04-22 キヤノン株式会社 計測装置、インプリント装置、物品の製造方法、光量決定方法、及び、光量調整方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020016543A (ko) * 2000-08-25 2002-03-04 에이에스엠 리소그라피 비.브이. 리소그래피 장치, 디바이스 제조 방법 및 이것에 의해제조된 디바이스

Family Cites Families (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
EP0091651B1 (en) 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5554336A (en) 1984-08-08 1996-09-10 3D Systems, Inc. Method and apparatus for production of three-dimensional objects by stereolithography
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US5240658A (en) * 1991-03-26 1993-08-31 Lukacs Iii Alexander Reaction injection molding of silicon nitride ceramics having crystallized grain boundary phases
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ko) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
JPH06183561A (ja) 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5837892A (en) * 1996-10-25 1998-11-17 Camelot Systems, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6112588A (en) * 1996-10-25 2000-09-05 Speedline Technologies, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6182042B1 (en) * 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
JP3149855B2 (ja) * 1998-08-27 2001-03-26 日本電気株式会社 固体撮像装置およびその製造方法
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6016696A (en) * 1998-09-25 2000-01-25 Lucent Technologies Inc. Method for determining volume changes in viscous liquids
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6052183A (en) * 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6521324B1 (en) * 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
CA2395760A1 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
AU2001297642A1 (en) * 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP2004515918A (ja) * 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6735972B2 (en) * 2002-03-26 2004-05-18 Bae Systems Controls, Inc. Apparatus and method to substantially minimize low-cycle fatigue of electrical connections
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020016543A (ko) * 2000-08-25 2002-03-04 에이에스엠 리소그라피 비.브이. 리소그래피 장치, 디바이스 제조 방법 및 이것에 의해제조된 디바이스

Also Published As

Publication number Publication date
EP1570249A2 (en) 2005-09-07
WO2004055594A3 (en) 2004-12-23
US7036389B2 (en) 2006-05-02
EP1570249B1 (en) 2012-10-03
EP2418544A3 (en) 2012-04-04
AU2003302248A8 (en) 2004-07-09
US20050028618A1 (en) 2005-02-10
EP1570249A4 (en) 2009-03-25
CN1739015A (zh) 2006-02-22
AU2003302248A1 (en) 2004-07-09
JP4563182B2 (ja) 2010-10-13
EP2418544A2 (en) 2012-02-15
EP2418544B1 (en) 2016-11-02
CN100485350C (zh) 2009-05-06
KR20050085630A (ko) 2005-08-29
US6990870B2 (en) 2006-01-31
US6871558B2 (en) 2005-03-29
JP2006514428A (ja) 2006-04-27
US20040112153A1 (en) 2004-06-17
WO2004055594A2 (en) 2004-07-01
US20040223883A1 (en) 2004-11-11

Similar Documents

Publication Publication Date Title
KR101141560B1 (ko) 유체 기하학을 이용한 기판의 특성을 결정하는 방법 및시스템
JP4791597B2 (ja) ナノ・インプリント・プロセスにおける基板のアラインメント・システム及び方法
EP2227720B1 (en) High throughput imprint based on contact line motion tracking control
KR101299473B1 (ko) 나노 스케일 장치를 제조하기 위한 간섭 분석
KR101076028B1 (ko) 기판 상에 증착된 필름의 특성을 측정하는 방법 및 시스템
US6696220B2 (en) Template for room temperature, low pressure micro-and nano-imprint lithography
TWI431439B (zh) 微影裝置之位準感測器配置及器件製造方法
TWI418950B (zh) 壓印微影術
EP1811337A2 (en) Pattern forming method and pattern forming system
US9958774B2 (en) Imprint lithography
EP2090928A2 (en) Imprint apparatus, imprint method, and mold for imprint
US20070246850A1 (en) Method for Detecting a Particle in a Nanoimprint Lithography System
US8319968B2 (en) Imprint lithography
KR20070041585A (ko) 임프린트 리소그래피 템플릿을 위한 모트 시스템

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160420

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170412

Year of fee payment: 6