CN1739015A - 采用流体的几何参数确定基板的特性的方法和系统 - Google Patents

采用流体的几何参数确定基板的特性的方法和系统 Download PDF

Info

Publication number
CN1739015A
CN1739015A CN200380108949.3A CN200380108949A CN1739015A CN 1739015 A CN1739015 A CN 1739015A CN 200380108949 A CN200380108949 A CN 200380108949A CN 1739015 A CN1739015 A CN 1739015A
Authority
CN
China
Prior art keywords
substrate
fluid volume
character
geometric parameter
changed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200380108949.3A
Other languages
English (en)
Other versions
CN100485350C (zh
Inventor
B·-J·乔伊
S·V·斯里尼瓦桑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Nanotechnologies Inc
Original Assignee
Molecular Imprints Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc filed Critical Molecular Imprints Inc
Publication of CN1739015A publication Critical patent/CN1739015A/zh
Application granted granted Critical
Publication of CN100485350C publication Critical patent/CN100485350C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Abstract

本发明提供一种用于确定基板的特性诸如沾染物的存在与否、形状以及两个间隔的基板之间的空间关系的方法。空间关系包括两个间隔的第一基板与第二基板之间的距离和角度方位。这种技术方法包括在第二基板上形成一个具有与之相关的面积的量的流体。把所述流体量挤压在所述第一基板与第二基板之间而使所述面积的性质发生有效的变化,从而定义变化了的性质。用传感器感测变化了的性质,并根据所述变化了的性质确定所述第一基板和第二基板的特性。

Description

采用流体的几何参数确定基板的特性的方法和系统
                        发明背景
本发明总地涉及一种平板印刷系统。更具体地说,本发明旨在确定一个压印模子和一个在其上将被这个压印模子成形出一个图案的基板之间的空间关系。
在制作特征尺寸小于50nm(纳米)的图案方面压印平板印刷技术(imprintlithography)已经表现出大有希望的应用前景。因此,已有技术中已经提出了许多种压印平板印刷技术方法。Willson等人的美国专利6,334,960揭示了一个示例性的平板印刷压印方法,其包括提供一个具有一个转移层的基板。这种转移层由一种可聚合的流体制剂覆盖着。用一个模子与可聚合的流体机械相接触。模子具有一个凹凸的表面结构,而可聚合的流体制剂充满这一凹凸结构。然后使可聚合的流体制剂经受能够使它固化并聚合的条件,使之在转移层上形成一种固化的聚合材料,这个转移层具有与模子的凹凸结构互补的凹凸结构。然后使模子脱离固化了的聚合材料,这样,模子上的凹凸结构就复制在固化的聚合材料上了。再使转移层和固化了的聚合材料经受一种环境,以便选择性地相对于固化了的聚合材料蚀刻转移层而在转移层上形成一个凹凸图形。
Chou的美国专利5,772,905揭示了一种用于在涂在一个基板上的薄膜上形成图案的平板印刷方法和装置,其中是把一个具有至少一个凸的特征的模子压入在基板上的薄膜。该模子上的凸的特征使薄膜上形成一个凹部。使模子脱离膜,然后对薄膜进行处理,把凹部里的薄膜去掉而暴露出下面的基板。这样就把模子上的图案转移到薄膜上了,完成了制版。在后续的过程中,可将薄膜上的图案重现在基板上或加在基板上的另一材料上。
在Nature,Col.417,pp.835-837,June 2002中,Chou等人以题目为“Ultrafast andDirect Imprint of Nanosrtuctures in Silicon”揭示了另一种压印平板印刷技术方法,其被称为激光辅助直接压印(LADI)工艺。在这种工艺中,是把基板的一个区域制成为可流动的,例如用激光加热这一区域而使之液化。在这个区域达到所希望的粘度之后,使一个其上具有图案的模子与这一区域相接触。使可流动的区域贴合于图案的型面并将其冷却,从而把图案固化到基板上。
在以这种方式成形图案时的一个重要考虑是保持对基板与带有将被记录在基板上的图案的模子之间的距离和方位的控制。否则,薄膜和图案都会出现不希望有的异常。
所以,需要精确地确定模子与基板之间的空间关系,以便能用压印平板印刷工艺在基板上形成精确的图案。
                            发明概要
本发明提供一种用于确定两个互相之间有间隔的基板的特性的方法,这些特性是指诸如有无沾染物的存在、形状、以及空间关系。空间关系包括所述两个基板之间的距离和角度方位。这种方法包括在第二基板上形成一个流体量(volume offluid),这个流体量具有与之相关的面积。对处于第一与第二基板之间的流体量进行挤压,使所述面积的性质发生有效的变化,随后定义变化了的性质。感测所述变化了的性质并根据变化了的性质确定第一和第二基板的特性。这种系统包括用于完成该方法的功能的结构特点。下面将更详细地讨论本发明的实施例。
                        附图简要说明
图1是采用本发明的一个实施例的一个检测系统的平板印刷系统的简化平面图;
图2是图1所示的平板印刷系统的简化的部分正视图;
图3是用于形成图2所示的压印层(imprinting layer)的材料在聚合和交联(cross-link)之前的一个简化的表示;
图4是图3所示的材料经辐射处理后转变而成的交联的聚合物材料的一个简化表示;
图5是在压印层上压出图案之后离开图1所示的压印层的模子的简化的正视图;
图6是在把第一压印层上的图案转移到图5所示的基板上之后,在基板的顶面上的一个附加压印层的简化的正视图;
图7是图1所示的一个晶片的一个区域的顶视图(top down view),其可被用表示在图中的本发明的一个实施例的检测系统来感测;
图8是用模子成形的图1所示的压印层的形状的剖面图,其中晶片不是处于平行于模子的方位;
图9是可用图中表示的本发明的另一实施例的检测系统感测的图1所示的晶片区域的顶视图;
图10是可用图中表示的本发明的再一个实施例的检测系统感测的图1所示的晶片区域的顶视图;
图11是采用本发明的第二个实施例的一个检测的平板印刷系统的简化平面图;
图12是采用本发明的第三个实施例的一个检测系统的平板印刷系统的简化平面图。
                       本发明的详细说明
图1描绘出一个平板印刷系统10,其中包括一个按照本发明的一个实施例的检测系统。该系统10包括一个压印头12和一个与之相对布置的工作台14。一个辐射源16耦合于系统10,用于对运动的工作台14进行光化学辐射。为此,压印头12包括一个通路18,并且平面镜20可使来自辐射源16的光化学辐射进入通路18而辐射到工作台14的区域22上。面对区域22布置有一个包括CCD传感器23和光波成形光学零件24的检测系统。CCD传感器23定位成能感测区域22里的图形。检测系统构造成具有光波成形光学零件24,其位于CCD传感器23与平面镜20之间。处理器25与CCD传感器23、压印头12、工作台14和辐射源16进行数据通讯。
参照图1和2,一个其上具有模子28的第一基板26连接于压印头12。可以用任何已知的技术方法把第一基板26保持于压印头12。在这一例子中,用一个连接于压印头12并对第一基板26施加真空的真空夹头(未示)把第一基板26固定于压印头12。可采用的一种示例性的夹紧系统见题目为《A Chucking System forModulating Shapes of Substrates》的美国专利申请10/239,224(其内容已被本文引用之)。模子28可以是平面的或其上具有特征结构。在这一例子中,模子28包括由许多间隔的凹部28a和凸部28b限定的许多特征结构。这许多特征结构限定了一个原始图案,这个图案将被转移到一个诸如在工作台14上的晶片30上。为此,压印头12安装成能够沿着Z轴线运动而改变模子28与晶片30之间的距离d。工作台14构造成可使晶片30沿着X轴线和Y轴线运动,这里很容易理解,Y轴线垂直于图1所在的纸面。用这种布置,可把模子28上的特征结构压印到晶片30的可流动区域,这将在下面详细讨论。辐射源16的定位使得模子28处在辐射源16与晶片30之间。因此,模子28应该用辐射源16产生的辐射基本上能够穿透的材料诸如熔凝氧化硅或石英玻璃制造。
参照图2和3,诸如压印层34的一个可流动区域设置在表面32的一部分上,这一部分表面是一个大致平的轮廓。可以用任何已知的技术方法来成形可流动的区域,诸如用美国专利5,772,905中所揭示的热压花工艺(其内容已被本文全面引用),或者用Nature,Col.417,pp.835-837,June 2002中Chou等人以题目“Ultrafast andDirect Imprint of Nanosrtuctures in Silicon”揭示的激光辅助直接压印(LADI)工艺。但是在这一实施例中,可流动的区域由压印层34构成,它是滴落在晶片30上的许多间隔的不连续的材料36a的各液滴36,这将在下面详细讨论。用于成形压印层34的材料36a可选择为能聚合和交联的,以便能把原始图案记录在其上,而限定一个记录的图案。图4中所示的材料36a是在各个点36b处交联起来而形成交联的聚合物材料36c。
参照图2、3和5,通过与模子28的机械接触,可使压印层34上部分地产生记录的图案。为此,用移动压印头12来减小距离d,以使压印层34达到与模子28机械接触,把各个液滴36压开来,使它们的材料36a在表面32上互相接触,而形成压印层34。如果模子28的表面是平的,那么通过减小距离d就可形成有大致平的表面的压印层34。在这一例子中,减小距离d可使压印层34的各个小凸部34a进入并填满各个凹部28a。
为使容易填满凹部28a,材料36a应具有符合需要的特性,其既要能完全填满凹部28a,又要能以其各液滴的扩展接触而覆盖表面32。在这一例子中,在达到所希望的通常也是最小的距离d之后,压印层34的各个小凹部34b保持与各个凸部28b密切配合,这时各个小凸部34a的厚度为t1,而各个小凹部34b的厚度为t2。根据具体的应用,厚度t1和t2可以是任一所需要的厚度。典型地,t1选择为不超过各个小凸部34a的宽度u的两倍,即t1<2u,如图5所示。
参照图2、3和4,在达到所需要的距离d之后,让图1所示的辐射源16发出光化学辐射,其将使材料36a聚合并交联而形成交联的聚合物材料36c。其结果,压印层34的制剂就从材料36a转变成乃是固体的聚合物材料36c。具体地说,聚合物材料36c固化成压印层34的表面34c,而压印层34的形状符合模子28的表面28c的形状,如图5所示。在压印层34被转变而像图4所示那样包括了材料36c之后,移动图2所示的压印头12来增大距离d,使模子28和压印层34脱离。
参照图5,可以采用附加的处理来完成晶片30的图案的形成。例如,可以对晶片30和压印层34进行蚀刻,以把压印层34的图案转移到晶片30上,形成如图6所示的具有图案的表面32a。为了便于进行蚀刻,可以改变用于制造压印层34的材料,以按照需要限定相对于晶片30的相对蚀刻率(relative etch rate)。压印层34对晶片30的相对蚀刻率可以在约1.5∶1到约100∶1的范围内。
可被替换选用地,或附加地,可以使压印层34相对于选择性地布置在其上的光阻材料(未示)有蚀刻差异。可以用已知的技术方法提供光阻材料,以便进一步给压印层34做出图案。根据所希望的蚀刻率和制成晶片30和压印层34的基础成份,可采用任何蚀刻工艺。示例性的蚀刻工艺可包括等离子蚀刻、活性离子蚀刻、湿的化学蚀刻等等。
参照图1和2,示例性的辐射源16可产生紫外线辐射。也可以用其它的辐射源,诸如热的、电磁的等等。对于熟悉本技术领域的人,怎样选择用于促使压印层34里的材料的聚合的辐射是已知的,并且典型地可根据所需要的具体应用场合来选择。而且,模子28上的许多特征结构是表示为沿着平行于凸部28b的方向延伸的凹部28a,它们形成了模子28的城墙垛子形状的横断面。但是,凹部28a和凸部28b实际上可以对应于制造集成电路所要求的任何特征结构,并且可以小到纳米的十分之几的量级。因此,可以期待用热稳定材料,例如在室温下(例如25℃)热膨胀系数小于约10ppm/℃的材料,来制造系统10的元件。在某些实施例中,结构材料的热膨胀系数可以小于约10ppm/℃或1ppm/℃。
参照图1、2和7,对于成功地实践压印平板印刷技术的一个重要考虑是精确地确定距离d。为此,本发明的检测系统构造成能够利用各个液滴36的几何参数随着距离d的减小而变化的优点。假定各个液滴36是一种不可压缩的流体,其体积(量)V和距离d的关系定义如下:
                   d=V/A                     (1)
其中A是由CCD传感器23测得的、被流体填满的面积。
为此,CCD传感器23和光波成形光学零件24的组合使这种检测系统能够感测区域22里的一个或多个液滴36。由于第一基板26与晶片30有间隔,一个或多个液滴36的体积(量)使每一个液滴36有一个与体积(量)相关的面积40。随着距离d减小以及第一基板26达到与液滴36机械接触,压缩发生了。这种压缩会使各个液滴36的面积40的性质发生有效的变化,称之为变化了的性质。这些变化与一或诸液滴36的几何参数诸如面积40的形状、尺寸或对称性有关。在这一例子中,变化了的性质表示为42并且与面积的尺寸有关。具体地说,这种挤压使各个液滴36的面积增大了。
面积40的变化被CCD传感器23感测到,传感器产生与之对应的数据。处理器25接收对应于面积40的变化的数据,用方程式1计算距离d。假定CCD传感器23由一N×M象素阵列构成,距离d可由处理器25通过下式确定:
           d=V/tp(Pa)                      (2)
其中tp是一N×M象素阵列里的象素总数,而Pa是每一个象素的面积。
在各液滴36的量为恒定的情况下,能够精确测量面积A所需的CCD传感器23的分辨率可定义如下:
          ΔA=(A/d)Δd                        (3)
假定由CCD传感器23感测到的各液滴36之一的总量V是200nl(纳升),即0.1mm3,以及,d=200nm,那么液体充满的面积A是1000mm2。从(2)式可确定,所需的CCD传感器23的分辨率是5mm2
应该注意到:可把处理器25用在一个反馈回路运算中。用这种方式,可以多次反复计算距离d,一直到确认所希望的距离d已经达到。这样的计算可以实时地动态地进行,或者顺序地进行,距离d是确定为压印头12沿着Z轴线的增量运动。可被替换地,或除此之外,处理器25可与包括查寻表29形式的计算机可读信息的存储器27进行数据通讯。查寻表29中的信息可包括诸如31a、31b和31c所示的几何参数,它们与诸如da、db和dc所示的各个不同距离有关。用这种方式,CCD传感器23可获得与一个或多个液滴36的几何参数有关的信息,并且处理器25可收到这些信息。然后,这些信息被处理而与查寻表29里的能够最接近地匹配于CCD传感器23所感测到的一个或多个液滴36的几何参数联系起来。一旦达到了匹配,处理器就确定存在于查寻表29里的、与匹配几何参数相关的距离d的大小。
除第一基板26与晶片30之间的距离d之外,还可通过分析一个或多个液滴36的流体几何参数来获得关于它们的特性的补充信息。例如,通过分析各液滴36的几何参数,可以确定第一基板26与晶片30之间的角度方位。假定第一基板26是处于第一平面P1内,而晶片30是处于第二平面P2内。假定面积40是径向对称的,那么可以用面积40的径向对称性的任何丧失来确定第一平面P1和第二平面P2是否互相平行。另外,在缺乏径向对称性的情况中,可以用有关面积40的形状的数据确定第一平面P1与第二平面P2之间进而第一基板26与晶片30之间形成的角度Θ,如图8所示。于是,可以确定不希望的压印层34的厚度,因而可避免之。还可以得到其它信息,诸如第一基板26或晶片30或这两者被颗粒物沾染的情况。
特别是,存在于第一基板上的颗粒物可能表现为许多不同的形状。为了进行这一讨论,具有与之相关的不对称面积的一个或多个液滴36可能表明有颗粒沾染物存在于第一基板26或晶片30上。而且,根据先验的沾染物知识,一个或多个液滴36的具体形状可能与第一基板26或晶片30和/或工作台上的特定的缺陷诸如颗粒物沾染以及缺陷的存在相关。这些信息可以包括在上面讨论的查寻表中,以便处理器可以对缺陷进行分类并相应地说明第一基板26和/或晶片30的特性。
参照图1、2和9,通过分析来自区域22里的如36d和36e所示的两个或多个液滴的信息,可以同时地确定在不同的地方第一基板26与晶片30之间的距离d的大小。可以分别对液滴36d和36e像上面讨论的那样确定距离信息。假定液滴36d和36e具有大致相同的面积,若是第一基板26和晶片30基本上平行以及距离d在区域22范围内是均匀的,那么由于第一基板26与这两个液滴的机械接触引起的这两个面积的变化应该是基本上相同的。在与第一基板36机械接触后液滴36d和36e的面积之间的任何不同可归因于第一基板26与晶片30不平行,这可能导致在区域22范围内第一基板26与晶片之间的距离“d”不均匀。可以像上面讨论的那样,从这些信息确定第一基板26与晶片30之间形成的角度Θ。假定液滴36d和36e的面积一开始就是不同的,那么通过比较液滴36d和36e由于与第一基板26的机械接触而产生的面积相对变化,可以获得类似的信息。
特别是,通过分析液滴36d和36e的面积之间的相对变化,可以确定是否是距离“d”在靠近液滴36d和36e的区域第一基板26和晶片30是否间隔一个相等的距离“d”。如果确定出一个相等的距离,那么可以认为第一基板26和晶片30是互相平行的。反之,若是发现第一基板26和晶片30互相不平行,那么可以确定它们之间形成的角度Θ的大小。
参照图1、2和10,检查一个区域里的诸如36f、36g、36h、36i和36j等多个液滴的另一个优点是,可以获得第一基板26或晶片30的形状。这可通过检查液滴的变化来表示。例如,在用第一基板26挤压液滴36f、36g、36h、36i和36i之后,各液滴分别具有面积136f、136g、136h、136i和136i,它们分别定义了一个挤压图案137。如图所示,液滴136f和136i的面积最大,液滴136g和136i的面积次之,液滴136h的面积最小。这可能是第一基板26具有凸的表面的一种表示,就是说,其表面拱凸了,或晶片30的表面拱凸了。从实验分析,可以获得关于不同类型的挤压图案(compression pattern)的几个不同信息,而可对系统10中的不同形状或缺陷进行分类并说明它们的特性。这些也可以用在查寻表29中,以便处理器25可把CCD传感器23感测到的挤压图案匹配于查寻表29里的一个挤压图案,并自动地确定由系统10执行的处理的性质,即,系统是否在正确地执行功能和或是否在给出可以接受的压印。
也可以用CCD传感器23对压印层34在晶片30上的扩展范围进行边缘点检测。为此,可以安排CCD传感器23的一个或多个象素来感测晶片30的一部分。在距离d已经达到所需的大小之后,如图8中的87a、87b、88a和88b所示的那个部分是在区域22里并且靠近压印层34的周边。以这一方式,可把CCD传感器23的各象素用作一个能够表明何时所需的d已达到的边缘点检测系统,从而产生各液滴36的扩展范围而形成所需厚度的压印层34。这便于确定压印头12应该作的运动量,以具有利于压印层34的压印。为此,一旦CCD传感器23检测到靠近87a、87b、88a和88b各部分的压印层34的存在,就把与之相关的数据输送给处理器25。处理器25作出响应而进行运算,指令停止压印头12的运动,把第一基板26与晶片30之间的距离d固定下来。
参照图2、7和11,按照本发明的另一实施例,检测系统可包括一个或多个光电管,可以用诸如90a、90b、90c和90d等四个光电管来便于进行边缘点检测。光电管90a、90b、90c和90d包括各光波成形光学零件91并且布置成能感测第一基板26的一个预定部分,诸如88a。但是,使各光电管也能感测各部分88b、87a和87b是有优点的。但是,为了便于讨论,只针对小区域88a来讨论诸光电管,应该理解,这一讨论同样地适用于用附加的光电管来感测各小区域88b、87a和87b。
为便于进行边缘点检测,把各光电管90a、90b、90c和90d定位成,在距离d已经达到一个所希望的大小之后,能感测第一基板26的靠近压印层34的周边的一个部分。于是,各光电管90a、90b、90c和90d可用作一个边缘点检测系统,其功能与前面针对图1的CCD传感器23所讨论的一样。再看图2、7和11,各光电管90a、90b、90c和90d与处理器25进行数据通讯,发送与部分88a和88b有关的信息,诸如从部分88a和88b反射的光线的强度。具体地说,部分88a和88b可以是反射性的,即,它们是能把外来光反射到各光电管90a、90b、90c和90d上的平面镜。部分88a和88b被压印层34覆盖时,其反射的光的能量即使不是完全衰竭也大大降低,因而照射到各光电管90a、90b、90c和90d上的光能功率也降低。各光电管90a、90b、90c和90d响应光照而发出一个给处理器25去解读的信号。处理器25响应之并进行运算而发出指令使压印头12的运动停止,把第一基板26与晶片30之间的距离d固定下来。应该理解:针对各光电管90a、90b、90c和90d讨论的这种检测系统可以与针对图1讨论的CCD传感器23和光波成形光学零件24并用。采用各光电管90a、90b、90c和90d的优点是,其数据采集比用CCD传感器23的象素进行采集来得快。
参照图2、11和12,它们是本发明的再一个实施例,其便于确定第一基板26和晶片30的特性,而不必知道与各液滴36相关的量。为此,这一实施例的系统110包括一个干涉仪98,其可配用于CCD传感器23、各光电管90a、90b、90c和90d或它们两者的组合。如上所述,系统110包括光波成形光学零件24和辐射源16和平面镜20和压印头12。压印头12在晶片30的反面压住第一基板26,而晶片30支承在工作台14上。处理器25与压印头12、工作台14、辐射源16、CCD传感器23和干涉仪98进行数据通讯。在干涉仪98的光路上还设有一50-50平面镜25,其能把干涉仪产生的光束反射到区域上,同时使CCD传感器23能感测到区域22。
用干涉仪便于确定距离d而不必具有与各液滴36的初始量有关的精确信息。题目为“Alignment System for Imprint Lithography”的美国专利申请10/210,894中描述了一个用于确定距离d的示例性干涉仪系统(其内容已被本文引用之)。
采用干涉仪98便于同时确定初始距离d和距离的变化Δd。从这些信息,可获得与一个或多个液滴36相关的量(体积)。例如,可以用干涉仪98在两个不同的时刻t1和t2获得第一基板26的两个测量值,从而得到第一基板的位移测量值LT。在同一时刻,还可以以类似的方式获得晶片30的位移测量值LS。第一基板26与晶片30之间的距离的变化Δd可按下式求得:
            Δd=|LT-LS|                (4)
在时刻t1和t2,由CCD传感器23得出两个测量值,以根据感测到一个或多个液滴36的象素总数来确定一个或多个液滴36的面积的变化。在时刻t1感测到一个或多个液滴36的象素总数是np1,在时刻t2感测到一个或多个液滴36的象素总数是np2。从这两个数值,象素数目的变化Δnp可用下式求得:
            Δnp=|np2-np1|             (5)
从(4)式和(5)式,可用下面任一方程式求得距离d的数值:
            d1=(Δd/Δnp)np1           (6)
            d2=(Δd/Δnp)np2           (7)
其中d=d1=d2。得出了d1和d2,利用代换,就可从下面任一方程式得出被CCD传感器23感测到的一个或多个液滴36的量(体积)V:
         V1=d1(np1×象素尺寸)              (8)
         V2=d2(np2×象素尺寸)               9)
其中V=V1=V2,以及(np1×象素尺寸)=(np2×象素尺寸)=A。
当第一基板26和晶片30可被保持平行时,干涉仪98可在图1所示的区域22的外面测量。换句话说,应该使干涉仪的测量值靠近区域22的中心或展开的各液滴36的中心。用这种方式,采用图12所示的系统110同样可以得到用图1所示的系统10能得到的第一基板的特性信息。
以上说明的本发明的各实施例是示例性的。在本发明的范围内可以对以上揭示的内容做出许多改变和变型。所以,本发明的范围不是根据上述内容来确定,而是应由权利要求书和它们的等效物的范围来决定。

Claims (24)

1.一种用于确定第一和第二基板的特性的方法,所述方法包括:
在所述第二基板上形成一个流体量,所述流体量具有一与之相关的面积;
在所述第一与第二基板之间挤压所述流体量,使所述面积的性质发生有效的变化,定义变化了的性质;
感测所述变化了的性质;以及
根据所述变化了的性质确定所述第一和第二基板的特性,定义被测得的特性。
2.如权利要求1所述的方法,其特征在于,所述性质是从包括尺寸、形状和对称性的一组几何参数中选得的几何参数。
3.如权利要求1所述的方法,其特征在于,所述性质包括所述流体在所述第二基板上扩展到一个预定的位置。
4.如权利要求1所述的方法,其特征在于,形成所述流体量还包括把所述流体的第一滴和第二滴间隔地滴落在所述第二基板上,以及,挤压所述流体量还包括挤压所述第一滴和第二滴以使所述第一滴和第二滴中之一的几何参数发生有效的变化。
5.如权利要求1所述的方法,其特征在于,所述第一滴具有与之相关的第一几何参数,所述第二滴具有与之相关的第二几何参数,以及,形成所述流体量还包括把所述流体的第一滴和第二滴间隔地滴落在所述第二基板上,以及,挤压所述流体量还包括挤压所述第一滴和第二滴,使所述第一几何参数发生有效的变化,定义一个变化了的几何参数,并且还包括把所述变化了的几何参数与所述第二几何参数进行比较而确定它们之间的不同,定义一个差异,并且确定所述特性还包括根据所述差异确定所述特性。
6.如权利要求1所述的方法,其特征在于,确定特性还包括确定所述第一基板与第二基板之间的距离。
7.如权利要求1所述的方法,其特征在于,确定特性还包括确定所述第一基板和第二基板是否互相平行。
8.如权利要求1所述的方法,其特征在于,所述第一基板处于一个第一平面内,所述第二基板处于一个与所述第一平面形成一个角度的第二平面内,以及,确定所述特性还包括确定所述角度。
9.如权利要求1所述的方法,其特征在于,感测所述变化了的性质还包括:在挤压所述流体量之前采集所述流体量所在的所述第二基板的一个区域的第一个图形,以及在挤压所述流体量之后采集所述区域的第二个图形,并且把与所述流体量相关的所述第一个图形和第二个图形的信息进行比较。
10.如权利要求1所述的方法,其特征在于,所述特性选自包括沾染物的存在、空间关系和形状的一组特性。
11.如权利要求10所述的方法,其特征在于,它还包括根据所述测得的空间关系调整所述第一基板和第二基板之间的所述空间关系,以便得到所希望的空间关系。
12.一种用于确定处于一个第一平面内的一个第一基板与处于一个第二平面内的一个第二基板的空间关系的方法,所述方法包括:
在所述第二基板上形成一个流体量,所述流体量具有与之相关的面积;
在所述第一基板与第二基板之间挤压所述流体量使所述面积的性质发生具有效的变化,定义变化了的性质,并且所述变化了的性质是选自包括尺寸、形状和几何参数的一组性质;
感测所述变化了的性质;以及
根据所述变化了的性质确定所述第一基板和第二基板之间的空间关系,定义一个测得的空间关系,并且所述空间关系是选自包括所述第一平面和第二平面之间的距离以及所述第一平面和第二平面之间形成的一个角度的一组关系。
13.如权利要求12所述的方法,其特征在于,形成所述流体量还包括把所述流体的第一滴和第二滴间隔地滴落在所述第二基板上,以及,挤压所述流体量还包括挤压所述第一滴和第二滴而使所述第一滴的面积发生有效的变化,定义一个变化了的第一面积,并且还包括把所述变化了的第一面积与所述第二滴的面积进行比较以确定它们之间的不同,定义一个差异,并且确定所述空间关系还包括根据所述差异确定所述第一基板与第二基板之间的所述空间关系。
14.如权利要求12所述的方法,其特征在于,感测所述变化了的面积还包括在挤压所述流体量之前采集所述量所在的所述第二基板的一个区域的第一个图形,以及在挤压所述流体量之后采集所述区域的第二个图形,并且把与所述流体量相关的所述第一个图形和第二个图形的信息进行比较。
15.如权利要求13所述的方法,其特征在于,它还包括根据所述测得的空间关系调整所述第一基板与第二基板之间的所述空间关系,以便得到所希望的空间关系。
16.一种用于确定处于一个第一平面内的一个第一基板和处于一个第二平面内的并且其上设置有一个流体量的一个第二基板的特性的系统,所述系统包括:
一个位移机构,其用于改变所述第一基板与第二基板之间的距离,所述距离定义了一个间隙,并且所述流体量具有与之相关的面积,以及,所述位移机构构造成能够挤压所述第一基板与第二基板之间的所述流体量而使所述面积的性质发生有效的变化,定义变化了的性质;
一个检测器系统,其能够感测所述变化了的性质并据之产生数据;以及
一个处理系统,其能够接收所述数据并根据所述变化了的性质产生对应于所述第一基板与第二基板之间的空间关系的信息。
17.如权利要求16所述的系统,其特征在于,所述特性是选自包括沾染物的存在、空间关系和形状的一组特性。
18.如权利要求16所述的系统,其特征在于,所述性质是选自包括尺寸、形状和对称性的一组几何参数的几何参数。
19.如权利要求16所述的系统,其特征在于,所述性质包括所述流体在所述第二基板上扩展到一个预定的位置。
20.如权利要求16所述的系统,其特征在于,所述位移机构是连接成能够接收所述信息并据之调整所述第一基板与第二基板之间的所述空间关系,以便得到一个所希望的空间关系。
21.如权利要求17所述的系统,其特征在于,所述检测器系统还包括一个边缘点检测系统,其能够感测到所述液体量在所述第一基板和第二基板中之一上的一个预定位置的存在。
22.如权利要求17所述的系统,其特征在于,所述流体量还包括定位在所述第二基板上的所述流体的间隔的第一滴和第二滴,并且,所述位移机构构造成能够挤压所述第一滴和第二滴中之一而使所述第一滴和第二滴中之一的几何参数发生有效的变化,并且,所述检测器系统包括一个CCD传感器。
23.如权利要求17所述的系统,其特征在于,所述流体量还包括一个具有与之相关的第一几何参数的第一滴和一个具有与之相关的第二几何参数的第二滴,所述第一滴和第二滴是具有间隔地定位在所述第二基板上,并且,所述位移机构是构造成能够挤压所述第一滴和第二滴而使所述第一几何参数和第二几何参数发生有效的变化,定义一个变化了的第一几何参数和一个变化了的第二几何参数,所述处理器连接成能够把所述变化了的第一几何参数和第二几何参数进行比较而确定它们之间的不同,定义一个差异,以及根据所述差异确定所述特性。
24.如权利要求17所述的系统,其特征在于,所述检测系统还包括一个能够测定所述第一基板与第二基板之间的距离的干涉仪。
CN200380108949.3A 2002-12-12 2003-12-12 采用流体的几何参数确定基板的特性的方法和系统 Expired - Fee Related CN100485350C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/318,365 2002-12-12
US10/318,365 US6871558B2 (en) 2002-12-12 2002-12-12 Method for determining characteristics of substrate employing fluid geometries

Publications (2)

Publication Number Publication Date
CN1739015A true CN1739015A (zh) 2006-02-22
CN100485350C CN100485350C (zh) 2009-05-06

Family

ID=32506324

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200380108949.3A Expired - Fee Related CN100485350C (zh) 2002-12-12 2003-12-12 采用流体的几何参数确定基板的特性的方法和系统

Country Status (7)

Country Link
US (3) US6871558B2 (zh)
EP (2) EP2418544B1 (zh)
JP (1) JP4563182B2 (zh)
KR (1) KR101141560B1 (zh)
CN (1) CN100485350C (zh)
AU (1) AU2003302248A1 (zh)
WO (1) WO2004055594A2 (zh)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
JP2005153091A (ja) * 2003-11-27 2005-06-16 Hitachi Ltd 転写方法及び転写装置
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7168936B2 (en) * 2004-03-19 2007-01-30 Intel Corporation Light transparent substrate imprint tool with light blocking distal end
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
DE602005022874D1 (de) * 2004-06-03 2010-09-23 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
JP4574240B2 (ja) 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7085673B2 (en) * 2004-08-31 2006-08-01 Hewlett-Packard Development Company, L.P. Displacement estimation system and method
WO2006033872A2 (en) * 2004-09-21 2006-03-30 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
JP2006165371A (ja) * 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
KR20060079710A (ko) * 2005-01-03 2006-07-06 삼성전자주식회사 셀 간격 측정 방법, 이를 포함하는 액정 표시 장치의 제조방법, 이를 위한 셀 간격 측정 장치 및 이를 포함하는인라인 시스템
JP4500183B2 (ja) * 2005-02-25 2010-07-14 東芝機械株式会社 転写装置
US7692771B2 (en) 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
JP5002211B2 (ja) * 2005-08-12 2012-08-15 キヤノン株式会社 インプリント装置およびインプリント方法
JP5268239B2 (ja) * 2005-10-18 2013-08-21 キヤノン株式会社 パターン形成装置、パターン形成方法
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
WO2007067488A2 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7854867B2 (en) * 2006-04-21 2010-12-21 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
US7998651B2 (en) * 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US8025829B2 (en) * 2006-11-28 2011-09-27 Nanonex Corporation Die imprint by double side force-balanced press for step-and-repeat imprint lithography
WO2008082650A1 (en) * 2006-12-29 2008-07-10 Molecular Imprints, Inc. Imprint fluid control
JP4810496B2 (ja) * 2007-04-25 2011-11-09 株式会社東芝 パターン形成装置、パターン形成方法及びテンプレート
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090148619A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Controlling Thickness of Residual Layer
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100096764A1 (en) * 2008-10-20 2010-04-22 Molecular Imprints, Inc. Gas Environment for Imprint Lithography
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
KR20120001768A (ko) * 2009-03-23 2012-01-04 인테벡, 인코포레이티드 패턴드 미디어에서의 아일랜드 대 트랜치 비의 최적화를 위한 공정
JP5173944B2 (ja) * 2009-06-16 2013-04-03 キヤノン株式会社 インプリント装置及び物品の製造方法
JP5583374B2 (ja) * 2009-09-07 2014-09-03 株式会社島津製作所 光硬化樹脂の特性試験装置、その試験装置で使用する保持具、特性試験方法
US8891080B2 (en) * 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
JP6282069B2 (ja) * 2013-09-13 2018-02-21 キヤノン株式会社 インプリント装置、インプリント方法、検出方法及びデバイス製造方法
JP2014064022A (ja) * 2013-11-11 2014-04-10 Canon Inc インプリント装置
SG11201610436SA (en) * 2014-07-03 2017-01-27 Dws Srl Stereolithography method comprising a vertical compensation process, as well as apparatus and computer program product suited to implement said method.
JP6472189B2 (ja) * 2014-08-14 2019-02-20 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
WO2016092697A1 (ja) 2014-12-12 2016-06-16 キヤノン株式会社 インプリント装置、インプリント方法及び物品の製造方法
JP5933060B2 (ja) * 2015-03-13 2016-06-08 キヤノン株式会社 インプリント装置および方法ならびに物品製造方法
JP6685821B2 (ja) * 2016-04-25 2020-04-22 キヤノン株式会社 計測装置、インプリント装置、物品の製造方法、光量決定方法、及び、光量調整方法
JP6700936B2 (ja) * 2016-04-25 2020-05-27 キヤノン株式会社 インプリント装置、インプリント方法、および物品の製造方法

Family Cites Families (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
EP0091651B1 (en) 1982-04-12 1988-08-03 Nippon Telegraph And Telephone Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5096368A (en) * 1987-11-20 1992-03-17 Butterfield Floyd S Method for storing and transporting stacks of flexible sheets
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4848179A (en) 1988-02-16 1989-07-18 Trw Inc. Flexidigit robotic manipulator
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4887283A (en) 1988-09-27 1989-12-12 Mitsubishi Denki Kabushiki Kaisha X-ray mask and exposure method employing the same
US5876550A (en) 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
JPH02289311A (ja) * 1989-01-25 1990-11-29 Hoya Corp スタンパーおよびこのスタンパーを用いる情報記録媒体用基板の製造方法
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US5240658A (en) * 1991-03-26 1993-08-31 Lukacs Iii Alexander Reaction injection molding of silicon nitride ceramics having crystallized grain boundary phases
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US4964145A (en) 1989-07-24 1990-10-16 International Business Machines Corporation System for magnification correction of conductive X-ray lithography mask substrates
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5505349A (en) 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (ja) 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
US5155749A (en) 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5357122A (en) 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (ja) 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
JP2821073B2 (ja) 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5504793A (en) 1995-02-17 1996-04-02 Loral Federal Systems Company Magnification correction for 1-X proximity X-Ray lithography
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5625193A (en) 1995-07-10 1997-04-29 Qc Optics, Inc. Optical inspection system and method for detecting flaws on a diffractive surface
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US5825482A (en) 1995-09-29 1998-10-20 Kla-Tencor Corporation Surface inspection system with misregistration error correction and adaptive illumination
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
US5837892A (en) * 1996-10-25 1998-11-17 Camelot Systems, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6112588A (en) * 1996-10-25 2000-09-05 Speedline Technologies, Inc. Method and apparatus for measuring the size of drops of a viscous material dispensed from a dispensing system
US6036055A (en) 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5988859A (en) 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US6182042B1 (en) * 1998-07-07 2001-01-30 Creative Technology Ltd. Sound modification employing spectral warping techniques
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
JP3149855B2 (ja) * 1998-08-27 2001-03-26 日本電気株式会社 固体撮像装置およびその製造方法
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6016696A (en) * 1998-09-25 2000-01-25 Lucent Technologies Inc. Method for determining volume changes in viscous liquids
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) * 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6052183A (en) * 1999-04-14 2000-04-18 Winbond Electronics Corp In-situ particle monitoring
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
US6522411B1 (en) * 1999-05-25 2003-02-18 Massachusetts Institute Of Technology Optical gap measuring apparatus and method having two-dimensional grating mark with chirp in one direction
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6521324B1 (en) * 1999-11-30 2003-02-18 3M Innovative Properties Company Thermal transfer of microstructured layers
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
WO2001047003A2 (en) * 1999-12-23 2001-06-28 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
JP3847512B2 (ja) * 2000-02-07 2006-11-22 株式会社日立メディコ 磁気共鳴イメージング装置
US6234379B1 (en) * 2000-02-28 2001-05-22 Nordson Corporation No-flow flux and underfill dispensing methods
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
EP1303792B1 (en) * 2000-07-16 2012-10-03 Board Of Regents, The University Of Texas System High-resolution overlay alignement methods and systems for imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) * 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) * 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
DE60131203T2 (de) * 2000-08-25 2008-08-07 Asml Netherlands B.V. Lithographischer Apparat
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP2004515918A (ja) * 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US6561706B2 (en) * 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6735972B2 (en) * 2002-03-26 2004-05-18 Bae Systems Controls, Inc. Apparatus and method to substantially minimize low-cycle fatigue of electrical connections
US6743713B2 (en) * 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Also Published As

Publication number Publication date
WO2004055594A3 (en) 2004-12-23
JP4563182B2 (ja) 2010-10-13
CN100485350C (zh) 2009-05-06
EP1570249A2 (en) 2005-09-07
US6990870B2 (en) 2006-01-31
EP1570249B1 (en) 2012-10-03
US20040223883A1 (en) 2004-11-11
KR20050085630A (ko) 2005-08-29
EP2418544B1 (en) 2016-11-02
US20040112153A1 (en) 2004-06-17
EP2418544A3 (en) 2012-04-04
US7036389B2 (en) 2006-05-02
KR101141560B1 (ko) 2012-05-03
US20050028618A1 (en) 2005-02-10
AU2003302248A8 (en) 2004-07-09
WO2004055594A2 (en) 2004-07-01
AU2003302248A1 (en) 2004-07-09
US6871558B2 (en) 2005-03-29
JP2006514428A (ja) 2006-04-27
EP1570249A4 (en) 2009-03-25
EP2418544A2 (en) 2012-02-15

Similar Documents

Publication Publication Date Title
CN1739015A (zh) 采用流体的几何参数确定基板的特性的方法和系统
JP4791597B2 (ja) ナノ・インプリント・プロセスにおける基板のアラインメント・システム及び方法
US6696220B2 (en) Template for room temperature, low pressure micro-and nano-imprint lithography
CN100498209C (zh) 用于测量布置在基底上的薄膜的特征的方法和系统
CN1928711B (zh) 模具、压印方法和用于生产芯片的工艺
US20070246850A1 (en) Method for Detecting a Particle in a Nanoimprint Lithography System
US20100110434A1 (en) Alignment for Edge Field Nano-Imprinting
US20020093122A1 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
JP5539998B2 (ja) 光指向性センサ
CN1643650A (zh) 激光辅助直接压印平板印刷术
TW200405130A (en) Embossed mask lithography
CN101036086A (zh) 挠性纳米压印模板
CN101535021A (zh) 用于衬底双面图案形成的方法和系统
CN101454636A (zh) 间隙测量方法、压印方法和压印设备
US20220390834A1 (en) Template replication
TW201127593A (en) Large area linear array nanoimpriting
CN100526052C (zh) 具有改进的监测和控制的压印光刻术及其设备
CN113412185A (zh) 生成液滴图案的方法、用于利用液滴图案使膜成形的系统、以及利用液滴图案制造物品的方法
US7291564B1 (en) Method and structure for facilitating etching
CN101292195A (zh) 压印装置、压印方法和压印模具
JP2012146699A (ja) インプリント装置、及びそれを用いた物品の製造方法
CN105974731B (zh) 一种压印板、检测方法及检测装置
US20180074418A1 (en) Optical system for use in stage control
Song et al. Development of the roll type incremental micro pattern imprint system for large area pattern replication
TWM627704U (zh) 超穎透鏡結構及具有超穎透鏡結構之多鏡頭光學模組

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090506

Termination date: 20101212