CN103305804A - 利用受控的固体形态学的流体的基于固体前体的传送 - Google Patents

利用受控的固体形态学的流体的基于固体前体的传送 Download PDF

Info

Publication number
CN103305804A
CN103305804A CN2013101901937A CN201310190193A CN103305804A CN 103305804 A CN103305804 A CN 103305804A CN 2013101901937 A CN2013101901937 A CN 2013101901937A CN 201310190193 A CN201310190193 A CN 201310190193A CN 103305804 A CN103305804 A CN 103305804A
Authority
CN
China
Prior art keywords
source reagent
container
vaporizer
source
knot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2013101901937A
Other languages
English (en)
Other versions
CN103305804B (zh
Inventor
约翰·M·克利里
乔斯·I·阿尔诺
布赖恩·C·亨德里克斯
多恩·纳伊托
斯科特·巴特勒
约翰·格雷格
迈克尔·J·伍德延斯基
许从应
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN103305804A publication Critical patent/CN103305804A/zh
Application granted granted Critical
Publication of CN103305804B publication Critical patent/CN103305804B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/453Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating passing the reaction gases through burners or torches, e.g. atmospheric pressure CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Measurement Of Radiation (AREA)

Abstract

本发明涉及利用受控的固体形态学的流体的基于固体前体的传送。具体地,本发明揭一种用于挥发来源试剂的装置及方法,该来源试剂易于在对应的来源试剂蒸气中产生颗粒或存有颗粒,其中此颗粒产生或存在可藉由蒸气产生系统的结构或处理特性元件而压抑。此装置及方法可用于液体及固体来源试剂,特别是固体来源试剂,如金属卤化物,如氯化铪。在一特定实施例中,此来源试剂系以该来源试剂材料之一多孔性单块型整体形式构成。本发明之装置及方法用于提供来源试剂蒸气于如原子层沉积(ALD)及离子植入的应用中。

Description

利用受控的固体形态学的流体的基于固体前体的传送
本专利申请是申请日为2007年8月31日,发明名称为“利用受控的固体形态学的流体的基于固体前体的传送”的中国专利申请200780040766.0(对应于PCT国际申请PCT/US2007/077466)的分案申请。
技术领域
本发明系有关用于来源试剂材料的挥发作用之汽化器装置与系统、及相关方法,该来源试剂材料如使用于化学气相沉积(CVD)、原子层沉积(ALD)及离子植入制程的液体及固体来源试剂。
背景技术
在使用液体及固体材料做为CVD、ALD及离子植入的蒸气之来源试剂中,使用多种试剂,其系加热以形成沉积或植入之来源试剂蒸气。
用于蒸气产生的此液体及固体来源试剂一问题为有关热均质性的需求。详言之,此来源试剂必需均匀加热而在来源试剂材料中无冷点或热点,故蒸气产生作用为尽可能的均匀且可控制的。
于此方面,在来源试剂的特性中有明显的不同,如其等之沸点、熔点及升华温度(可升华的固体来源试剂的例子中)、及其在加热期间对热分解的敏感性,此敏感性为有关于不想要的副产物的产生.该来源试剂汽化的目的为在可控制的速率下汽化来源试剂,故具有最少副产物产量之可再生的蒸气流可以所需的量传送至下游操作设备中。
固体来源试剂在汽化操作中非常难以控制,其中升华温度接近发生热分解及产生热降解副产物的温度,此副产物不利下游沉积或离子植入制程。
此技术领域持续寻求在挥发来源试剂之汽化器系统的改良,该系统可产生用于沉积及离子植入应用之来源试剂蒸气。
发明内容
本发明有关于来源试剂材料汽化之汽化器装置与系统,及相关方法,来源试剂材料如使用于化学气相沉积(CVD)、原子层沉积(ALD)及离子植入制程,亦或用于在基板上形成涂层,以及在清洁应用中的液体及固体来源试剂,例如,试剂材料的使用,如用于制造半导体及微机电产品的制程设备反应室清洁之XeF2。
在一方面,本发明有关于一种汽化器,其包括适于容纳来源试剂的汽化容器,该汽化器适于用来加热在该汽化容器及其中的来源试剂,以由该来源试剂产生蒸气,其中该来源试剂易于在该蒸气中产生或存在有颗粒,该容器定义密闭内体积且具有至少一埠,藉此由该来源试剂衍生的蒸气可由该容器之内体积排放,其中该汽化器包含选自(A)至(H)中之至少一结构构造:
(A)第一构造,其包含至少一突出元件于该内体积内,以适于接触内体积内的来源试剂,及至少一非滤片之颗粒抑制特性元件,其中相对于缺少此颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少由该来源试剂衍生之蒸气中颗粒的产生或存在;
(B)第二构造,其包含盖以及至少一非滤片之颗粒抑制特性元件,该盖封闭该容器以密闭该内体积,该盖包括入口及出口埠,藉此该载气可经由该入口埠导入至内体积,及包括该载气及由该来源试剂衍生之蒸气的载气混合物可由该内体积经由出口埠排放,在该内体积内具有至少一支撑元件,以适于支撑来源试剂于其内,其中相对于缺少该颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少在载气混合物中颗粒的产生或存在;
(C)第三构造,其包含该离子液体于该容器中,以作为储存来源试剂之介质,且于来源试剂排放的条件下,从中释放出该来源试剂;
(D)第四构造,其包含来源试剂于该容器中,该来源试剂为固体形式,其中该固体形具有预定的颗粒尺寸范围及分布的粉末,以进行该加热,其中该预定的颗粒尺寸范围及分布包括在该蒸气产生期间可避免颗粒进入该蒸气中的颗粒大小;
(E)第五构造,其包含单块型多孔性固体来源试剂体;
(F)第六构造,其包含至少一多孔性热传导体于该内体积内,其适于在其孔隙中支撑来源试剂;
(G)第七构造,其包含多个分离的支撑元件位于该容器内体积内,其与壁热接触,并适于在内体积内支撑固体来源材料,用以加热该固体来源材料以形成固体来源材料蒸气;以及
(H)第八构造,其包含内部结构及来源试剂材料,该内部结构在容器之内体积中且与该容器接触,该来源试剂材料与该内部结构接触,该来源材料包括外硬块部分,其可加热以产生来源材料蒸气,以在汽化器的分配操作中从该容器排出。
在另一方面,本发明有关于一种汽化器,其包括适于容纳来源试剂的汽化容器,该汽化器适于用来加热在该汽化容器及其中的来源试剂,以由该来源试剂产生蒸气,其中该来源试剂易于在该蒸气中产生或存在有颗粒,该容器定义密闭内体积且具有至少一埠,藉此由该来源试剂衍生的蒸气可由该容器之内体积排放,且该内体积内具有至少一支撑元件,以适于支撑或者接触内体积内的来源试剂,及至少一非滤片之颗粒抑制特性元件,其相对于一缺少此颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少由该来源试剂或其他颗粒来源衍生之蒸气中颗粒的产生或存在。
该颗粒抑制特性元件为一结构、特性或材料,其相对于一缺少此颗粒抑制特性元件之对应汽化器,可减少由该来源试剂衍生之蒸气中颗粒的产生或存在.在一实施例,该颗粒抑制特征包括一泡沫材料,如一热传导泡沫材料。该泡沫材料也可做为该来源试剂之支撑物或容装物或保留介质。
在另一方面,本发明有关于一种汽化器,其包含一汽化容器,该汽化容器适于用来容纳一来源试剂,以与导入容器中用于接触的载气接触,该汽化器适于用来加热在该汽化容器与该汽化容器中的来源试剂,以由该来源试剂产生蒸气,其中该来源试剂易于在该蒸气中产生或存在有颗粒,该容器定义密闭内体积、封闭该容器的盖以密闭该内体积、入口及出口埠,藉此该载气可经由该入口埠导入至内体积,且包括该载气及由该来源试剂衍生之蒸气的载气混合物,可由该内体积经由出口埠排放,且该内体积内具有至少一支撑元件,以适于支撑其内之来源试剂,及非滤片之颗粒抑制特性元件,其中相对于缺少此颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少由该来源试剂衍生之蒸气中颗粒的产生或存在。
在另一方面,本发明有关于一种汽化器,其包括一容纳固体来源试剂之汽化容器,该汽化器适于用来加热该汽化容器及其中的来源试剂,以由该来源试剂产生蒸气,其中该固体来源试剂包括如下材料,其选自群组包括二甲基联氨、三甲基铝(TMA)、四氯化铪(HfCl4)、四氯化锆(ZrCl4)、三氯化铟、单氯化铟、三氯化铝、碘化钛、羰化钨、Ba(DPM)2、双(四甲基庚二酮)锶(Sr(DPM)2)、TiO(DPM)2、肆(四甲基庚二酮)锆(Zr(DPM)4)、十硼烷、十八硼炕、硼、镁、镓、铟、锑、铜、磷、砷、锂、四氟硼酸钠、并有烷基-脒基(amidinate)配位体之前体、有机金属前体、叔丁基醇锆(Zr(t-OBu)4)、四(二乙基胺基)锆(Zr(Net2)4)、四(二乙基胺基)铪(Hf(Net2)4)、四(二甲基胺基)钛(TDMAT)、叔丁基亚胺基三(二乙基胺基)钽(TBTDET)、五(二甲基胺基)钽(PDMAT)、五(乙基甲基胺基)钽(PEMAT)、四(二甲基胺基)锆(Zr(NMe2)4)、四(叔丁基醇)铪(Hf(tOBu)4)、二氯化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、及前述二或二者以上之相容组合物及混合物。
在一实施例,本发明实施的特定固体来源试剂包括十硼烷、四氯化铪、四氯化锆、三氯化铟、金属有机β-二酮基配合物、六氟化钨、环戊二烯基.环庚三烯基-钛(CpTiCht)、三氯化铝、碘化钛、环辛四烯基-环戊二烯基钛、双环戊二烯基二叠氮钛、三甲基镓、三甲基铟、烷基铝如三甲基铝、三乙基铝、三甲基胺艾伦试剂、二甲基锌、四甲基锡、三甲基锑、二乙基镉及羰化钨。
在另一实施例,该来源试剂包括元素硼、铜及磷:十硼烷:金属卤化物,如卤化镓、卤化铟、卤化锑、卤化砷、卤化镓、碘化铝、碘化钛、金属有机配合物、如(环戊二烯基环庚三烯基-钛)(CpTiCht)、(环辛四烯基环戊二烯基钛)、双环戊二烯基二叠氮钛、In(CH3)2(hfac)、二溴甲基锑化氢及羰化钨、以及金属有机β-二酮基配合物、金属有机醇氧配合物、金属有机羰酸配合物、金属有机芳香基配合物及金属有机醢胺基配合物。
仍在另一实施例,本发明可与任何型式之可藉由加热或溶于溶剂中而液化的来源材料使用,此来源材料包括但未限定为十硼烷(B10H14)、五硼烷(B5H9)、十八硼烷(B18H22)、硼酸(H3BO3)、SbCl3、及SbCl5。在本发明之特定实施例中发现为可使用的其他来源材料包括但未限定为ASCl3、ASBr3、ASF3、ASF5、ASH3、AS4O6、AS2Se3m、As2S2、AS2S3、AS2S5、AS2Te3、B4H11、B4Hl0、B3H6N3、BBr3、BCl3、BF3、BF3·O(C2H5)2、BF3·HOCH3、B2H6、F2、HF、GeBr4、GeCl4、GeF4、GeH4、H2、HCl、H2Se、H2Te、H2S、WF6、SiH4、SiH2C12、SiHCl3、SiCl4、SiH3Cl、NH3、NH3、Ar、Br2、HBr、BrF5、CO2、CO、COCl2、COF2、C12、C1F3、CF4、C2F6、C3F8、C4F8、C5F8、CHF3、CH2F2、CH3F、CH4、SiH6、He、HCN、Kr、Ne、Ni(CO)4、HNO3、NO、N2、NO2、NF3、N2O、C8H24O4Si4、PH3、POCl3、PCl5、PF3、PF5、SbH3、SO2、SF6、SF4、Si(OC2H5)4、C4H16Si4O4、Si(CH3)4、SiH(CH3)3、TiCl4、Xe、SiF4、WOF4、TaBr5、TaCl5、TaF5、Sb(C2H5)3、Sb(CH3)3、In(CH3)3、PBr5、PBr3、及RuF5
此外,在本发明中可使用含有砷、磷、锑、锗、铟、锡、硒、碲、氟、碳、硼、铝、溴、碳、氯、氮、硅、钨、钽、钌、硒、镍、及硫之型式的溶剂(有机或无机)。
本发明另一方面涉及蒸气传送系统,其包括如上所述之汽化器,及与该汽化器耦合用以传送该蒸气至流体利用装置或区域的流体管路,其中该蒸气可为纯形式或为与载气之混合物。
本发明另一方面涉及一种汽化器,其包含汽化容器,该汽化容器适于用来容纳来源试剂,以与导入容器中用于接触的载气接触,该汽化器适于用来加热该汽化容器及其中的来源试剂,以由该来源试剂产生蒸气,该容器定义内体积,密闭元件,如封闭该容器的盖以密闭该内体积,在一实施例中,该密闭元件包括入口及出口埠,藉此该载气可经由该入口埠导入至内体积,及载气混合物,可选择地包括蒸发作用促进元件如配合剂,及包括该载气及由该来源试剂衍生之蒸气的载气混合物,可由该内体积经由出口埠排放,其中该汽化器容纳含有该来源试剂的离子液体。
本发明另一方面涉及一种由固体来源试剂粉末产生蒸气的方法,其藉由加热该粉末以产生蒸气,该方法包括过筛该粉末以取得具有预定的颗粒尺寸范围及分布的粉末,以进行该加热,其中该预定的颗粒尺寸范围及分布包括在该蒸气产生期间可避免颗粒进入该蒸气中的颗粒大小。
本发明另一方面涉及一种由固体来源试剂粉末产生蒸气的方法,该试剂粉末易藉由加热该固体来源试剂粉末,以在该蒸气中产生颗粒固体,该方法包括相对于固体来源试剂粉末,提供具有利于减少在加热时颗粒产生的出现及量的颗粒大小、组成物及形态学的该固体来源试剂粉末。
本发明另一方面涉及一种制备多孔性固体来源试剂制品的方法,该制品为用于藉由加热该制品以产生蒸气,该方法包含提供在粉末型式之来源试剂,且在加热及压力下合并该粉末,以产生多孔性固体来源试剂粒子。
本发明另一方面涉及一种形成多孔性来源试剂制品的方法,该制品为用于曝露于升温条件,以使该来源试剂升华,该方法包含将暂时性介质与粉末型式之该来源试剂混合以形成混合物,将该混合物塑形以形成暂时性介质与来源试剂的复合体,及由该复合体中进行移除该暂时性介质,以形成多孔性来源试剂制品。
本发明另一方面涉及一种产生固体来源试剂之蒸气的方法,该方法包括在汽化容器内提供该固体来源试剂,及加热该汽化容器,以挥发该固体来源试剂及产生来源试剂蒸气,此方法包括以非滤片之颗粒抑制特性元件抑制该来源试剂蒸气中颗粒的存在。
本发明另一方面涉及一种多孔性单块型成型制品,其由可升华的来源试剂形成或包含可升华的来源试剂。在多个实施例中的单块型制品可包括来源试剂,如可升华的来源试剂,或单块型制品可适于储存液体或固体来源试剂,且在此例中该单块型制品可以在单块型制品设置于该汽化容器中之前、之中或之后加载液体或固体来源材料。
本发明另一方面涉及一种汽化器,其包括汽化容器,该汽化容器内包含接触促进材料(如支撑结构材料),以在容器内提供增加的表面积而容纳或其他方式呈现来源试剂(如筛网、毛织物或其他媒介)、或离子液体材料或其相似物,藉此与其组合之来源试剂可被挥发。
本发明另一方面涉及一种汽化器,其包含汽化容器,该汽化容器适于用来容纳来源试剂,以与导入容器中用于接触的载气接触,该汽化器适于用来加热在该汽化容器与该汽化容器中的来源试剂,以由该来源试剂产生蒸气,其中该来源试剂易于在该蒸气中产生或存在有颗粒,该容器定义内体积,封闭该容器的盖以密闭该内体积,该盖包括入口及出口埠,藉此该载气可经由该入口埠导入至内体积,及包括该载气及由该来源试剂衍生之蒸气的载气混合物,可由该内体积经由出口埠排放,且该内体积内具有至少一多孔性金属体,以适于支撑其孔隙内的来源试剂。
本发明另一方面涉及一种由可挥发性固体产生蒸气的方法,该挥发性固体在汽化容器中加热,此方法包含放置至少一多孔性金属体于该汽化容器中,其中该多孔性金属体在其孔隙中含有可挥发性固体。
本发明另一方面涉及一种用于固体来源材料的汽化器,其包括:
单埠容器,其包括界定密闭内体积之壁;
多数个分离的支撑元件,位于该容器内体积内,其与壁热接触并适于在内体积内支撑固体来源材料,用以加热该固体来源材料,以形成固体来源材料蒸气;及
该单埠容器的该单埠为排放埠,其与容器内体积相通,并适于由容器中排出固体来源材料蒸气。
本发明另一方面涉及一种制造微电子元件的方法,其包括使用前述多种汽化器分配的蒸气。
本发明另一方面涉及一种汽化器,其包含汽化容器,内部结构及来源试剂材料,该汽化容器封闭内体积及具有至少一气体埠与该容器之内体积相通,该内部结构在容器之内体积中且与该容器接触,及该来源试剂材料与该内部结构接触,该来源材料包含外硬块部分,其可加热以产生来源材料蒸气,以在汽化器的分配操作中从该容器排出。
本发明的其他方面、特征及实施例将由后面的公开及后附的中请专利范围而是显而易见的。
附图说明
图1为用于本发明多种实施例之汽化器型式的立体透视图。
图2为设置于显示在图1中的汽化器型式之容器的多个通气管之顶视图。
图3为如显示于图1之一般型式的汽化容器之侧视图,说明多数个圆柱型通管。
图4为可用于本发明多种实施例之蒸气传送系统构形的简化概略代表图。
图5为利用本发明之一实施例的汽化器之半导体制造设备的概要图。
图6为包括本发明之另一实施例之汽化器的蒸气产生及利用系统之概略图。
图7为本发明之另一实施例之固体来源蒸气传送系统之概略图。
图8为依本发明之另一实施例利用多孔性金属体支撑可挥发固体的汽化容器之部份透视图。
图9为本发明之另一实施例的汽化容器的概略图。
图10适用于放置在本发明之汽化容器中的固体来源试剂托盘元件的立体图式照片。此商品特征在于其内的多数个圆柱型流体通道以提供蒸气流通过托盘。在长时间热处理来源试剂后会形成结硬材料,照片显示来源试剂的结硬部份正由托盘上的来源试剂之总体质量上除去。
具体实施方式
本发明系有关用于来源试剂材料的挥发作用之汽化器装置、系统、及相关方法以产生用于流体应用制程的蒸气,制程如化学气相沉积或离子植入。
本发明可应用于不同型式的来源试剂,包括液体及半固体来源试剂材料(半固体来源试剂材料于本文中已了解为包含可流动的固体、固体悬浮液、离子液体组成物及其相似物),特别是对固体来源试剂材料之可应用性。使用于本发明实施的固体来源试剂材料可为例如粉末、细沙状、丸状、有孔小珠、砖型、块状、片状、杆状、薄板状、薄膜、涂层等的型式,及如在特定应用中所需要可以多孔性或非多孔性型式实施。
本发明系基于发现多种来源试剂(例如,金属卤化物,如氯化铪)在当挥发产生用于流体应用制程(如学气相沉积或离子植入)的来源试剂蒸气时,非常易于产生颗粒,及基于多种用于在来源试剂衍生的蒸气中抑制颗粒形成和/或捕捉可能存在的颗粒之技术上的发现。
在另一方面,本发明有关于一种汽化器,其包括适于容纳来源试剂的汽化容器,该汽化器适于用来加热在该汽化容器及其中的来源试剂,以由该来源试剂产生蒸气,其中该来源试剂易于在该蒸气中产生或存在有颗粒,该容器定义密闭内体积且具有至少一埠,藉此由该来源试剂衍生的蒸气可由该容器之内体积排放,其中该汽化器包含选自(A)至(H)中之至少一结构构造:
(A)第一构造,其包含至少一突出元件于该内体积内,以适于接触内体积内的来源试剂,及至少一非滤片之颗粒抑制特性元件,其中相对于缺少此颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少由该来源试剂衍生之蒸气中颗粒的产生或存在;
(B)第二构造,其包含盖以及至少一非滤片之颗粒抑制特性元件,该盖封闭该容器以密闭该内体积,该盖包括入口及出口埠,藉此该载气可经由该入口埠导入至内体积,及包括该载气及由该来源试剂衍生之蒸气的载气混合物可由该内体积经由出口埠排放,在该内体积内具有至少一支撑元件,以适于支撑来源试剂于其内,其中相对于缺少该颗粒抑制特性元件之对应汽化器,该颗粒抑制特性元件减少在载气混合物中颗粒的产生或存在;
(C)第三构造,其包含该离子液体于该容器中,以作为储存来源试剂之介质,且于来源试剂排放的条件下,从中释放出该来源试剂;
(D)第四构造,其包含来源试剂于该容器中,该来源试剂为固体形式,其中该固体形具有预定的颗粒尺寸范围及分布的粉末,以进行该加热,其中该预定的颗粒尺寸范围及分布包括在该蒸气产生期间可避免颗粒进入该蒸气中的颗粒大小;
(E)第五构造,其包含单块型多孔性固体来源试剂体;
(F)第六构造,其包含至少一多孔性热传导体于该内体积内,其适于在其孔隙中支撑来源试剂;
(G)第七构造,其包含多个分离的支撑元件位于该容器内体积内,其与壁热接触,并适于在内体积内支撑固体来源材料,用以加热该固体来源材料以形成固体来源材料蒸气;以及
(H)第八构造,其包含内部结构及来源试剂材料,该内部结构在容器之内体积中且与该容器接触,该来源试剂材料与该内部结构接触,该来源材料包括外硬块部分,其可加热以产生来源材料蒸气,以在汽化器的分配操作中从该容器排出。
在本发明之多个特定实施例中,可用于本发明实施之一型式汽化器说明于图1中。图2为置于显示于图1型式的汽化器之容器中的数个排放通管顶视图。图3为显示于图1之通用型式的汽化容器侧面立视图,描绘数个圆柱型通管。
该汽化器传送系统10包括以合适的热传导材料制成的容器12,如银、银合金、铜、铜合金、铝、铝合金、铅、镍复合层、不锈钢、石墨、碳化硅涂覆之石墨、氮化硼、陶瓷材料等,以及组成物、混合物及二或多个该类型材料的合金。
该容器包括底板14及边界侧壁16,其共同形成该容器之内体积。该容器可具有任何形状,其可促进经由容器内体积之载气的均匀流。在一实施例中,该容器具有圆柱型,其具有非常精密之公差(如在每英吋为介于1/1000th至3/1000th范围间)。
该容器包括一盖18,该盖之上设置载气入口阀20以当该阀打开时选择性地导入载气至该容器内体积内。
置于该容器之内体积为数个垂直堆叠的托盘22。所述堆叠托盘为彼此分离,且可从该容器移除以方便清洁及再充填。内部载气接管23置于该容器内并连接(焊接)至具有入口阀20之盖的气体入口,以传送该载气至垂直堆叠托盘的阵列中最底部的托盘下之内体积底部。在图1中,接管23通过每一托盘之圆柱状轴环27,该轴环由托盘之底板24延伸(见图3)。特别若该来源材料为液体,可了解为确保接管与托盘之底板24接合处之防漏密封,密封O环38可置于连续的托盘间。额外的外侧o环也可用来在每一托盘侧壁之顶表面上在托盘间密封。
当该来源试剂为固体型态,多种结构元件也可用来抑制或最小化进入载气流之固体。此阻力进入的特性元件可为任何合适的形式,及可例如包括滤片元件以及非滤片之颗粒抑制特性元件或装置。在多个实施例中,该非滤片之颗粒抑制特性元件可包括迂回流道、向上流动之固体脱离结构、用于颗粒集结或收集功能之切向气流侧气流动等。藉由特定的例示,高纯度不锈钢滤片(如具有孔洞大小在1至100微米间)及至少一非滤片之颗粒抑制特性元件可加至任何合适的位置以控制该载气流量。滤片可为例如可安装于附随于托盘之每一通管30的“入口”,或较大碟形滤片可加至顶托盘并由在容器上安装的盖之压力密封至容器内,或者其他方式设置于出口气体流路径中。
如图3所示,每一独立托盘22具有底板24及侧壁26以形成托盘凹槽27以安置及支撑该来源材料28。所述托盘优选为非反应性热传导材料制成,例如:银、银合金、铜、铜合金、铝、铝合金、铅、镍复合层、不锈钢、石墨、碳化硅涂覆之石墨、氮化硼、陶瓷材料及前述二或二者以上的组成物,混合物及配合物。
每一独立托盘包括数个通管30,其中每一通管包括通气道32用来供载气穿过该通管。所述通管可为任何形状或构形,以提供气流通过。优选,所述通管为圆柱型或圆锥型。在多个实施例中,所述通管从该托盘之底板向上延伸,并定义中央通气道与在该托盘底板上对应的开口相通。在其他实施例,所述通管以相同方式由托盘底板向上延伸,但也向下延伸至托盘下方,所以该中央通气道(如中央孔洞)在该托盘底板之上及下被通管包围。
所述通管可以任何合适的方式固定于该托盘的底板,如焊接、铜锌焊接、机械扣件附加装置、压合、模锻等。可替代地,所述通管可一体成型为该托盘底板之一部份。在一特定实施例,所述通管的每一者之高度为与该托盘侧壁的高度大致相同,虽然在其他可预想的实施例中,所述通管的每一者之高度为可大于或小于该侧壁。
各托盘的侧壁具足够的高度,以致托盘可堆叠而在汽化器之容器内体积中形成垂直延伸的堆叠阵列。
在另一特定实施例,所述托盘可包括分离的匣可由2、3或4向通入口/出口阀使用。
在其他实施例,托盘可被制造为仅具足够的尺寸范围之侧壁以允许架置或固定在汽化容器之内壁表面。
在又一实施例,所述托盘被制造为不具侧壁,而在内体积内的架置由容器之内壁表面以支撑物的协助,以边缘密封衬垫,或其他架置结构或元件完成。可预想的额外实施例中,托盘以彼此垂直空间间隔的关系架置,如组装物。例如,所述托盘可架置在框架或其他定位结构内,如单一阵列,以在该汽化器组装及拆装期间依需要由该容器之该内体积插入及退出。
在一特定实施例中,每一托盘具有周缘侧壁,且每一通管的高度为小于托盘侧壁的高度,因而在通管端部之上提供顶部空间以使气体在各自托盘内分散及循环。
亦可替代地,通管及托盘可构造为在每一托盘中产生流体化床,或托盘可制造为具有多孔性开孔,以致最初支撑于托盘之顶表面的固体或其他来源试剂材料以载气流过该通管或多孔性开孔,而在足够的表面流速以流体化来源试剂.为此目的,该来源试剂优选为粉末或其他细微分离的固体形式,以致附随流体化作用的压力降不会过度。在此配置中,通管或多孔性开孔的尺寸为小至足以维持固体在无流体化之气体流下支撑于托盘上。
更概略言之,所述通管需要具有高度以在放置足够量的不论固体或液体之来源材料时,皆提供防漏区域,以使需要的汽化材料不会渗漏出固体或液体经由所述通管的开放通气道32至下层的容器。在一实施例,每一通管从该托盘底部垂直向上延伸至一高度,该高度可为例如在约0.5mm至约5mm范围间,且优选为在约1.5mm至约3.0mm范围间。
如显示于图1,在每一托盘之通管的位置稍微偏斜于相邻托盘之通管的位置,藉此在结果气体混合物在经由通管传输至下一相邻托盘区域前,迫使载气在托盘内循环以使载气与被汽化之来源材料接触。藉由此配置,该载气与来源试剂材料之多重接触可使载气在高度有效的方式下成为饱和。
该汽化器传送系统10的尺寸可依供应至下游利用流体之设备(如CVD装置或离子植入系统)的蒸气量而大大的不同。在一实施例中,该汽化器为内部直径在约3至6英吋范围间(如约3.75英吋)之圆柱形构造。在汽化容器内体积内的托盘数由汽化器大小决定。在许多实施例中,在汽化容器中包括有3至5个托盘。
可加热含有多数个托盘之汽化器并维持在适于特定来源材料被汽化之温度、适于由该汽化器传送至下游利用流体之设备的载气混合物中来源试剂之需要浓度、且适于用于来源试剂汽化操作的操作条件的特定设定。
该汽化容器的加热可以任何合适的方式实施。在一实施例中,带状加热器围绕汽化器。在另一实施例中,使用具有覆盖汽化器外表面至少一主要部份之形状的加热器以加热汽化容器。在另一实施例,在升温之热传递流体可与汽化容器外表面接近,以利其之加热。在再一实施例中,使用红外线或其他辐射能量照射该汽化容器以加热。
在又一实施例中,本发明预想藉由热气体在容器中循环来加热该来源试剂,以产生来源试剂的对流加热。
汽化容器之加热方法并未特别限定,只要以精准且可靠的方式引导汽化器至所需要的温度并维持于此温度。
需要以热均质的模式加热汽化容器,故在容器之内体积内的温度偏差最小化。在托盘与壁直接热接触的特定实施例中,此藉由壁的热传导的托盘加热提供更方便及有效的方式在托盘上汽化来源试剂。
在某些应用中可能于汽化容器内体积中需要利用增加表面积的结构,以促进用于汽化作用之来源试剂材料的加热范围及速率。
另一获得高效率的来源材料汽化作用之方式为载气可在导入汽化容器之内体积前加热,以助于来源试剂的加热及汽化作用。例如,供应载气至入口阀20的流体管线可保温,或其他方式加热,以在所需的温度传送载气至汽化容器。
在用于可升华的固体来源试剂之蒸气传送的特定配置,本发明之汽化器传送系统利用一系列包括多个加热通管之加热托盘,该加热通管增加加热表面积,因为增加热的分布而可使固体来源材料之升华达到高效益之方式。
在某些应用中,汽化容器需要具有大的热质量,以在处理期间维持较一致的温度。使用大的热质量在涉及由固体态至蒸气态的固体来源材料升华的应用中特别重要。在给定温度,该固体之蒸气压为材料在固体/气体介面之分压,亦即,在给定的时间期间,于固体表面上凝结的分子与由表面升华的分子数一样多。若在气态的分子藉载气由固体/气体接口移除,则破坏平衡。显然,若有足够的热施用于固体表面以补偿固体升华的潜热,则升华在一较高速率发生以修复平衡。藉由在热托盘上提供多数个热通管以及伴随的热汽化容器壁,全部的热传导容器作用为增加升华速率,以产生饱和载气的增加流速及减少汽化之来源材料的沉淀,此沉淀可能堵塞所述通管或托盘之其他孔洞或通道。
在特定应用中使用之汽化器的特定温度将依下游利用流体之装置(如CVD装置或离子植入系统)的操作条件、及提供之来源材料的蒸气压力与量而定。在多个使用可升华的固体来源试剂的特定实施例中,可使用介于约40℃至约300℃范围间的汽化器温度。在特定之实施例中,本发明之涉及金属卤化物固体来源试剂的实现可例如利用在介于200℃至300℃范围间的温度。
在特定实施例,本发明的汽化器传送系统可进一步包括:供应载气至汽化容器的管线;由汽化容器释出来源试剂蒸气之管线;流体管路元件,如流量控制阀、质量流量控制器、调节器、节流器元件、热偶计、压力传感器、监视及控制装置、输入热能至该汽化容器及其内含物的加热器、维持载气供应管线及来源试剂蒸气排放管线在一温度的加热器等。
在本发明的一些实施例,进行来源试剂蒸气排放管线加热以维持此管线的温度比汽化作用的温度高如5-10℃,以防止在此排放管线的凝结。
在使用本发明之汽化器系统中,在将盖关紧至容器前(见图1,其中盖18以螺栓机械紧固件关紧至容器),来源试剂材料可引入该汽化容器,置放于托盘内或其他方式设置于该汽化容器之内体积内。该来源试剂材料可以任何合适的型态,包括固体型态、液体型态、半固体型态或含有溶解或分散于合适的溶剂介质中之来源试剂材料的溶液。
在一实施例,来源试剂材料可以沉积形式提供,其系在托盘凹处内以合适厚度之膜或涂层涂覆在托盘与通管的表面上.此膜或涂层可藉由任何不同的合适方法形成,包括的方法为经加热来源试剂使之熔融、施用熔融的来源试剂材料至托盘及通管的表面、然后冷却施用的材料。
在另一实施例,其中该来源试剂包括金属配合物,该金属配合物可溶解于溶剂中且此得到的溶液施用在托盘与通管的表面上,接着藉由在减压下从施用的材料除去溶剂。
在某些实施例,可能需要由汽化容器同时供应不同来源试剂蒸气至下游利用流体的设备。在此应用中,不同来源试剂材料在汽化容器内体积中可在不同托盘及/或在不同匣中提供。藉由此配置,其可能产生多重成份蒸气,其藉由载气带至下游设备。
汽化器因此可包括于汽化容器之内体积内架置在中央接管上的多数个垂直堆叠托盘。来源试剂材料可承载在容器之内体积内,系藉由置入预定量的来源试剂于托盘内,如计量量的颗粒固体。在汽化容器内载入来源试剂后,容器的顶盖18(参阅图1及图2)置于容器上方且如藉由以聚四氟乙烯或弹性体制造的o形环元件、或藉由金属密封元件及/或藉由机械紧固件(如螺栓紧固件)关紧。
在汽化器组装、并将汽化容器耦合至处理管线以传送载气至容器、并由含有来源试剂蒸气之载气混合物由容器排放后,汽化器加热器致动以进行汽化容器的加热。进行加热以使在汽化器及接触托盘内的内部温度增加至足以汽化来源材料的温度。
在可升华的固体的情况中,升华的速率在第一或最底部的托盘为最大,此系归因于纯载气进入此托盘内,而相对地,部份或完全饱和的载气进入在其上方的托盘。就此而言,需要在底部托盘上承载更多的来源试剂材料和/或增加容器的高度尺寸以产生需要的来源试剂蒸气量并流至下游利用流体之设备。
本发明之汽化系统可有利地用于由多种不同来源试剂材料产生蒸气,且特别可用于可升华的固体如十硼烷、四氯化铪、及其相似者的挥发作用。本发明可用于多种固体来源材料之挥发作用的应用上,如特征为升华温度介于约20℃至约300℃范围间且在升华温度具有一蒸气压力介于约10-2托至约103托范围间的固体材料。
在汽化器内温度可藉由任何热调节系统控制,该系统包括但未限制为线加热器、辐射加热器、热封包、流体循环加热器、电阻加热系统、感应加热系统等,其等之建构及配置为用以控制温度操作。再者,在汽化器中的温度可藉由热偶计、热敏电阻、或任何其他合适的温度感应接点或元件与在其内的热传导的容器及/或托盘之表面接触以感应。该温度感测元件可与中央处理单元耦合操作,中央处理单元如一般用途可程序化计算机、可程序化逻辑单元、微处理机等,其之设置为由温度感应元件接受温度感应讯号,并回应调整加热器、和/或汽化器系统之其他可控制元件,以获得对于特定应用之来源试剂蒸气的所需之生产。
为测定汽化器之来源材料何时用尽,在汽化容器之内体积内可使用一量感测监视系统以测定在顶托盘及/或在底托盘中固体或液体的量,如光纤传感器相联通的连接至托盘底表面之反射表面上,以当托盘接近空或空时提供改变的讯号。
图4为可用于本发明多种实施例之蒸气传送系统66构形的简化概略代表图。
此系统包括汽化器10。载气来源64连接至该汽化器10以提供载气。在导入来源材料的可替代模式中,液体来源材料可从液体来源容器67引入该汽化器,或该汽化器10可以其他方式预充填为粒子或颗粒型式的固体来源试剂。
载气的流速可藉由置于载气传送管线及在传输已汽化之来源材料至制程反应室70之管线上的流量计68监视和控制。在一特定实施例中,此气体传送管线由具有低磨擦系数的材料(如聚合物)制成,以可获得高流速。该汽化器10系有利地以热传导材料制成,此材料可传送由相联通至汽化器单元10之至少一加热器72产生的热能以加热汽化容器及其内容物。
为了达到监视及控制已汽化之来源试剂及与己汽化之来源试剂混合并流至沉积反应宣或其他下游制程单元的载气的目的,在本发明之一实施例中,可使用热电堆红外线侦测系统,兹类型描述于2004年11月23日颁发之美国专利笫6821795号,于2006年3月14日颁发之美国专利第7,011,614号,或于2003年9月9日颁发之美国专利第6,617,175号,及混合系统,其描述于2005年6月21日颁发之美国专利第6,909,973号,于2006年6月6日颁发之美国专利第7,058,519号,或于2006年6月20日颁发之美国专利第7,063,097号。此热电堆红外线侦测系统及混合系统可例如布置于包括该汽化器及试剂来源之制程系统的流体管路中的旁通回路上。
用以完全汽化作用所需的电力量为来源材料与载气的化学性、及混合物的流速之函数。在一特定实施例中,传输至汽化器的热能可在约100W至约3000W范围间以对来源试剂的汽化作用提供高效能恒温温度。
在操作显示于图4型式的汽化器系统时,当使用固体来源试剂材料,此来源试剂材料可加载在干燥箱或手套箱中的托盘,以减少当汽化容器开启时前体与氧及湿气的反应。此在干燥箱或手套箱中的容器接着加载含有来源试剂之托盘,再使用盖子并紧固固定,以形成密闭汽化作用容器。此容器接着耦合制程系统用于载气入口及含蒸气载气混合物排放之喂入及排放管线。
接着从气体来源64引入载气至该汽化器的载气入口20,例如以每分钟1标准立方公分(sccm)至约500sccm范围间的气体流速。该载气于一适当压力传送至汽化器内以提供一稳定的载气流,此载气流导入汽化容器内且由其导入的部份,即容器较低部向外及向上穿过内体积,经过连续的托盘及托盘的通管。
当载气流向上流过垂直堆叠托盘之不同层,载气因汽化之来源试剂材料而饱和。该形成的载气混合物包括该来源试剂蒸气,接着从该汽化器的气体出口阀40流出至该制程反应室70。该制程反应室70可为任何简单型式,在制程反应室内分配之含有来源试剂蒸气的载气混合物被处理或利用。在一特定实施例,该制程反应室70包括原子层沉积反应室或离子植入反应室。
如配合图1-3所描述之用于实施本发明的优选汽化器可由美国康乃狄克州旦布里市(Danbury,Connecticut USA)ATMI公司以商标名
Figure BDA00003223037200201
出售的产品取得。该ProE-Vap汽化器使用含有该来源试剂之堆叠托盘。在此汽化器中,载气由顶端导入并经由向下延伸之喂入管流动至容器底部以在容器内体积内接续的分布及向上流经每一托盘。在此方式中,当容器加热时,传导加热在容器内体积中的托盘以在托盘上产生衍生自来源试剂的蒸气。此产生的蒸气接着进入载气中。此包含来源试剂蒸气之产生的载气混合物接着由汽化器在顶部端经由容器的出口埠排放。从该出口埠,该载气混合物包括该来源试剂蒸气流经该汽化器的排放阀。该排放阀可耦合至下游制程单元附随之流体管路,以将含有来源试剂蒸气的载气混合物由汽化容器流至下游流体制程设备,该设备如化学气相沉积反应室、ALD反应室或离子植入机。
可利用其他汽化器实施本发明,包括描述于在2005年2月23日公开之欧洲专利申请案第1508631号之汽化器“Method and Apparatusfor the Delivery of Precursor Materials”,该专利之公开并入本案参考。此公开的专利申请描述了汽化容器,其中至少一突出物延伸至容器体积的较低部份并与前体接触,及至少一由容器之盖、侧壁及/或底部延伸之突出物。此容器在盖子上可具有入口及出口端口,与流体管路相通且配置为用使载气经容器的内体积流动以与加热之前体蒸气接触。故此突出物做为延伸区域的热传递元件,以在容器内促进前体的挥发作用,及载气的流动分布。
另一可在本发明广泛应用中使用的汽化器为描述在2006年2月2日公开之美国专利中请案第2006/0024439号“System for Controllingthe Sublimation of Reactants”,该专利中公开亦并入本文参考。述于此专利申请案之汽化器包括用于由前体与热传导材料之混合物中挥发前体的热传导容器。此热传导材料可以杆状、粉末、筛网、筛、线圈、板等形式存在。
其他可在本发明广泛应用中使用的汽化器为述于在2005年7月26日授予之美国专利第6,921,062号“Vaporizer Delivery Ampoule”,该专利之公开亦并入本文参考。在此专利中描述之汽化器包括垂直堆叠的容器,如托盘,具有蒸气流导管经由此容器底板以容纳试剂蒸气由容器之内体积流至出口埠。此容器可附设有载气管以导入载气至容器之内体积,且蒸气流导管通道容许载气接触在堆叠容器内的固体,如在每一垂直堆叠之托盘表面的来源试剂固体。
在多个特定方面,本发明有关于由固体或液体来源试剂产生及传送来源试剂蒸气的汽化器系统,其中该来源试剂易产生颗粒且颗粒易存在于由此来源试剂衍生的蒸气中。本发明在易产生颗粒且颗粒易存在于由此衍生的对应蒸气中固体来源试剂的应用中特别有利。
可用于本发明之汽化器系统的固体前体可为任何合适的形式,包括固相金属卤化物、有机金属固体及其相似物。可用于本发明实施之来源试剂的范例包括但未限定为二甲基联氨、三甲基铝(TMA)、四氯化铪(HfCl4)、四氯化锆(ZrCl4)、三氯化铟、三氯化铝、碘化钛、羰化钨、Ba(DPM)2、双(四甲基庚二酮)锶(Sr(DPM)2)、TiO(DPM)2、四(四甲基庚二酮)锆(Zr(DPM)4)、十硼烷、硼、镁、镓、铟、锑、铜、磷、砷、锂、四氟硼酸钠、并有烷基-脒基配位体之前体、有机金属前体、叔丁基醇锆(Zr(t-OBu)4)、四(二乙基胺基)锆(Zr(Net2)4)、四(二乙基胺基)铪(Hf(Net2)4)、四(二甲基胺基)钛(TDMAT)、叔丁基亚胺基三(二乙基胺基)钽(TBTDET)、五(二甲基胺基)钽(PDMAT)、五(乙基甲基胺基)钽(PEMAT)、四(二甲基胺基)锆(Zr(NMe2)4)、四(叔丁基醇)铪(Hf(tOBu)4)、二氯化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、及前述二或二者以上之相容组合物及混合物。
如由前述材料选出之说明范例,氯化铪为用于半导体制程操作中以获得铪及含铪薄膜沉积的来源试剂。氯化铪为固体来源试剂材料,其己发现高度易产生颗粒且颗粒易存在于由氯化铪固体衍生的蒸气中。
本发明预期多种方法、装置构造及技术以解决易产生颗粒之来源试剂材料相关的颗粒问题,此包括挥发粉末固体形式的来源试剂材料以产生来源试剂蒸气。
虽然此些方法、结构及技术在后文中配合粉末固体来源试剂(如氯化铪粉末)描述,应认知在许多例子中的可利用性亦可延伸及涵盖至多种液体来源试剂材料的使用。
如在使用粉末固体来源试剂的应用中之广泛考量,本发明之方法、结构及技术包括但未限制为:
(i)粉末来源试剂在使用前先过筛,以取得该前体固体材料之特定的颗粒大小范围及分布;
(ii)选择当来源试剂挥发时有利于产生颗粒的存在及范围为最小化的颗粒大小、组成物及形态;
(iii)绕过与汽化器耦合的流体管路的主要路径,以具有弯管或过滤器之旁通回路路径由来源试剂蒸气移除颗粒;
(iv)在与载气接触前,以热聚集此固体来源试剂颗粒,如造成来源试剂固体颗粒的熔融或结合,以致细粒子可藉由与其他颗粒合并而移除且不会进入得自固体来源试剂的蒸气中;
(v)在汽化容器中固体来源试剂升华至托盘或侧壁或支撑表面上;
(vi)固体来源试剂升华至有孔小珠或滤片元件上以导入至汽化容器之内体积;
(vii)使用扩散器或流体分散器以在载气导入汽化容器之内体积的位置处分散进入之载气;
(viii)使用多孔性固体来源试剂体,其之形成可藉由如将聚合物或其他暂时性介质与固体来源试剂材料粉末混合,及接着除去聚合物介质或其他暂时性介质,以产生多孔性固体来源试剂体;
(ix)由来源试剂蒸气或含有来源试剂蒸气之载气混合物中静电捕捉来源试剂材料的颗粒;
(x)于初始激活该汽化器时,控制该载气流量,如使用补强流量控制阀,以减轻或去除在汽化器之入口阀开口发生的载气涌量;
(xi)使在汽化容器及下游工具或其他利用流体之设备中压力均等,以减轻或去除在汽化器之入口阀开口发生的载气涌量,如利用初始回流以致由汽化器及附随的流体管路至下游利用流体之设备之通过汽化器流体路径的气体于实质相同压力量;
(xii)利用闸溢流阀以使高流量最小化,以致汽化器之入口阀开口发生的压力上升可维持低于预定的最大值;
(xiii)在汽化器内体积之上部份提供顶托盘或其他来源试剂支撑结构,该顶托盘不含来源试剂,以致此内体积的“空”部份做为提供载气混合物在此内体积部份移除颗粒;
(xiv)在汽化容器的来源试剂支撑结构上之上层部份,使用过滤器;
(xv)使用不同大小的多重过滤器以除去粒子;
(xvi)在汽化器入口使用圆锥形过滤器;
(xvii)汽化器之内体积的出口区域产生旋涡,以由载气混合物捕捉颗粒并防止其被排放;
(xviii)在汽化器出口使用过滤器,如与容器之盖子并用;
(xix)使用旋涡及静电技术彼此结合,以由载气混合物中除去粒子;
(xx)使用系列颗粒过滤器介质,结合筛网或其他保持结构,以维持来源试剂材料于汽化器的托盘或其他支撑结构之位置上;
(xxi)使用离子液体为储存来源试剂之介质,例如将来源试剂溶解于该离子液体中,及使用汽化器维持此含有来源试剂之得到的离子液体溶液;
(xxii)控制升华制程以控制在来源试剂材料衍生之蒸气中产生之颗粒大小,例如藉由汽化容器的控制加热,可选择地涉及温度监视或其他制程变数的监视,及使用监视的信息调节容器的加热;及
(xxiii)提供调节焦耳-汤普森(Joule-Thompson)效应之结构,焦耳-汤普森效应系因含有来源试剂蒸气之载气混合物的膨胀介质冷却所造成。
现将呈述本发明之多种实施例,说明前述用以在来源试剂材料衍生之蒸气中最少化及抑制来源试剂固体颗粒存在的一些方法。
再次参考附图,图5为依据本发明使用汽化器100于半导体制造设备之实施例的概略图。
汽化器100包括由金属或其他热传导材料制成的容器102,其中容器的侧壁在放射状向外延伸的凸缘104之上端终止。顶盖106置于该凸缘104上,并被机械螺栓扣件108固定。在盖上设置入口及出口阀。该入口阀110配置手轮112,以转换该阀体内侧之阀元件的完全开启及关闭的位置。该入口阀110可替代的为自动化制动形式的阀,耦合至气压、电力或其他自动阀制动器。
该入口阀110如图式耦合至接管以传输载气至容器的较低部份而在此容器的内体积内向外及向上流动。该汽化器也包括出口阀116,该出口阀包含手轮118以调整载气混合物之流速,该混合物含有在容器102内由固体来源试剂衍生之被挥发化的材料。该阀116包括可选择地由手轮118驱动之阀元件,以在完全开启及完全关闭的位置间转换。在入口阀的例子中,该出口阀116可为自动化制动形式,耦合至气压、电力或其他自动阀制动器。
在容器102中架置一系列托盘或其他增加表面的元件以在其内提供固体来源试剂的支撑。在描述的实施例中,所述托盘提供延伸通过该托盘之管阵列以做为流体流过的通道。在垂直相邻之托盘的管为彼此偏斜,故载气沿延伸路径流动,亦即,迂回路径,以使含有来源试剂蒸气的载气之加载作用可最大化。
来源试剂材料本身可以任何适当型态提供,如:细沙状、丸状、多孔性固体等,藉此载气流体与固体的接触导致来自固体的蒸气可以加载。该容器102系以加热器150加热,其如图示为导入热回流Q1至容器。
该汽化器的入口阀110系与具有流量控制阀124之进料管122耦接。该进料管在入口阀的另一相对端耦合至载气源120。该流量控制阀124可以定人工控制,或其可经由信号传送线路166与中央处理单元162连接。
该中央处理单元可包括一般用途之可程序化计算机、微处理器、逻辑装置、可程序化逻辑控制器或其相似者,如适于提供在线路166传输之控制信号以开启或关闭阀124至需要的范围以容受由载气源120经进料管122至该汽化器100的载气流。
汽化器的出口阀116系藉由具有流量控制阀132之排放管130连接至三通阀134,藉此三通阀由排放管130之流体可选择地流至管线136及142之一。
在第一配置中,该阀134连接排放管130与旁通管线136。管线136接着耦合至固体脱除单元140,其功用为由含有来源试剂蒸气的载气中脱除颗粒固体,以在排放管线178中排放减少固体的流体。
该固体脱除单元140可包括过滤器、旋风分离器、固体集尘器(如,静电除尘器)、筛网、丝网、或其他固体维持器或列移动元件以做为减少由管线136导入之流体的固体含量,故由固体脱除单元在管178中排放的含有蒸气的流体己减少固体含量至一预期的量,优选为其内实质完全无颗粒固体。
在第二位置的三通阀134耦合至排放管130与进料管142,流体通过其送至原子层沉积(ALD)单元160,以用于在此单元中进行的原子层沉积操作。
进料管142如说明为包含彼此串联之过滤器174及176,以确保颗粒已由送至ALD单元之流体中脱除。过滤器174及176可与固体脱除单元140共同使用,以在流至ALD单元的流体中获得预定之低固体量,或可替代的仅使用过滤器174及176之一者置于进料管142中。
进料管142为显示与加热器152结合,加热器传送热输入Q2至进料管。该加热器152经由信号传输管线172耦合至CPU162,藉此可驱动或选择性调节加热器以提供需要的热输入至该进料管及流经的流体。可替代地,在专用之加热器单元之处,该进料管142可保温或含有以电阻加热之加热元件,或设置其他方式之热输入以维持流至ALD单元之流体于预定温度。
该三通阀134可藉由CPU162经讯号传送线路170而控制。该CPU162亦藉由信号传送线路168接合至在排放管线130中的阀132,藉此阀132可选择性地开启或关闭至所需要的范围,以在需要的排放流速传送含有来源试剂蒸气的流体。
ALD单元160之进料管142上游包括经由信号传送线路182连接至该CPU162的流量控制阀180。
藉由说明用的配置,CPU作为制程设备的控制系统,以调节个别成份之流量、加热器输出、及附加之其他布署在设备中有用的仪器及控制元件(未显示)。
在操作中,加热器150加热该汽化器100至要求温度以在容器102内的托盘上由来源试剂固体产生蒸气。来自来源120的载气经由管122流进该容器102之内体积,并向外及向上流动以接触其内之固体。
因此,载气载入由固体衍生的蒸气中,且此结果流体流入排放管130并如需要进入进料管142及/或管136以在流体流中产生固体减少之需要量。此固体减少的结果流体流入ALD单元160且在此单元中于ALD反应室(未显示)被用于沉积该来自来源试剂衍生的成份于基材上。该ALD单元因此可在相对于被处理之晶圆释出的速率为高效率下操作,此归因于由前述之蒸气传送系统获得之固体减少作用。
图6为图标本发明之另一实施例的包括汽化器200的蒸气产生及利用系统之概略图。
该汽化器200包括容器202,该容器与可紧固于容器之上凸缘部份204的盖206共同封闭内体积210。在此内体积中架置一系列支撑板232,其如显示为垂直彼此间隔且在其中央部份由向下延伸的管230穿过。此管230的上端耦合至包括手轮214的喂入阀212。此喂入阀212由管线220连接至载气来源222,该载气导入容器以载入由支撑在盘232上的固体234衍生之来源试剂蒸气。该固体234可依适用的特定应用而为粒状、颗粒或其他不连续型态。汽化器之容器可以任何合适的方式藉由加热器、热套筒、其他加热装置或配置(未显示)加热。
在内体积210的上部份设置碟状过滤器238,其大致与内体积的横切面面积的面积范围共同延伸,以致向上流动经过容器之载气/来源试剂蒸气混合物可在进入容器之排出流体通道(出口端口)以流至排放阀216前,通过过滤器238而脱除固体,该出口埠可在盖206内由开口构成,且排放阀216之入口设置在此开口中。该排放阀216包括手轮218,并与进料管226连接,藉此由汽化器排放的流体流至流体利用之设备224中,此设备可为化学气相沉积反应室、离子植入单元或其他利用流体装置或区域。
在容器202之内体积210中的每一支撑板232具有固体234在其上,以用于与导入容器之内体积的载气接触。
载气由载气来源222供应并流至进料管220经入口阀212至接管230以在容器202的较低部份排放。因此排放之流体向外及向上流入内体积,通过多个盘232的孔洞或开孔,以载入在盘上由来源试剂粉末或其他固体材料衍生的蒸气。
为了达此目的,除了传导至容器的热外,来自来源222之载气可加热至高温,以促进在容器202内之来源试剂固体材料的挥发作用,此加热系藉由可有效经传导热至容器内的固体之加热套,内建电阻线、或其他加热器或加热元件。为完成此传导热的传递,盘232可延伸及接触容器202限定内体积之壁。
过滤器238可由纤维材料或棉毡、垫、或其他材料形成.此过滤器优选具有充分的穿透性,以在流体通过通道至附随之容器排放阀216的排放埠期间避免不当的压力降。
在过滤器238处,容器之排放阀216的排放埠可在埠或在阀通道或在排放阀的管线下游(如管线226)包括滤片、筛网、或其他固体保持元件。
图6中的托盘显示为具有颗粒形式之固体234于其上,但可了解该固体可提供于支撑板232如沉积膜、涂层或其相似者,藉此当加热盘时,该来源试剂固体蒸发以形成来源试剂蒸气成份,此蒸气进入载气流以形成载气混合物,该混合物由汽化容器排放。
如可进一步替代地,在支撑板232上的固体234可为惰性颗粒,其中来源试剂材料为沉积或涂覆在惰性颗粒上,亦即,此惰性颗粒可做为来源试剂材料之涂层的基材。藉此配置,来源试剂材料易于由基材颗粒挥发,以进入蒸气相并进入流经容器的载气中。
于汽化器入口亦布署过滤器元件(未显示),故来源222导入的气体可通过。在此方式中,可避免来源材料之任何固体含量而造成的问题,如在容器中与来源试剂材料衍生的固体聚集。
首先在提供来源试剂材料时,其可过筛或筛选以获得如最适于特定汽化作用操作之来源材料特定的颗粒大小范围及分布。
图7为本发明之另一实施例的固体来源蒸气传送系统之概略图,该系统包括具有容器302之汽化器300,该容器具有径向及外切延伸的上凸缘304,其系利于盖306以机械螺栓紧固件308螺固至该容器。
在此一方式中,盖及容器302共同封闭内体积,其中配置一系列垂直间隔之托盘350,托盘特征为具穿孔或布满孔洞以容纳向上之气体流通过。如说明,此托盘可提供粒状固体352于其上,且限制固体加载载气中,此托盘可使用筛网364覆盖,如显示于图7之容器内一个托盘的说明。
在此固体保持结构中的变化中,筛网364可与显示于图6之汽化器内体积的上部份之过滤器238组合使用,故过滤器被筛覆盖以确保固体不会流体化于流经容器的载气流中。
如图7所示,该汽化器300由来源326供应载气,如由来源流入进料管324至包括手轮312之入口阀310。该入口阀310与接管360耦合,其在底端开口以容许气体在容器底板向外方向流动并向上经过容器上层部份之各托盘。
在图7所示之实施例,上层托盘350不具有固体于其内,且因此可做为脱离空间以利任何可能进入流体流中的固体脱离,该固体系由在空托盘下方的托盘上固体衍生。
在此实施例中,接管360在其上部具有一系列的正切开孔370,此开口可导引气体于正切方式,如流动线380所显示,故可产生气流旋涡,以造成固体由向上流动至与排放阀314接合的容器埠之气体中脱离。
排放阀314配置手轮316以开启或关闭阀元件至所需的范围,以利含有汽化之来源试剂的载气混合物由排放管线320流至流体利用设备322。流体利用设备322可为半导体制造设备或其他半导体制造工具或流体曝触之反应室,如适于使用由容器内来源试剂衍生的蒸气的特定应用。
在图7之实施例,连接与排放阀314相通之气体流的容器出口埠以过滤器390遮蔽,该过滤器置于盖306的排放开口,该盖与排放阀314的入口通道相接合。过滤器390可用于由容器排放的流体流中脱除任何细微固体颗粒。
因此可以了解在实施本发明时可使用大范围种类的固体脱除元件、结构及技术以确保大致细微粒子及固体的减少,故其等可由在汽化器排放的含来源试剂蒸气流体中实质完全脱除或为至少大量的减少。
除了前述固体脱除元件、结构及技术,在载气中微细粉末及其载入的发生可藉由在容器内提供多孔性单块型形式之固体来源试剂与载气接触而明显减少。
为此目的,来源试剂可与聚合物、结合剂或基质材料混合,接着除去此聚合物、结合剂或基质材料而留下来源试剂,成为具显著孔隙度的单一体。
如特定范例,氯化铪与可溶于极性溶剂的聚合物混合,接着此聚合物可藉由极性溶剂自来源试剂/聚合物体中滤除,以产生氯化铪多孔性体,此多孔性体可与载气(如氩、氦或其相似者)接触以传送氯化铪蒸气至化学气相沉积反应室或其他终端使用的设备中。
如另一变化,来源试剂可与高度多孔性结构混合或倒入多孔性结构以构成复合单块型,该单块型可加热以使来源试剂蒸气逸散。因此,可使用单块型体做为基材,并以来源试剂含浸。例如,该来源试剂可溶解于溶剂或悬浮介质中,其接着与单块型体接触以与溶解或悬浮的来源试剂含浸,再来以干燥或加热除去溶剂以产生含来源试剂之单块型体。
可了解在前述实施例中的单块型体可为任何几何形状且大小适于系统的蒸气供应需求,其中系统内布署的为承载来源试剂的单块型体。
在减少由汽化器排放之含来源试剂蒸气流体之细粉末及其加载的发生之另一特定技术中,首先来源试剂颗粒可在中温条件下加热,以产生最小颗粒的熔融或接合,因而彼此和/或以较大的颗粒合并,藉此可减少在汽化器排放的流体中颗粒的产生。
在另一实施例,用以导入载气至汽化器内体积之接管可在其下端提供扩散器、喷洒头或其他流体分配器或分散元件,以致载气在汽化器的全部横切面分布以向上流动。
在另一方面中,汽化器可以藉由具有流量限制阀之载气进料管供应载气,以当在载气进料管的喂入阀初始开启时,先压制或降低引入载气至容器时伴之随之初始的压力骤升。
在另一方法中,在汽化器入口阀完全开启前,在容器及下游工具间的管线压力可先升至相等于载气压力的压力量,以进一步的技术降低入口阀的最初开口伴随的任何压力骤升以导入载气至汽化器的内体积。
亦可利用由汽化器之排放管线的载气回流以降低任何在汽化器最初激活时的压力骤升。对于相同的目的,在载气进料管中可使用闸溢流阀以限制高流量状况。
在某些例子中,焦耳-汤普森效用可导致含有来源试剂蒸气的流体流冷却,因而由膨胀介质冷却造成的流体流中可能形成颗粒,而此效应可藉由适当设计而使焦耳-汤普森效应最小化。例如可在汽化器系统的管线或端口利用限流口(RFO)元件以限制焦耳-汤普森膨胀效应。笫8图为依本发明之另一实施例的汽化容器410的部份透视图,其利用多孔性金属体450为可挥发固体的支撑物。
除了具有多孔性金属体450外,容器410对应于图1之容器10且在笫8图的参考编号为在图1的对应部份或元件为增加400。据此,图1实施例描述适用于图8实施例,除了有关在图8实施例中出现之多孔性金属体450,其将于后文描述。
图8实施例之该多孔性金属体450为由合适的金属如铝或不锈铜形成的金属泡沫材料,且如图所示置于容器的上托盘,以在即使容器在运送或处理期间倾斜,仍保持来源试剂均匀的分布在托盘中。
此为多孔性固体结构体的泡沫体亦可相对于平面托盘表面为实质提供增加的表面积,而表面积增加之特定量依泡沫体的孔隙度及孔洞大小特性而定。此泡沫体在相对于单独的托盘结构,亦得到更大的金属与化学物的接触。当热输入至容器时,此接着可实现化学物本身更均匀的加热。
此泡沫体实际上可有效的单独使用,如为单块型体、或单块型制品床,其可布署于汽化容器的内体积中于托盘总成或其他支撑结构处。
虽然在图8中说明的容器只仅有在最上层的托盘具有泡沫体,可了解该容器可替代地以分离的泡沫体建构于容器的每一托盘上,或如另一变更,泡沫体可用于数个但非全部的托盘上。在一特定实施例中,其有利的保留顶部托盘没有任何固体且没有任何的泡沫体于其上。
本发明因此提供包括适于维持来源试剂之汽化容器的汽化器,该来源试剂与导入容器中用于接触的载气接触。此汽化器适于汽化容器及其内之来源试剂的加热,以产生由该来源试剂衍生的蒸气,其中该来源试剂易于有颗粒产生及存在于蒸气中。此容器界定内体积,具有可密封该容器的盖以封闭该内体积。此盖包括入口及出口埠,藉此载气经由入口埠可引入该内体积,且包括该载气及由该来源试剂衍生之蒸气的载气混合物可经该出口埠由该内体积排放。在内体积内提供至少一多孔性金属体,该金属体适于支撑来源试剂于其孔隙中。
本发明相关地提出在汽化容器中将可挥发固体进行固体加热以产生蒸气的方法。此方法涉及将至少一多孔性金属体置于汽化容器中,其中该多孔性金属体在其孔隙中含有可挥发固体。
在另一方面中,本发明有关用于固体来源之汽化器,该固体来源为如低蒸气压力材料。此汽化器包括单埠容器,该容器包括界定内体积的壁且在此容器内体积中具有多数个分离支撑元件与壁热接触,且适于在内体积中支撑固体来源材料。此支撑元件提供固体来源材料加热之结构以形成固体来源材料蒸气。在实施例中的单埠容器之单埠为与容器内体积相通的排放埠且适于由容器内排放固体来源材料蒸气。
图9为此型式之汽化容器500的概略图。
在图9实施例中,汽化器500包括制成圆柱形贮藏器的容器502,板元件504紧固于该容器上,如藉由焊接、铜锌焊接、传导接合介质、机械固定、或其他附加技术、材料或元件。可替代地,该汽化器500可相对地与板元件504及容器502一体成形成单一结构。该容器502及板元件504共同封围内体积506。该容器502及板元件504共同封围内体积506。
多个指部510固定近端部于容器的壁508上。为达此目的,壁508可形成多个开孔于其内,开孔的每一大小为可容受指部510,故指部可由壁开孔向容器内体积506内延伸。此些指部可以任何合适的方法紧固于相对的开孔中,以确保及维持容器的防漏完整性。
此指部可例如在接近端部形成螺纹,其互补于在容器壁508相对开孔的螺纹,因而指部可螺固接合至壁508。在此方式中,指部可外加地安装于容器内且可由容器移除。可了解在壁上开孔此可容纳指部的互补螺纹处,此指部可替代地以接合及紧固于壁上。
可替代地,容器502可在壁508上未形成任何开孔,且具有可由容器移除的板元件504,如二件式结构。在此实施例中的指部可在近端部处以任何合适的方法紧固于壁508的内壁表面,如藉由焊接、铜锌焊接、传导接合介质、机械固定、或其他附加技术、材料或元件。
指部510可以任何合适的配置设置于内体积506。例如,指部可彼此叉合,如在说明的实施例中,或其他方式的彼此间隔关系,为螺旋组合、列、或其他阵列。此指部可由汽化器基部或底板部份向上延伸和/或其可由容器侧壁和/或密封元件突出。
每一指部510具有外表面512,该外表面涂覆固体来源试剂的涂层514。藉此配置,热可输入至容器壁508并传导地传递至指部510,加热例如经由包围容器的加热套、经由容器的辐射加热、容器的对流加热、包埋在容器壁的电阻加热元件之容器壁加热、或以其他合适的方式。
当热传递至指部,在其上的来源试剂材料之涂层挥发以形成来源试剂的纯蒸气。
在说明的实施例中,汽化器之板元件504上具有阀头组件,该总成包括可与汽化容器之内体积506相通的内部流体通道的阀体并具有排放配件524,管道、歧管或其他流体管路可藉此配件紧固于汽化器以传送前体蒸气至下游利用流体设备,在此设备中处理此前体蒸气或以其他方式使用之。
此阀体520的内部流体通道含有阀元件,其可选择地可在完全开启及完全关闭位置间转换,且此阀元件机械耦合至手轮522,因而由阀体界定的阀可开启至所需的范围,或关闭前体蒸气流动。
因此在图9中的汽化器500仅提供单埠以做为汽化容器加热形成的前体之蒸气的出口,以致蒸气由内体积506经阀头520流至具有阀的排放配件524,该阀由阀体限定而开启至可容纳此流体。
可确认汽化器容器可制成为具有一、二或多个埠,且此容器可包括盖或为单一容器,其结构为用以导入来源试剂化学物于其内。例如,此容器的形成为使来源试剂化学物可插入及紧固于容器基部。
在另一方面中,本发明提出使用离子液体为来源试剂材料的储存介质,且汽化容器为适于支承含有来源试剂的离子液体。例如,该来源试剂材料可溶解于合适的离子液体介质中,当离子液体加热时释出溶解之来源试剂材料的蒸气。
适用于此应用的离子液体介质可包括但未限制为酸/碱中性离子液体、或离子液体(亦即,如路易斯酸),其做为反应性液体以产生与被储存的来源试剂材料之可逆性反应。此些反应性离子液体具有阳离子成份及阴离子成份。此反应性离子液体的酸性或碱性由阳离子、阴离子或由阳离子与阴离子的组合的强度而决定。
最常见的离子液体包含四烷基磷、四烷基铵、N-烷基吡啶或N,N’-二烷基咪唑阳离子的盐。常见的阳离子含有C1-18烷基(每一各自的烷基依序为,亦即,甲基、乙基、丙基、丁基、戊基、己基、…,为依命名原则表示),且包括但未限制为N-烷基-N’-甲基咪唑及N-烷基吡啶之乙基、丁基及己基衍生物。其他阳离子包括哒嗪离子(pyridazinium)、嘧啶离子(pyrimidinium)、吡嗪离子(pyrazinium)、吡唑离子(pyrazolium)、三唑离子(triazolium)、噻唑离子(thiazolium)、及噁唑离子(oxazolium)。
可使用此称之为任务导向(task-specific)的离子液体,其带有反应性官能基于阳离子上。此任务导向的离子液体可括胺基烷基,如胺基丙基;脲基丙基,及前述阳离子之硫脲基衍生物。任务导向的含有官能性阳离子之离子液体的特定范围包括1-烷基-3-(3-胺基丙基)咪唑盐、1-烷基-3-(3-脲基丙基)咪唑盐、1-烷基-3-(3-硫脲基-丙基)咪唑盐、1-烷基-4-(2-二苯基苯膦基乙基)吡啶盐、1-烷基-3-(3-磺基-丙基)咪唑盐、及三烷基-(3-磺基丙基)鏻。
一广泛种类的阴离子可与此离子液体的阳离子成份配对以获得中性离子液体或具有路易斯酸性或路易斯碱性者。一型式之阴离子由金属卤化物衍生。常使用之卤化物为氯化物,虽然也可使用其他卤化物。供应阴离子成份(如金属卤化物)之优选金属包括铜、铝、铁、钴、铬、锌、锡、锑、钛、铌、钽、镓、及铟。金属氯化阴离子的范例包括CuC12 -、Cu2C13 -、AlCl4 -、A12C17 -、CoCl3 -、CrCl4 -、ZnCl3 -、ZnCl4 -、Zn2Cl5 -、FeC13 -、FeC14 -、Fe2C17 -、TiCl5 -、TiCl6 -2、SnCl5 -、SnCl6 -2等。
其他常用的阴离子包括羰酸、氟化羰酸盐、磺酸盐、氟化磺酸盐、酰亚胺、硼烷、磷酸盐、氯化物等。优选的阴离子包括BF4 -、PF6 -、p-CH3-C6H4SO3 -、CF3SO3 -、CH3OSO3 -、CH3CH2OSO3 -、(CF3SO2)2N-、(NC)2N-(CF3SO2)3C-、CH3COO-及CF3COO-
可制备其他离子液体之卤化物离子液体化合物的范例包括:1-乙基-3-甲基咪唑溴化物、1-乙基-3-甲基咪唑氯化物、1-丁基-3-甲基咪唑溴化物、1-丁基-3-甲基咪唑氯化物、1-己基-3-甲基咪唑离子溴化物、1-己基-3-甲基咪唑氯化物、1-甲基-3-辛基咪唑溴化物、1-甲基-3-辛基咪唑氯化物、单甲基胺氯化氢、三甲基胺氯化氢、四乙基铵氯化物、四甲基胍基氯化氢、N-甲基吡啶氯化物、N-丁基-4-甲基吡啶溴化物、N-丁基-4-甲基吡啶氯化物、四丁基鏻氯化物、及四丁基鏻溴化物。
其他合适的液体载剂包括低聚物及低分子量聚合物、超分歧化及树状无定形聚合物、天然及合成油等。合适的液体载剂的特定范例包括碳酸亚烷酯、乙二醇二甲基醚(glymes)、聚醚油、全氟聚乙醚油、氯化三氟乙烯油、氢氟烃油、聚苯醚、硅酮油、氯化硅酮油、烃(精炼石油)油、超分歧化聚乙烯、超分歧化聚乙烯聚醚、聚酯多元醇、聚醚多元醇、聚碳酸酯等。
在使用中,此含有溶解来源试剂于其中的离子液体可加热以在足够的蒸气压下产生溶解来源试剂之蒸气,故载气与离子液体接触而结合的蒸气将产生含有来源试剂的蒸气,其中来源试剂的浓度为适于所欲使用的终用途之浓度。
本发明之另一方面系有关于一种汽化器,其包含汽化容器,该汽化容器封闭内体积及具有至少一气体埠与该容器之内体积相通,在容器之内体积中且与该容器相通的内部结构及与该内部结构接触的来源试剂材料,该来源材料包括外硬块部分,其可加热以产生来源材料蒸气,以在汽化器的分配操作中从该容器排出。此内部结构可包括托盘、金属泡沫、隔间、鳍状物,延伸表面的突出物等,且容器可额外的包括颗粒抑制特性元件和/或滤片元件。
图10适用于放置在本发明之汽化容器中的固体来源试剂托盘元件的立体图式照片。此商品特征在于其内的多个圆柱型流体通道以提供蒸气通过托盘。在长时间热处理来源试剂后会形成结硬材料,照片显示来源试剂的结硬部份正由托盘上的来源试剂之总体量上除去。
如图10所示,部份的来源试剂材料由托盘表面上的来源试剂的总体量上除去,且此除去部份包括己密实化最上层,此最上层系因最初施用至托盘上的来源试剂之颗粒粉末形式经由热处理而密实化。
如本文所述,结硬一词意指来源试剂材料质量的外表部份,其中此外表部份具有不同于在来源试剂材料此总体量的总体内部或下层部份之形态学上的形式。此结硬部份的形态学之不同特性在于密度、结晶或非晶性特性、微结构、分离或连续特性的程度、分离或连续特性的差异(如,其中结硬部份为熔融或连续,而内部总体部份为分离或不连续的,如,为颗粒或粒子特性)上。
在本发明之一实施例中,来源试剂材料(如ZrCl4及HfCl4)在长时间加热,如至少4小时且优选为介于10至15小时间,在温度高于235℃,如介于235℃至250℃间,在此来源试剂材料总体量上会形成结硬部份,如在此材料的颗粒总体量上。可认知在对于可用于本发明广泛实施的特定来源试剂材料,此高温处理在本发明实施时有很大的变化。
虽然本文己配合本发明之特定方面、特征及示范性实施例详述如上,但可了解本发明并未因此而受限,本领域技术人员可基于本发明公开内容的教示而延伸及涵括多种其他变化、润饰及可替代性的实施例。本文中所请求的本发明范围应宽广地说明及解释,以涵盖在本发明精神及范畴内的所有变化、润饰及可替代性实施例。因此,所有此些可替性实施例、变化及修饰皆视为涵盖于本文中所主张的精神及范畴中。
虽然本文已配合本发明之特定方面、特征及示范性实施例详述如上,但可了解本发明并未因此而受限,本领域技术人员可基于本发明揭露内容的教示而延伸及涵括多种其他变化、润饰及可替代性的实施例.因此,本文中所请求的本发明范围应宽广地说明及解释,以涵盖在本发明精神及范畴内的所有变化、润饰及可替代性实施例。
[主要元件符号说明]
10  汽化器传送系统(汽化器)     12    容器
14  底板                       16    边界侧壁
18  盖                         20    载气入口阀
22  托盘                       23    内部载气接管
24  底板                       26    侧壁
27  托盘圆柱状轴环(凹槽)       28    来源材料
30  通管                       32    通气道
32  开放通气道                 38    密封O-环
40  气体出口阀                 64    载气来源
66  蒸气传送系统               67    液体来源容器
68  流量计                     70    制程反应室
72  加热器                     100   汽化器
102 容器                       104   凸缘
106 顶盖                       108   机械螺栓扣件
110 入口阀                     112   手轮
116 出口阀                     118   子轮
120 载气源                     122   进料管
124 流量控制阀                 130   排放管
132 流量控制阀                 134   三通阀
136 管线                       140   固体脱除单元
142 进料管                     150   加热器
152 加热器                     160   原子层沉积(ALD)单元
162 中央处理单元               166   信号传送线路
168 信号传送线路               170   讯号传送线路
172 传输管线                   174,176 过滤器
178 排放管线                   180   流量控制阀
182 信号传送线路               200   汽化器
202 容器                       204   上凸缘
206 盖                         210   内体积
212 畏入阀                     214   手轮
216 排放阀                     218   手轮
220 进料管                     222   载气来源
224 设备                       226   进料管
230 管                         232   支撑板
234 固体                       238   碟状过滤器
300 汽化器                     302   容器
304 上凸缘                     306   盖
308 机械螺栓紧固件             310   入口阀
312 手轮                       314   排放阀
316 手轮                       320   排放管线
322 流体利用设备               324   进料管
326 来源                       350   托盘
352 粒状固体                   360   接管
364 筛网                       370   正切开孔
380 流动线                     390   过滤器
410 汽化容器                   450   多孔性金属体
500 汽化容器                   502   容器
504 板元件                     506   内体积
508壁                          510   指部
512 外表面                     514   涂层
520 阀头(阀体)                 522   手轮
524排放配件

Claims (6)

1.一种结硬的来源试剂材料,其适合于被蒸发以产生试剂蒸汽,所述结硬的来源试剂材料包含经历热处理的来源试剂材料的物质,所述热处理将一部分所述来源试剂材料的物质熔合成结硬部分,该结硬部分具有与所述来源试剂材料的非熔合部分相比形态学不同的特征,其中所述结硬部分覆盖所述来源试剂材料的内部部分,该内部部分包括所述来源试剂材料的非熔合部分。
2.根据权利要求1所述的结硬的来源试剂材料,其中所述结硬的来源试剂材料是通过如下方式形成的:将所述来源试剂材料的物质在容纳于汽化器容器的封闭的内部空间中的托盘内加热,以将所述来源试剂材料的物质的所述部分熔合成结硬部分,该结硬部分具有与所述来源试剂材料的非熔合部分相比形态学不同的特征。
3.根据权利要求1所述的结硬的来源试剂材料,其中所述来源试剂材料包含选自如下的材料:二甲基联氨、三甲基铝(TMA)、四氯化铪(HfCl4)、四氯化锆(ZrCl4)、三氯化铟、单氯化铟、三氯化铝、碘化钛、羰化钨、Ba(DPM)2、双(四甲基庚二酮)锶(Sr(DPM)2)、TiO(DPM)2、四(四甲基庚二酮)锆(Zr(DPM)4)、十硼烷、十八硼烷、硼、镁、镓、铟、锑、铜、磷、砷、锂、四氟硼酸钠、并有烷基-脒基配位体之前体、有机金属前体、叔丁基醇锆(Zr(t-OBu)4)、四(二乙基胺基)锆(Zr(Net2)4)、四(二乙基胺基)铪(Hf(Net2)4)、四(二甲基胺基)钛(TDMAT)、叔丁基亚胺基三(二乙基胺基)钽(TBTDET)、五(二甲基胺基)钽(PDMAT)、五(乙基甲基胺基)钽(PEMAT)、四(二甲基胺基)锆(Zr(NMe2)4)、四(叔丁基醇)铪(Hf(tOBu)4)、二氯化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、金属有机β-二酮基配合物、六氟化钨、环戊二烯基环庚三烯基-钛(CpTiCht)、环辛四烯基-环戊二烯基钛、双环戊二烯基二叠氮钛、三甲基镓、三甲基铟、烷基铝、三甲基铝、三乙基铝、三甲基胺艾伦试剂、二甲基锌、四甲基锡、三甲基锑、二乙基镉、羰化钨、金属卤化物、镓卤化物、铟卤化物、锑卤化物、砷卤化物、碘化铝、碘化钛、金属有机配合物、In(CH3)2(hfac)、二溴甲基锑化氢、羰化钨、金属有机醇氧配合物、金属有机羰酸配合物、金属有机芳香基配合物及金属有机酰胺基配合物、及前述二或二者以上之相容组合物及混合物。
4.根据权利要求1所述的结硬的来源试剂材料,其在如下汽化器中,该汽化器包含汽化器容器,该汽化器容器定义至少一埠、定义密闭内体积、具有在所述内体积内的所述结硬的来源试剂材料、和包括在所述内体积内的内部结构,该内部结构与所述结硬的来源试剂材料接触并与所述汽化器容器接触,其中所述内部结构包括如下中的一个或多个:
(a)多个隔间,该隔间被构造用于容纳所述结硬的来源试剂材料;
(b)多个突出物,该突出物起到延伸的表面热交换元件的作用,该延伸的表面热交换元件被构造用于与所述结硬的来源试剂材料热接触;和
(c)用于包含或呈现所述结硬的来源试剂材料的筛网或毛织物);
其中所述结硬的来源试剂材料的外结硬部分具有与被所述外结硬部分覆盖的内部部分相比形态学不同的特征,和其中所述结硬的来源试剂材料可加热以产生来源试剂蒸气,以在所述汽化器容器的分配操作中从该汽化器容器中排出。
5.一种汽化器,其包含适于容纳来源试剂的汽化器容器,所述汽化器适于用来加热所述汽化器容器及其中的来源试剂,以产生来源于所述来源试剂的蒸气,所述容器定义封闭的内体积并具有至少一个埠,从而来源于所述来源试剂的蒸气可从所述容器的内体积中排出,所述汽化器在所述内体积内包含至少一个突出元件,其适于接触内体积内的来源试剂,其中所述来源试剂包含单块型多孔性固体来源试剂体。
6.提供用于蒸发的来源试剂的方法,其用于在流体利用工艺中使用,所述方法包括提供所述来源试剂,该来源试剂为单块型多孔性固体来源试剂体的形式,其用于在根据权利要求5所述的汽化器中使用。
CN201310190193.7A 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送 Active CN103305804B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US84158906P 2006-08-31 2006-08-31
US60/841,589 2006-08-31
CN2007800407660A CN101542015B (zh) 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007800407660A Division CN101542015B (zh) 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送

Publications (2)

Publication Number Publication Date
CN103305804A true CN103305804A (zh) 2013-09-18
CN103305804B CN103305804B (zh) 2016-12-07

Family

ID=39136974

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310190193.7A Active CN103305804B (zh) 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送
CN2007800407660A Active CN101542015B (zh) 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2007800407660A Active CN101542015B (zh) 2006-08-31 2007-08-31 利用受控的固体形态学的流体的基于固体前体的传送

Country Status (8)

Country Link
US (4) US20080241805A1 (zh)
EP (1) EP2059626B1 (zh)
JP (1) JP5266227B2 (zh)
KR (5) KR20190110158A (zh)
CN (2) CN103305804B (zh)
MY (1) MY153662A (zh)
TW (2) TWI522488B (zh)
WO (1) WO2008028170A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109312452A (zh) * 2016-06-21 2019-02-05 艾克塞利斯科技公司 使用固体碘化铝(AlI3)的注入制造原子铝离子及碘化铝与相关副产物的原位清洁
CN109972119A (zh) * 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
CN110885970A (zh) * 2018-09-11 2020-03-17 北京北方华创微电子装备有限公司 固体前驱体蒸汽的稳压和纯化装置以及ald沉积设备
CN111846965A (zh) * 2019-04-26 2020-10-30 恩特格里斯公司 汽化容器和方法
CN113529053A (zh) * 2021-09-13 2021-10-22 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法

Families Citing this family (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US8018383B1 (en) 2010-06-08 2011-09-13 Q-Track Corporation Method and apparatus for determining location using signals-of-opportunity
EP1949313A4 (en) 2005-11-15 2010-03-31 Bernadette Garner METHOD FOR TRAINING NEURAL NETWORKS
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
WO2009034938A1 (ja) * 2007-09-10 2009-03-19 Ulvac, Inc. 有機材料蒸気発生装置、成膜源、成膜装置
TR201902370T4 (tr) 2007-08-31 2019-03-21 3M Innovative Properties Co Kişisel koruma ekipmanıyla çıkartılabilir şekilde birleştirilen bileşenlerin durumlarının belirlenmesi.
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
WO2009117440A1 (en) * 2008-03-17 2009-09-24 Applied Materials, Inc. Heated valve manifold for ampoule
US20090320771A1 (en) * 2008-06-10 2009-12-31 Matheson Tri-Gas Ionic liquid mediums for holding solid phase process gas precursors
US7759657B2 (en) 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102348829A (zh) * 2009-01-16 2012-02-08 威科仪器有限公司 用于低温沉积钌的组合物和方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
SG10201401671SA (en) * 2009-04-21 2014-07-30 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
WO2010135250A2 (en) * 2009-05-22 2010-11-25 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US8827714B2 (en) * 2009-06-22 2014-09-09 Lawrence Livermore National Secuity, LLC. Web-based emergency response exercise management systems and methods thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5328726B2 (ja) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR101146982B1 (ko) 2009-11-20 2012-05-22 삼성모바일디스플레이주식회사 박막 증착 장치 및 유기 발광 디스플레이 장치 제조 방법
KR101174874B1 (ko) * 2010-01-06 2012-08-17 삼성디스플레이 주식회사 증착 소스, 박막 증착 장치 및 유기 발광 표시 장치 제조 방법
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US8599011B2 (en) 2010-07-30 2013-12-03 Q-Track Corporation Firefighter location and rescue equipment employing path comparison of mobile tags
CN106237934B (zh) 2010-08-30 2019-08-27 恩特格里斯公司 由固体材料制备化合物或其中间体以及使用该化合物和中间体的设备和方法
US20120090544A1 (en) * 2010-10-18 2012-04-19 Kim Mu-Gyeom Thin film deposition apparatus for continuous deposition, and mask unit and crucible unit included in thin film deposition apparatus
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2012255193A (ja) * 2011-06-09 2012-12-27 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130015144A (ko) 2011-08-02 2013-02-13 삼성디스플레이 주식회사 증착원어셈블리, 유기층증착장치 및 이를 이용한 유기발광표시장치의 제조 방법
US9964299B2 (en) * 2011-09-02 2018-05-08 Sharkninja Operating Llc Steam generator
TWI583442B (zh) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4之製造程序
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US20130105483A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Apparatus for sublimating solid state precursors
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US20130145988A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate Processing Bubbler Assembly
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
KR20130096370A (ko) * 2012-02-22 2013-08-30 삼성디스플레이 주식회사 유기물 정제장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8894770B2 (en) * 2012-03-14 2014-11-25 Andritz Iggesund Tools Inc. Process and apparatus to treat metal surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) * 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
KR101695356B1 (ko) * 2012-06-26 2017-01-24 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
KR101971927B1 (ko) 2012-08-10 2019-04-25 삼성디스플레이 주식회사 캐니스터, 이를 포함하는 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP2014053477A (ja) * 2012-09-07 2014-03-20 Philtech Inc 固体金属ガス供給装置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101994838B1 (ko) 2012-09-24 2019-10-01 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR20140073198A (ko) * 2012-12-06 2014-06-16 삼성디스플레이 주식회사 유기물 기화 장치 및 그 제어방법
US9836993B2 (en) 2012-12-17 2017-12-05 Lawrence Livermore National Security, Llc Realistic training scenario simulations and simulation techniques
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101453840B1 (ko) * 2013-02-06 2014-10-24 주식회사 레이크머티리얼즈 충전 플레이트를 구비하는 유기금속 화합물 공급 장치
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR101427199B1 (ko) * 2013-05-23 2014-08-07 한국기초과학지원연구원 MOCVD 공정용 TMIn 증착 용기
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015029457A1 (en) 2013-09-02 2015-03-05 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for producing pyromellitic dianhydride, pyromellitic dianhydride produced by the method, and apparatus therefor
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102014100832A1 (de) * 2014-01-24 2015-07-30 Osram Opto Semiconductors Gmbh ALD-Beschichtungsanlage und Verfahren zum Betreiben einer ALD-Beschichtungsanlage
US9523151B2 (en) * 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015164029A1 (en) * 2014-04-21 2015-10-29 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
EP3193723B1 (en) 2014-09-16 2019-01-09 Koninklijke Philips N.V. Protection system for protecting a person against x-ray scatter radiation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
SG10201807630PA (en) * 2015-02-13 2018-10-30 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10301719B1 (en) * 2015-04-06 2019-05-28 The Curators Of The University Of Missouri Amorphous hydrogenated boron carbide low-k dielectric and method of making the same
US10100406B2 (en) * 2015-04-17 2018-10-16 Versum Materials Us, Llc High purity tungsten hexachloride and method for making same
US10443128B2 (en) * 2015-04-18 2019-10-15 Versum Materials Us, Llc Vessel and method for delivery of precursor materials
KR102299892B1 (ko) * 2015-05-07 2021-09-10 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
US10067108B2 (en) 2015-05-13 2018-09-04 Elemental Sensor Llc Device for detecting volatile organic compounds
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10463275B2 (en) * 2015-08-09 2019-11-05 Elemental Sensor Llc Device for capturing and concentrating volatile organic compounds
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
US10982319B2 (en) 2015-08-21 2021-04-20 Flisom Ag Homogeneous linear evaporation source
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20200113032A (ko) * 2015-10-06 2020-10-05 엔테그리스, 아이엔씨. 고체 전구체의 저온 소결
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10221201B2 (en) 2015-12-31 2019-03-05 Praxair Technology, Inc. Tin-containing dopant compositions, systems and methods for use in ION implantation systems
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR101781066B1 (ko) 2016-02-19 2017-10-17 주원디자인 (주) 투명한 nc 가공물의 표면처리방법
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9869018B2 (en) * 2016-04-26 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Solid precursor delivery method using liquid solvent for thin film deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11023818B2 (en) 2016-06-23 2021-06-01 3M Innovative Properties Company Personal protective equipment system having analytics engine with integrated monitoring, alerting, and predictive safety event avoidance
US11260251B2 (en) 2016-06-23 2022-03-01 3M Innovative Properties Company Respirator device with light exposure detection
JP6929309B2 (ja) 2016-06-23 2021-09-01 スリーエム イノベイティブ プロパティズ カンパニー モニタリング、アラート生成、及び予測的安全イベント回避を統合した解析エンジンを有する個人用保護具システム
US10610708B2 (en) 2016-06-23 2020-04-07 3M Innovative Properties Company Indicating hazardous exposure in a supplied air respirator system
US9998804B2 (en) 2016-06-23 2018-06-12 3M Innovative Properties Company Personal protective equipment (PPE) with analytical stream processing for safety event detection
US9848666B1 (en) 2016-06-23 2017-12-26 3M Innovative Properties Company Retrofit sensor module for a protective head top
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102139618B1 (ko) * 2016-07-01 2020-07-30 세메스 주식회사 기판 처리 장치 및 탱크
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10676370B2 (en) * 2017-06-05 2020-06-09 Axcelis Technologies, Inc. Hydrogen co-gas when using aluminum iodide as an ion source material
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2019023011A1 (en) * 2017-07-25 2019-01-31 Linde Aktiengesellschaft SUBLIMATOR APPARATUS FOR SOLID COMPOSITIONS, SYSTEMS AND METHODS OF USING THE SAME
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11104993B2 (en) 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10451752B2 (en) 2017-10-03 2019-10-22 Texas Tech University System Long distance simulated radiation detector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190186003A1 (en) * 2017-12-14 2019-06-20 Entegris, Inc. Ampoule vaporizer and vessel
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10832913B2 (en) * 2018-02-14 2020-11-10 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for forming semiconductor structure
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7376278B2 (ja) * 2018-08-16 2023-11-08 エーエスエム・アイピー・ホールディング・ベー・フェー 固体原料昇華器
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102576431B1 (ko) * 2018-09-10 2023-09-08 삼성디스플레이 주식회사 유기물 제조장치 및 이를 이용한 제조방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) * 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
JP6887688B2 (ja) * 2019-02-07 2021-06-16 株式会社高純度化学研究所 蒸発原料用容器、及びその蒸発原料用容器を用いた固体気化供給システム
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11724340B2 (en) * 2019-05-23 2023-08-15 Saudi Arabian Oil Company Additive manufacturing of MLD-enhanced drilling tools
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110339791B (zh) * 2019-06-28 2020-06-02 华中科技大学 一种适用于金属有机框架材料的多功能合成设备
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US20210123134A1 (en) 2019-10-24 2021-04-29 Entegris, Inc. Sublimation ampoule with level sensing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
TWI764372B (zh) * 2019-11-14 2022-05-11 美商恩特葛瑞斯股份有限公司 用於昇華之預成型件、用於輸送蒸氣之安瓿及製備固體昇華材料之方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
CN116670322A (zh) * 2020-12-19 2023-08-29 朗姆研究公司 利用多个均匀加热的进料容积的原子层沉积
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230061593A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR20230061591A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR20230061592A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR102660572B1 (ko) * 2021-11-23 2024-04-26 주식회사 레이크머티리얼즈 필터 모듈 및 그를 포함하는 유기금속 화합물 공급 장치
CN116103636A (zh) * 2023-04-12 2023-05-12 上海星原驰半导体有限公司 固相前驱体输出装置及气相沉积系统
CN116663268A (zh) * 2023-05-18 2023-08-29 中国人民解放军海军工程大学 一种核事故放射性泄漏辐射防护模拟方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1611636A (zh) * 2003-08-19 2005-05-04 气体产品与化学公司 输送前体物质的方法和容器

Family Cites Families (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1265863A (en) 1915-01-13 1918-05-14 William G Abbott Jr Evaporator.
US2447789A (en) 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US2721064A (en) 1951-10-03 1955-10-18 Hugo O Reichardt Carbonating device
US2769624A (en) 1953-07-16 1956-11-06 Okey S Burnside Air cleaner and moistener for carburetors
US2902574A (en) 1958-02-03 1959-09-01 Hughes Aircraft Co Source for vapor deposition
US3405251A (en) 1966-05-31 1968-10-08 Trw Inc Vacuum evaporation source
US3636641A (en) * 1969-04-07 1972-01-25 Samuel W Daskam Radiological training device
US3647197A (en) 1970-04-27 1972-03-07 Ford Motor Co Vacuum deposition
US3740043A (en) 1970-05-26 1973-06-19 Republic Steel Corp Apparatus for vaporizing molten metal
US3834682A (en) 1972-06-19 1974-09-10 American Hospital Supply Corp Mixing column for medical humidifier and method of humidifying inhalable gases
US3920882A (en) 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
DE2536013A1 (de) 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
GB1559978A (en) 1976-12-01 1980-01-30 Gen Electric Co Ltd Chemical vapour deposition processes
JPS598445B2 (ja) 1976-12-30 1984-02-24 新日本製鐵株式会社 ロ−ルの軸方向位置制御方法および装置
US4190965A (en) 1979-01-15 1980-03-04 Alternative Pioneering Systems, Inc. Food dehydrator
FR2446958A1 (fr) 1979-01-17 1980-08-14 Nadella Joint de cardan allege
JPS55160424A (en) 1979-05-31 1980-12-13 Fujitsu Ltd Vapor phase epitaxial device
CA1188431A (en) * 1981-10-02 1985-06-04 Canadian Astronautics Limited Direct reading dosimeter
JPS58126973A (ja) 1982-01-22 1983-07-28 Hitachi Ltd 薄膜形成用ソ−ス供給装置
EP0101490A1 (en) * 1982-02-08 1984-02-29 Marconi Instruments Limited Training apparatus
US4500295A (en) * 1983-05-26 1985-02-19 The United States Of America As Represented By The Secretary Of The Navy Personal alpha contamination simulator and detector
JPS6070176A (ja) 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
DE3579684D1 (de) * 1984-12-24 1990-10-18 United Technologies Corp Abschleifbare dichtung mit besonderem erosionswiderstand.
JPS62165222A (ja) 1986-01-17 1987-07-21 Meidensha Electric Mfg Co Ltd 力率調整装置
JPH0345957Y2 (zh) * 1987-10-28 1991-09-27
JPH0817804B2 (ja) 1987-12-23 1996-02-28 雪印乳業株式会社 殺菌剤気化装置
JPH0269389A (ja) 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
JP2711327B2 (ja) * 1988-10-14 1998-02-10 住友電気工業株式会社 気相エピタキシヤル成長用クラスト形成装置
IL92397A0 (en) 1989-01-30 1990-07-26 Lanxide Technology Co Ltd Method of producing self-supporting aluminum titanate composites and products relating thereto
JPH0372387A (ja) 1989-08-11 1991-03-27 Brother Ind Ltd 現像電極清掃装置
US5104695A (en) 1989-09-08 1992-04-14 International Business Machines Corporation Method and apparatus for vapor deposition of material onto a substrate
DE3931189A1 (de) 1989-09-19 1991-03-28 Philips Patentverwaltung Vorrichtung und verfahren zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
DE69027496T2 (de) 1989-09-26 1996-10-31 Canon Kk Gasversorgungsvorrichtung und ihre Verwendung für eine Filmabscheidungsanlage
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
JPH0436469A (ja) 1990-06-01 1992-02-06 Sharp Corp Cvd原料供給方法及びこれに用いる固体原料
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
JPH04228562A (ja) 1990-12-27 1992-08-18 Mitsubishi Electric Corp 薄膜形成装置
JP2792255B2 (ja) 1991-03-16 1998-09-03 宇部興産株式会社 複合超微粒粉体および製造方法
JP3174351B2 (ja) 1991-03-19 2001-06-11 三菱電線工業株式会社 超電導mocvd用ガス化容器
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
JPH0598445A (ja) * 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JP2885969B2 (ja) 1991-07-08 1999-04-26 富士写真フイルム株式会社 マイクロフィルムリーダ
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
CA2125657A1 (en) 1991-12-13 1993-06-24 Peter S. Kirlin Apparatus and method for delivery of involatile reagents
US5304065A (en) * 1992-11-13 1994-04-19 Consultec Scientific, Inc. Instrument simulator system
KR100320762B1 (ko) 1993-03-18 2002-08-08 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 증발가능한액체또는비증기특성의원료시약을증발시키는장치및방법
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
EP0624393B1 (en) * 1993-05-10 2001-08-16 Sakai Chemical Industry Co., Ltd., Catalyst for catalytic reduction of nitrogen oxides
KR960010901A (ko) 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
US5553188A (en) 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
JPH08279497A (ja) 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
GB9519098D0 (en) * 1995-09-19 1995-11-22 Pike Steven D Contamination training simulator
US5764849A (en) 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5807113A (en) * 1996-04-22 1998-09-15 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for training in the detection of nuclear, biological and chemical (NBC) contamination
US5917140A (en) 1996-05-21 1999-06-29 Advanced Technology Materials, Inc. Sorbent-based fluid storage and dispensing vessel with enhanced heat transfer means
JPH1083073A (ja) 1996-09-09 1998-03-31 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法
DE19638100C1 (de) 1996-09-18 1998-03-05 Fraunhofer Ges Forschung Vorrichtung zum Erzeugen eines dampfförmigen Reaktionsproduktes aus Feststoffteilen
US5874131A (en) 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6130160A (en) 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US6413476B1 (en) 1996-12-05 2002-07-02 Mary F. Barnhart Aromatic diffuser with replaceable cartridge
JP3645682B2 (ja) 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
AU8487298A (en) 1997-07-18 1999-02-10 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
JP3998309B2 (ja) 1997-12-26 2007-10-24 Dowaホールディングス株式会社 Cvd法における有機アルカリ土類金属錯体の気化方法
JP3967455B2 (ja) 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
JPH11302286A (ja) 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
JP2000012218A (ja) 1998-06-23 2000-01-14 Tdk Corp 有機el素子の製造装置および製造方法
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
JP2000104172A (ja) 1998-07-28 2000-04-11 Toshiba Corp 成膜方法,成膜装置及び固形原料
US20010003603A1 (en) * 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6281124B1 (en) 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6202591B1 (en) 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000192243A (ja) 1998-12-24 2000-07-11 Nissin Electric Co Ltd 気化器メンテナンス方法
JP2000239843A (ja) 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
US6204202B1 (en) * 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
WO2000065127A1 (en) 1999-04-27 2000-11-02 Tokyo Electron Limited Apparatus and method for delivery of vapor to a cvd chamber
US6184403B1 (en) 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP2000345345A (ja) 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
JP2001059161A (ja) 1999-08-20 2001-03-06 Tdk Corp 有機薄膜の製造装置および製造方法
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6749432B2 (en) * 1999-10-20 2004-06-15 Impulse Technology Ltd Education system challenging a subject's physiologic and kinesthetic systems to synergistically enhance cognitive function
US6473564B1 (en) 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
WO2001083084A1 (en) 2000-05-03 2001-11-08 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6581915B2 (en) 2000-07-27 2003-06-24 The Procter & Gamble Company Dispensing device for dispensing scents
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
SG96259A1 (en) * 2000-11-29 2003-05-23 Ruag Electronics Method and device for simulating detonating projectiles
JP2002270523A (ja) 2001-03-13 2002-09-20 Ricoh Co Ltd 窒素化合物供給装置および窒素化合物供給方法および成膜装置
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US6431118B1 (en) 2001-05-21 2002-08-13 Imagine Gold, L.L.C. Apparatus and method for providing humidified air to a terrarium
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6701066B2 (en) 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TW200300701A (en) 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US20030111014A1 (en) 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6620225B2 (en) 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
JP3945455B2 (ja) 2002-07-17 2007-07-18 株式会社豊田中央研究所 粉末成形体、粉末成形方法、金属焼結体およびその製造方法
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US7538715B2 (en) * 2004-10-04 2009-05-26 Q-Track Corporation Electromagnetic location and display system and method
US7307595B2 (en) * 2004-12-21 2007-12-11 Q-Track Corporation Near field location system and method
US6963301B2 (en) * 2002-08-19 2005-11-08 G-Track Corporation System and method for near-field electromagnetic ranging
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US7298314B2 (en) * 2002-08-19 2007-11-20 Q-Track Corporation Near field electromagnetic positioning system and method
US7414571B2 (en) * 2002-08-19 2008-08-19 Q-Track Corporation Low frequency asset tag tracking system and method
KR100850655B1 (ko) 2002-08-27 2008-08-07 우베 마테리알즈 가부시키가이샤 산화마그네슘 증착재
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US6863021B2 (en) 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
ES2292692T3 (es) 2002-11-28 2008-03-16 Whirlpool Corporation Lavavajillas con filtro plano que comprende zonas con dimensiones de agujero de flujo diferentes.
US6991671B2 (en) 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7063097B2 (en) 2003-03-28 2006-06-20 Advanced Technology Materials, Inc. In-situ gas blending and dilution system for delivery of dilute gas at a predetermined concentration
WO2004088415A2 (en) 2003-03-28 2004-10-14 Advanced Technology Materials Inc. Photometrically modulated delivery of reagents
US6989527B2 (en) * 2003-05-20 2006-01-24 University Of Alabama In Huntsville Method, system and computer program product for collecting and storing radiation and position data
KR20050004379A (ko) 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US6837939B1 (en) 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4991096B2 (ja) 2003-08-29 2012-08-01 三菱マテリアル株式会社 MgO蒸着材
EP1519136A1 (en) * 2003-09-23 2005-03-30 Saab Ab Nuclear, biological or chemical warfare simulator
GB0330009D0 (en) 2003-12-24 2004-01-28 Ferrosan As Probiotic tablet formulations
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
WO2006009872A1 (en) 2004-06-22 2006-01-26 Arkema Inc. Direct injection chemical vapor deposition method
US20060037540A1 (en) 2004-08-20 2006-02-23 Rohm And Haas Electronic Materials Llc Delivery system
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7722720B2 (en) * 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
KR20060084556A (ko) 2005-01-20 2006-07-25 최상구 광케이블 절단기
US20070042119A1 (en) 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
CN101495190B (zh) 2005-03-16 2013-05-01 高级技术材料公司 用于从固体源递送试剂的系统
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
EP1860208B1 (en) 2006-05-22 2014-10-15 Rohm and Haas Electronic Materials LLC Film deposition method
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9109287B2 (en) 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
KR20080076173A (ko) 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7846256B2 (en) 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1611636A (zh) * 2003-08-19 2005-05-04 气体产品与化学公司 输送前体物质的方法和容器

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109972119A (zh) * 2012-05-31 2019-07-05 恩特格里斯公司 基于源试剂的用于批量沉积的高物质通量流体的输送
CN109312452A (zh) * 2016-06-21 2019-02-05 艾克塞利斯科技公司 使用固体碘化铝(AlI3)的注入制造原子铝离子及碘化铝与相关副产物的原位清洁
CN109312451A (zh) * 2016-06-21 2019-02-05 艾克塞利斯科技公司 使用固体碘化铝(AlI3)的注入制造原子铝离子及碘化铝与相关副产物的原位清洁
CN109312452B (zh) * 2016-06-21 2021-02-05 艾克塞利斯科技公司 使用固体碘化铝(AlI3)的注入制造原子铝离子及碘化铝与相关副产物的原位清洁
CN109312451B (zh) * 2016-06-21 2021-09-03 艾克塞利斯科技公司 使用固体碘化铝(AlI3)的注入制造原子铝离子及碘化铝与相关副产物的原位清洁
CN110885970A (zh) * 2018-09-11 2020-03-17 北京北方华创微电子装备有限公司 固体前驱体蒸汽的稳压和纯化装置以及ald沉积设备
CN111846965A (zh) * 2019-04-26 2020-10-30 恩特格里斯公司 汽化容器和方法
CN113529053A (zh) * 2021-09-13 2021-10-22 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法

Also Published As

Publication number Publication date
EP2059626A4 (en) 2011-01-26
US8821640B2 (en) 2014-09-02
TWI575101B (zh) 2017-03-21
KR20130079646A (ko) 2013-07-10
TW201602401A (zh) 2016-01-16
JP2010502833A (ja) 2010-01-28
US20170037511A1 (en) 2017-02-09
US20080241805A1 (en) 2008-10-02
US10895010B2 (en) 2021-01-19
KR20150039222A (ko) 2015-04-09
KR20160077229A (ko) 2016-07-01
CN101542015A (zh) 2009-09-23
US20100255198A1 (en) 2010-10-07
WO2008028170A3 (en) 2008-10-23
JP5266227B2 (ja) 2013-08-21
EP2059626A2 (en) 2009-05-20
KR20190110158A (ko) 2019-09-27
KR101465810B1 (ko) 2014-11-26
US20140329025A1 (en) 2014-11-06
KR20090061646A (ko) 2009-06-16
MY153662A (en) 2015-03-13
CN101542015B (zh) 2013-06-19
WO2008028170A2 (en) 2008-03-06
TWI522488B (zh) 2016-02-21
CN103305804B (zh) 2016-12-07
EP2059626B1 (en) 2018-10-17
TW200821406A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
CN101542015B (zh) 利用受控的固体形态学的流体的基于固体前体的传送
US9469898B2 (en) Method and apparatus to help promote contact of gas with vaporized material
EP1750833B1 (en) Method and apparatus to help promote contact of gas with vaporized material
CN108570657A (zh) 具有过滤器的容器
US20170342557A1 (en) Solid vaporizer
CN104487608A (zh) 基于源试剂的用于批量沉积的高物质通量流体的输送

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150519

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20150519

Address after: Massachusetts, USA

Applicant after: MYKROLIS Corp.

Address before: American Connecticut

Applicant before: Advanced Technology Materials, Inc.

CB02 Change of applicant information

Address after: Massachusetts, USA

Applicant after: Entergris Co.

Address before: Massachusetts, USA

Applicant before: MYKROLIS Corp.

COR Change of bibliographic data
C14 Grant of patent or utility model
GR01 Patent grant