TW201602401A - 利用受控固體形態學且基於固體前驅物之流體傳送 - Google Patents

利用受控固體形態學且基於固體前驅物之流體傳送 Download PDF

Info

Publication number
TW201602401A
TW201602401A TW104129810A TW104129810A TW201602401A TW 201602401 A TW201602401 A TW 201602401A TW 104129810 A TW104129810 A TW 104129810A TW 104129810 A TW104129810 A TW 104129810A TW 201602401 A TW201602401 A TW 201602401A
Authority
TW
Taiwan
Prior art keywords
source reagent
vaporizer
vapor
source
reagent
Prior art date
Application number
TW104129810A
Other languages
English (en)
Other versions
TWI575101B (zh
Inventor
克雷利約翰M
阿諾約瑟I
漢德里克斯布萊恩C
納托唐恩
貝托史考特
葛瑞格約翰
伍德詹斯基麥克J
蘇中因
Original Assignee
安特格利斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 安特格利斯公司 filed Critical 安特格利斯公司
Publication of TW201602401A publication Critical patent/TW201602401A/zh
Application granted granted Critical
Publication of TWI575101B publication Critical patent/TWI575101B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/453Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating passing the reaction gases through burners or torches, e.g. atmospheric pressure CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Measurement Of Radiation (AREA)

Abstract

本發明揭一種用於揮發來源試劑的裝置及方法,該來源試劑易於在對應的來源試劑蒸氣中產生顆粒或存有顆粒,其中此顆粒產生或存在可藉由蒸氣產生系統的結構或處理特性元件而壓抑。此裝置及方法可用於液體及固體來源試劑,特別是固體來源試劑,如金屬鹵化物,如氯化鉿。在一特定實施例中,此來源試劑係以該來源試劑材料之一多孔性單塊型整體形式構成。本發明之裝置及方法用於提供來源試劑蒸氣於如原子層沈積(ALD)及離子植入的應用中。

Description

利用受控固體形態學且基於固體前驅物之流體傳送
本發明係有關用於來源試劑材料的揮發作用之汽化器裝置與系統、及相關方法,該來源試劑材料如使用於化學氣相沈積(CVD)、原子層沈積(ALD)及離子植入製程的液體及固體來源試劑。
在使用液體及固體材料做為CVD、ALD及離子植入的蒸氣之來源試劑中,使用多種試劑,其係加熱以形成沈積或植入之來源試劑蒸氣。
用於蒸氣產生的此液體及固體來源試劑一問題為有關熱均質性的需求。詳言之,此來源試劑必需均勻加熱而在來源試劑材料中無冷點或熱點,故蒸氣產生作用為儘可能的均勻且可控制的。
於此方面,在來源試劑的特性中有明顯的不同,如其等之沸點、熔點及昇華溫度(可昇華的固體來源試劑的例子中)、及其在加熱期間對熱分解的敏感性,此敏感性為有關於不想要的副產物的產生。該來源試劑汽化的目的為在一可控制的速率下汽化來源試劑,故一具有最 少副產物產量之可再生的蒸氣流可以一所需的量傳送至下游操作設備中。
固體來源試劑在汽化操作中非常難以控制,其中昇華溫度接近發生熱分解及產生熱降解副產物的溫度,此副產物不利下游沈積或離子植入製程。
此技術領域持續尋求在揮發來源試劑之汽化器系統的改良,該系統可產生用於沈積及離子植入應用之來源試劑蒸氣。
本發明有關於來源試劑材料汽化之汽化器裝置與系統,及相關方法,來源試劑材料如使用於化學氣相沈積(CVD)、原子層沈積(ALD)及離子植入製程,亦或用於在基板上形成塗層,以及在清潔應用中的液體及固體來源試劑,例如,試劑材料的使用,如用於製造半導體及微機電產品的製程設備反應室清潔之XeF2
在一態樣,本發明有關於一種汽化器,其包括一適於容納一來源試劑的汽化容器,該汽化器適於用來加熱在該汽化容器中的來源試劑,以由該來源試劑產生蒸氣,其中該來源試劑易於在該蒸氣中產生或存在有顆粒,該容器定義一密閉內體積且具有至少一埠,藉此由該來源試劑衍生的蒸氣可由該容器之內體積排放,且該內體積內具有至少一支撐元件,以適於支撐或者接觸內體積內的來源試劑,及一非濾片之顆粒抑制特性元件,其相對於一缺 少此顆粒抑制特性元件之對應汽化器,該顆粒抑制特性元件減少由該來源試劑或其他顆粒來源衍生之蒸氣中顆粒的產生或存在。
該顆粒抑制特性元件為一結構、特性或材料,其相對於一缺少此顆粒抑制特性元件之對應汽化器,可減少由該來源試劑衍生之蒸氣中顆粒的產生或存在。在一實施例,該顆粒抑制特徵包括一泡沫材料,如一熱傳導泡沫材料。該泡沫材料也可做為該來源試劑之支撐物或容裝物或保留介質。
在另一態樣,本發明有關於一種汽化器,其包含一汽化容器,該汽化容器適於用來容納一來源試劑,以與導入容器中用於接觸的載氣接觸,該汽化器適於用來加熱在該汽化容器與該汽化容器中的來源試劑,以由該來源試劑產生蒸氣,其中該來源試劑易於在該蒸氣中產生或存在有顆粒,該容器定義一密閉內體積、一封閉該容器的蓋以密閉該內體積、入口及出口埠,藉此該載氣可經由該入口埠導入至內體積,且包括該載氣及由該來源試劑衍生之蒸氣的一載氣混合物,可由該內體積經由出口埠排放,且該內體積內具有至少一支撐元件,以適於支撐其內之來源試劑,及非濾片之顆粒抑制特性元件,其中相對於一缺少此顆粒抑制特性元件之對應汽化器,該顆粒抑制特性元件減少由該來源試劑衍生之蒸氣中顆粒的產生或存在。
在另一態樣,本發明有關於一種汽化器,其包括一容納固體來源試劑之汽化容器,該汽化器適於用來加 熱該汽化容器及其中的來源試劑,以由該來源試劑產生蒸氣,其中該固體來源試劑包括一材料選自群組包括二甲基聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、單氯化銦、三氯化鋁、碘化鈦、羰化鎢(tungsten carbonyl)、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium,(Zr(DPM)4))、十硼烷、十八硼烷、硼、鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基配位體之前驅物、有機金屬前驅物、第三丁基醇鋯(zirconium tertiary butoxide,Zr(t-OBu)4)、肆(二乙基胺基)鋯(tetrakis-diethyl-aminozirconium,Zr(Net2)4)、肆(二乙基胺基)鉿(tetrakis-diethylaminohafnium,Hf(Net2)4)、肆(二甲基胺基)鈦(tetrakis(dimethylamino)-titanium,TDMAT)、第三丁基亞胺基三(二乙基胺基)鉭(tertbutyliminotris(deithylamino)tantalum,TBTDET)、五(二甲基胺基)鉭(pentakis(demethylamino)tantalum,PDMAT)、五(乙基甲基胺基)鉭(pentakis(ethylmethylamino)tantalum,PEMAT)、肆(二甲基胺基)鋯(tetrakis dimethylaminozirconium,Zr(NMe2)4)、肆(第三丁基醇)鉿(hafnium tertiarybutoxide, Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、及前述之二或二以上的相容之組合與混合物。
在一實施例,本發明實施的特定固體來源試劑包括十硼烷、四氯化鉿、四氯化鋯、三氯化銦、金屬有機β-二酮基配位錯化物、六氟化鎢、環戊二烯基-環庚三烯基-鈦(C p TiCht)、三氯化鋁、碘化鈦、環辛四烯基-環戊二烯基鈦、雙環戊二烯基二疊氮鈦、三甲基鎵、三甲基銦、烷基鋁如三甲基鋁、三乙基鋁、三甲基胺鋁烷(trimethylamine alane)、二甲基鋅、四甲基錫、三甲基銻、二乙基鎘及羰化鎢。
在另一實施例,該來源試劑包括元素硼、銅及磷;十硼烷;金屬鹵化物,如鹵化鎵、鹵化銦、鹵化銻、鹵化砷、鹵化鎵、碘化鋁、碘化鈦、金屬有機錯化物、如(環戊二烯基-環庚三烯基-鈦)(C p TiCht)、(環辛四烯基-環戊二烯基鈦)、雙環戊二烯基二疊氮鈦、In(CH3)2(hfac)、二溴甲基銻化氫及羰化鎢、以及金屬有機β-二酮基配位錯化物、金屬有機醇氧錯化物、金屬有機羧酸錯化物、金屬有機芳香基錯化物及金屬有機醯胺基錯化物。
在另一實施例,本發明可與任何型式之可藉由加熱或溶於溶劑中而液化的來源材料使用,此來源材料包括但未限定為十硼烷(B10H14)、五硼烷(B5H9)、十八硼烷(B18H22)、硼酸(H3BO3)、SbCl3、及SbCl5。在 本發明之特定實施例中發現為可使用的其他來源材料包括但未限定為AsCl3、AsBr3、AsF3、AsF5、AsH3、As4O6、As2Se3、As2S2、As2S3、As2S5、As2Te3、B4H11、B4H10、B3H6N3、BBr3、BCl3、BF3、BF3.O(C2H5)2、BF3.HOCH3、B2H6、F2、HF、GeBr4、GeCl4、GeF4、GeH4、H2、HCl、H2Se、H2Te、H2S、WF6、SiH4、SiH2Cl2、SiHCl3、SiCl4、SiH3Cl、NH3、NH3、Ar、Br2、HBr、BrF5、CO2、CO、COCl2、COF2、Cl2、ClF3、CF4、C2F6、C3F8、C4F8、C5F8、CHF3、CH2F2、CH3F、CH4、SiH6、He、HCN、Kr、Ne、Ni(CO)4、HNO3、NO、N2、NO2、NF3、N2O、C8H24O4Si4、PH3、POCl3、PCl5、PF3、PF5、SbH3、SO2、SF6、SF4、Si(OC2H5)4、C4H16Si4O4、Si(CH3)4、SiH(CH3)3、TiCl4、Xe、SiF4、WOF4、TaBr5、TaCl5、TaF5、Sb(C2H5)3、Sb(CH3)3、In(CH3)3、PBr5、PBr3、及RuF5
此外,在本發明中可使用含有砷、磷、銻、鍺、銦、錫、硒、碲、氟、碳、硼、鋁、溴、碳、氯、氮、矽、鎢、鉭、釕、硒、鎳、及硫之型式的溶劑(有機或無機)。
本發明之再一態樣係有關於一蒸氣傳送系統,其包括一如上所述之汽化器,及與該汽化器耦合用以傳送該蒸氣至一流體利用裝置或區域的流體管路,其中該蒸氣可為一純形式或為一與載氣之混合物。
本發明之另一態樣係有關於一種汽化器,其包含包含一汽化容器,該汽化容器適於用來容納一來源試劑,以與導入容器中用於接觸的載氣接觸,該汽化器適於用來加熱該汽化容器及其中的來源試劑,以由該來源試劑產生蒸氣,該容器定義一內體積,一密閉元件,如一封閉該容器的蓋以密閉該內體積,在一實施例中,該密閉元件包括入口及出口埠,藉此該載氣可經由該入口埠導入至內體積,及一載氣混合物,可選擇地包括一蒸發作用促進元件如一錯合劑,及一包括該載氣及由該來源試劑衍生之蒸氣的載氣混合物,可由該內體積經由出口埠排放,其中該汽化器容納一含有該來源試劑的離子液體。
本發明之又一態樣包括一種由一固體來源試劑粉末產生蒸氣的方法,其藉由加熱該粉末以產生蒸氣,該方法包括過篩該粉末以取得具有預定的顆粒尺寸範圍及分佈的粉末,以進行該加熱,其中該預定的顆粒尺寸範圍及分佈包括在該蒸氣產生期間可避免顆粒進入該蒸氣中的顆粒大小。
本發明之再一態樣係有關於一種由一固體來源試劑粉末產生蒸氣的方法,該試劑粉末易藉由加熱該固體來源試劑粉末,以在該蒸氣中產生顆粒固體,該方法包括相對於固體來源試劑粉末,提供該固體來源試劑粉末一顆粒大小、組成物及形態學以利相對於該固體來源試劑粉末減少在加熱時顆粒產生的出現及量。
本發明之另一態樣係有關於一種製備多孔性固體來源試劑物件的方法,該物件為用於藉由加熱該物件以產生蒸氣,該方法包含提供在粉末型式之來源試劑,且在加熱及壓力下合併該粉末,以產生一多孔性固體來源試劑粒子。
本發明之另一態樣係有關於一種形成多孔性來源試劑物件的方法,該物件為用於曝露於升溫條件,以使該來源試劑之昇華,該方法包含將一暫時性介質與粉末型式之該來源試劑混合以形成一混合物,將該混合物塑形以形成一暫時性介質與來源試劑的複合體,及由該複合體中進行移除該暫時性介質,以形成一多孔性來源試劑物件。
本發明之又一態樣係有關於一種產生固體來源試劑之蒸氣的方法,該方法包括在一汽化容器內提供該固體來源試劑,及加熱該汽化容器,以揮發該固體來源試劑及產生來源試劑蒸氣,此方法包括以一非濾片之顆粒抑制特性元件抑制該來源試劑蒸氣中顆粒的存在。
本發明之再一態樣係有關於一種多孔性單塊型成型物件,其由一可昇華的來源試劑形成或包含一可昇華的來源試劑。在多個實施例中的單塊型物件可包括一來源試劑,如一可昇華的來源試劑,或單塊型物件可適於儲存一液體或固體來源試劑,且在此例中該單塊型物件可以在單塊型物件設置於該汽化容器中之前、之中或之後載入液體或固體來源材料。
本發明之另一態樣係關於一種汽化器,其包括一汽化容器,該汽化容器內包含一接觸促進材料(如一支撐結構材料),以在容器內提供增加的表面積而容納或其他方式呈現來源試劑(如篩網、毛織物或其他媒介)、或一離子液體材料或其相似物,藉此與其組合之來源試劑可被揮發。
本發明之再一態樣係有關於一種汽化器,其包含一汽化容器,該汽化容器適於用來容納一來源試劑,以與導入容器中用於接觸的載氣接觸,該汽化器適於用來加熱在該汽化容器與該汽化容器中的來源試劑,以由該來源試劑產生蒸氣,其中該來源試劑易於在該蒸氣中產生或存在有顆粒,該容器定義一內體積,一封閉該容器的蓋以密閉該內體積,該蓋包括入口及出口埠,藉此該載氣可經由該入口埠導入至內體積,及包括該載氣及由該來源試劑衍生之蒸氣的一載氣混合物,可由該內體積經由出口埠排放,且該內體積內具有至少一多孔性金屬體,以適於支撐其孔隙內的來源試劑。
本發明之又一態樣係有關於一種由可揮發性固體產生蒸氣的方法,該揮發性固體在汽化容器中加熱,此方法包含放置至少一多孔性金屬體於該汽化容器中,其中該多孔性金屬體在其孔隙中含有可揮發性固體。
本發明之另一態樣係有關於一種用於固體來源材料的汽化器,其包括:一單一埠容器,其包括一界定密閉內體積之壁; 多數個分離的支撐元件,位於該容器內體積內,其與壁熱接觸並適於在內體積內支撐固體來源材料,用以加熱該固體來源材料,以形成一固體來源材料蒸氣;及該單一埠容器的該單一埠為一排放埠,其與容器內體積相通,並適於由容器中排出固體來源材料蒸氣。
本發明之再一態樣係有關於一種製造一微電子元件的方法,其包括使用前述多種汽化器分配的蒸氣。
本發明之再一態樣係有關於一種汽化器,其包含一汽化容器,一內部結構及一來源試劑材料,該汽化容器封閉一內體積及具有至少一氣體埠與該容器之內體積相通,該內部結構在容器之內體積中且與該容器接觸,及該來源試劑材料與該內部結構接觸,該來源材料包含一外硬塊部分,其可加熱以產生一來源材料蒸氣,以在汽化器的分配操作中從該容器排出。
本發明的其他態樣、特徵及實施例將由後文的揭露及後附的申請專利範圍而充分顯見。
10‧‧‧汽化器傳送系統(汽化器)
12‧‧‧容器
14‧‧‧底板
16‧‧‧邊界側壁
18‧‧‧蓋
20‧‧‧載氣入口閥
22‧‧‧托盤
23‧‧‧內部載氣接管
24‧‧‧底板
26‧‧‧側壁
27‧‧‧托盤圓柱狀軸環(凹槽)
28‧‧‧來源材料
30‧‧‧通管
32‧‧‧通氣道
32‧‧‧開放通氣道
38‧‧‧密封O-環
40‧‧‧氣體出口閥
64‧‧‧載氣來源
66‧‧‧蒸氣傳送系統
67‧‧‧液體來源容器
68‧‧‧流量計
70‧‧‧製程反應室
72‧‧‧加熱器
100‧‧‧汽化器
102‧‧‧容器
104‧‧‧凸緣
106‧‧‧頂蓋
108‧‧‧機械螺栓扣件
110‧‧‧入口閥
112‧‧‧手輪
116‧‧‧出口閥
118‧‧‧手輪
120‧‧‧載氣源
122‧‧‧進料管
124‧‧‧流量控制閥
130‧‧‧排放管
132‧‧‧流量控制閥
134‧‧‧三通閥
136‧‧‧管線
140‧‧‧固體脫除單元
142‧‧‧進料管
150‧‧‧加熱器
152‧‧‧加熱器
160‧‧‧原子層沈積(ALD)單元
162‧‧‧中央處理單元
166‧‧‧信號傳送線路
168‧‧‧信號傳送線路
170‧‧‧訊號傳送線路
172‧‧‧傳輸管線
174,176‧‧‧過濾器
178‧‧‧排放管線
180‧‧‧流量控制閥
182‧‧‧信號傳送線路
200‧‧‧汽化器
202‧‧‧容器
204‧‧‧上凸緣
206‧‧‧蓋
210‧‧‧內體積
212‧‧‧餵入閥
214‧‧‧手輪
216‧‧‧排放閥
218‧‧‧手輪
220‧‧‧進料管
222‧‧‧載氣來源
224‧‧‧設備
226‧‧‧進料管
230‧‧‧管
232‧‧‧支撐板
234‧‧‧固體
238‧‧‧碟狀過濾器
300‧‧‧汽化器
302‧‧‧容器
304‧‧‧上凸緣
306‧‧‧蓋
308‧‧‧機械螺栓緊固件
310‧‧‧入口閥
312‧‧‧手輪
314‧‧‧排放閥
316‧‧‧手輪
320‧‧‧排放管線
322‧‧‧流體利用設備
324‧‧‧進料管
326‧‧‧來源
350‧‧‧托盤
352‧‧‧粒狀固體
360‧‧‧接管
364‧‧‧篩網
370‧‧‧正切開孔
380‧‧‧流動線
390‧‧‧過濾器
410‧‧‧汽化容器
450‧‧‧多孔性金屬體
500‧‧‧汽化容器
502‧‧‧容器
504‧‧‧板元件
506‧‧‧內體積
508‧‧‧壁
510‧‧‧指部
512‧‧‧外表面
514‧‧‧塗層
520‧‧‧閥頭(閥體)
522‧‧‧手輪
524‧‧‧排放配件
第1圖為用於本發明多種實施例之汽化器型式的立體透視圖。
第2圖為設置於顯示在第1圖中的汽化器型式之容器的通氣管之頂視圖。
第3圖為如顯示於第1圖之一般型式的汽化容器之立視透圖,說明複數個圓柱型通管。
第4圖為可用於本發明多種實施例之蒸氣傳送系統構形的簡化概略代表圖。
第5圖為利用本發明之一實施例的汽化器之半導體製造設備的概要圖。
第6圖為包括本發明之另一實施例之汽化器的蒸氣產生及利用系統之概略圖。
第7圖為本發明之另一實施例之固體來源蒸氣傳送系統之概略圖。
第8圖為依本發明之另一實施例利用多孔性金屬體支撐可揮發固體的汽化容器之立體及部份透視圖。
第9圖為本發明之另一實施例的汽化容器的概略圖。
第10圖適用於放置在本發明之汽化容器中的固體來源試劑托盤元件的立體圖式照片。此商品特徵在於其內的多數個圓柱型流體通道以提供蒸氣通過托盤。在長時間熱處理來源試劑後會形成結硬材料,照片顯示來源試劑的結硬部份正由托盤上的來源試劑之總體質量上除去。
本發明係有關用於來源試劑材料的揮發作用之汽化器裝置與系統、及相關方法以產生用於流體應用製程的蒸氣,製程如化學氣相沈積或離子植入。
本發明可應用於不同型式的來源試劑,包括液體及半-固體來源試劑材料(半-固體來源試劑材料於本文 中已瞭解為包含可流動的固體、固體懸浮液、離子液體組成物及其相似物),特別是對固體來源試劑材料之可應用性。使用於本發明實施的固體來源試劑材料可為例如粉末、細沙狀、丸狀、有孔小珠、磚型、塊狀、片狀、桿狀、薄板狀、薄膜、塗層等的型式,及如在一特定應用中所需要可以多孔性或非多孔性型式實施。當來源試劑為粉末形式時,為粉末形式之來源試劑的顆粒大小可為大於0.1微米。
本發明係基於發現多種來源試劑(例如,金屬鹵化物,如氯化鋯)在當揮發產生用於流體應用製程(如學氣相沈積或離子植入)的蒸氣時,非常易於產生顆粒,及基於多種用於在來源試劑衍生的蒸氣中抑制顆粒形成及/或捕捉可能存在的顆粒之技術上的發現。
在本發明之多個特定實施例中,可用於本發明實施之一型式汽化器說明於第1圖中。第2圖為置於顯示於第1圖型式的汽化器之容器中的複數個排放通管頂視圖。第3圖為顯示於第1圖之通用型式的汽化容器側面立視圖,描繪複數個圓柱型通管。
該汽化器傳送系統10包括一以合適的熱傳導材料製成的容器12,如銀、銀合金、銅、銅合金、鋁、鋁合金、鉛、鎳護面不銹鋼、石墨、碳化矽塗覆之石墨、氮化硼、陶瓷材料等,以及組成物、混合物及二或多個該類型材料的合金。
該容器包括一底板14及邊界側壁16,其共同形成該容器之內體積。該容器可具有任何形狀,其可促進經由容器內體積之載氣的均勻流。在一實施例中,該容器具有一圓柱型,其具有一非精密之公差(如在每英吋為介於1/1000th至3/1000th範圍間)。
該容器包括一蓋18,該蓋之上設置一載氣入口閥20以當該閥打開時選擇性地導入的載氣至該容器內體積內。
置於該容器之內體積為複數個垂直堆疊的托盤22。該些堆疊托盤為彼此分離,且可從該容器移除以方便清潔及再充填。一內部載氣接管23置於該容器內並連接(焊接)至具有入口閥20之蓋的氣體入口,以傳送該載氣至垂直堆疊托盤的陣列中最底部的托盤下之內體積底部。在第1圖中,接管23通過每一托盤之圓柱狀軸環27,該軸環由托盤之底板24延伸(見第3圖)。特別是若該來源材料為一液體,可瞭解為確保接管與托盤之底板24接合處之防漏密封,一密封O-環38可置於二接續的托盤間。一額外的外側o-環也可用來在每一托盤側壁之頂表面上在托盤間密封。
當該來源試劑為固體型態,多種結構元件也可用來抑制或最小化進入載氣流之固體。此阻力進入的特性元件可為任何合適的形式,及可例如包括濾片元件以及非濾片之顆粒抑制特性元件或裝置。在多個實施例中,該非濾片之顆粒抑制特性元件可包括迂迴流道、向上流動之固 體脫離結構、用於顆粒集結或收集功能之切向氣流側氣流動等。藉由特定的例示,高純度不銹鋼濾片(如具有孔洞大小在1至100微米間)及至少一非濾片之顆粒抑制特性元件可加至任何合適的位置以控制該載氣流量。濾片可為例如可安裝於附隨於托盤之每一通管30的「入口」,或一較大一碟形濾片可加至頂托盤並由在容器上安裝的蓋之壓力密封至容器內,或者其他方式設置於出口氣體流路徑中。
如顯示於第3圖,每一個別托盤22具有一底板24及側壁26以形成一托盤凹槽27以安置及支撐該來源材料28。該些托盤較佳為非反應性熱傳導材料製成,例如:銀、銀合金、銅、銅合金、鋁、鋁合金、鉛、鎳包覆不銹鋼、石墨、碳化矽塗覆之石墨、氮化硼、陶瓷材料及前述二或二者以上的組成物,混合物及錯化物。
每一個別托盤包括複數個通管30,其中每一通管包括一通氣道32用來供一載氣穿過該通管。該些通管可為任何形狀或構成形以提供氣流通過。較佳地,該些通管為圓柱型或圓錐型。在多個實施例中,該些通管從該托盤之底板向上延伸,並定義一中央通氣道與在該托盤底板上對應的開口相通。在其他實施例,該些通管在相同方式由托盤底板向上延伸,但也向下延伸至托盤下方,所以該中央通氣道(如中央孔洞)在該托盤底板之上及下由通管包圍。
該些通管可以任何合適的方式固定於該托盤的底板,如焊接、銅鋅焊接、機械扣件附加裝置、壓合、模鍛等。可替代地,該些通管可一體成型為該托盤底板之一部份。在一特定實施例,該些通管的每一者之高度為與該托盤側壁的高度大致相同,雖然在其他可預想的實施例中,該些通管的每一者之高度為可大於或小於該側壁。
每一托盤的側壁具足夠的高度,以致托盤可堆疊而在汽化器之容器內體積中形成垂直延伸的堆疊陣列。
在另一特定實施例,該些托盤可包括各自的匣可由2、3或4向通入口/出口閥使用。
在其他實施例,托盤的製造為僅具足夠的尺寸範圍之側壁以允許架置或固定在汽化容器之內壁表面。
在又一實施例,該些托盤之製造可不具側壁,而在內體積內的架置是由容器之內壁表面以支撐物的協助,以邊緣密封襯墊,或其他架置結構或元件完成。可預想的額外實施例中,托盤以彼此垂直空間間隔的關係架置,如一組裝物。例如,該些托盤可架置在一框架或其他定位結構內,如一單一陣列,以在該汽化器組裝及拆裝期間依需要由該容器之該內體積插入及退出。
在一特定實施例中,每一托盤具有一周緣側壁,且每一通管的高度為小於托盤側壁的高度,因而在通管端部之上提供一頂部空間以使氣體在各自托盤內分散及循環。
亦可替代地,通管及托盤可構造為在每一托盤中產生流體化床,或托盤可製造為具有多孔性開孔,以致最初支撐於托盤之頂表面的固體或其他來源試劑材料以載氣流過該通管或多孔性開孔,而在足夠的表面流速以流體化來源試劑。為此一目的,該來源試劑較佳為粉末或其他細微分離的固體形式,以致附隨流體化作用的壓力降不會過度。在此一配置中,通管或多孔性開孔的尺寸為小至足以維持固體在無流體化之氣體流下支撐於托盤上。
更概略言之,該些通管需要具有一高度以在放置足夠量的不論是固體或液體之來源材料時,皆提供一防漏區域,以使需要的汽化材料不會滲漏出固體或液體經由該些通管的開放通氣道32至下層的容器。在一實施例,每一通管從該托盤底部垂直向上延伸至一高度,該高度可為例如在約0.5mm至約5mm範圍間,且較佳為在約1.5mm至約3.0mm範圍間。
如顯示於第1圖,在每一托盤之通管的位置稍微偏斜於相鄰托盤之通管的位置,藉此在結果氣體混合物在經由通管傳輸至下一相鄰托盤區域前,迫使載氣在托盤內循環以使載氣與被汽化之來源材料接觸。藉由此一配置,該載氣與來源試劑材料之多重接觸可使載氣在高度有效的方式下成為飽和。
該汽化器傳送系統10的尺寸可依供應至下游利用流體之設備(如一CVD裝置或離子植入系統)的蒸氣量而大大的不同。在一實施例中,該汽化器為內部直徑在 約3至6英吋範圍間(如約3.75英吋)之圓柱形構造。在汽化容器內體積內的托盤數由汽化器大小決定。在許多實施例中,在汽化容器中為揭露有3至5個托盤。
可加熱含有多數個托盤之汽化器並維持在適於特定來源材料被汽化、適於由該汽化器傳送至下游利用流體之設備的載氣混合物中來源試劑之需要濃度、且適於用於來源試劑汽化操作的操作條件的特定設定之溫度。
該汽化容器的加熱可以任何合適的方式實施。在一實施例中,一帶狀加熱器圍繞汽化器。在一實施例中,使用一具有覆蓋汽化器外表面至少一主要部份之形狀的加熱器以加熱汽化容器。在另一實施例,一在升溫之熱傳遞流體可與汽化容器外表面接近,以利其之加熱。再一實施例中,使用紅外線或其他輻射能量照射該汽化容器以加熱。
在又一實施例中,本發明預想藉由熱氣體在容器中循環來加熱該來源試劑,以產生來源試劑的對流加熱。
汽化容器之加熱方法並未特別限定,只要以一精準且可靠的方式引導汽化器至一所需要的溫度並維持於此一溫度。
需要在一熱均質的模式加熱汽化容器,故在容器之內體積內的溫度偏差最小化。在托盤與壁直接熱接觸的特定實施例中,此藉由壁的熱傳導的托盤加熱提供一更方便及有效的方式在托盤上汽化來源試劑。
在某些應用中可能於汽化容器內體積中需要利用增加表面積的結構,以促進用於汽化作用之來源試劑材料的加熱範圍及速率。
另一獲得高效率的來源材料汽化作用之方式為載氣可在導入汽化容器之內體積前加熱,以助於來源試劑的加熱及汽化作用。例如,供應載氣至入口閥20的流體管線可保溫,或其他方式加熱,以在一所需的溫度傳送載氣至汽化容器。
在一用於可昇華的固體來源試劑之蒸氣傳送的特定配置,本發明之汽化器傳送系統利用一系列包括多數個加熱通管之加熱托盤,該加熱通管增加加熱表面積,因為增加熱的分佈而可使固體來源材料之昇華達到一高效益之方式。
在某些應用中,汽化容器需要具有一大的熱質量,以在處理期間維持一較一致的溫度。使用大的熱質量在涉及由固體態至蒸氣態的固體來源材料昇華的應用中特別重要。在一給定溫度,該固體之蒸氣壓為材料在固體/氣體介面之分壓,亦即,在一給定的時間期間,於固體表面上凝結的分子與由表面昇華的分子數一樣多。若在氣態的分子藉載氣由固體/氣體介面移除,則破壞平衡。明白顯見地,若有足夠的熱施用固體表面以補償固體昇華的潛熱,則昇華在一較高速率發生以修復平衡。藉由在熱托盤上提供多數個熱通管以及伴隨的熱汽化容器壁,全部的熱傳導容器作用為增加昇華速率,以產生一飽和載氣的增 加流速及減少汽化之來源材料的沉澱,此沉澱可能堵塞該些通管或托盤之其他孔洞或通道。
在特定應用中使用之汽化器的特定溫度將依下游利用流體之裝置(如CVD裝置或離子植入系統)的操作條件、及提供之來源材料的蒸氣壓力與量而定。在多個使用可昇華的固體來源試劑的特定實施例中,可使用介於約40℃至約300℃範圍間的汽化器溫度。在特定之實施例中,本發明之涉及金屬鹵化物固體來源試劑的實現可例如利用在介於200℃至300℃範圍間的溫度。
在特定實施例,本發明的汽化器傳送系統可進一步包括供應載氣至汽化容器的管線;由汽化容器釋出來源試劑蒸氣之管線;流體管路元件,如流量控制閥、質量流量控制器、調節器、節流孔元件、熱偶計、壓力傳感器、監視及控制裝置、輸入熱能至該汽化容器及其內含物的加熱器、維持載氣供應管線及來源試劑蒸氣排放管線在一溫度的加熱器等。
本發明的一些實施例,進行來源試劑蒸氣排放管線加熱以維持此管線的溫度比汽化作用的溫度高如5-10℃,以防止在此排放管線的凝結。
在使用本發明之汽化器系統中,在將蓋關緊至容器前(見第1圖,其中蓋18以螺栓機械緊固件關緊至容器),來源試劑材料可導引入該汽化容器,置放於托盤內或其他方式設置於該汽化容器之內體積內。該來源試劑材料可以是任何合適的型態,包括固體型態、液體型態、半 固體型態或一含有溶解或分散於合適的溶劑介質中之來源試劑材料的溶液。
在一實施例,來源試劑材料可以一沉積形式提供,其係在托盤凹處內以一合適厚度之膜或塗層塗覆在托盤與通管的表面上。此一膜或塗層可藉由任何不同的合適方法形成,包括的方法為經加熱來源試劑使之熔融、施用熔融的來源試劑材料至托盤及通管的表面、然後冷卻施用的材料。
在另一實施例,其中該來源試劑包括一金屬錯化物,該金屬錯化物可溶解於一溶劑中且此結果溶液施用在托盤與通管的表面上,接著藉由在減壓下從施用的材料除去溶劑。
在某些實施例,可能需要由汽化容器同時供應不同來源試劑蒸氣至下游利用流體的設備。在此一應用中,不同來源試劑材料在汽化容器內體積中可在不同托盤及/或在不同匣中提供。藉由此一配置,其可能產生多重成份蒸氣,其藉由載氣帶至下游設備。
汽化器因此可包括於汽化容器之內體積內架置在中央接管上的多數個垂直堆疊托盤。來源試劑材料可承載在容器之內體積內,係藉由置入預定量的來源試劑於托盤內,如一計量顆粒固體。在汽化容器內載入來源試劑後,容器的頂蓋18(參閱第1圖及第2圖)置於容器上方且如藉由一以聚四氟乙烯或一彈性體製造的O形環元件、或 藉由一金屬密封元件及/或藉由一機械緊固件(如螺栓緊固件)關緊。
在汽化器組裝、並將汽化容器耦合至處理管線以傳送載氣至容器、並由含有來源試劑蒸氣之載氣混合物由容器排放後,汽化器加熱器致動以進行汽化容器的加熱。進行加熱以使在汽化器及接觸托盤內的內部溫度增加至一足以汽化來源材料的溫度。
在可昇華的固體之例子中,昇華的速率在第一或最底部的托盤為最大,此係歸因於純載氣進入此托盤內,而相對地,部份或完全飽和的載氣進入在其等上方的托盤。就此而言,需要在底部托盤上承載更多的來源試劑材料及/或增加容器的高度尺寸以產生需要的來源試劑蒸氣量並流至下游利用流體之設備。
本發明之汽化系統可有利地用於由多種不同來源試劑材料產生蒸氣,且特別可用於可昇華的固體如十硼烷、四氯化鉿、及其相似者的揮發作用。本發明可用於多種固體來源材料之揮發作用的應用上,如特徵為昇華溫度介於約20℃至約300℃範圍間且在昇華溫度具有一蒸氣壓力介於約10-2托耳(Torr)至約103托耳範圍間的固體材料。
在汽化器內溫度可藉由任何熱調節系統控制,該系統包括但未限制為線加熱器、輻射加熱器、熱封包、流體循環加熱器、電阻加熱系統、感應加熱系統等,其等之建構及配置為用以控制溫度操作。再者,在汽化器 中的溫度可藉由熱偶計、熱敏電阻、或任何其他合適的溫度感應接點或元件與在其內的熱傳導的容器及/或托盤之表面接觸以感應。該溫度感測元件可與一中央處理單元耦合操,中央處理單元如一般用途可程式化電腦、可程式化邏輯單元、微處理機等,其之設置為由溫度感應元件接受溫度感應訊號,並回應調整加熱器、及/或汽化器系統之其他可控制元件,以獲得對於特定應用之來源試劑蒸氣的所需之生產。
為測定汽化器之來源材料何時用盡,在汽化容器之內體積內可使用一量感測監視系統以測定在頂托盤及/或在底托盤中固體或液體的量,如一光纖感測器相聯通的連接至托盤底表面之反射表面上,以當托盤接近空或空時提供一改變的訊號。
第4圖為可用於本發明多種實施例之蒸氣傳送系統66構形的簡化概略代表圖。
此系統包括一汽化器10。一載氣來源64連接至該汽化器10以提供載氣。在一導入來源材料的可替代模式中,一液體來源材料可從一液體來源容器67引入該汽化器,或該汽化器10可以其他方式預充填為粒子或顆粒型式的固體來源試劑。
載氣的流速可藉由置於載氣傳送管線及在傳輸已汽化之來源材料至製程反應室70之管線上的流量計68監視。在一特定實施例中,此氣體傳送管線由具有低磨擦係數的材料(如一聚合物)製成,以可獲得高流速。該 汽化器10係有利地以一熱傳導材料製成,此材料可傳送由相聯通至汽化器單元10之至少一加熱器72產生的熱能以加熱汽化容器及其內容物。
為了達到監視及控制已汽化之來源試劑及與已汽化之來源試劑混合並流至沈積反應室或其他下游製程單元的載氣的目的,在本發明之一實施例中,可使用一熱電堆紅外線偵測系統,該類型描述於2004年11月23日頒發之美國專利第6,821,795號,於2006年3月14日頒發之美國專利第7,011,614號,或於2003年9月9日頒發之美國專利第6,617,175號,及一混合系統,其描述於2005年6月21日頒發之美國專利第6,909,973號,於2006年6月6日頒發之美國專利第7,058,519號,或於2006年6月20日頒發之美國專利第7,063,097號。此熱電堆紅外線偵測系統及混合系統可例如佈署於包括該汽化器及試劑來源之製程系統的流體管路中的旁通迴路上。
用以完全汽化作用所需的電力量為來源材料與載氣的化學性、及混合物的流速之函數。在一特定實施例中,傳輸至汽化器的熱能可在一約100W至約3000W範圍間以對來源試劑的汽化作用提供高效能恒溫溫度。
在操作顯示於第4圖型式的汽化器系統時,當使用一固體來源試劑材料,此來源試劑材料可載入在一乾燥箱或手套箱中的托盤,以減少當汽化容器開啟時前驅物與氧及濕氣的反應。此在乾燥箱或手套箱中的容器接著載入含有來源試劑之托盤,再使用蓋子並緊固固定,以形成 一密閉汽化作用容器。此容器接著耦合製程系統用於載氣入口及含蒸氣載氣混合物排放之餵入及排放管線。
接著從氣體來源64引入一載氣至該汽化器的載氣入口20,例如以每分鐘1標準立方公分(sccm)至約500sccm範圍間的氣體流速。該載氣於一適當壓力傳送至汽化器內以提供一穩定的載氣流,此載氣流導入汽化容器內且由其導入的部份-容器較低部向外及向上穿過內體積,經過連續的托盤及托盤的通管。
當載氣流向上流過垂直堆疊托盤之不同層,載氣因汽化之來源試劑材料而飽和。該形成的載氣混合物包括該來源試劑蒸氣,接著從該汽化器的氣體出口閥40流出至該製程反應室70。該製程反應室70可為任何簡單型式,在製程反應室內分配之含有來源試劑蒸氣的載氣混合物被處理或利用。在一特定實施例,該製程反應室70包括一原子層沈積反應室或一離子植入反應室。
一如配合第1-3圖所描述之用於實施本發明的較佳汽化器可由美國康乃狄克州旦布里市(Danbury,Connecticut,USA)ATMI公司以商標名ProE-Vap®出售的產品取得。該ProE-Vap汽化器使用含有該來源試劑之堆疊托盤。在此汽化器中,載氣由頂端導入並經由向下延伸之餵入管流動至容器底部以在容器內體積內接續的分佈及向上流經每一托盤。在此方式中,當容器加熱時,傳導加熱在容器內體積中的托盤以在托盤上產生衍生自來源試劑的蒸氣。此產生的蒸氣接著進入載 氣中。此包含來源試劑蒸氣之結果載氣混合物接著由汽化器在頂部端經由一容器的出口埠排放。從該出口埠,該載氣混合物包括該來源試劑蒸氣流經該汽化器的排放閥。該排放閥可耦合至下游製程單元附隨之流體管路以將含有來源試劑蒸氣的載氣混合物由汽化容器流至下游流體製程設備,該設備如一化學氣相沈積反應室、ALD反應室或離子植入機。
可利用其他汽化器實施本發明,包括描述於在2005年2月23日公開之歐洲專利申請案第1508631號「Method and Apparatus for the Delivery of Precursor Materials」之汽化器,該專利之揭露併入本案參考。此公開的專利申請案描述一汽化容器,其中至少一突出物延伸至容器體積的較低部份並與前驅物接觸,及至少一由容器之蓋、側壁及/或底部延伸之突出物。此容器在蓋子上可具有入口及出口埠,與流體管路相通且配置為用使載氣經容器的內體積流動以與加熱之前驅物蒸氣接觸。故此突出物做為一延伸區域的熱傳遞元件元件,以在容器內促進前驅物的揮發作用,及載氣的流動分佈。
另一可在本發明廣泛應用中使用的汽化器為描述在2006年2月2日公開之美國專利申請案第2006/0024439號-「System for Controlling the Sublimation of Reactants」,該專利申請案之揭露亦併入本文參考。述於此專利申請案之汽化器包括一用於 由前驅物與熱傳導材料之混合物中揮發前驅物的熱傳導容器。此熱傳導材料可以桿狀、粉末、篩網、篩、線圈、板等形式存在。
其他可在本發明廣泛應用中使用的汽化器為述於在2005年7月26日授予之美國專利第6,921,062號-「Vaporizer Delivery Ampoule」,該專利之揭露亦併入本文參考。在此專利中描述之汽化器包括垂直堆疊的容器,如托盤,具有蒸氣流導管經由此容器底板以容納試劑蒸氣由容器之內體積流至出口埠。此容器可附設有一載氣管以導入載氣至容器之內體積,且蒸氣流導管通道容許載氣接觸在堆疊容器內的固體,如在每一垂直堆疊之托盤表面的來源試劑固體。
在多種特定態樣,本發明有關於由固體或液體來源試劑產生及傳送來源試劑蒸氣的汽化器系統,其中該來源試劑易產生顆粒且顆粒易存在於由此來源試劑衍生的蒸氣中。本發明在易產生顆粒且顆粒易存在於由此衍生的對應蒸氣中固體來源試劑的應用中特別有利。
可用於本發明之汽化器系統的固體前驅物可為任何合適的形式,包括固相金屬鹵化物、有機金屬固體及其相似物。可用於本發明實施之來源試劑的範例包括但未限定為二甲基聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、三氯化鋁、碘化鈦、羰化鎢、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、 TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium,(Zr(DPM)4))、十硼烷、硼、鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基配位體之前驅物、有機金屬前驅物、第三丁基醇鋯Zr(t-OBu)4、肆(二乙基胺基)鋯Zr(Net2)4、肆(二乙基胺基)鉿Hf(Net2)4、肆(二甲基胺基)鈦(TDMAT)、第三丁基亞胺基三(二乙胺基)鉭(TBTDET)、五(去甲基胺基)鉭(PDMAT)、五(乙基甲基胺基)鉭(PEMAT)、肆(二甲基胺基)鋯(Zr(NMe2)4)、第三丁基醇鉿(Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)及前述二或二以上的相容組合及混合物。
如由前述材料選出之一說明範例,氯化鉿為用於半導體製程操作中以獲得鉿及含鉿薄膜沉積的來源試劑。氯化鉿為一固體來源試劑材料,其已發現高度易產生顆粒且顆粒易存在於由氯化鉿固體衍生的蒸氣中。
本發明發展多種方法、裝置及技術以解決易產生顆粒之來源試劑材料相關的顆粒問題,此包括揮發粉末固體形式的來源試劑材料以產生來源試劑蒸氣。
雖然此些方法、結構及技術在後文中配合粉末固體來源試劑(如氯化鉿粉末)描述,應認知在許多例子中的可利用性亦可延伸及涵蓋至多種液體來源試劑材料的使用。
如在使用粉末固體來源試劑的應用中之廣泛考量,本發明之方法、結構及技術包括但未限制為:(i)粉末來源試劑在使用前先過篩以取得該前驅物固體材料之特定的顆粒大小範圍及分佈;(ii)選擇當來源試劑揮發時有利於產生顆粒的存在及範圍為最小化的顆粒大小、組成物及形態;(iii)繞過與汽化器耦合的流體管路的主要路徑,以一具有彎管或過濾器之旁通迴路路徑由來源試劑蒸氣移除顆粒;(iv)在與載氣接觸前,以熱聚集此固體來源試劑顆粒,如造成來源試劑固體顆粒的熔融或結合,以致細粒子可藉由與其他顆粒合併而移除且不會進入得自固體來源試劑的蒸氣中;(v)在汽化容器中固體來源試劑昇華至托盤或側壁或支撐表面上;(vi)固體來源試劑昇華至有孔小珠或濾片元件上以導入至汽化容器之內體積;(vii)使用擴散器或流體分散器以在載氣導入汽化容器之內體積的位置處分散進入之載氣;(viii)使用多孔性固體來源試劑體,其之形成可藉由如將聚合物或其他暫時性介質與固體來源試劑材料粉末混合,及接著除去聚合物介質或其他暫時性介質,以產生多孔性固體來源試劑體; (ix)由來源試劑蒸氣或含有來源試劑蒸氣之載氣混合物中靜電捕捉來源試劑材料的顆粒;(x)於初始啟動該汽化器時,控制該載氣流量,如使用一補強流量控制閥,以減輕或去除在汽化器之入口閥開口發生的載氣湧量;(xi)使在汽化容器及下游工具或其他利用流體之設備中壓力均等,以減輕或去除在汽化器之入口閥開口發生的載氣湧量,如利用初始回流以致由汽化器及附隨的流體管路至下游利用流體之設備之通過汽化器流體路徑的氣體於一實質相同壓力量;(xii)利用一閘溢流閥以使高流量最小化,以致汽化器之入口閥開口發生的壓力上升可維持低於一預定的最大值;(xiii)在汽化器內體積之上部份提供一頂托盤或其他來源試劑支撐結構,該頂托盤不含來源試劑,以致此內體積的「空」部份做為提供載氣混合物在此內體積部份移除顆粒;(xiv)在汽化容器的來源試劑支撐結構上之上層部份,使用過濾器;(xv)使用不同大小的多重過濾器以除去粒子;(xvi)在汽化器入口使用一圓錐形過濾器;(xvii)汽化器之內體積的出口區域產生一旋渦以由載氣混合物捕捉顆粒並防止其被排放; (xviii)在汽化器出口使用一過濾器,如與容器之蓋子併用;(xix)使用旋渦及靜電技術彼此結合以由載氣混合物中除去粒子;(xx)使用系列顆粒過濾器介質,結合篩網或其他保持結構,以維持來源試劑材料於汽化器的托盤或其他支撐結構之位置上;(xxi)使用離子液體為儲存來源試劑之介質,例如將來源試劑溶解於該離子液體中,及使用汽化器維持此含有來源試劑之結果離子液體溶液;(xxii)控制昇華製程以控制在來源試劑材料衍生之蒸氣中產生之顆粒大小,例如藉由汽化容器的控制加熱,可選擇地涉及溫度監視或其他製程變數的監視,及使用監視的資訊調節容器的加熱;及(xxiii)提供調節焦耳-湯普森(Joule-Thompson)效應之結構,焦耳-湯普森效應係因含有來源試劑蒸氣之載氣混合物的膨脹介質冷卻所造成。
現將呈述本發明之多種實施例,說明前述用以在來源試劑材料衍生之蒸氣中最少化及壓制來源試劑固體顆粒存在的一些方法。
再次參考圖式,第5圖為依據本發明使用一汽化器100於半導體製造設備之實施例的概略圖。
汽化器100包括一由金屬或其他熱傳導材料製成的容器102,其中容器的側壁在放射狀向外延伸的凸 緣104之上端終止。一頂蓋106置於該凸緣104上,並被複數個機械螺栓扣件108固定。在蓋上設置入口及出口閥。該入口閥110配置一手輪112,以轉換該閥體內側之閥元件的完全開啟及關閉的位置。該入口閥110可替代的為一自動化制動形式的閥,耦合至一氣壓、電力或其他自動閥制動器。
該入口閥110如圖式耦合至一接管以傳輸載氣至容器的較低部份而在此容器的內體積內向外及向上流動。該汽化器也包括一出口閥116,該出口閥包含一手輪118以調整載氣混合物之流速,該混合物含有在容器102內由固體來源試劑衍生之被揮發化的材料。該閥116包括一可選擇地由手輪118驅動之閥元件,以在完全開啟及完全關閉的位置間轉換。在入口閥的例子中,該出口閥116可為自動化制動形式,耦合至一氣壓、電力或其他自動閥制動器。
在容器102中架置一系列托盤或其他增加表面的元件以在其內提供固體來源試劑的支撐。在描述的實施例中,該些托盤提供延伸通過該托盤之管陣列以做為流體流過的通道。在垂直相鄰之托盤的管為彼此偏斜,故載氣沿一延伸路徑流動,亦即,一迂迴路徑,以使含有來源試劑蒸氣的載氣之載入作用可最大化。
來源試劑材料本身可以是以任何適當型態提供,如:細沙狀、丸狀、多孔性固體等,藉此載氣流體與固體的接觸導致來自固體的蒸氣可以載入。該容器102係 以一加熱器150加熱,其如圖示為導入一熱迴流Q1至容器。
該汽化器的入口閥110係與一具有流量控制閥124之一進料管122耦接。該進料管在入口閥的另一相對端耦合至一載氣源120。該流量控制閥124可以是人工控制,或其可經由信號傳送線路166與中央處理單元162連接。
該中央處理單元可包括一般用途之可程式化電腦、微處理器、邏輯裝置、可程式化邏輯控制器或其相似者,如適於提供在線路166傳輸之控制信號以開啟或關閉閥124至一需要的範圍以容受由載氣源120經進料管122至該汽化器100的載氣流。
汽化器的出口閥116係藉由具有流量控制閥132之排放管130連接至一三通閥134,藉此三通閥由排放管130之流體可選擇地流至管線136及142之一。
在第一配置中,該閥134連接排放管130與旁通管線136。管線136接著耦合至固體脫除單元140,其功用為由含有來源試劑蒸氣的載氣中脫除顆粒固體,以在排放管線178中排放一減少固體的流體。
該固體脫除單元140可包括一過濾器、旋風分離器、固體集塵器(如,靜電除塵器)、篩網、絲網、或其他固體維持器或列移動元件以做為減少由管線136導入之流體的固體含量,故由固體脫除單元在管178中排放的 含有蒸氣的流體已減少固體含量至一預期的量,較佳為其內實質完全無顆粒固體。
在一第二位置的三通閥134耦合至排放管130與進料管142,流體通過其間至原子層沈積(ALD)單元160以用於在此單元中進行的原子層沈積操作。
進料管142如說明為包含彼此串聯之過濾器174及176,以確保顆粒已由送至ALD單元之流體中脫除。過濾器174及176可與固體脫除單元140共同使用以在流至ALD單元的流體中獲得預定之低固體量,或可替代的僅使用過濾器174及176之一者置於進料管142中。
進料管142為顯示與加熱器152結合,加熱器傳送熱輸入Q2至進料管。該加熱器152經由一傳輸管線172耦合至CPU 162,藉此可驅動或選擇性調節加熱器以提供一需要的熱輸入至該進料管及流經的流體。可替代地,在專用之加熱器單元之處,該進料管142可保溫或含有一以電阻加熱之加熱元件,或設置其他方式之熱輸入以維持一流至ALD單元之流體於一預定溫度。
該三通閥134可藉由CPU 162經訊號傳送線路170而控制。該CPU 162亦藉由信號傳送線路168接合至在排放管線130中的閥132,藉此閥132可選擇性地開啟或關閉至一所需要的範圍,以在一需要的排放流速傳送含有來源試劑蒸氣的流體。
ALD單元160之進料管142上游包括一經由信號傳送線路182連接至該CPU162的流量控制閥180。
藉由說明用的配置,CPU作為製程設備的控制系統,以調節個別成份之流量、加熱器輸出、及附加之其他佈署在設設備中有用的儀器及控制元件(未顯示)。
在操作中,加熱器150加熱該汽化器100至一要求溫度以在容器102內的托盤上由來源試劑固體產生蒸氣。來自來源120的載氣經由管122流進該容器102之內體積,並向外及向上流動以接觸其內之固體。
因此,載氣載入由固體衍生的蒸氣中,且此結果流體流入排放管130並如需要進入進料管142及/或管136以在流體流中產生一固體減少之需要量。此固體減少的結果流體流入ALD單元160且在此單元中於ALD反應室(未顯示)被用於沈積該來自來源試劑衍生的成份於一基材上。該ALD單元因此可在一相對於被處理之晶圓釋出的速率為高效率下操作,此歸因於由前述之蒸氣傳送系統獲得之固體減少作用。
第6圖為圖示本發明之另一實施例的一包括汽化器的蒸氣產生及利用系統之概略圖。
該汽化器200包括一容器202,該容器與一可緊固於容器之上凸緣部份204的蓋206共同封閉一內體積210。在此內體積中架置一系列支撐板232,其如顯示為垂直彼此間隔且在其中央部份由一向下延伸的管230穿過。此管230的上端耦合至一包括手輪214的餵入閥212。此餵入閥212由管線220連接至載氣來源222,該載氣導入容器以載入由支撐在盤232上的固體234衍生 之來源試劑蒸氣。該固體234可依適用的特定應用而為粒狀、顆粒或其他不連續型態。汽化器之容器可以任何合適的方式藉由一加熱器、熱套筒、其他加熱裝置或配置(未顯示)加熱。
在內體積210的上部份為設置一碟狀過濾器238,其大致與內體積的橫切面面積的面積範圍共同延伸,以致向上流動經過容器之載氣/來源試劑蒸氣混合物可在進入容器之排出流體通道(出口埠)以流至排放閥216前,通過過濾器238而脫除固體。該出口埠可在蓋206內由一開口構成,且排放閥216之入口設置在此開口中。該排放閥216包括手輪218,並與進料管226連接,藉此由汽化器排放的流體流至流體利用之設備224中,此設備可為一化學氣相沈積反應室、一離子植入單元或其他利用流體裝置或區域。
在容器202之內體積210中的每一支撐板232具有固體234在其上,以用於與導入容器之內體積的載氣接觸。
載氣由載氣來源222供應並流至進料管220經入口閥212至接管230以在容器202的較低部份排放。因此排放之流體向外及向上流入內體積,通過多個盤232的孔洞或開孔,以載入在盤上由來源試劑粉末或其他固體材料衍生的蒸氣。
為了達此一目的,除了傳導至容器的熱外,來自來源222之載氣可加熱至一高溫,以促進在容器202內 之來源試劑固體材料的揮發作用,此加熱係藉由可有效經傳導熱至容器內的固體之加熱套,內建電阻線、或其他加熱器或加熱元件。為完成此一傳導熱的傳遞,盤232可延伸及接觸容器202限定內體積之。
過濾器238可由一纖維材料或棉氈、墊、或其他材料形成。此過濾器較佳具有充分的穿透性,以在流體通過通道至附隨之容器排放閥216的排放埠期間避免一不當的壓力降。
在過濾器238處,容器之排放閥216的排放埠可在埠或在閥通道或在排放閥的管線下游(如管線226)包括一濾片、篩網、或其他固體保持元件。
第6圖中的托盤顯示為具有顆粒形式之固體234於其上,但可瞭解該固體可提供於支撐板232如一沉積膜、塗層或其相似者,藉此當加熱盤時,該來源試劑固體蒸發以形成來源試劑蒸氣成份,此蒸氣進入載氣流以形成載氣混合物,該混合物由汽化容器排放。
如可進一步替代地,在支撐板232上的固體234可為惰性顆粒,其中來源試劑材料為沉積或塗覆在惰性顆粒上,亦即,此惰性顆粒可做為來源試劑材料之塗層的基材。藉此配置,來源試劑材料易於由基材顆粒揮發,以進入蒸氣相並進入流經容器的載氣中。
於汽化器入口亦佈署一過濾器元件(未顯示),故來源222導入的氣體可通過。在此方式中,可避 免來源材料之任何固體含量而造成的問題,如在容器中與來源試劑材料衍生的固體聚集。
首先在提供來源試劑材料時,其可過篩或篩選以獲得如最適於特定汽化作用操作之來源材料特定的顆粒大小範圍及分佈。
第7圖為本發明之另一實施例的固體來源蒸氣傳送系統之概略圖,該系統包括一具有容器302之汽化器300,該容器具有一徑向及外切延伸的上凸緣304,其係利利於蓋306以機械螺栓緊固件308螺固至該容器。
在此一方式中,蓋及容器302共同封閉一內體積,其中配置一系列垂直間隔之托盤350,托盤特徵為具穿孔或佈滿孔洞以容納向上之氣體流通過。如說明,此托盤可提供粒狀固體352於其上,且限制固體載入載氣中,此托盤可使用篩網364覆蓋,如顯示於第7圖之容器內托盤的說明。
在此固體保持結構中的變化中,篩網364可與顯示於第6圖之汽化器內體積的上部份之過濾器238組合使用,故過濾器以篩網覆蓋以確保固體不會流體化於流經容器的載氣流中。
如第7圖所示,該汽化器300由一來源326供應載氣,如由來源流入進料管324至包括手輪312之入口閥310。該入口閥310與一接管360耦合,其在底端開口以容許氣體在容器底板向外方向流動並向上經過容器上層部份之個別托盤。
在第7圖所示之實施例,上層托盤350不具有固體於其內,且因此可做為一脫離空間以利任何可能進入流體流中的固體脫離,該固體係由在空托盤下方的托盤上固體衍生。
在此實施例中,接管360在其上部具有一系列的正切開孔370,此開口可導引氣體於一正切方式,如流動線380所顯示,故可產生一旋渦以造成固體由向上流動至與排放閥314接合的容器埠之氣體中脫離。
排放閥314配置一手輪316以開啟或關閉閥元件至一所需的範圍,以利含有汽化之來源試劑的載氣混合物由排放管線320流至流體利用設備322。流體利用設備322可為一半導體製造設備或其他半導體製造工具或流體曝觸之反應室,如適於使用由容器內之來源試劑衍生的蒸氣的特定應用。
在第7圖之實施例,連接與排放閥314相通之氣體流的容器出口埠以一過濾器390遮蔽,該過濾器置於蓋306的排放開口,該蓋與排放閥314的入口通道相接合。過濾器390可用於由容器排放的流體流中脫除任何細微固體顆粒。
因此可以瞭解在實施本發明時可使用一大範圍種類的固體脫除元件、結構及技術以確保大致細微粒子及固體的滅少,故其等可由在汽化器排放的含來源試劑蒸氣流體中實質完全脫除或為至少大量的減少。
除了前述固體脫除元件、結構及技術,在載氣中微細粉末及其載入的發生可藉由在容器內提供多孔性單塊型形式之固體來源試劑與載氣接觸而明顯減少。
為此一目的,來源試劑可與一聚合物、結合劑或基質材料混合,接著除去此聚合物、結合劑或基質材料而留下來源試劑,成為一具顯著孔隙度的單一體。
如一特定範例,氯化鉿與一可溶於一極性溶劑的聚合物混合,接著此聚合物可藉由極性溶劑自來源試劑/聚合物體中濾除,以產生一氯化鉿多孔性體,此多孔性體可與載氣(如氬、氦或其相似者)接觸以傳送氯化鉿蒸氣至一化學氣相沈積反應室或其他終端使用的設備中。
如另一變化,來源試劑可與一高度多孔性結構混合或倒入多孔性結構以構成一複合單塊型,該單塊型可加熱以使來源試劑蒸氣逸散。因此,可使用一單塊型體做為基材,並以來源試劑含浸。例如,該來源試劑可溶解於一溶劑或懸浮介質中,其接著與單塊型體接觸以與溶解或懸浮的來源試劑含浸,再來以乾燥或加熱除去溶劑以產生含來源試劑之單塊型體。
可瞭解在前述實施例中的單塊型體可為任何幾何形狀且大小適於系統的蒸氣供應需求,其中系統內佈署的為承載來源試劑的單塊型體。
在減少由汽化器排放之含來源試劑蒸氣流體之微細粉末及其載入的發生之另一特定技術中,首先來源試劑顆粒可在中溫條件下加熱,以產生最小顆粒的熔融或 接合,因而彼此及/或以較大的顆粒合併,藉此可減少在汽化器排放的流體中顆粒的產生。
在另一實施例,用以導入載氣至汽化器內體積之接管可在其下端提供一擴散器、噴灑頭或其他流體分配器或分散元件,以致載氣在汽化器的全部橫切面分佈以向上流動。
在另一態樣中,汽化器可以藉由一具有流量限制閥之載氣進料管供應載氣,以當在載氣進料管的餵入閥初始開啟時,先壓制或降低引入載氣至容器時伴之隨之初始的壓力驟升。
在另一方法中,在汽化器入口閥完全開啟前,在容器及下游工具間的管線壓力可先升至相等於載氣壓力的壓力量,以進一步的技術降低入口閥的最初開口時伴隨的任何壓力驟升以導入載氣至汽化器的內體積。
亦可利用由汽化器之排放管線的載氣迴流以降低任何在汽化器最初啟動時的壓力驟升。對於相同的目的,在載氣進料管中可使用一閘溢流閥以限制高流量狀況。
在某些例子中,焦耳-湯普森效用可導致含有來源試劑蒸氣的流體流冷卻,因而由膨脹介質冷卻造成的流體流中可能形成顆粒,而此一效應可藉由適當設計而使焦耳-湯普森效應最小化。例如可在汽化器系統的管線或埠利用限流口(RFO)元件以限制焦耳-湯普森膨脹效應。第8圖為依本發明之另一實施例的汽化容器410的部 份透視圖,其利用一多孔性金屬體450為可揮發固體的支撐物。
除了具有多孔性金屬體450外,容器410對應於第1圖之容器10且在第8圖的參考編號為在第1圖的對應部份或元件為增加400。據此,第1圖實施例描述適用於第8圖實施例,除了有關在第8圖實施例中出現之多孔性金屬體450,其將於後文描述。
第8圖實施例之該多孔性金屬體450為一由合適的金屬如鋁或不銹鋼形成的金屬泡沫材料,且如圖示置於容器的上托盤,以在即使容器在運送或處理期間傾斜,仍保持來源試劑均勻的分布在托盤中。
此為多孔性固體結構體的泡沫體亦可相對於平面托盤表面為實質提供增加的表面積,而表面積增加之特定量依泡沫體的孔隙度及孔洞大小特性泡沫而定。此泡沫體在相對於單純的托盤結構,亦得到放大金屬與化學物的接觸。當熱輸入至容器時,此接著可實現化學物本身更均勻的加熱。
此泡沫體實際上可有效的單獨使用,如為一單塊型體、或單塊型物件床,其可佈署於汽化容器的內體積中於托盤總成或其他支撐結構處。
雖然在第8圖中說明的容器只僅有在最上層的托盤具有一泡沫體,可瞭解該容器可替代地以分離的泡沫體建構於容器的每一托盤上,或如另一變更,泡沫體可用於數個但非全部的托盤上。在一特定實施例中,其有利 的保留頂部托盤沒有任何固體且沒有任何的泡沫體於其上。
本發明因此提供一包括適於維持來源試劑之汽化容器的汽化器,該來源試劑與導入容器中用於接觸的載氣接觸。此汽化器適於汽化容器及其內之來源試劑的加熱,以產生由該來源試劑衍生的蒸氣,其中該來源試劑易於有顆粒產生及存在於蒸氣中。此容器界定一內體積,具有一可密封該容器的蓋以封閉該內體積。此蓋包括一入口及出口埠,藉此載氣經由入口埠可引入該內體積,且一包括該載氣及由該來源試劑衍生之蒸氣的載氣混合物可經該出口埠由該內體積排放。在內體積內提供至少一多孔性金屬體,該金屬體適於支撐來源試劑於其孔隙中。
本發明相關地提出一在汽化容器中將可揮發固體進行固體加熱以產生蒸氣的方法。此方法涉及將至少一多孔性金屬體置於汽化容器中,其中該多孔性金屬體在其孔隙中含有可揮發固體。
在又一態樣中,本發明有關一用於固體來源之汽化器,該固體來源為如一低蒸氣壓力材料。此汽化器包括一單一埠容器,該容器包括一界定一內體積的壁且在此容器內體積中具有多數個分離支撐元件與壁熱接觸,且適於在內體積中支撐固體來源材料。此支撐元件提供一固體來源材料加熱之結構以形成一固體來源材料蒸氣。在實施例中的單一埠容器之單一埠為一與容器內體積相通的排放埠且適於由容器內排放固體來源材料蒸氣。
第9圖為此一型式之汽化容器500的概略圖。
在第9圖實施例中,汽化器500包括一製成圓柱形貯藏器的容器502,一板元件504緊固於該容器上,如藉由焊接、銅鋅焊接、傳導接合介質、機械固定、或其他附加技術、材料或元件。可替代地,該汽化器500可相對地與板元件504及容器502一體成形成一單一結構。該容器502及板元件504共同封圍一內體積506。該容器502及板元件504共同封圍一內體積506。
多數個指部510固定近端部於容器的壁508上。為達此一目的,壁508可形成多數個開孔於其內,開孔的每一大小為可容受一指部510,故指部可由壁開孔向容器內體積506內延伸。此些指部可以任何合適的方法緊固於相對的開孔中,以確保及維持容器的防漏完整性。
此指部可例如在接近端部形成螺紋,其互補於在容器壁508相對開孔的螺蚊,因而指部可螺固接合至壁508。在此方式中,指部可外加地安裝於容器內且可由容器移除。可瞭解在壁開孔上此可容納指部的互補螺蚊處,此指部可替代地以接合及緊固於壁上。
可替代地,容器502可在壁508上未形成任何開孔,且具有可由容器移除的板元件504,如一二件式結構。在此實施例中的指部可在近端部處以任何合適的方法緊固於壁508的內壁表面,如藉由焊接、銅鋅焊接、傳導接合介質、機械固定、或其他附加技術、材料或元件。
指部510可以任何合適的配置設置於內體積506。例如,指部可彼此叉合,如在說明的實施例中,或其他方式的彼此間隔關係,為螺旋組合、列、或其他陣列。此指部可由汽化器基部或底板部份向上延伸及/或其可由容器側壁及/或密封元件突出。
每一指部510具有一外表面512,該外表面塗覆一固體來源試劑的塗層514。藉此配置,熱可輸入至容器壁508並傳導地傳遞至指部510,加熱例如經由包圍容器的加熱套、經由容器的輻射加熱、容器的對流加熱、包埋在容器壁的電阻加熱元件之容器壁加熱、或以其他合適的方式。
當熱傳遞至指部,在其上的來源試劑材料之塗層揮發以形成一來源試劑的純蒸氣。
在一說明的實施例中,汽化器之板元件504上具有一閥頭組件,該總成包括一可與汽化容器之內體積506相通的內部流體通道的閥體並具有一排放配件524,管道、歧管或其他流體管路可藉此配件緊固於汽化器以傳送前驅物蒸氣至下游利用流體設備,在此設備中處理此前驅物蒸氣或以其他方式使用之。
此閥體520的內部流體通道含有一閥元件,其可選擇地可在完全開啟及完全關閉位置間轉換,且此閥元件機械耦合至手輪522,因而由閥體界定的閥可開啟至所需的範圍,或關閉前驅物蒸氣流動。
因此在第9圖中的汽化器500僅提供一單一埠以做為汽化容器加熱形成的前驅物之蒸氣的出口,以致蒸氣由內體積506經閥頭520流至具有閥的排放配件524,該閥由閥體限定而開啟至可容納此流體。
可確認汽化器容器可製成為具有一、二或多個埠,且此容器可包括一蓋或為一單一容器,其結構為用以導入來源試劑化學物於其內。例如,此容器的形成為使來源試劑化學物可插入及緊固於容器基部。
在另一態樣中,本發明提出使用離子液體為來源試劑材料的儲存介質,且汽化容器為適於支承含有來源試劑的離子液體。例如,該來源試劑材料可溶解於一合適的離子液體介質中,當離子液體加熱時釋出溶解之來源試劑材料的蒸氣。
適用於此應用的離子液體介質可包括但未限制為酸/鹼中性離子液體、或離子液體(亦即,如路易士酸),其做為反應性液體以產生與被儲存的來源試劑材料之可逆性反應。此些反應性離子液體具有一陽離子成份及一陰離子成份。此反應性離子液體的酸性或鹼性由陽離子、陰離子或由陽離子與陰離子的組合的強度而決定。
最常見的離子液體包含四烷基鏻、四烷基銨、N-烷基吡啶或N,N'-二烷基咪唑陽離子的鹽。常見的陽離子含有C1-18烷基(每一各自的烷基依序為,亦即,甲基、乙基、丙基、丁基、戊基、己基、...,為依命名原則表示),且包括但未限制為N-烷基-N'-甲基咪唑及N-烷 基吡啶之乙基、丁基及己基衍生物。其他陽離子包括噠嗪離子(pyridazinium)、嘧啶離子(pyrimidinium)、吡嗪離子(pyrazinium)、吡唑離子(pyrazolium)、三唑離子(triazolium)、噻唑離子(thiazolium)、及噁唑離子(oxazolium)。
可使用此稱之為「任務導向(task-specific)」的離子液體,其帶有反應性官能基於陽離子上。此任務導向的離子液體可括胺基烷基,如胺基丙基;脲基丙基,及前述陽離子之硫脲基衍生物。任務導向的含有官能性陽離子之離子液體的特定範圍包括1-烷基-3-(3-胺基丙基)咪唑鹽、1-烷基-3-(3-脲基丙基)咪唑鹽、1-烷基-3-(3-硫脲基-丙基)咪唑鹽、1-烷基-4-(2-二苯基苯膦基乙基)吡啶鹽(1-alkyl-4-(2-diphenylphosphanylethyl)pyridinium)、1-烷基-3-(3-磺基-丙基)咪唑鹽、及三烷基-(3-磺基丙基)鏻。
一廣泛種類的陰離子可與此離子液體的陽離子成份配對以獲得中性離子液體或一具有路易士酸性或路易士鹼性者。一型式之陰離子由一金屬鹵化物衍生。一常使用之鹵化物為氯化物,雖然也可使用其他鹵化物。供應陰離子成份(如金屬鹵化物)之較佳金屬包括銅、鋁、鐵、鈷、鉻、鋅、錫、銻、鈦、鈮、鉭、鎵、及銦。金屬氯化陰離子的範例包括CuCl2 -、Cu2Cl3 -、AlCl4 -、Al2Cl7 -、CoCl3 -、CrCl4 -、ZnCl3 -、ZnCl4 -2、 Zn2Cl5 -、FeCl3 -、FeCl4 -、Fe2Cl7 -、TiCl5 -、TiCl6 -2、SnCl5 -、SnCl6 -2等。
其他常用的陰離子包括羧酸、氟化羧酸鹽、磺酸鹽、氟化磺酸鹽、醯亞胺、硼烷、磷酸鹽、氯化物等。較佳的陰離子包括BF4 -、PF6 -、p-CH3-C6H4SO3 -、CF3SO3 -、CH3OSO3 -、CH3CH2OSO3 -、(CF3SO2)2N-、(NC)2N-(CF3SO2)3C-、CH3COO-及CF3COO-
可製備其他離子液體之鹵化物離子液體化合物的範例包括:1-乙基-3-甲基咪唑溴化物、1-乙基-3-甲基咪唑氯化物、1-丁基-3-甲基咪唑溴化物、1-丁基-3-甲基咪唑氯化物、1-己基-3-甲基咪唑離子溴化物、1-己基-3-甲基咪唑氯化物、1-甲基-3-辛基咪唑溴化物、1-甲基-3-辛基咪唑氯化物、單甲基胺氯化氫、三甲基胺氯化氫、四乙基銨氯化物、四甲基胍基氯化氫、N-甲基吡啶氯化物、N-丁基-4-甲基吡啶溴化物、N-丁基-4-甲基吡啶氯化物、四丁基鏻氯化物、及四丁基鏻溴化物。
其他合適的液體載劑包括寡聚物及低分子量聚合物、超分歧化及樹狀聚合物、天然及合成油等。合適的液體載劑的特定範例包括碳酸亞烴酯、乙二醇二甲基醚(glymes)、聚醚油、全氟聚乙醚油、氯化三氟乙烯油、氫氟烴油、聚苯醚、矽酮油、氟化矽酮油、烴(精煉石油)油、超分歧化聚乙烯、超分歧化聚乙烯聚醚、聚酯多元醇、聚醚多元醇、聚碳酸酯等。
在使用中,此含有溶解來源試劑於其中的離子液體可加熱以在足夠的蒸氣壓下產生溶解來源試劑之蒸氣,故載氣與離子液體接觸而結合的蒸氣將產生含有來源試劑的蒸氣,其中來源試劑的濃度為適於所欲使用的終用途之濃度。
本發明之再一態樣係有關於一種汽化器,其包含一汽化容器,該汽化容器封閉一內體積及具有至少一氣體埠與該容器之內體積相通,一在容器之內體積中且與該容器相通的內部結構及一與該內部結構接觸的來源試劑材料,該來源材料包括一外硬塊部分,其可加熱以產生一來源材料蒸氣,以在汽化器的分配操作中從該容器排出。此內部結構可包括托盤、金屬泡沫、隔間、鯺狀物,延伸表面的突出物等,且容器可額外的包括顆粒抑制特性元件及/或濾片元件。
第10圖適用於放置在本發明之汽化容器中的固體來源試劑托盤元件的立體圖式照片。此商品特徵在於其內的多數個圓柱型流體通道以提供蒸氣通過托盤。在長時間熱處理來源試劑後會形成結硬材料,照片顯示來源試劑的結硬部份正由托盤上的來源試劑之總體量上除去。
如第10圖所示,部份的來源試劑材料由托盤表面上的來源試劑的總體量上除去,且此一除去部份包括已密實化最上層,此最上層係因最初施用至托盤上的來源試劑之顆粒粉末形式經由熱處理而密實化。
如本文所述,「結硬部份(crust)」一詞意指來源試劑材料質量的外表部份,其中此外表部份具有不同於在來源試劑材料此總體量的總體內部或下層部份之形態學上的形式。此結硬部份的形態學之不同特性在於密度、結晶或非晶性特性、微結構、分離或連續特性的程度、分離或連續特性的差異(如,其中結硬部份為熔融或連續,而內部總體部份為分離或不連續的,如,為顆粒或粒子特性)上。
在本發明之一實施例中,一來源試劑材料(如ZrCl4及HfCl4)在一長時間加熱,如至少4小時且較佳為介於10至15小時間,在溫度高於235℃,如介於235℃至250℃間,在此來源試劑材料總體量上會形成形成一結硬部份,如在此材料的顆粒總體量上。可認知在對於可用於本發明廣泛實施的特定來源試劑材料,此一高溫處理在本發明實施時有很大的變化。
雖然本文已配合本發明之特定態樣、特徵及示範性實施例詳述如上,但可瞭解本發明並未因此而受限,熟於此技藝的人士可基於本發明揭露內容的教示而延伸及涵括多種其他變化、潤飾及可替代性的實施例。本文中所請求的本發明範圍應寬廣地說明及解釋,以涵蓋在本發明精神及範疇內的所有變化、潤飾及可替代性實施例。因此,所有此些可替性實施例、變及修飾皆視為涵蓋於本文中所主張的精神及範疇中。
雖然本文已配合本發明之特定態樣、特徵及示範性實施例詳述如上,但可瞭解本發明並未因此而受限,熟於此技藝的人士可基於本發明揭露內容的教示而延伸及涵括多種其他變化、潤飾及可替代性的實施例。因此,本文中所請求的本發明範圍應寬廣地說明及解釋,以涵蓋在本發明精神及範疇內的所有變化、潤飾及可替代性實施例。
10‧‧‧汽化器傳送系統(汽化器)
12‧‧‧容器
14‧‧‧底板
16‧‧‧邊界側壁
18‧‧‧蓋
20‧‧‧載氣入口閥
22‧‧‧托盤
23‧‧‧內部載氣接管
30‧‧‧通管
38‧‧‧密封O-環
40‧‧‧氣體出口閥

Claims (29)

  1. 一種汽化器,其包含一汽化容器適於容納一來源試劑以接觸導入該容器中用於接觸之一載氣,該汽化器適於用來加熱該汽化容器及其中之該來源試劑,以產生由該來源試劑所衍生之蒸氣,該容器定義一內體積,並包括可封閉該容器以密閉該內體積之一蓋體,該蓋體包括一入口埠以及一出口埠,藉此該載氣經由該入口埠導入該內體積,且包括該載氣及由該來源試劑所衍生的蒸氣之一載氣混合物經由該出口埠自該內體積排放,其中該汽化器容納含有該來源試劑之一離子液體,其中於該汽化容器中,該離子液體儲存該來源試劑。
  2. 如請求項1所述之汽化器,進一步包含複數個可垂直堆疊托盤於該內體積中。
  3. 如請求項1所述之汽化器,進一步包含複數個隔間於該內體積中。
  4. 如請求項1所述之汽化器,其中該至少一個內部結構包括複數個延伸表面突出物於該內體積中。
  5. 如請求項4所述之汽化器,其中該複數個延伸表面突出物包含複數個圓柱型流體通道以容納來源試劑蒸氣流。
  6. 如請求項4所述之汽化器,其中該複數個延伸表面突出物作為複數個延伸表面熱傳遞元件,以促進該來源試劑的揮發作用。
  7. 一種蒸氣傳送系統,包含:一汽化器,其包括一汽化容器容納一來源試劑,該汽化器適於加熱該汽化容器及其中之該來源試劑,以產生衍生自該來源試劑之蒸氣,該容器定義一密閉內體積,並具有至少一個埠,藉此由該來源試劑衍生的蒸氣可以一純形式(neat form)或在與一載氣之混合物中由該容器之該內體積排放;以及耦接該汽化器之流體管路,以使該蒸氣流至一流體利用裝置或區域;其中該來源試劑以該來源試劑形成的至少一個多孔性單塊型物件之形式呈現。
  8. 如請求項7所述之蒸氣傳送系統,其中該來源試劑包含選自下列組成之群組中之一材料:二甲基 聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、單氯化銦、三氯化鋁、碘化鈦、羰化鎢、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium(Zr(DPM)4))、十硼烷、十八硼烷、硼、鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基(amidinate)配位體之前驅物、有機金屬前驅物、第三丁基醇鋯(Zr(t-OBu)4)、肆(二乙基胺基)鋯(Zr(Net2)4)、肆(二乙基胺基)鉿(Hf(NEt2)4)、肆(二甲基胺基)鈦(TDMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、五(二甲基胺基)鉭(PDMAT)、五(乙基甲基胺基)鉭(PEMAT)、肆(二甲基胺基)鋯(Zr(NMe2)4)、肆(第三丁基醇)鉿(Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、金屬有機β-二酮基配位錯化物、六氟化鎢、環戊二烯基環庚三烯基-鈦(C p TiCht)、環辛四烯基-環戊二烯基鈦、雙環戊二烯基二疊氮鈦、三甲基鎵、三甲基銦、烷基鋁、三乙基鋁、三甲基胺鋁烷、二甲基鋅、四甲基錫、三甲基銻、二乙基鎘、羰化鎢、金屬鹵化物、鎵鹵化物、銦鹵化 物、銻鹵化物、砷鹵化物、碘化鋁、碘化鈦、金屬有機錯化物、In(CH3)2(hfac)、二溴甲基銻化氫、羰化鎢、金屬有機醇氧錯化物、金屬有機羧酸錯化物、金屬有機芳香基錯化物以及金屬有機醯胺基錯化物以及前述二或二者以上之相容組合物及混合物。
  9. 一種藉由加熱一固體來源試劑粉末而自該固體來源試劑粉末產生蒸氣的方法,該方法包含下列步驟:過篩一初始粉末,以回收具有一預定顆粒尺寸範圍及分佈的固體來源試劑粉末,以進行該加熱,其中該預定顆粒尺寸範圍及分佈由在該蒸氣產生期間可避免顆粒進入該蒸氣中的顆粒尺寸所組成。
  10. 如請求項9所述之方法,其中該預定顆粒尺寸範圍及分佈由具有大於0.1微米之尺寸的顆粒所組成。
  11. 一種由一固體來源試劑粉末產生蒸氣的方法,該固體來源試劑粉末易於藉由加熱而在該蒸氣產生時於該蒸氣中產生顆粒固體,該方法包含下列步驟:提供該固體來源試劑粉末一顆粒尺寸、組成物及型態學,以利於在加熱時相對於該固體來源試劑粉末 減少顆粒產生的出現及量。
  12. 如請求項11所述之方法,其中藉由過篩該粉末以回收具有該顆粒尺寸、組成物及形態學的粉末,以提供該固體來源試劑粉末該顆粒尺寸、組成物及形態學。
  13. 如請求項11所述之方法,其中該顆粒尺寸由具有大於0.1微米之尺寸的顆粒所組成。
  14. 一種製備一多孔性固體來源試劑物件的方法,該物件為用於藉由加熱該物件以產生蒸氣,該方法包含下列步驟:提供一粉末形式之來源試劑,並在加熱及壓力下合併該粉末以產生一多孔性固體來源試劑物件。
  15. 一種形成一多孔性來源試劑物件的方法,該物件用於曝露在使該來源試劑昇華的升溫條件下,該方法包含下列步驟:將一暫時性介質與粉末型式之該來源試劑混合以形成一混合物,將該混合物塑形以形成該暫時性介質與該來源試劑之一複合體,以及由該複合體中有效移除該暫時性介質,以製造一多孔性 來源試劑物件。
  16. 一種多孔性單塊型成型物件,其係由一可昇華之來源試劑所形成。
  17. 如請求項16所述之物件,其中該可昇華的來源試劑包含選自下列組成之群組中之一材料:二甲基聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、單氯化銦、三氯化鋁、碘化鈦、羰化鎢、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium(Zr(DPM)4))、十硼烷、十八硼烷、硼、鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基(amidinate)配位體之前驅物、有機金屬前驅物、第三丁基醇鋯(Zr(t-OBu)4)、肆(二乙基胺基)鋯(Zr(Net2)4)、肆(二乙基胺基)鉿(Hf(NEt2)4)、肆(二甲基胺基)鈦(TDMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、五(二甲基胺基)鉭(PDMAT)、五(乙基甲基胺基)鉭(PEMAT)、肆(二甲基胺基)鋯(Zr(NMe2)4)、肆(第三丁基醇)鉿 (Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、金屬有機β-二酮基配位錯化物、六氟化鎢、環戊二烯基環庚三烯基-鈦(C p TiCht)、環辛四烯基-環戊二烯基鈦、雙環戊二烯基二疊氮鈦、三甲基鎵、三甲基銦、烷基鋁、三乙基鋁、三甲基胺鋁烷、二甲基鋅、四甲基錫、三甲基銻、二乙基鎘、羰化鎢、金屬鹵化物、鎵鹵化物、銦鹵化物、銻鹵化物、砷鹵化物、碘化鋁、碘化鈦、金屬有機錯化物、In(CH3)2(hfac)、二溴甲基銻化氫、羰化鎢、金屬有機醇氧錯化物、金屬有機羧酸錯化物、金屬有機芳香基錯化物以及金屬有機醯胺基錯化物以及前述二或二者以上之相容組合物及混合物。
  18. 一種汽化器,其包含一汽化容器,適於容納一來源試劑以接觸導入該容器內用於接觸之一載氣,該汽化器適於加熱該汽化容器及其中之該來源試劑,以產生衍生自該來源試劑的蒸氣,其中該來源試劑易於在該蒸氣中產生或存在有顆粒,該容器定義一內體積,一蓋體可封閉該容器以密閉該內體積,該蓋體包括入口及出口埠,藉此該載氣經由該入口埠導入該內體積,且包括該載氣及由該來源試劑所衍生的蒸氣之一載氣混合物經由該出口埠自該內體積排放,在 該內體積內具有至少一個多孔性熱傳導體,其適於在其孔隙中支撐該來源試劑。
  19. 如請求項18所述之汽化器,其中該至少一個多孔性熱傳導體包含一多孔性材料,該多孔性材料係由選自鋁及不銹鋼組成的組群中之材料所形成。
  20. 如請求項18所述之汽化器,其中該至少一個多孔性熱傳導體係由鋁所形成。
  21. 如請求項18所述之汽化器,其中該至少一個多孔性熱傳導體係由不銹鋼所形成。
  22. 如請求項1至6及18至21中任一項所述之汽化器,其中該來源試劑包含選自下列組成之群組中之一材料:二甲基聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、單氯化銦、三氯化鋁、碘化鈦、羰化鎢、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium(Zr(DPM)4))、十硼烷、十八硼烷、硼、 鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基(amidinate)配位體之前驅物、有機金屬前驅物、第三丁基醇鋯(Zr(t-OBu)4)、肆(二乙基胺基)鋯(Zr(Net2)4)、肆(二乙基胺基)鉿(Hf(NEt2)4)、肆(二甲基胺基)鈦(TDMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、五(二甲基胺基)鉭(PDMAT)、五(乙基甲基胺基)鉭(PEMAT)、肆(二甲基胺基)鋯(Zr(NMe2)4)、肆(第三丁基醇)鉿(Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、金屬有機β-二酮基配位錯化物、六氟化鎢、環戊二烯基環庚三烯基-鈦(C p TiCht)、環辛四烯基-環戊二烯基鈦、雙環戊二烯基二疊氮鈦、三甲基鎵、三甲基銦、烷基鋁、三乙基鋁、三甲基胺鋁烷、二甲基鋅、四甲基錫、三甲基銻、二乙基鎘、羰化鎢、金屬鹵化物、鎵鹵化物、銦鹵化物、銻鹵化物、砷鹵化物、碘化鋁、碘化鈦、金屬有機錯化物、In(CH3)2(hfac)、二溴甲基銻化氫、羰化鎢、金屬有機醇氧錯化物、金屬有機羧酸錯化物、金屬有機芳香基錯化物以及金屬有機醯胺基錯化物以及前述二或二者以上之相容組合物及混合物。
  23. 如請求項1至6及18至21中任一項所述 之汽化器,其中該汽化器缺乏一濾片。
  24. 如請求項1至6及18至21中任一項所述之汽化器,其中該容器包含一熱傳導材料,且該容器適於被外部加熱。
  25. 一種由一可揮發固體在一汽化容器中進行固體加熱以產生一蒸氣的方法,該方法包含下列步驟:設置至少一個多孔性熱傳導體於該汽化容器中,其中該多孔性金屬體包含一金屬泡沫材料,該金屬泡沫材料含有該可揮發固體於其孔隙中。
  26. 如請求項25所述之方法,其中該至少一個多孔性熱傳導體包含一多孔性材料,該多孔性材料係由選自鋁及不銹鋼組成的組群中之材料所形成。
  27. 如請求項25所述之方法,其中該至少一個多孔性熱傳導體係由鋁所形成。
  28. 如請求項25所述之方法,其中該至少一個多孔性熱傳導體係由不銹鋼所形成。
  29. 如請求項9至15及25至28中任一項所述之方法,其中該固體來源試劑包含選自下列組成之群組中之一材料:二甲基聯氨、三甲基鋁(TMA)、四氯化鉿(HfCl4)、四氯化鋯(ZrCl4)、三氯化銦、單氯化銦、三氯化鋁、碘化鈦、羰化鎢、Ba(DPM)2、雙(四甲基庚二酮)鍶(bis di pivaloyl methanato strontium(Sr(DPM)2))、TiO(DPM)2、肆(四甲基庚二酮)鋯(tetra di pivaloyl methanato zirconium(Zr(DPM)4))、十硼烷、十八硼烷、硼、鎂、鎵、銦、銻、銅、磷、砷、鋰、四氟硼酸鈉、併有烷基-脒基(amidinate)配位體之前驅物、有機金屬前驅物、第三丁基醇鋯(Zr(t-OBu)4)、肆(二乙基胺基)鋯(Zr(Net2)4)、肆(二乙基胺基)鉿(Hf(NEt2)4)、肆(二甲基胺基)鈦(TDMAT)、第三丁基亞胺基三(二乙基胺基)鉭(TBTDET)、五(二甲基胺基)鉭(PDMAT)、五(乙基甲基胺基)鉭(PEMAT)、肆(二甲基胺基)鋯(Zr(NMe2)4)、肆(第三丁基醇)鉿(Hf(tOBu)4)、二氟化氙(XeF2)、四氟化氙(XeF4)、六氟化氙(XeF6)、金屬有機β-二酮基配位錯化物、六氟化鎢、環戊二烯基環庚三烯基-鈦(C p TiCht)、環辛四烯基-環戊二烯基鈦、雙環戊二烯基二疊氮鈦、三甲基鎵、三甲基銦、烷基鋁、三乙基 鋁、三甲基胺鋁烷、二甲基鋅、四甲基錫、三甲基銻、二乙基鎘、羰化鎢、金屬鹵化物、鎵鹵化物、銦鹵化物、銻鹵化物、砷鹵化物、碘化鋁、碘化鈦、金屬有機錯化物、In(CH3)2(hfac)、二溴甲基銻化氫、羰化鎢、金屬有機醇氧錯化物、金屬有機羧酸錯化物、金屬有機芳香基錯化物以及金屬有機醯胺基錯化物以及前述二或二者以上之相容組合物及混合物。
TW104129810A 2006-08-31 2007-08-31 利用受控固體形態學且基於固體前驅物之流體傳送 TWI575101B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US84158906P 2006-08-31 2006-08-31

Publications (2)

Publication Number Publication Date
TW201602401A true TW201602401A (zh) 2016-01-16
TWI575101B TWI575101B (zh) 2017-03-21

Family

ID=39136974

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104129810A TWI575101B (zh) 2006-08-31 2007-08-31 利用受控固體形態學且基於固體前驅物之流體傳送
TW096132592A TWI522488B (zh) 2006-08-31 2007-08-31 利用受控固體形態學且基於固體前驅物之流體傳送

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW096132592A TWI522488B (zh) 2006-08-31 2007-08-31 利用受控固體形態學且基於固體前驅物之流體傳送

Country Status (8)

Country Link
US (4) US20080241805A1 (zh)
EP (1) EP2059626B1 (zh)
JP (1) JP5266227B2 (zh)
KR (5) KR101465810B1 (zh)
CN (2) CN103305804B (zh)
MY (1) MY153662A (zh)
TW (2) TWI575101B (zh)
WO (1) WO2008028170A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI743674B (zh) * 2019-02-07 2021-10-21 日商高純度化學研究所股份有限公司 蒸發原料用容器、使用有該蒸發原料用容器之固體氣化供給系統

Families Citing this family (467)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US8018383B1 (en) 2010-06-08 2011-09-13 Q-Track Corporation Method and apparatus for determining location using signals-of-opportunity
CA2629069C (en) 2005-11-15 2016-07-19 Bernadette Garner Method for training neural networks
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) * 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
WO2009034938A1 (ja) * 2007-09-10 2009-03-19 Ulvac, Inc. 有機材料蒸気発生装置、成膜源、成膜装置
ES2632366T3 (es) 2007-08-31 2017-09-12 3M Innovative Properties Company Determinación de estados de componentes acoplados de manera desmontable a un equipo de protección personal
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
US20090320771A1 (en) * 2008-06-10 2009-12-31 Matheson Tri-Gas Ionic liquid mediums for holding solid phase process gas precursors
US7759657B2 (en) 2008-06-19 2010-07-20 Axcelis Technologies, Inc. Methods for implanting B22Hx and its ionized lower mass byproducts
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR20110122823A (ko) * 2009-01-16 2011-11-11 비코 인스트루먼츠 인코포레이티드 루테늄의 저온 부착용 조성물 및 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123877A2 (en) * 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US20100305884A1 (en) * 2009-05-22 2010-12-02 Applied Materials, Inc. Methods for determining the quantity of precursor in an ampoule
US8827714B2 (en) * 2009-06-22 2014-09-09 Lawrence Livermore National Secuity, LLC. Web-based emergency response exercise management systems and methods thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5328726B2 (ja) 2009-08-25 2013-10-30 三星ディスプレイ株式會社 薄膜蒸着装置及びこれを利用した有機発光ディスプレイ装置の製造方法
JP5677785B2 (ja) 2009-08-27 2015-02-25 三星ディスプレイ株式會社Samsung Display Co.,Ltd. 薄膜蒸着装置及びこれを利用した有機発光表示装置の製造方法
US8876975B2 (en) 2009-10-19 2014-11-04 Samsung Display Co., Ltd. Thin film deposition apparatus
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
KR101146982B1 (ko) * 2009-11-20 2012-05-22 삼성모바일디스플레이주식회사 박막 증착 장치 및 유기 발광 디스플레이 장치 제조 방법
KR101174874B1 (ko) * 2010-01-06 2012-08-17 삼성디스플레이 주식회사 증착 소스, 박막 증착 장치 및 유기 발광 표시 장치 제조 방법
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
KR101174875B1 (ko) 2010-01-14 2012-08-17 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101193186B1 (ko) 2010-02-01 2012-10-19 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
KR101156441B1 (ko) 2010-03-11 2012-06-18 삼성모바일디스플레이주식회사 박막 증착 장치
KR101202348B1 (ko) 2010-04-06 2012-11-16 삼성디스플레이 주식회사 박막 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
US8894458B2 (en) 2010-04-28 2014-11-25 Samsung Display Co., Ltd. Thin film deposition apparatus, method of manufacturing organic light-emitting display device by using the apparatus, and organic light-emitting display device manufactured by using the method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101223723B1 (ko) 2010-07-07 2013-01-18 삼성디스플레이 주식회사 박막 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US8599011B2 (en) 2010-07-30 2013-12-03 Q-Track Corporation Firefighter location and rescue equipment employing path comparison of mobile tags
CN103201824B (zh) 2010-08-30 2016-09-07 恩特格里斯公司 由固体材料制备化合物或其中间体以及使用该化合物和中间体的设备和方法
US20120090544A1 (en) * 2010-10-18 2012-04-19 Kim Mu-Gyeom Thin film deposition apparatus for continuous deposition, and mask unit and crucible unit included in thin film deposition apparatus
KR101723506B1 (ko) 2010-10-22 2017-04-19 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101738531B1 (ko) 2010-10-22 2017-05-23 삼성디스플레이 주식회사 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
KR20120045865A (ko) 2010-11-01 2012-05-09 삼성모바일디스플레이주식회사 유기층 증착 장치
KR20120065789A (ko) 2010-12-13 2012-06-21 삼성모바일디스플레이주식회사 유기층 증착 장치
KR101760897B1 (ko) 2011-01-12 2017-07-25 삼성디스플레이 주식회사 증착원 및 이를 구비하는 유기막 증착 장치
KR101852517B1 (ko) 2011-05-25 2018-04-27 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101840654B1 (ko) 2011-05-25 2018-03-22 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 디스플레이 장치의 제조 방법
KR101857249B1 (ko) 2011-05-27 2018-05-14 삼성디스플레이 주식회사 패터닝 슬릿 시트 어셈블리, 유기막 증착 장치, 유기 발광 표시장치제조 방법 및 유기 발광 표시 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2012255193A (ja) * 2011-06-09 2012-12-27 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
KR101826068B1 (ko) 2011-07-04 2018-02-07 삼성디스플레이 주식회사 유기층 증착 장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR20130015144A (ko) 2011-08-02 2013-02-13 삼성디스플레이 주식회사 증착원어셈블리, 유기층증착장치 및 이를 이용한 유기발광표시장치의 제조 방법
US9964299B2 (en) * 2011-09-02 2018-05-08 Sharkninja Operating Llc Steam generator
TWI583442B (zh) 2011-10-10 2017-05-21 恩特葛瑞斯股份有限公司 B2f4之製造程序
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130105483A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Apparatus for sublimating solid state precursors
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US20130145988A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate Processing Bubbler Assembly
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
KR20130096370A (ko) * 2012-02-22 2013-08-30 삼성디스플레이 주식회사 유기물 정제장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9068260B2 (en) 2012-03-14 2015-06-30 Andritz Iggesund Tools Inc. Knife for wood processing and methods for plating and surface treating a knife for wood processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
CN104487608A (zh) * 2012-05-31 2015-04-01 高级技术材料公司 基于源试剂的用于批量沉积的高物质通量流体的输送
KR101695356B1 (ko) * 2012-06-26 2017-01-24 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
KR101971927B1 (ko) 2012-08-10 2019-04-25 삼성디스플레이 주식회사 캐니스터, 이를 포함하는 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP2014053477A (ja) * 2012-09-07 2014-03-20 Philtech Inc 固体金属ガス供給装置
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
KR101994838B1 (ko) 2012-09-24 2019-10-01 삼성디스플레이 주식회사 유기층 증착 장치, 이를 이용한 유기 발광 디스플레이 장치의 제조 방법 및 이에 따라 제조된 유기 발광 디스플레이 장치
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR20140073198A (ko) * 2012-12-06 2014-06-16 삼성디스플레이 주식회사 유기물 기화 장치 및 그 제어방법
US9836993B2 (en) 2012-12-17 2017-12-05 Lawrence Livermore National Security, Llc Realistic training scenario simulations and simulation techniques
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
KR101453840B1 (ko) * 2013-02-06 2014-10-24 주식회사 레이크머티리얼즈 충전 플레이트를 구비하는 유기금속 화합물 공급 장치
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101427199B1 (ko) * 2013-05-23 2014-08-07 한국기초과학지원연구원 MOCVD 공정용 TMIn 증착 용기
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9856269B2 (en) 2013-09-02 2018-01-02 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for producing pyromellitic dianhydride, pyromellitic dianhydride produced by the method, and apparatus therefor
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9334566B2 (en) * 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102014100832A1 (de) * 2014-01-24 2015-07-30 Osram Opto Semiconductors Gmbh ALD-Beschichtungsanlage und Verfahren zum Betreiben einer ALD-Beschichtungsanlage
US9523151B2 (en) * 2014-02-21 2016-12-20 Tokyo Electron Limited Vaporizer unit with open cell core and method of operating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015164029A1 (en) * 2014-04-21 2015-10-29 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016041966A1 (en) 2014-09-16 2016-03-24 Koninklijke Philips N.V. Protection system for protecting a person against x-ray scatter radiation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR20190126202A (ko) * 2015-02-13 2019-11-08 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10301719B1 (en) * 2015-04-06 2019-05-28 The Curators Of The University Of Missouri Amorphous hydrogenated boron carbide low-k dielectric and method of making the same
US10100406B2 (en) * 2015-04-17 2018-10-16 Versum Materials Us, Llc High purity tungsten hexachloride and method for making same
US10443128B2 (en) * 2015-04-18 2019-10-15 Versum Materials Us, Llc Vessel and method for delivery of precursor materials
KR102299892B1 (ko) * 2015-05-07 2021-09-10 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
US10067108B2 (en) 2015-05-13 2018-09-04 Elemental Sensor Llc Device for detecting volatile organic compounds
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10463275B2 (en) * 2015-08-09 2019-11-05 Elemental Sensor Llc Device for capturing and concentrating volatile organic compounds
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
WO2017033053A1 (en) 2015-08-21 2017-03-02 Flisom Ag Homogeneous linear evaporation source
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR20180063242A (ko) 2015-10-06 2018-06-11 엔테그리스, 아이엔씨. 고체 전구체의 저온 소결
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10221201B2 (en) 2015-12-31 2019-03-05 Praxair Technology, Inc. Tin-containing dopant compositions, systems and methods for use in ION implantation systems
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR101781066B1 (ko) 2016-02-19 2017-10-17 주원디자인 (주) 투명한 nc 가공물의 표면처리방법
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US9869018B2 (en) * 2016-04-26 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Solid precursor delivery method using liquid solvent for thin film deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10774419B2 (en) * 2016-06-21 2020-09-15 Axcelis Technologies, Inc Implantation using solid aluminum iodide (ALI3) for producing atomic aluminum ions and in situ cleaning of aluminum iodide and associated by-products
US9848666B1 (en) 2016-06-23 2017-12-26 3M Innovative Properties Company Retrofit sensor module for a protective head top
US11260251B2 (en) 2016-06-23 2022-03-01 3M Innovative Properties Company Respirator device with light exposure detection
CN109414602B (zh) 2016-06-23 2021-10-01 3M创新有限公司 具有用于主动焊接危险避免的暴露检测的焊接护罩
US9998804B2 (en) 2016-06-23 2018-06-12 3M Innovative Properties Company Personal protective equipment (PPE) with analytical stream processing for safety event detection
US10610708B2 (en) 2016-06-23 2020-04-07 3M Innovative Properties Company Indicating hazardous exposure in a supplied air respirator system
US11023818B2 (en) 2016-06-23 2021-06-01 3M Innovative Properties Company Personal protective equipment system having analytics engine with integrated monitoring, alerting, and predictive safety event avoidance
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102139618B1 (ko) * 2016-07-01 2020-07-30 세메스 주식회사 기판 처리 장치 및 탱크
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10676370B2 (en) * 2017-06-05 2020-06-09 Axcelis Technologies, Inc. Hydrogen co-gas when using aluminum iodide as an ion source material
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2019023011A1 (en) * 2017-07-25 2019-01-31 Linde Aktiengesellschaft SUBLIMATOR APPARATUS FOR SOLID COMPOSITIONS, SYSTEMS AND METHODS OF USING THE SAME
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11104993B2 (en) 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10451752B2 (en) 2017-10-03 2019-10-22 Texas Tech University System Long distance simulated radiation detector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US20190186003A1 (en) * 2017-12-14 2019-06-20 Entegris, Inc. Ampoule vaporizer and vessel
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10832913B2 (en) * 2018-02-14 2020-11-10 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for forming semiconductor structure
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11168394B2 (en) 2018-03-14 2021-11-09 CeeVeeTech, LLC Method and apparatus for making a vapor of precise concentration by sublimation
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11634812B2 (en) * 2018-08-16 2023-04-25 Asm Ip Holding B.V. Solid source sublimator
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102576431B1 (ko) * 2018-09-10 2023-09-08 삼성디스플레이 주식회사 유기물 제조장치 및 이를 이용한 제조방법
CN110885970A (zh) * 2018-09-11 2020-03-17 北京北方华创微电子装备有限公司 固体前驱体蒸汽的稳压和纯化装置以及ald沉积设备
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6901153B2 (ja) 2019-02-07 2021-07-14 株式会社高純度化学研究所 薄膜形成用金属ハロゲン化合物の固体気化供給システム。
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11821087B2 (en) 2019-04-26 2023-11-21 Entegris, Inc. Vaporization vessel and method
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11724340B2 (en) * 2019-05-23 2023-08-15 Saudi Arabian Oil Company Additive manufacturing of MLD-enhanced drilling tools
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
CN110339791B (zh) * 2019-06-28 2020-06-02 华中科技大学 一种适用于金属有机框架材料的多功能合成设备
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US20210123134A1 (en) * 2019-10-24 2021-04-29 Entegris, Inc. Sublimation ampoule with level sensing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US20210147977A1 (en) * 2019-11-14 2021-05-20 Entegris, Inc. Densified solid preforms for sublimation
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
WO2022133432A1 (en) * 2020-12-19 2022-06-23 Lam Research Corporation Atomic layer deposition with multiple uniformly heated charge volumes
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113529053B (zh) * 2021-09-13 2021-12-28 浙江陶特容器科技股份有限公司 一种用于半导体加工的固态前驱体源升华装置及方法
KR20230061593A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR20230061591A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR20230061592A (ko) * 2021-10-28 2023-05-09 주식회사 레이크머티리얼즈 유기금속 화합물 공급 장치
KR102660572B1 (ko) * 2021-11-23 2024-04-26 주식회사 레이크머티리얼즈 필터 모듈 및 그를 포함하는 유기금속 화합물 공급 장치
CN116103636A (zh) * 2023-04-12 2023-05-12 上海星原驰半导体有限公司 固相前驱体输出装置及气相沉积系统
CN116663268A (zh) * 2023-05-18 2023-08-29 中国人民解放军海军工程大学 一种核事故放射性泄漏辐射防护模拟方法

Family Cites Families (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1265863A (en) 1915-01-13 1918-05-14 William G Abbott Jr Evaporator.
US2447789A (en) 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US2721064A (en) 1951-10-03 1955-10-18 Hugo O Reichardt Carbonating device
US2769624A (en) 1953-07-16 1956-11-06 Okey S Burnside Air cleaner and moistener for carburetors
US2902574A (en) 1958-02-03 1959-09-01 Hughes Aircraft Co Source for vapor deposition
US3405251A (en) 1966-05-31 1968-10-08 Trw Inc Vacuum evaporation source
US3636641A (en) * 1969-04-07 1972-01-25 Samuel W Daskam Radiological training device
US3647197A (en) 1970-04-27 1972-03-07 Ford Motor Co Vacuum deposition
US3740043A (en) 1970-05-26 1973-06-19 Republic Steel Corp Apparatus for vaporizing molten metal
US3834682A (en) 1972-06-19 1974-09-10 American Hospital Supply Corp Mixing column for medical humidifier and method of humidifying inhalable gases
US3920882A (en) 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
DE2536013A1 (de) 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
GB1559978A (en) 1976-12-01 1980-01-30 Gen Electric Co Ltd Chemical vapour deposition processes
JPS598445B2 (ja) 1976-12-30 1984-02-24 新日本製鐵株式会社 ロ−ルの軸方向位置制御方法および装置
US4190965A (en) 1979-01-15 1980-03-04 Alternative Pioneering Systems, Inc. Food dehydrator
FR2446958A1 (fr) 1979-01-17 1980-08-14 Nadella Joint de cardan allege
JPS55160424A (en) 1979-05-31 1980-12-13 Fujitsu Ltd Vapor phase epitaxial device
CA1188431A (en) * 1981-10-02 1985-06-04 Canadian Astronautics Limited Direct reading dosimeter
JPS58126973A (ja) 1982-01-22 1983-07-28 Hitachi Ltd 薄膜形成用ソ−ス供給装置
CA1199726A (en) * 1982-02-08 1986-01-21 Marconi Instruments Limited Training apparatus
US4500295A (en) * 1983-05-26 1985-02-19 The United States Of America As Represented By The Secretary Of The Navy Personal alpha contamination simulator and detector
JPS6070176A (ja) 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
DE3579684D1 (de) * 1984-12-24 1990-10-18 United Technologies Corp Abschleifbare dichtung mit besonderem erosionswiderstand.
JPS62165222A (ja) 1986-01-17 1987-07-21 Meidensha Electric Mfg Co Ltd 力率調整装置
JPH0345957Y2 (zh) * 1987-10-28 1991-09-27
JPH0817804B2 (ja) 1987-12-23 1996-02-28 雪印乳業株式会社 殺菌剤気化装置
JPH0269389A (ja) 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
JP2711327B2 (ja) * 1988-10-14 1998-02-10 住友電気工業株式会社 気相エピタキシヤル成長用クラスト形成装置
IL92397A0 (en) 1989-01-30 1990-07-26 Lanxide Technology Co Ltd Method of producing self-supporting aluminum titanate composites and products relating thereto
JPH0372387A (ja) 1989-08-11 1991-03-27 Brother Ind Ltd 現像電極清掃装置
US5104695A (en) 1989-09-08 1992-04-14 International Business Machines Corporation Method and apparatus for vapor deposition of material onto a substrate
DE3931189A1 (de) 1989-09-19 1991-03-28 Philips Patentverwaltung Vorrichtung und verfahren zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
EP0420596B1 (en) 1989-09-26 1996-06-19 Canon Kabushiki Kaisha Gas feeding device and deposition film forming apparatus employing the same
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
JPH0436469A (ja) 1990-06-01 1992-02-06 Sharp Corp Cvd原料供給方法及びこれに用いる固体原料
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
JPH04228562A (ja) 1990-12-27 1992-08-18 Mitsubishi Electric Corp 薄膜形成装置
JP2792255B2 (ja) 1991-03-16 1998-09-03 宇部興産株式会社 複合超微粒粉体および製造方法
JP3174351B2 (ja) 1991-03-19 2001-06-11 三菱電線工業株式会社 超電導mocvd用ガス化容器
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
JPH0598445A (ja) * 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JP2885969B2 (ja) 1991-07-08 1999-04-26 富士写真フイルム株式会社 マイクロフィルムリーダ
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
DE69224996T2 (de) 1991-12-13 1998-07-30 Advanced Tech Materials Vorrichtung und verfahren zur abgabe nicht-flüchtiger reagenzien
US5304065A (en) * 1992-11-13 1994-04-19 Consultec Scientific, Inc. Instrument simulator system
WO1994021840A1 (en) 1993-03-18 1994-09-29 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a cvd reactor
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
EP0624393B1 (en) * 1993-05-10 2001-08-16 Sakai Chemical Industry Co., Ltd., Catalyst for catalytic reduction of nitrogen oxides
KR960010901A (ko) 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
US5553188A (en) 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
JPH08279497A (ja) 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
GB9519098D0 (en) * 1995-09-19 1995-11-22 Pike Steven D Contamination training simulator
US5764849A (en) 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5807113A (en) * 1996-04-22 1998-09-15 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for training in the detection of nuclear, biological and chemical (NBC) contamination
US5917140A (en) 1996-05-21 1999-06-29 Advanced Technology Materials, Inc. Sorbent-based fluid storage and dispensing vessel with enhanced heat transfer means
JPH1083073A (ja) 1996-09-09 1998-03-31 Matsushita Electric Ind Co Ltd パターン形成材料及びパターン形成方法
DE19638100C1 (de) 1996-09-18 1998-03-05 Fraunhofer Ges Forschung Vorrichtung zum Erzeugen eines dampfförmigen Reaktionsproduktes aus Feststoffteilen
US5874131A (en) 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6130160A (en) 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US6413476B1 (en) 1996-12-05 2002-07-02 Mary F. Barnhart Aromatic diffuser with replaceable cartridge
JP3645682B2 (ja) 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
WO1999004061A1 (en) 1997-07-18 1999-01-28 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
JP3998309B2 (ja) 1997-12-26 2007-10-24 Dowaホールディングス株式会社 Cvd法における有機アルカリ土類金属錯体の気化方法
JP3967455B2 (ja) 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
JPH11302286A (ja) 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
JP2000012218A (ja) 1998-06-23 2000-01-14 Tdk Corp 有機el素子の製造装置および製造方法
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
JP2000104172A (ja) 1998-07-28 2000-04-11 Toshiba Corp 成膜方法,成膜装置及び固形原料
US6225237B1 (en) 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6281124B1 (en) 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6202591B1 (en) 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000192243A (ja) 1998-12-24 2000-07-11 Nissin Electric Co Ltd 気化器メンテナンス方法
JP2000239843A (ja) 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
US6204202B1 (en) * 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
WO2000065127A1 (en) 1999-04-27 2000-11-02 Tokyo Electron Limited Apparatus and method for delivery of vapor to a cvd chamber
US6184403B1 (en) 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP2000345345A (ja) 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
JP2001059161A (ja) 1999-08-20 2001-03-06 Tdk Corp 有機薄膜の製造装置および製造方法
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6749432B2 (en) * 1999-10-20 2004-06-15 Impulse Technology Ltd Education system challenging a subject's physiologic and kinesthetic systems to synergistically enhance cognitive function
US6473564B1 (en) 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
KR100858077B1 (ko) 2000-05-03 2008-09-11 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 가스 공급 장치, 이온 주입 장치, 가스 시약 공급 방법, 흡탈착 방법 및 반도체 제조 설비
EP1160355B1 (en) 2000-05-31 2004-10-27 Shipley Company LLC Bubbler
US6581915B2 (en) 2000-07-27 2003-06-24 The Procter & Gamble Company Dispensing device for dispensing scents
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
SG96259A1 (en) * 2000-11-29 2003-05-23 Ruag Electronics Method and device for simulating detonating projectiles
JP2002270523A (ja) 2001-03-13 2002-09-20 Ricoh Co Ltd 窒素化合物供給装置および窒素化合物供給方法および成膜装置
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US6431118B1 (en) 2001-05-21 2002-08-13 Imagine Gold, L.L.C. Apparatus and method for providing humidified air to a terrarium
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6701066B2 (en) 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
TW200300701A (en) 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US20030111014A1 (en) 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6620225B2 (en) 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US6617175B1 (en) 2002-05-08 2003-09-09 Advanced Technology Materials, Inc. Infrared thermopile detector system for semiconductor process monitoring and control
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
JP3945455B2 (ja) 2002-07-17 2007-07-18 株式会社豊田中央研究所 粉末成形体、粉末成形方法、金属焼結体およびその製造方法
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
JP4585852B2 (ja) * 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
US6963301B2 (en) * 2002-08-19 2005-11-08 G-Track Corporation System and method for near-field electromagnetic ranging
US7538715B2 (en) * 2004-10-04 2009-05-26 Q-Track Corporation Electromagnetic location and display system and method
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US7414571B2 (en) * 2002-08-19 2008-08-19 Q-Track Corporation Low frequency asset tag tracking system and method
US7307595B2 (en) * 2004-12-21 2007-12-11 Q-Track Corporation Near field location system and method
US7298314B2 (en) * 2002-08-19 2007-11-20 Q-Track Corporation Near field electromagnetic positioning system and method
KR100850655B1 (ko) 2002-08-27 2008-08-07 우베 마테리알즈 가부시키가이샤 산화마그네슘 증착재
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US6863021B2 (en) 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
ES2292692T3 (es) 2002-11-28 2008-03-16 Whirlpool Corporation Lavavajillas con filtro plano que comprende zonas con dimensiones de agujero de flujo diferentes.
US6991671B2 (en) 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
WO2004088415A2 (en) 2003-03-28 2004-10-14 Advanced Technology Materials Inc. Photometrically modulated delivery of reagents
US7063097B2 (en) 2003-03-28 2006-06-20 Advanced Technology Materials, Inc. In-situ gas blending and dilution system for delivery of dilute gas at a predetermined concentration
US6989527B2 (en) * 2003-05-20 2006-01-24 University Of Alabama In Huntsville Method, system and computer program product for collecting and storing radiation and position data
KR20050004379A (ko) 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US6837939B1 (en) 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
JP4991096B2 (ja) 2003-08-29 2012-08-01 三菱マテリアル株式会社 MgO蒸着材
EP1519136A1 (en) * 2003-09-23 2005-03-30 Saab Ab Nuclear, biological or chemical warfare simulator
GB0330009D0 (en) 2003-12-24 2004-01-28 Ferrosan As Probiotic tablet formulations
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
WO2006009872A1 (en) 2004-06-22 2006-01-26 Arkema Inc. Direct injection chemical vapor deposition method
US20060037540A1 (en) 2004-08-20 2006-02-23 Rohm And Haas Electronic Materials Llc Delivery system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7722720B2 (en) 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
KR20060084556A (ko) 2005-01-20 2006-07-25 최상구 광케이블 절단기
US20070042119A1 (en) 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
KR101300266B1 (ko) 2005-03-16 2013-08-23 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 시약의 고체 소스로부터 시약을 운반하기 위한 시스템
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
EP1860208B1 (en) 2006-05-22 2014-10-15 Rohm and Haas Electronic Materials LLC Film deposition method
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US9109287B2 (en) 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
KR20080076173A (ko) 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7846256B2 (en) 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US9034105B2 (en) 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI743674B (zh) * 2019-02-07 2021-10-21 日商高純度化學研究所股份有限公司 蒸發原料用容器、使用有該蒸發原料用容器之固體氣化供給系統

Also Published As

Publication number Publication date
TW200821406A (en) 2008-05-16
CN103305804A (zh) 2013-09-18
KR20150039222A (ko) 2015-04-09
KR20090061646A (ko) 2009-06-16
MY153662A (en) 2015-03-13
US20170037511A1 (en) 2017-02-09
EP2059626A2 (en) 2009-05-20
US20080241805A1 (en) 2008-10-02
KR20190110158A (ko) 2019-09-27
US8821640B2 (en) 2014-09-02
EP2059626A4 (en) 2011-01-26
US10895010B2 (en) 2021-01-19
JP5266227B2 (ja) 2013-08-21
WO2008028170A2 (en) 2008-03-06
WO2008028170A3 (en) 2008-10-23
KR20130079646A (ko) 2013-07-10
CN101542015A (zh) 2009-09-23
TWI575101B (zh) 2017-03-21
US20140329025A1 (en) 2014-11-06
US20100255198A1 (en) 2010-10-07
KR20160077229A (ko) 2016-07-01
KR101465810B1 (ko) 2014-11-26
CN101542015B (zh) 2013-06-19
JP2010502833A (ja) 2010-01-28
EP2059626B1 (en) 2018-10-17
CN103305804B (zh) 2016-12-07
TWI522488B (zh) 2016-02-21

Similar Documents

Publication Publication Date Title
TWI575101B (zh) 利用受控固體形態學且基於固體前驅物之流體傳送
US9469898B2 (en) Method and apparatus to help promote contact of gas with vaporized material
US7300038B2 (en) Method and apparatus to help promote contact of gas with vaporized material
US10392700B2 (en) Solid vaporizer