TW561536B - Etching and cleaning methods and etching and cleaning apparatuses used therefor - Google Patents

Etching and cleaning methods and etching and cleaning apparatuses used therefor Download PDF

Info

Publication number
TW561536B
TW561536B TW089104773A TW89104773A TW561536B TW 561536 B TW561536 B TW 561536B TW 089104773 A TW089104773 A TW 089104773A TW 89104773 A TW89104773 A TW 89104773A TW 561536 B TW561536 B TW 561536B
Authority
TW
Taiwan
Prior art keywords
wafer
nozzle
etching
patent application
liquid
Prior art date
Application number
TW089104773A
Other languages
English (en)
Inventor
Shinya Yamasaki
Hidemitsu Aoki
Original Assignee
Nec Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=13386944&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=TW561536(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Nec Electronics Corp filed Critical Nec Electronics Corp
Application granted granted Critical
Publication of TW561536B publication Critical patent/TW561536B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/08Apparatus, e.g. for photomechanical printing surfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/02087Cleaning of wafer edges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Description

561536 五、發明說明⑴一 【發明背景】 【發明領域】 本發明係關於蝕刻與清洗方法及製造半導體裝置用 :刻與清洗設備,尤其關於從一丰導體晶圓移除^需= L =期望的材料之蚀刻與清洗方法’及用以實行該蝕刻或 β洗方法之蝕刻與清洗設備。 -乂 【相關技 在^— 用各種名虫 且經常使 羚、。在此 上、晶圓 的或不期 此處 大約垂直 晶圓之介 件面積係 的半導體 或區域, 材料。 近年 材料,因 形成於二 藝之說明 半導體晶 刻方法從 用各種清 等例子中 之背面周 望的材料 ,「終端 該表面與 於元件面 晶圓之表 裝置。「 其中存在 圓上製造半導體 晶圓移除不需要 洗方法清洗黏附 ,需要移除存在 緣面積上、或晶 0 面」意指晶圓之 背面之終端面。 積與終端面間之 面之一面積或區 背面周緣面積」 將被移除的不期 來’銅(Cu)已取代鋁(Α1) 為Cu之導電率高於a 1。在 氧化矽(S i 02)膜之渠溝中 裝置之製程中,經常使 的或不期望的材料,並 於晶圓或裝置上之污染 於晶圓之表面周緣面積 圓之終端面上之不需要 位於其表面與背面,間且 「表面周緣面積」意指 表面之.面積或區域。元 域,其中形成有所期望 思指晶圓之背面之面積 望的或不需要的材料或 作為一配線或交互連接 此例子中,Cu配線通常 ,經常由下列步驟所實 561536 五、發明說明(2) 現:形成渠溝於Si02膜中、藉由電鍍形成一CU膜於Si02膜 上’以覆蓋渠溝、以及藉由化學機械拋光(Chemical Mechanical Polishing,CMP)選擇性移除 Cu膜,以留下Cu 膜於渠溝中。此方法被稱為「金屬:鑲嵌製程(damascene process)」。 茲將詳細說明Cu配線用之金屬鑲嵌製程如下。
首先,藉由一習知方法,於一 s i 〇2膜中形成渠溝,該 渠溝具有用於所期望的配線之圖案,此處S i 〇2膜係形成於 一單晶石夕(S i )晶圓或基板上或其上方。第二,藉由濺鑛, 由金屬例如鈕(Ta)與氮化鈕(TaN)所形成之一阻障金屬 膜,形成於Si〇2臈上,以覆蓋渠溝。阻障金屬膜將防止Cu 原子擴散入Si〇2膜。第三,藉由濺鍍,一晶種(seed)Cu膜 形成於阻障金屬膜上。第四,藉由電鍍,一配線以膜形成 於該晶種Cu膜上。
在藉由電鍍形成配線C u膜之第四步驟中,一環狀^阻擋 ,件放置於晶圓之表面上,以環繞元件面積,隨後,一適 當的電鍍液體或溶液供應至該構件内部。此時,電鑛旋體 可能漏出構件。倘若液體之潺逸發生,則配線。膜不僅形 成於元件面積中,亦形成於晶圓之表面風綠面積中。如此 形成於表面周緣面積的配線Cu膜係不需要的,且將被移 除。在後續製程中,因為電鍍Cu膜對於Si〇2膜之黏附性 弱,故不需要的Cu膜將因壓力而傾向從Si〇2膜分離,進而 污染半導體裝置之生產線。所以,必須移除不需要的〜 膜0
第7頁 561536 五、發明說明(3) 再者,在CMP製程完成後,由Cu膜拋光所產生的cu廢 料污染Si,晶圓。由於後續的熱處理,c u廢料傾向於擴散入 Si 〇2膜與Si晶圓’對於形成於元件面積中之半導體裝置之 性能造成不好的影響。既然Cu廢料黏附於晶圓之表面與背 面周緣面積,以及終端面上,故難以將其從該處移除。因 此,需要藉由清洗以移除C u廢料。當S i晶圓之直徑為8对 時’舉例而言,元件面積之邊緣與晶圓之終端面間之距離 通常係設定為大約5釐米。為了擴展元件面積,形成於晶 圓上的Si 〇2膜(其中形成有Cu配線)最好擴展為& 〇2膜之邊 緣與終端面間之距離減少到1 · 5釐米至2· 〇釐米。2然而,在 此例子中,當藉由濺鍍使晶種Cu膜沉積於位於整個晶圓上 方之阻障金屬膜上’以覆蓋整個S i 〇2膜時,該晶種以膜傾 向不僅覆蓋元件面積亦覆蓋晶圓之表面與背面周緣面積, 以及終端面。因此,倘若供應至環狀阻擋構件内部之電鍍 液體或溶液〜漏出,則配線Cu膜傾向於形成於不只在元件面 積且在、表面與皮面周緣面積以及終端面中之晶種膜上。 既然配線Cu膜係形成於晶種以膜上,故其不會分離或 脫落。然而,在傳輸製程期間中,存在於晶圓之終二面1 之配線Cu膜傾向於黏附於半導體裝置製造系統中之晶圓承 載器及/或機械手臂上。因此,其傾向於污染傳輸子曰曰系 統、。此意指在晶圓被傳輸至下一階段前,必須移^存在於 表面與背面周緣面積及晶圓之終端面上之配線Cu ^。、 再者’前述配線以膜之移除要求良好的可控制性 係因為Si〇2膜之邊緣與終端面間之距離短至丄· 5釐米到2釐
561536 五、發明說明(4) 米。在CMP製程中所產生的前述Cu污染物之清洗亦需要類 似之良好可控制性。 為了移除前述不期望的或不需要的Cu膜或污染物,巴 開發並揭露各種蝕刻與清洗方法,圖i與2中顯示其中之二 個例子。 在如圖1所示之先前技藝清洗/蝕刻方法中,具有抗蝕 刻特性之一保護膜11 2選擇性形成於一半導體晶圓丨丨〇之表 面110A上’以覆蓋形成於其上的整個元件面積。隨後, 具有膜112之晶圓no全部浸入儲存於一合適的容器113内 之一姓刻溶液11 4中,藉以選擇性蝕刻晶圓〗丨〇之顯露面 積。固ife t顙露面穑被清洗。繼而,從晶圓丨丨〇上移除膜 112。 舉例而言,氟化氫(HF)、過氧化氫(h2〇2)、與水(h2〇) 之混合物得作為蝕刻溶液11 4,其常被稱為「氟—過氧化物 混合物(Fluoric-Peroxide Mixture,FPM)」。 在如圖2所示之先前技藝清洗/蝕刻方法中,藉由一適 當的旋轉構件’使一半導體晶圓1 1 0顛倒旋轉於一水平面 上。在此狀態中,一蝕刻溶液114 (例如FPM)朝下供應至晶 圓110之背面11 0B之中央。與此同時,一保護氣體η 例 如氮氣Ν2)朝上供應至晶圓11 0之表面11 〇Α之中央。 供應至背面11 0Β上之溶液114沿著背面110Β朝外移動 至晶圓11 0之終端面11 〇 C,隨後沿著垂直終端面11 〇 c流 動,且從終端面11 0 C滴落。溶液11 4之一部份達到表面 11 0 A之周緣,隨後從該處滴落。
第9頁 561536 五、發明說明(5) 供應至表面11 Ο A之保護氣體11 5保持元件面積不接觸 於蝕刻溶液114。溶液114選擇性蝕刻背面11 0B、終端面 1 1 0C、以及表面110A之周緣,藉以清洗該處。 使用如圖1所示之先前技藝清洗/蝕刻方法,有一缺 點:需要某些手段使保護膜11 2不形成於晶圓11 〇之表面 110A之周緣。再者,形成於元件面積中之半導體裝置與配 線必須不受從表面1 1 〇 A移除保護膜11 2之損壞。然”而,此 係難以實現。倘若保護膜1 1 2係由一阻抗材料所形成,則 所需要的製程步驟會增加。 使用如圖2所示之先前技藝清洗/蝕刻方法,藉由晶圓 ,11〇之旋轉速度與保護氣體115朝向表面110A之流動率控制 蝕刻溶液1 1 4流向晶圓11 〇之背面11 Ο B。因此,可控制性 低。 再者,流動溶液11 4之圓形邊緣傾向於波動或振動, 該圓形邊緣係由溶液114與氣體115之接觸或碰撞所定義且 沿著晶圓11 0之邊緣延伸。所以,溶液11 4會到達元件面積 之某位置而將其蝕刻。另外,溶液丨丨4不接觸表面丨丨M < 周緣炙某位置,使不期望·的材料殘留於該處。 所以,圖2所示之先前技藝清洗/蝕刻方法不可應用於 下列例子:元件面積之邊緣與晶圓之終端面間之距離短至 h &釐米到10釐米。 【發明概述】 有鑑於此,本發明之一目的在於提供一種蝕刻方法與
561536 五、發明說明(6) 一種餘刻設備 要的材料,而 本發明之 設備,可以良 之不需要的材 本發明之 設備,有效移 即使元件面積 5釐米到2. 0釐 本發明之 設備,可有效 本發明之 設備,可以良 本發明之 刻設備,有效 晶圓之終端面 熟悉此項 其它尚未具體 依據本發 含: (a ) —旋 轉3亥晶圓於*一 ,可有 不損壞 另一目 好的控 料。 又一目 除存在 之邊緣 米。 再一目 清洗一 更一目 好的控 再次一 清洗一 間之距 技藝之 說明之 明之第 效移除存在於一半導體晶 元件面積。 的在於提供一種蝕刻方法 制性有效移除存在於一半 的在於提供一種钱刻方法 於一半導體晶圓上之不需 與晶圓之終端面間之距離 的在於 半導體 的在於 制性有 目的在 半導體 離短至 ’人士將 目的0 提供一種姓刻方法 晶圓而不損壞元件 提供一種餘刻方法 效清洗 於提供 晶圓’ 大約1. 從下文 一半導體晶 一種姓刻方 即使元件面 5釐米到2. 0 之說明清楚 圓上之不需 與一種#刻 導體晶圓上 與一種蝕刻 要的材料, 短至大約U 與一種蝕刻 面積。 與一種蝕刻 圓。 法與一種蝕 積之邊緣與 釐米。 了解前述及 態樣,提供一種蝕刻設備,其包 轉構件,用以固持一半導體晶圓,且用以旋 水平面上 g亥晶圓具有一元 上,該表面周緣面積 件面積與一表面周緣面積 位於該元件面積之外;以 於其表面 及
第11頁 561536 五、發明說明(7) (b) —邊緣噴嘴,用以使一蝕刻液體射向該晶圓之該 表面周緣面積。 從邊緣喷嘴射出的該姓刻液體選擇性餘刻存在於該晶 圓之該表面周緣面積之一不需要的&材料。 使用依據本發明第一態樣之蝕刻設備,邊緣喷嘴使蝕 刻液體射向該晶圓之該表面周緣面積,同時旋轉晶圓於一 水平面上。因此,由於晶圓之旋轉所產生的離心力,射向 該表面周緣面積之該蝕刻液體不會向内移動。所以,存在 於該晶圓之該表面周緣面積中之該不需要的材 除’而不損壞該晶圓之該元件面積。 再者,餘刻液體之射出係由晶圓之旋轉速度與液體之 流速所控制,因而可以良好的控制性進行蝕刻作用。此構 件即使該元件面積之該邊緣與該晶圓之該終端面間之距離 短至大約1.5釐米至2·〇釐米,仍可有效移除存在於該晶圓 上之該不需要的材料。 "▲在依據本發明第一態樣之蝕刻設備之較佳實施例中, 從該邊緣噴嘴射出的該蝕刻液體具有一射出方向,其沿著 aa圓之凝轉方向或者關於該晶圓之一切線朝外該切線 係形成於該液體與該晶圓之該表面周緣面積之一接觸點附 在依據本發明第一態樣之蝕刻設備之另一較佳實施例 ▲中’額外設置一背面喷嘴。該背面喷嘴使一蝕刻液體射向 =晶圓之-背面中&。從該背面喷嘴射出的該餘刻液體餘 X存在於該晶圓之一背面上之一不需要的材料。在此實施
第12頁 561536 五、發明說明(8) 例中,有一額外的優點:不僅存在於該晶圓之該表面周緣 面積中,而且存在於該晶圓之該背面上之該不需要的材料 皆同時被移除。 在依據本發明第一態樣之餘刻:設備之又一較佳實施例 中,額外設置一表面噴嘴。該表面喷嘴使一保護液體射向 該晶圓之一表面中央。從該表面喷嘴射出的該保護液體覆 蓋該晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出 的該蝕刻液體。在此實施例中,具有一額外優點:由於從 該邊緣喷嘴射出的該钱刻液體,可防止該元件面積被損 壞’即使該蝕刻液體之部分從該表面周緣面積跳躍至該元 件面積。 ^ 在依 中,額外 蝕刻液體 該蝕刻液 料。該表 從該表面 積,以保 在依 例中,從 例中,具 該旋 且使其炫 式中之任 據本發明第一態樣之餘刻設備之再 設置一背面喷嘴與一表面 晶圓之一背面中央 存在於該晶圓之一 射向該 體蝕刻 面噴嘴使一保 喷嘴射出的該 護其抵抗從該 據本發明第一 該邊緣喷嘴射 有一額外的優 轉構件得為任 轉於一水平面 一形式。 護液體射向 保護液體覆 邊緣噴嘴射 態樣之蝕刻 出的該蝕刻 點··控制性 何形式,倘 上。然而, 噴嘴。該背 。從該背面 背面上之一 該晶圓之一 蓋该晶圓之 出的該蝕刻 設備之又再 液體係束狀 更獲改善。 若其可固持 該旋轉構件 面噴嘴使一 噴嘴射出的 不需要的材 表面中央。 該元件面 液體。 —較佳實施 。在此實施 半導體晶圓 最好為下形
五、發明說明 個滾子,沿著其:::件包含複數 於該持該轉衰τ接觸 個銷子,由一支持‘件所Τ夾持型二其中該構件包含複數 列。該銷子係接觸於兮持;^沿著該晶圓之-終端面排 並藉由該構件而:以圓之該終端…固持該晶圓, 該方疋轉構件得為—銷 複數個銷子與第二複數 ί彳:"亥構件包含第〆 端面交替排二第第;;數個銷子係沿著該晶圓之-終 交替接觸於該晶圓:;c與該第二複數個銷子係 構件而同步旋轉圓之該“面…持該晶圓,並藉由該 複數個銷子與數中該構件包含第〆 第-複數個銷子係沿著該晶圓之所支持。該 J個銷子係沿著該晶圓之該終端面排列。t第二 面,持該;:圓 同步旋轉。 I稽田°亥構件而以另一週期 (:)據一本,發明二第二態樣,提供一清洗設備,其包含: 轉該晶圓於疋一水平面上用以固持一半導體晶圓,且用以旋 561536 五、發明說明(10) 該晶圓具有一元件面積與一 上; 該表面周緣面積位於該元件 (b) —邊緣喷嘴,用以使一 g 表面周緣面輪。 從該邊緣喷嘴射出的該清洗 該晶圓之該表面周緣面積中之一 使用依據本發明第二態樣之 使該清洗液體射向該晶圓之該表 晶圓於一水平面上。因此,由於 力,射向該表面周緣面積之該清 以’存在於該晶圓之該表面周緣 被有效移除,而不損壞該晶圓之 再者,清洗液體之射出係由 流速所控制,因而可以良好的控 件即使該元件面積之該邊緣與$ 短至大約1. 5釐米至2· 〇釐米,仍 上之該不需要的材料。 在依據本發明第二態樣之清 從該邊緣噴嘴射出的該蝕刻液體 該晶圓之一旋轉方向或者關於該 係形成於該液體與該晶圓之該表 近。 在依據本發明第二態樣之清 表面周緣面積於其表 面 面積之外;以及 『洗液體射向該晶圓之該 液體選擇性 不需要的材 該清洗設備 面周緣面積 晶圓之旋轉 洗液體不會 面積中之該 該元件面積 晶圓之旋轉 制性進行蝕 晶圓之該終 可有效移除 移除,存在於 料。 ’該邊緣喷嘴 ’同時旋轉該 所產生的離心 向内移動。所 不需要的材料 〇 速度與液體之 刻作用。此構 端面間之距離 存在於該晶圓 洗設備之較佳實施例中, 具有一射出方向,其沿著 晶圓之一切線朝外該切線 面周緣面積之一接觸點附 洗a又備之另一較佳實施例
561536 五、發明說明(11) 一 中’額外設置一背面喷嘴。該背面喷嘴使一清洗液體射向 該晶圓之一背面中央。從該背面喷嘴射出的該 刻存在於該晶圓之一背面上之一不需要的材料 例中,有一額外的優點:不僅存在‘於該晶圓之該表面周緣 面積中,而且存在於該晶圓之該背面上之該不需要的材料 皆同時被移除。 〃 在依據本發明第二態樣之清洗設備之又一較佳實施例 中’額外設置一表面喷嘴。該表面喷嘴使一保護液體射向 該晶圓之一表面中央。從該表面喷嘴射出的該保護液體覆 蓋該晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出 的該清洗液體。在此實施例中,具有一額外優點:由於從 5亥邊緣喷嘴射出的該清洗液體,可防止該元件面積被損 壞,即使該清洗液體之部分從該表面周緣面積跳躍至該元 件面積。 / 在依據本發明第二態樣之清洗設備之再一較佳實施例 中,額外設置一背面喷嘴與一表面喷嘴。該背面噴嘴使一 清洗液體射向該晶圓之一背面中央。從該背面噴嘴射出的 該清洗液體蝕刻存在於該晶圓之一背面上之一不需要的材 料。該表面噴嘴使一保護液體射向該晶圓之一表面中央。 從該表面喷嘴射出的該保護液體覆蓋該晶圓之該元件面 積,以保護其抵抗從該邊緣噴嘴射出的該清洗液體。 在依據本發明第二態樣之清洗設備之再又一較佳實施 例中,從該邊緣喷嘴射出的該蝕刻液體係束狀。在此實施 例中,具有一額外的優點:控制性更獲改善。
第16頁 561536 五、發明說明(12) 在依據本發明繁-# u ^ ^ ^ 儿一心樣之清洗設備中,該旋轉構件亦 奸為任何形式,倘甚1 hi 其可固持半導體晶圓且使其炫轉於一 7關於:二,該旋轉構件最好如同依據第-態樣所述 關於蝕刻設備的形式中之任一形式。 依據本考X明之第二態樣,提供一種蝕刻方法, 下列步驟: 六匕3 (a) 旋轉一半導體晶圓於一水平面上; •該晶圓具有一元件面積與一表面周緣面積於其表面 上, 該表面周緣面積係位於該元件面積之外;以及 (b) 藉由一邊緣噴嘴,使一蝕刻液體射向該晶圓之一 表面周緣面積’藉以選擇性蝕刻存在於該表面周緣面積中 之一不需要的材料。 使用依據本發明第三態樣之蝕刻方法,因為如同依據 第一態樣之蝕刻設備所述之理由,存在於該晶圓之該表面 周緣面積中之該不需要的材料被有效移除,而不損壞該晶 圓之該元件面積。此外,可以良好的控制性進行該蝕刻作 用。因此,即使該元件面積之該邊緣與該晶圓之該終端面 間之距離短至大約1.5釐米至2·0釐米,仍可有效移除存在 於该晶圓上之該不需要的材料。 在依據本發明第三態樣之蝕刻方法之一較佳實施例 中,其中該從邊緣喷嘴射出的該蝕刻液體具有一射出方 向,其沿著該晶圓之一旋轉方向或者關於該晶圓之一切線 朝外該切線係形成於該液體與該晶圓之該表面周緣面積之
第17頁 561536 五、發明說明(13) 一接觸點附近。 在依據本發明第三態樣之蝕刻方法之另一較佳實施例 中,藉由一背面喷嘴使一蝕刻液體射向該晶圓之一背面中 央,藉以餘刻存在於該晶圓之一背,面上之一不需要的材 料。在此實施例中,具有一額外優點:不僅存在於該晶圓 之該表面周緣面積中’而且存在於該晶圓之該背面上之該 不需要的材料皆同時被移除。 在依據本發明第三態樣之蝕刻方法之又一較佳實施例 中,藉由一表面喷嘴使一保護液體射向該晶圓之一表面中 央’藉以覆蓋該晶圓之該元件面積,以保護其抵抗從該邊 緣噴嘴射出的該蝕刻液體。在此實施例中,具有—額外的 優點:由於從該邊緣喷嘴射出的該蝕刻液體,可防止該元 件面積被損壞’即使該钱刻液體之部分從該表面周緣面積 跳躍至該元件面積。 在依據本發明第三態樣之餘刻方法之又另一較佳實施 例中,藉由一背面喷嘴使一蝕刻液體射向該晶圓之一背面 中央,藉以蝕刻存在於該晶圓之一背面上之一不需要的材 料,並且藉由一表面喷嘴使一保護液體射向該晶圓之一表 面中央’藉以覆蓋該晶圓之該元件面積,以保護其抵抗從 該邊緣喷嘴射出的該蝕刻液體。 在依據本發明第三態樣之钱刻方法之再又一較佳實施 例中,從該邊緣噴嘴射出的該蝕刻液體係束狀。在此實施 例中,具有一額外的優點:控制性更獲改善。 依據本發明之第四態樣,提供一種清洗方法,其包含
561536 五、發明說明(14) 下列步驟: < (a)旋轉一半導體晶圓於一水平面上 該晶圓具有一元件面積與一表面周緣面 上; , 該表面周緣面積係位於該元件面積之外 (b )藉由一邊緣喷嘴,使一清洗液體射 表面周緣面積,藉以選擇性移除存在於該表 之一不需要的材料。 使用依據本發明第四態樣之清洗方法, 第二態樣之清洗設備所述之理由,存在於該 周緣面積中之該不需要的材料被有效移除, 圓之該元件面積。此外,可以良好的控制性 用。因此,即使該元件面積之該邊緣與該晶 間之距離短至大約1· 5釐米至2〇釐米,仍可 於該晶圓上之該不需要的材料。 在依據本發明第四態樣之清洗方法之一 中,其中該從邊緣喷嘴射屮μ从士 ^ 向,,其沿著該晶圓之清洗液體具 線朝外該切線係形成於該液彻向或者關於 之-接觸點附近。 ㈣與該晶圓之該 在依據本發明第四態樣主 中’藉由-背面喷嘴使一清:月洗方法,另 央,藉以移除存在於該晶園之液體射向該晶 曰圓之_背面上之一 頰外優點:不僅 積於其表面 ;以及 向該晶圓之一 面周緣面積中 因為如同依據 晶圓之該表面 而不損壞該晶 進行該清洗作 圓之該終端面 有效移除存在 較佳實施例 有一射出方 該晶圓之一切 表面周緣面積 一較佳實施例 圓之一背面中 不需要的材 存在於該晶圓 料。在此實施例中,具有
第19頁 561536 五、發明說明(15) 之該表面周緣面積中,而 不需要的材料皆同時被移 在依據本發明第四態 中,藉由一表面噴嘴使_ 央,藉以覆 緣喷嘴射出 優點:由於 件面積被損 跳躍至該元 在依據 例中,藉由 中央,藉以 料,並且藉 面中央,藉 該邊緣喷嘴 在依據 例中,從該 蓋该晶圓之該 的該清洗液體 從該邊緣喷嘴 壞,即使該清 件面積。 本發明第四態 一背面喷嘴使 移除存在於該 由一表面喷嘴 以覆蓋該晶圓 射出的該清洗 本發明第四態 邊緣喷嘴射出 例中,具有一額外的優點 且存在於該晶圓之該背面上之該 除。 樣之清洗方法之又一較佳實施例 保護液體I射向該晶圓之一表面中 元件面積,以保護其抵抗從該邊 。在此實施例中,具有一額外的 射出的該清洗液體,可防止該元 洗液體之部分從該表面周緣面積 樣之清洗方法之又另一較佳實施 一清洗液體射向該晶圓之一背面 晶圓之一背面上之一不需要的材 使一保護液體射向該晶圓之一表 之該元件面積,以保護其抵抗從 液體。 樣之清洗方法之再又一較佳實施 的該蝕刻液體係束狀。在此實施 :控制性更獲改善。 下 如 例 施 實 佳 較 之 明 發 本 I明 明說 說細 細詳 詳示 之圖 例照 施參 實將 佳茲 較 1 一實施例 依據第一實施例之蚀刻/清洗設備具有如圖3與4所示
第20頁 561536
:ί清作為…刻設備,且 、圖3與4所示之蝕刻/清洗設備包含一表面噴嘴14,用 ^使一保護液體LP射向—圓形單晶§丄晶圓1〇之表面ι〇Α之 ^:央匕、-背面喷嘴16,用以使一蝕刻 或一清 土液體L4向晶圓1Q之背面1()Β之背面中央&、以及一邊緣 噴嘴18,肖以使蝕刻或清洗液體Les1Lc射向晶圓1〇之邊 如圖16十所示,晶圓10具有平坦的表面l〇A、平坦的 背面10B、以及終端面10C,該終端面1〇c係沿著介於表面 10A與背面10B間之晶圓1〇之周緣延伸。晶圓J〇更具有位於 表面10Α中之一元件面積丨吵。各種半導體裝置與元件及其 配線係形成於元件面積1 0D中。近似於圓環形的表面周緣 面積10Ε形成於表面10Α上,沿著介於元件面積i〇D與終端 面10C間之終端面10C延伸。 背面周緣面積10F形成於晶圓10之背面1〇B上,其中存 在著將被移除之不期,的或不需要的材料。相似於表面周 緣面積10E,背面周緣面積1 〇F大約呈圓環形。 此等喷嘴14、16、與18對於晶圓10之位置與角度係依 據0B圓1 0之尺寸或直從而改變。舉例而言,為覆蓋直徑為 150釐米、200釐米、或300釐米之晶圓1〇,最好使用下=列' 設定。倘若利用此等設定,則可輕易完成本發明之目的。 回到圖3與4 ’從晶圓10之表面i〇A到表面喷嘴14之末 端之高度I最好設定為介於10釐米至i〇〇釐米間之一數
561536 五、發明說明(17) 值。從晶圓10之背面10B到背面喷嘴16之末端之高度札最 好設定為介於10釐米至100釐米間之一數值。從表面1〇A到 邊緣喷嘴18之末端之南度Ha最好設定為介於5釐米至5〇釐 米間之一數值。在此實施例中,Ηι設為5〇釐米、札設為5〇 釐米、且H3設為10釐米。 從晶圓10之表面中央Pi到表面喷嘴14之末端之距離k 最好設定為介於70釐米至200釐米間之一數值。從晶圓1〇 之背面中央P2到背面喷嘴1 6之末端之距離l2最好設定為介 於70釐米至200釐米間之一數值。從喷嘴18之縱轴與晶圓 10之表面10A之交叉點P3到邊緣喷嘴18之末端之距離L3最好 没疋為介於1餐米至50爱米間之一數值。在此等·範圍内, 可輕易完成本發明之目的。在此實施例中,Μ設為1 2 0釐 米、L2設為1 2 0釐米、且L3設為1 〇釐米。 從表面10A到表面喷嘴14之角度&最好設定為介於15 度至60度間之一數值。從背面10B到背面喷嘴16之角度 最好設定為介於15度至60度間之一數值。從表面10A到邊 緣喷嘴18之角度03最號設定為介於10度至50度間之一數 值。在此實施例中,h設為45度、設為45度、且設 為35度。 在喷嘴18之縱軸與晶圓10之終端面10C(亦即邊緣)之 交叉點P3處,邊緣喷嘴18對於晶圓10之切線20之角度6»4最 好設定為介於0度至90度間之一數值。在此實施例中,θ4 設為45度。角度<94之數值係由如下之方式確定:從喷嘴 18射出的蝕刻或清洗液@9或、Lc;不會從表面周緣面積10Ε向
第22頁 561536 五、發明說明(18) " ------- 内流。 p二係從表面喷嘴“射向晶圓111之表面中央 羋而作期間中,晶圓1〇以一特定速度旋轉於一水 駚τ从rb,體Lp雙旋轉所造成的離心力所影響。因此,液 ? ^ 、匕附近沿著表面10A向外移動,完全覆蓋元件面 τ 。鰣f對抗從邊緣喷嘴18射出的蝕刻或清洗液艟k或 c欣體Lp之流動狀態係顯示於圖1 7中。 =刻或清洗液艘Le ^Lc從邊緣噴嘴18射向晶圓1〇之表 二:積10E或邊緣。因此,液叫或[。選擇性接觸於晶 面周緣面積〗〇E。由於旋轉所造成的噴射方向與 品二夕、^液體1^或^不會進入元件面積10D中,且沿著終端 出的俾«各^如圖17中所示。更且,既然從表面喷嘴14射 1 ηη八^液體^覆蓋整個元件面積1⑽,故確保元件面積 l〇D分離於液體LE或^。 :Λ 中央Ρ2 °因此’液體可接觸整個背面 面Φ 於晶圓1 G之旋轉所造成的離心力,液體LE或^從背 Μ 、P2沿著背面10B向外移動,且在終端面1〇c附近滴 洛’如圖1 7中所示。 W 2 =述’由於離心力’從表面喷嘴1 4射出的保護液 之:出二表面中央Pl、移—向晶圓1 〇之邊緣。因此,液體Lp 面穑1 nn心可改變’倘若其提供所期望的覆蓋或保護裝置 3二之功能。、舉例而言,液體b得射出成為-束、或 于,為-合適的截面或扇形、或者得喷灑出。此可應
561536 五、發明說明(19) 用於從背面噴嘴16射出的蝕刻或清洗液體“或乙。 從邊緣噴嘴1 8射出的蝕刻或清洗液體“或^之 態必須具有足夠的可控制性,以接觸於晶圓丨〇之表 面積10E與終端面10(:,且使液體“或^不接觸元件面積、、 1 0D。有鑑於此,|例而f,蝕刻或清洗液體、或、得射出 成為直徑0· 5羞米至2· 0釐米之一窄束。另外,其得射出成 為一合適的截面或扇形,沿著晶圓丨〇之邊緣延伸, 選擇性喷灑向面積10E之一部份。 < 、 依據第一實施例之蝕刻/清洗設備包含一旋轉晶圓機 構’如圖5與6中所示。此機構係一滾子夾持型 (roller-chucking type),包括四個滾子22,連接於對應 的旋轉轴24。滚子22沿著晶圓1〇之周緣以等間隔排列於^ 一水平面上。當晶圓1 〇被固持時,晶圓丨〇嚙合於四個滚 22之凹槽26 ’以安置於-水平面上。由於此等滚子以之同 步旋轉,所以晶圓10以一特定速度在水平面上旋轉,如圖 5與6中所示。 I此實施例t,滾子22之數目為四。然而,其並非僅 限於此。其最好被設定為介於3至8間之一數字。
使用圖5與6之固持晶圓機構,在操作期’每一個 滾子22並非一直接觸於晶圓1〇之終端面1〇(:中之同一位 置。因此,依據下文所述之本發明之晶圓1〇之蝕刻或清洗 方法最好使用於此機構,此處整個終端面1 〇 C必須遭受餘 刻或清洗作用。更且,既然在操作期間中滾子2 2與軸2 4之 位置係固定的’故從背面喷嘴16射出的蝕刻或清^液體L
561536
或Lc不可能被轴24所阻擔或中斷。此構件產生一額外 點.蚀刻或清洗液體leuc有效接觸晶圓1〇之背面ι〇Β。 雖然在第-實施例巾邊緣喷嘴18之數目為一,但其並 非僅限於此。依據需要,喷嘴18之數目得為二個或更多。 使用依據圖3至6之第一實施例蝕刻,清洗設備,圖5與 6之固持晶圓機構係用以使晶圓1 〇固持於一水平面上且 :-特定旋轉速度旋轉晶圓10。更且,表面噴嘴14係用以 使保濩液體LP射向晶圓1 〇之表面中央己,背面喷嘴丨6係用 以使蝕刻或清洗液體LE或1^射向晶圓丨〇之背面中央&,且 邊緣喷嘴18係用以使蝕刻或清洗液體Le或、射向晶^ 1〇之 邊緣。 再者,從邊緣喷嘴18射出的蝕刻或清洗液體Le或、被 控制為接觸於旋轉晶圓1 0之表面周緣面積丨〇 E,此時,從 背面喷嘴1 6射出的蚀刻或清洗液體Le或^被控制為完全接 觸或部分接觸晶圓1 0之背面1 0B。從表面喷嘴1 4射出的保 護液體LP被控制為覆蓋晶圓1 〇之整個元件面積1 〇 d,以保 護其抵抗從邊緣喷嘴1 8射出的蝕刻或清洗液體le或1^。 據此,晶圓10之表面周緣面積10E、終端面l〇c、以及 背面1 0 β可有效地被蝕刻或清洗,以移除存在於晶圓丨〇上 之不期望的或不需要的材料或污染物,而不對在晶圓1 〇之 元件面積10D中之半導體裝置或元件與配線造成損壞。 此外,既然蝕刻或清洗液體LE或1^可從邊緣喷嘴1 8射 出成一液體束或液體扇形,朝向表面周緣面積10E,液體 LE或1^與面積1 0E之接觸點可由令人滿意的高精確度所設
第25頁 561536 五、發明說明(21) 定。所以,元件面積10D可擴展向晶圓1〇之邊緣或終端面 10C,藉以使面積10E之寬度(亦即面積i0D與1〇£間之距離) 盡可能縮短(例如大約1· 5釐米至2· 0釐米)。 下文中將揭露餘刻液體LE、清洗液體lc、以及保護液 體LP之較佳例子。 17 第二實施例 圖7與8顯示依據第二實施例,姓刻/清洗設備用之一 固持晶圓機構,其係該機構之變化。依據本發明第二實施 例之設備之其它組態係相同於圖3至6之依據本發明第一 施例之設備之組態。因此,茲省略相同組態之說明, 簡化之目的。 運 如圖7與8中所示,固持晶圓機構係一銷子夾持型 (Pin-chucking type),其包括四個銷子3〇,連結於一 轉支,構件28。銷子30沿著構件28之圓形邊緣以°、疋 :。每-個銷子3。具有一穴部3〇A,該穴部 之邊緣。晶圓i。被放置且固持於銷子:之置四且: 〇邛30Λ上。由於構件28之旋轉,使晶圓1〇旋 面上,如圖7與8中所示。 、爪十 在此實施例中銷子3〇之數目為四。然巾 於此而得為任何數目。i旲 & > 具並非僅限 目。 』数目其最好設定為介於3至8間之一數 使用圖7與8之固持晶圓機構, 與6之機構,在操作期μ + —像第貫施例中圖5 再社雜作期間中母一個銷子3〇固定接觸於晶圓
第26頁 561536 五、發明說明(22) 10之終端moc與背面10B中之同一位置。因此,終端面 1 0C之受銷子30覆蓋的-部份不被餘刻或清洗。為避免此 一問題,固持晶圓機構之爽持力(chucking f〇rce) 即放緩解除,同時,在操作期間中些微降低旋轉速度。 因此,由於慣性力,旋轉晶圓1〇可偏移其固持位置。 另外’ _晶圓10之旋轉得暫時停止,使用—合適得搬運 裝置(未圖不)或類似者’以從銷子30舉起晶圓10。在 子中,可使晶圓10之固持位置偏移或改變。再者,得設置 ^:7此:::示ί銷子夹持型固持晶圓機構以支持晶圓 10。在此例子中,第一機構用以固持晶圓】 二機構。因此’可使晶圓10偏移其固持位置。曼使用第 :庸贅言’依據第二實施例之設備具有 一實施例之設備之優點。 铢寿 此外圖7與8之固持晶圓機構得組合於 之機在此例子中,於一峨清洗製程之前= 内袞子22侍接觸於晶圓10之終端面10C,隨後於後半製 面10C ’反之亦然。因此,在相 10可偏移或轉換其固梏朽¥+ 灰轉曰日圓 置偏移之構Γ 持 而不需要使晶圓10之固持位 第三實施例 圖9與係顯示依據第三實施例,用於蚀刻/清洗設備 中之-固持晶圓機構,Λ係該機構之另一變化。因為依據 561536 五、發明說明(23) 實施狀設備《其它组態係才目同於依 没備,所以兹省略相同組態之說明以 施例之 如圖9與10中所示’相似於第二實施例,固目持的日曰。 糸銷子夾持型。此機構包括四個銷子5〇與 銷曰曰 ==1:58。銷子5〇與51沿著構件 緣固疋間隔父替地排列。每一個銷子5 〇具有—^ 50A,其上放置且嚙合晶圓1〇之邊緣。每一個銷子 一類似的穴部51A,其上放置且嚙合晶圓1〇之舍曰 圓10旋轉時,其被放置且固持於銷子5〇與51之八^ ^曰日 50A與51A。由於構件58之旋轉,故晶圓1〇 於^ 中,如圖9與10中所示。 _ —水平面 在此實施例中銷子50或51之數目為四。辦 限於此而得為任何數目。其最好設定為3。 、 m 使用圖9與10之固持晶圓機構,不像第二實施例 與8之機構,在蝕刻或清洗製程之前半期間中,四個 50接觸於晶圓1〇之終端面1〇(:。隨後,在後半製程期門子 中,四個銷子51接觸於終端面10C。因此,在相同的^ 期間中’旋轉晶圓1 〇可偏移或轉換其固持位置。' 點係·不需要使用偏移晶圓1 〇用之固持位置之構件 毋庸贅言,依據第三實施例之設備具有相同於依 一實施例之設備之優點。 第四實施例 圖11係顯示使用金屬鑲嵌法形成Cu配線之製造流程
第28頁 561536 五、發明說明(24) 圖,且圖12A至12F分別顯示其步驟,其中包括依據第四實 施例之蝕刻方法與清洗方法。在此製程中,得使用前述依 據第一至第三實施例之蝕刻/清洗設備中之任一蝕刻/清洗 設備。 在此製程中,毋庸贅言,許多Cu配線形成。然而,為 簡化說明之故,此處僅說明並顯示一條配線。 在步驟S1中,一配線渠溝形成。精確言之,如圖1 2 A 中所示,藉由一習知方法,使一二氧化矽(Si〇2)膜34形成 於Si晶圓10之表面i〇A上。Si02膜34係形成以覆蓋整個元 件面積10D,且橫向突出面積l〇D。因此,Si02膜34之周緣 或邊緣係位於表面周緣面積1 〇 E内。在此實施例中,表面 周緣面積10E之寬度設定為大約5釐米。 隨後,藉由一習之方法,使一配線渠溝3 6形成於S i 〇2 膜34中,位於元件面積10D内。此階段之狀態係顯示於圖 12A 中。 在步驟S2中,一阻障金屬膜與一晶種Cu膜形成。阻障 金屬膜用以防止Cu原子擴散入Si02膜34及/或晶圓1〇。晶 種Cu膜用以形成一電鍍用晶種。 精確言之,如圖12B中所示,在晶圓1〇放置於一濺鍵 系統之一晶圓臺31上之後,藉由濺鑛,使一阻障金屬膜3 8 形成於S i 〇2膜3 4上以覆蓋渠溝3 6,該阻障金屬膜3 8係由 Ta、TaN、或類似者所形成。隨後,藉由濺鍍,一晶種以 膜40形成於阻障金屬膜38上以覆蓋渠溝36。此階段之狀態 係顯示於圖12B中。
第29頁 561536 五、發明說明(25) 圖1 2 B中之參考付號3 3代表一遮擋環,用以防止濺鍵 物沉積於晶圓10之表面周緣面積1〇E與終端面1〇(:。在濺鍍 製程期間中,遮擋環33放置於晶圓臺31上。 四在步驟S3中,藉由電鑛形成一配線^膜。精確言之, :乃形阻擋構件(亦即一所謂的〇環,未圖示)放置於叫 你y* ^,形成一空間於晶種CU膜40上。隨後,一適當的電 2體或溶液供應至該空間,藉以形成一配線CU賴於膜 40上,如圖12C中所示。 在此階段,電鍍液體經常漏出〇環。因此,一不需要 Γ乂二4形成於周緣面積1GE中之Si°2訓上。此膜44容 下:劁祖Ϊ34 ’因而其將成為生產線之污染物。所以,在 下一製程刖必須移除膜4 4。 在步驟S4中,使用前述依據第一、第二、或第三實施 ==青丨洗設備,藉由餘刻移除不需要的Cu膜44。既 刻、言^ ;。亥,液體“ ’故前述餘刻/清洗設備係作為一 # 38、=確4言9之“首先’藉由固持晶圓機構,使具有膜%、 護液體ιΜ矣Γ14之晶圓10保持於一水平面。、繼而,一保 =溶:檬:·農;r、或類似二 ^輕易移除、且不對元件面積10D造 第30頁 561536 五、發明說明(26) 成損壞。 在此實施例中,使用純水作為保護液體LP。 與保護液體LP之射出同時,一餘刻液體le從邊緣喷嘴 18射向晶圓10之邊緣,覆蓋整個表:面周緣面積1〇E。因為 必須選擇性蝕刻存在於面積10E中之不需要的Cu膜44,同 時防止Si〇2膜34被蝕刻,所以具有大蝕刻選擇性(Cu/Si〇2) 之任何液體皆可作為蝕刻液體LE。 最好使用含有H2 02之任何酸或驗溶液作為餘刻液體 le。舉例而言,最好為fpm(hf/h2o2/h2o)、 S P M (H2 S 04 / Η2 02 / Η2 Ο )、Η P M (H C1 / H2 02 / H2 0 )、硝酸過氧化氫 水溶液(hno3/h2o2/h2o)、apm(nh4oh/h2o2/h2o)、濃氮酸 (HN〇3)、或類似者。此係因為此等液體提供cu與Si02間之 令人滿意的高蝕刻選擇性,且容易取得。 此等溶液依據下列方式之合適成份,提供一高蝕刻選 擇性(Cu/Si02)。 HF : H2 02 : H20 = 1~1〇 : 1-20 : 100 H2S04 : H2〇2 : H20 = 1-10 : 1-20 : 1〇〇 HC1 : H2 02 : H20 = 1-10 : 1-20 : 1〇〇 HN〇3 : H2 02 : H20 = 1-10 : 1-20 : 100 NH4OH : H2 02 : H20 = 1~1〇 ; 1-20 : 1〇〇 HN〇3 = 30°/〇 - 80°/〇 舉例而言,FPM之蝕刻選擇性(Cu/Si02)之成份依賴關 係顯示於圖1 3中。由此圖可見,FPM之蝕刻選擇性 (Cu/Si02)於成份比率HF : H2 02 : H20 = 1 : 1〇 : 100
第31頁 561536 五、發明說明(27) 時,達到約250之最大值。 在第四實施例中,FPM作為從邊緣喷嘴18射出的蝕刻 液體LE。 §晶圓1 0由固持晶圓機構旋轉於一水平面時,純水 (亦即保護液體LP)從表面喷嘴14射出,且FPM(亦即蝕刻液 體1^ )從邊緣噴嘴1 8射出。由於離心力,供應至晶圓】n 表面中央匕附近之純水沿著表面10A自動地擴展向晶圓1〇 之邊緣,藉以覆蓋整個元件面積10D。由於離心力,供應 至表面周緣面積10E之FPM沿著表面10A移向晶圓10之邊 緣,藉以接觸整個面積1 0E。因此,即使從喷嘴丨8射出的 FPM由於晶圓10之旋轉運動,而輕微返回或跳躍向元件面 積l〇D ’因為純水所以FPM不可能接觸於元件面積1〇D。結 果’使配線Cu膜42與Si 〇2膜34免於FPM之損壞。 再者,FPM係從邊緣喷嘴18射出成為一束。因此,可 正確調整FPM束與表面10A之接觸點,以令人滿意的控制性 正確移除面積10E中之不需要的Cu膜44。此階段之狀態係 顯不於圖12D中,其中Cu膜44被完全移除,且位於元件面 積10D外部之膜38、4〇、與42之邊緣被移除。 在步驟S5中,藉由一習知方法,退火在元件面積1〇]) 中之剩餘配線Cu膜42,藉以改善膜42之品質。 在步驟S6中,進行CMP製程,以選擇性移除配線Cu膜 42、晶種Cu膜40、以及從Si〇2膜34之渠溝36突出的阻障金 屬膜38。因此,如圖12E中所示,一Cu配線46形成於渠溝 36中,同時晶種Cu膜40與阻障金屬膜38殘留於渠溝36、中/。 561536 五、發明說明(28) I由此CMP製程’一拋光廢料48黏附於晶圓工〇之周緣 面積10E中之表面l〇A上、終端面1〇c上以及背面i〇b上。 在此實施例t,廢料48係由Cu與阻障金屬所形成。 在步驟S7中,使用前述依據第一、、或第三 例之蝕清洗設備移除拋光廢料48。既然供應一清洗液 體Lc ’前述蚀刻/清洗設備係作為一清洗設備。 精確έ之’首先’晶圓1 〇被固持於固持晶圓機構。繼 而,當晶圓1 0被固持晶圓機構旋轉於一水平面上時,純水 (亦即保護液體LP)從表®喷嘴η射向晶圓1〇之表面中央 P! ’覆蓋整個元件面積1 0D。與此同時,FpM(亦即清洗液 體Lc)從邊緣喷嘴18射向晶圓1〇之邊緣,以覆蓋整個表面 周緣面積10E,同時FPM從背面喷嘴16射向晶圓1〇之背面中 央P2,以覆蓋整個背面10B。 由於離心力,供應至表面中央P!附近之純水沿著表面 1 0 A向外移動,覆蓋且保護整個元件面積丨〇 j)。由於離心 力’供應至表面周緣面積10E之FPM沿著表面1 0A移向晶圓 1 0之邊緣,而從該處滴落,移除存在於面積1 〇E中與終端 面10C上之拋光廢料48。因此,表面周緣面積10E與終端面 1 0X被完全清洗。 另一方面,由於離心力,供應至背面中央p2附近之 FPM沿著背面1 〇B向外移動,而從該處滴落,移除存在於背 面10B上之拋光廢料48。因此,晶圓10之背面10B被完全清 洗0 因為在清洗步驟S7之期間中,元件面積10D完全由純
第33頁 561536
水覆蓋,即使從喷嘴1 8射出的FPM由於晶圓1 〇之旋轉運 動’而輕微返回至元件面積l〇D,FPM仍不可能接觸元件面 積10D。所以,防止配線(^膜“與^仏膜“遭受即乂之 壞。 在清洗步驟S 7完成後之狀態係顯示於圖1 2 f中。 相似於餘刻液體LE ’含有札〇2之任何酸或任何驗溶液 皆得作為清洗液體Lc。此係因為ΙΑ具有對於Cu之拋光廢 料48之良好的清洗作用。舉例而言,最好使用spM、、 硝酸過氧化氫水溶液、APM、或濃硝酸。此等溶液可被輕 易取得,可被輕易移除,且不對元件面積1 〇 D造成損壞。 除了純水之外,任何不溶解Cu之有機酸之水溶液皆得 1為保護液體LP。舉例而言,得使用草酸、檸檬酸、丙二 '、或類似者之水溶液。有機酸溶液之濃度最好係設 ΰ · 〇 0 1 〇/〇 至 5 〇/〇 〇 I五實施例 圖14人至141?係分別顯示使用金屬鑲嵌製程以形成以配 t步驟’其包含依據第五實施例之一姓刻方法與一清洗 【法。在此製程中’使用前述依據第一至第三實施例之蝕 d 洗設備中之任一蝕刻/清洗設備。 错1 nif第五實施例中’相較於第四實施例,為擴展元件面 Ϊ二,積=之周甘緣以橫向向外偏移,藉以減少表面周 ^積1〇E之寬度。其它條件係相同於前述第四實施例中 I條件。
561536 五、發明說明(30) 在圖11之步驟S1中,如圖14A中所示,藉由一習知方 法,一Si〇2膜34形成於Si晶圓1〇之表面1〇A上。Si〇2膜34係 形成以覆蓋整個元件面積10D ,且輕微突出面積1〇D。因 此,Si 〇2膜34之周緣係位於表面周緣面積1〇E中。在此實 施例中,表面周緣面積1〇Ε之寬度設定為大約2釐米。 隨後,#由-習知方法,配線渠溝36形成於以〇2膜34 中,以位於元件面積1 〇D中。在此階段之狀態係顯示於圖 1 4A 中。 在步驟S2中’如圖14B中所示,晶圓1〇係放置於一濺 鐘系統之晶圓臺31,上。臺31,之尺寸相似於第四實施例中 所用之臺31。隨後’藉由濺錄,使用遮擋環抓未圖示), 使由Ta、TaN '或類似者所製成之一阻障金屬膜38形成於 Sl〇L膜34上,以覆蓋渠溝36。繼而,藉由濺鑛,不使用遮 擂環33,一晶種Cu膜40形成於阻障金屬膜38上,以覆蓋渠 溝36。此階段之狀態係顯示於圖14β中。 參…、圖1 4B,不像第四實施例,晶種膜4〇覆蓋整個 端面10C與背面10B之一部份。此係因為表面周緣面積 10E之寬度甚短,且不使用遮擋環33。 在步驟S3中,-環狀阻擋構件(亦即一所謂的〇環,未 圖示放置於晶種Cu膜4〇上,形成一空間於膜4〇上。隨 後,一適當的電鍍液體或溶液供應至該空間,藉以經由電 鍍形成一配線Cu膜42於膜40上,如圖14c;中所示。 此階段中,由於電鍍液體之漏逸,一不需要的Cu膜 形成於周緣面積10E中之晶種cu膜4〇上,如圖〗4C中 561536 五、發明說明(31) 所示。此膜44可能影響元件面積10D中之半導體裝置之 能且成為污染物,所以,在下一製程前必須將其移除。眭 在步驟S4中,首先,晶圓1 〇被固持於前述依據第一 第二、或第三實施例之蝕刻/清洗轶備之固持晶圓機構、 中’然後其旋轉於一水平面上。 曰 繼而,純水(亦即保護液體LP )從表面喷嘴1 4射向旋轅 晶圓10之表面中央己,覆蓋整個元件面積1〇1)。與此同疋 時’ FPM(亦即蝕刻液體1^)從邊緣喷嘴18射向晶圓1〇之邊 緣’覆蓋整個表面周緣面積10E。再者,FPM從背面噴嘴16 射向晶圓1 0之背面中央P2,覆蓋整個背面丨〇B。因此,存 在表面周緣面積10E中、終端面10C上、’以及背面周緣面子積 1 〇F中之配線Cu膜40,完全被移除,位於元件面積1〇D外之 晶種Cu膜40亦同時完全被移除。此階段之狀態係顯示 14D 中。 _ 在步穉S5中,藉由一習知方法,退火剩餘配線以膜 42,藉以改善膜42之品質。 、 在步驟S6中,進行一CMP製程,以選擇性移除配線Cu 膜42 ’晶種Cu膜4〇,以及從Si〇2膜34中之渠溝36突出之阻 障金屬膜38。因此,如圖14E中所示,Cu配線46形成於渠 溝36中’且同時,晶種Cu膜4〇與阻障金屬膜38殘留於渠溝 36中。 經由此CMP製程,一拋光廢料48黏附於晶圓1〇之周緣 面積10E中之表面i〇A上、終端面i〇c上、以及背面ιοΒ上, 如圖14E中所示。在此實施例中,廢料48係由Cu與阻障金
第36頁 561536 五、發明說明(32) 屬所形成 在步驟S7中,使用前述依據第一、第二、或第三 例之姓刻/清洗設備’移除拋光廢料4 §。 精確言之,首先,晶圓10被固持於固持晶圓機構中。 繼而,當晶圓1 0由該機構旋轉於一水平面上時,純水 即保護液體LP)從表面喷嘴14射向晶圓1〇之表面中央p /覆 蓋整個元件面積10D。此時,最好藉由暫時供應一適1當的 有機酸至元件面積10D,移除存在於裝置面積1〇D上 料48。 、 於純水射出之時,FPM(亦即清洗液體Lc)從邊緣喷嘴 18射向晶圓10之邊緣,以覆蓋整個表面周緣面積1〇e,同 時FPM從背面喷嘴16射向晶圓1〇之背面中央p2,以覆蓋 個背面10B。 供應至晶圓10之表面中央Pl附近之純水向外移動,覆 蓋且保護整個元件面積10D。供應至晶圓10之邊緣附近之 FPM向外移動,以從該處滴落,移除存在於表面周緣面積 10E中與終端面10C上之拋光廢料48。供應至晶圓之背面 中央P2附近之FPM向外移動,以從該處滴落,移除存在於 背面10B上之拋光廢料48。因此,晶圓1〇之表面周緣面積 10E、終端面10C、與背面10B被完全清洗,而不損壞元件 面積1 0D。此階段之狀態係顯示於圖丨4f中。 在CMP製程完成之後,在一額外的製程步驟中,藉由 使晶圓1 0完全浸入一清洗溶液或藉由擦栻晶圓丨〇, 入 清洗晶圓1 0。 70 1
561536 五、發明說明(33) 第六實施例 圖15A至15F係分別顯示依據金屬鑲嵌製程,以形成Cu 配線之步驟,其包含依據第六實施、例之一蝕刻方法與一清 洗方法。在此製程中,使用前述依據第一至第三實施例之 蝕刻/清洗設備中之任一蝕刻/清洗設備。 在第六實施例中,不像第五實施例,阻障金屬膜3 8與 晶種Cu膜40皆形成以部分覆蓋晶圓1〇之背面10B。相似於 第五實施例,面積1 0 D之周緣較第四實施例向外偏移,藉 以擴展元件面積10D且減少表面周緣面積ιοΕ之寬度。 在圖11之步驟S1中,如圖15A中所示,藉由一習知方 法,一Si02膜34形成於Si晶圓10之表面i〇A上。Si02膜34係 形成以覆蓋整個元件面積10D,且些微突出面積10D。因 此,Si 〇2膜34之周緣位於表面周緣面積log中。 在此實施例中,表面周緣面積1 〇E之寬度係設為大約2 釐米。 然後,藉由一習知方法,配線渠溝36形成於Si〇2膜34 中’位於元件面積1 0D中。此階段之狀態係顯示於圖丨5a 中。 在步驟S2中,如圖15B所示,藉由濺鍍,由Ta、TaN、 或TaOx所形成之一阻障金屬膜38形成於Si〇2膜34上,以覆 蓋渠溝36。繼而,藉由濺鍍,一晶種cu膜4〇形成於阻障金 屬膜38上,以覆蓋渠溝36。此階段之狀態係顯示於圖15B 中。
第38頁 561536 五、發明說明(34) 由圖1 5 B可知,阻障金屬膜q 曰I早隻屬膜38與晶種Cu膜40皆延伸至 日日圓1 0之背面1 〇 B。此狀態得由Xm * 蚀主二付田不使用遮擋環33之濺鍍且 使表面周緣面積10E之寬度設定為極短所造成。 以带t ^ 中’一〇 未圖示)放置於晶種Cu膜40上, 供雍5姑空間於膜4〇上。然後,一適當的電鍍液體或溶液 :…“空間,藉由電鍍,以形成一配線Cu膜42於膜40 上,如圖15C中所示。 ,此階段,由於電鍍液體之漏逸,一不需要的Cum4 額外形成於周緣面積10E中之晶種以膜4〇上。 在步驟S4中,使用前述依據第一、第二、 1之蝕刻/清洗設備,晶圓10旋轉於一水平面上。繼而, 1)水從表面噴嘴1 4射向旋轉晶圓1 〇之表面中央ρι,覆蓋整 個=件面積10D。與此同時,FPM從邊緣喷嘴18射向晶圓1〇 ,,緣,使FPM接觸於整個表面周緣面積1〇E。再者,FpM ,f面噴嘴16射向晶圓10之背面中央P2,使FPM接觸於整 =者面10B。因此,存在於表面周緣面積1〇E中、終端面 c上、以及背面周緣面積1〇F中之不期望的以膜44被完全 除’同時位於元件面積l〇D外之晶種以膜4〇亦被完全移 除。 為了移除由Ta、TaN、或TaOx所形成之阻障金屬膜 以氣氟酸(H F)替代F P Μ作為姓刻液體le。繼而,以相 同於移除Cu膜40與44之方法,位於元件面積i〇d外之阻障 金屬膜38被移除。此階段之狀態係顯示於圖1 5D中。 在步驟S5中,藉由一習知方法,退火殘留的配線Cu膜
务61536 五、發明說明(35) 4 2,藉以改善膜4 2之品質。 在步驟S6中,為了選擇性移除配線cu膜42、晶種Cu膜 40、以及從Si 〇2膜34中之渠溝36突出之阻障金屬膜38,進 行一CMP製程。因此,如圖15E中所k示,Cu配線46形成於渠 溝36中,同時晶種Cu膜40與阻障金屬膜38殘留於渠溝36 中 0 經由此CMP製程,一拋光廢料48黏附於晶圓1 〇之周緣 面積10E中之表面10A上,終端面10C上,以及背面10B上, 如圖1 5 E中所示。 在步驟S7中,使用前述依據第一、第二、或第三實施 例之餘刻/清洗設備,移除拋光廢料4 8。精確言之,首 先’晶圓1 0被固持於固持晶圓機構上。繼而,當晶圓1 〇旋 轉於一水平面上時,純水從表面喷嘴丨4射向晶圓丨〇之表面 中央己’覆蓋整個元件面積10D。與此同時,FPM從邊緣嘴 嘴1 8射向晶圓1 0之邊緣,以接觸於整個表面周緣面積 10E ’同時FPM從背面喷嘴16射向晶圓10之背面中央p2,以 ,觸整個背面10B。因此,晶圓1〇之表面周緣面積1〇£、終 端面1 0 C、以及背面1 〇 b被完全清洗。此階段之狀態係顯示 於圖1 5 F中。 在前述第四至第六實施例中,Cu配線46形成於Si02膜
1 4 ti? L 之渠溝3 6中。然而,本發明不僅限於此。本發明可應 ^ ;任何需要對於半導體晶圓進行餘刻與清洗製程中之至
^ 製程之例子。舉例而言,本發明得應用於下一例子: 由 P t 、T 1 Γ、I r〇、或類似者所形成之金屬配線或金屬電極
第40頁 561536 五、發明說明(36) 形成於一介電膜上。此外,本發明得應用於下一例子··由 BST,ρζτ,或類似者所形成之鐵電(ferroelectric)膜形 成於另一膜上。 ^ >雖然業已說明本發明之較佳實:施例,但應了解者係: =習此項技藝之人士明瞭各種不偏離本發明精神之修改。 因而本發明之範圍單獨由申請專利範圍所界定。
561536 圖式簡單說明 圖1係顯不半導體晶圓之先前技藝的蝕刻/清洗方法之 示意圖。 圖2係顯示半導體晶圓之另一先前技藝的蝕刻/清洗方 法。 圖3係顯不依據本發明第一實施例蝕刻/清洗設備之組 態之示意平面圖。 圖4係顯不依據圖3之第一實施例之設備之組態之示意 侧面圖。 圖5係顯不依據圖3之第一實施例之設備所用之固持晶 圓結構之示意透視圖。 圖6係顯示圖5所示之固持晶圓結構之示意側面圖。 圖7係顯不依據本發明第二實施例之蝕刻/清洗設備所 用之另一固持晶圓結構之示意透視圖。 圖8係顯示圖7所示之固持晶圓結構之示意側面圖。 圖9係顯示依據本發明第三實施例之蝕刻/清洗設備所 用之又一固持晶圓結構之示意透視圖。 圖1 0係顯示圖9所示之固持晶圓結構之示意侧面圖。 圖11係顯示以金屬鑲嵌製程形成Cu配線之步驟流程 圖’其中使用依據本發明第一至第三實施例之蝕刻/清洗 設備中之一蝕刻/清洗設備。 圖12A至12F係半導體晶圓之示意部分剖面圖,分別顯 示Cu配線之形成步驟,其包含依據本發明第四實施例之一 蚀刻方法與一清洗方法。 圖1 3係顯示FPM對於Cu與Si02間之蝕刻選擇性之成分
第42頁 561536 圖式簡單說明 依隨圖。 圖1 4A至1 4F係半導體晶圓之示意部分剖面圖,分別顯 示Cu配線之形成步驟,其包含依據本發明第五實施例之一 蝕刻方法與一清洗方法。 & 圖1 5A至1 5F係半導體晶圓之示意部分剖面圖,分別顯 示Cu配線之形成步驟,其包含依據本發明第六實施例之一 蝕刻方法與一清洗方法。 圖1 6係半導體晶圓之示意部分剖面圖,顯示依據本發 明之蝕刻或清洗方法所用之晶圓的各種面積。 圖1 7係半導體晶圓之示意部分剖面圖,顯示依據本發 明之蝕刻或清洗方法所用之蝕刻或清洗液體與保護液體之 流動狀態。 〔符號說明〕 10 晶圓 10A 表面 10B 背面 10C 終端面 10D 元件面積 10E 表面周緣面積 10F 背面周緣面積 110 晶圓 110A 表面 110B 背面
第43頁 561536
第44頁 圖式簡單說明 110C 終端面 112 保護膜 113 容器 114 蝕刻溶液 115 保護氣體 14 表面喷嘴 16 背面喷嘴 18 邊緣喷嘴 20 切線 22 滾子 24 旋轉轴 26 凹槽 28 支持構件 30 銷子 30A 穴部 31 晶圓臺 31, 晶圓臺 33 遮擔環 34 二氧化矽膜 36 渠溝 38 阻障金屬膜 40 晶種C u膜 42 配線Cu膜 44 不需要的Cu膜 561536 圖式簡單說明 46 Cu配線 48 抛光廢料 50 銷子 50A 穴部 51 銷子 51A 穴部 58 支持構件 Pi 表面中央 P2 背面中央 P3 交叉點 Le 蝕刻液體 Lc 清洗液體 LP 保護液體
第45頁

Claims (1)

  1. 561536
    哓’用以使一保護液體射向該晶圓之一 ·表面中央; 一其中從该表面喷嘴射出的該保護液體覆蓋該晶圓之該 兀件面積,以保護其抵抗從該邊緣噴嘴射出的該蝕刻液 5 ·如申請專利範圍第1項之蝕刻設備,更包含一背面喷 嘴,用以使一蝕刻液體射向該晶圓之一背面中央,以及一 表面喷嘴,用以使保護液體射向該晶圓之一表面中央; 其中從該背面喷嘴射出的該蝕刻液體蝕刻存在於該晶 圓之-背面之-不需要的材米斗,且從該表面噴嘴射 保護液體覆蓋該晶圓之該元件面積,以保護其抵抗從該邊 緣噴嘴射出的該蝕刻液體。 其中從該邊緣喷嘴 6 ·如申請專利範圍第1項之蝕刻設備 射出的該蝕刻液體係束狀。 7.如申請專利範圍第!項之#刻設備,其中該旋轉構件係 -滾子爽持型’該旋轉構件包含複數 且該複數個滚子接觸該晶圓之;終:圓 面,以固持該晶圓,並同步旋轉。 8 ·如申請專利範圍第1項之餘 一銷子夾持型,該旋轉構件包 件所支持且沿著該晶圓之_終 刻設備,其中該旋轉構件係 含複數個銷子,由一支持構 端面排列,並且該複數個銷
    561536 89104773
    _案號 六、申請專利範圍 子接觸於該晶 件而同步旋轉 9 · 如申請 -銷子夾持型,該旋轉::蝕刻設備’其中該旋轉構件係 數個銷子,由-支持第-複數個鎖子與第二複 其中4第-複數個銷子與該第三複數個銷子 日日圓之一終端面交替排列; σ者该 其中4第一複數個銷子與該第二複數個銷子係六 觸於該晶圓之該終端面,以固 # ϋ ^ 、又身接 同步旋轉。 以固持该曰曰囫,並錯由該構件而 10.如申請專利範圍第1項之蝕刻設備,其中該旋 包含第一複數個銷子與第二複數個銷子,由一支 #件 支持; 叉持構件所 其中該第一複數個銷子係沿著該晶圓之一終端面 列’且該第二複數個銷子係沿著該晶圓之該終端S排排 並且其中該第一複數個銷子係接觸於該晶圓之节=L 面’以固持該晶圓,並藉由該構件而以一週期同牛:f端 兑该第二複數個銷子係接觸於該晶圓之該終端面, 士 ίτ θ ^ H] i± 邊阳圓,並藉由該構件而以另一週期同步旋轉。 、 11/如申請專利範圍第1項之蝕刻設備,其中從該邊緣 嘴之一縱軸與該晶圓之該表面之一交叉點至該邊緣嘴嘴之
    561536 _案號 89104773 _年月日______— 六、申請專利範圍 一末端間之距離係設定·為介於1釐米至5 0釐米之範圍間之 一數值,並且該邊緣喷嘴與該交叉點處之該晶圓之一切線 間所夾之角度係設定為介於0度至9 0度之範圍内之一數 值。 12.如申請專利範圍第3項之蝕刻設備,其中從該晶圓之 該背面中央至該背面喷嘴之末端間之距離係設定為介於70 釐米至2 0 0釐米間之一數值,並且該背面喷嘴與該晶圓之 該背面間所夾之角度係設定為介於1 5度至6 0度間之一數 值。 13·如申請專利範圍第4項之蝕刻設備,其中從該晶圓之 該表面中英至該表面喷嘴之一末端間之距離係設定為介於 7 〇釐米至2 0 0釐米間之一數值,並且該表面噴嘴與該晶圓 之該表面間所夾之角度係設定為介於1 5度至6 0度間之一數 值。 14. 一種清洗設備,包含: (a) —旋轉構件,用以固持一半導體晶圓,且用以旋 轉該晶圓於一水平面上; 该晶圓具有一元件面積與一表面周緣面積於其表面 該表面周緣面積位於該元件面積之外;以及 (b) —邊緣喷嘴,用以使一清洗液體射向該晶圓之該
    561536 案號 89104773 年 月 曰 修正 六、申請專利範圍 表面周緣面積; 其中使射出的該清洗液體係控制成選擇性接觸於該晶 圓之該表面周緣面積與終端面而不接觸於該元件面積,俾 選擇性移除存在於該晶圓之該表面周緣面積中之一不需要 的材料。
    15. 如申請專利範圍第1 4項之清洗設備,其中該從邊緣喷 嘴射出的該清洗液體具有一射出方向,其沿著該晶圓之一 旋轉方向或者關於該晶圓之一切線朝外,該切線係形成於 該液體與該晶圓之該表面周緣面積之一接觸點附近。 16. 如申請專利範圍第1 4項之清洗設備,更包含一背面喷 嘴,用以使一清洗液體射向該晶圓之一背面中央; 其中從該背面喷嘴射出的該清洗液體移除存在於該晶 圓之一背面之一不需要的材料。 17. 如申請專利範圍第1 4項之清洗設備,更包含一表面喷 嘴,用以使一保護液體射向該晶圓之一表面中央;
    其中從該表面喷嘴射出的該保護液體覆蓋該晶圓之該 元件面積,以保護其抵抗從該邊緣喷嘴射出的該清洗液 體。 18.如申請專利範圍第1 4項之清洗設備,更包含一背面喷 嘴,用以使一清洗液體射向該晶圓之一背面中央,以及一
    第50頁 561536
    表面=嘴,用以使保護液體射向該晶圓之一表面中央; 六、申請專利範圍 圓之二I從該背面喷嘴射出的該清洗液體移除存在於該晶 保護液*面,〆不需要的材#,且從該表面喷嘴射出的該 緣噴嘴射覆蓋該晶圓之該元件面積,以保護其抵抗從該邊 Ί貝角射出的該清洗液體。 1 9·如申請專利範圍第1 4項之、音砵执锯,豆由w外I t A 嘴射出的該清洗液體係束狀,先』…该邊緣喷 係-滾子::H ^14項之清洗設備,其中該旋轉構件 圓之一終二、1 //方疋轉,件包含複數個滾子’沿著該晶 面,以固二面排列,且該複數個滾子接觸該晶圓之該終端 固持該晶圓,並同步旋轉。 、而 士申凊專利範圍第1 4項之、、主、土外乂共 係一銷子失持型,該旋轉構^ =洗权備,其中該旋轉構件 構件所支持且沿著該晶圓=含複數個銷子’由-支持 銷子接觸於該晶圓之該終端面、、:%面=列’並且該:复數個 構件而同步旋轉。 以固持该晶圓,並藉由該 22·如申請專利範圍第14項之、主1 係-銷子夾持型,該旋轉構J =設備,其中該旋轉構件 複數個銷子,由一支持構件所=含第一複數個銷子與第二 其中該第一複數個銷子持’ 〃 5亥第二複數個銷子係沿著該
    第51頁 561536 案號 89104773 Λ_3 修正 六、申請專利範圍 晶圓之一終端面交替排列 其中該第一複數個銷子與該第二複數個銷子係交 觸於該晶圓之該終端面,以固持該晶圓,並藉由該, 同步旋轉。 再件而 23.如申請專利範圍第1 4項之清洗設備,其中該旋轉 包含第一複數個銷子與第二複數個銷子,由一支持構 支持; 其中該第一複數個銷子係沿著該晶圓之一終端面排 列,且該第二複數個銷子係沿著該晶圓之該終端面排列; 並且其中該第一複數個銷子係接觸於該晶圓之該終端 面,以固持該晶圓,並藉由該構件而以一週期同步旋轉, 且該第二複數個銷子係接觸於該晶圓之該終端面,以固持 忒晶圓,並藉由該構件而以另一週期同步旋轉。 、 24·如申請專利範圍第1 4項之清洗設備,其中從該邊緣喷 鳴之一縱軸與該晶圓之該表面之一交叉點至該邊緣噴嘴之 一末端間之距離係設定為介於i釐米至5 〇釐米之範圍間之 一數值,並且該邊緣噴嘴與該交叉點處之該晶圓之一切線 間所夾之角度係設定為介於〇度至9〇度之範圍内之一數 值。 2 5.如申請專利範圍第1 6項之清洗設備,其中從該晶圓之 該背面中央至該背面喷嘴之末端間之距離係設定為介於7 〇
    第52頁 561536 _案號89104773_年月日__ 六、申請專利範圍 釐米至2 0 0釐米間之一數值,並且該背面喷嘴與該晶圓之 該背面間所夾之角度係設定為介於1 5度至6 0度間之一數 值。 2 6.如申請專利範圍第1 7項之清洗設備,其中從該晶圓之 該表面中央至該表面喷嘴之一末端間之距離係設定為介於 70釐米至2 0 0釐米間之一數值,並且該表面喷嘴與該晶圓 之該表面間所夾之角度係設定為介於1 5度至6 0度間之一數 值。 2 7. —種钱刻方法,包含下列步驟: (a) 旋轉一半導體晶圓於一水平面上; 該晶圓具有一元件面積與一表面周緣面積於其表面 上; 該表面周緣面積係位於該元件面積之外;以及
    (b) 藉由一邊緣喷嘴,使一蝕刻液體射向該晶圓之一 表面周緣面積,而射出的該蝕刻液體係控制成選擇性接觸 於該晶圓之該表面周緣面積與終端面而不接觸於該元件面 積,藉以選擇性餘刻存在於該表面周緣面積中之一不需要 的材料。 28. 如申請專利範圍第2 7項之蝕刻方法,其中該從邊緣喷 嘴射出的該蝕刻液體具有一射出方向,其沿著該晶圓之一 旋轉方向或者關於該晶圓之一切線朝外,該切線係形成於
    第53頁 561536 _案號89104773_年月曰 修正_ 六、申請專利範圍 該液體與該晶圓之該表面周緣面積之一接觸點附近。 29. 如申請專利範圍第2 7項之蝕刻方法,其中藉由一背面 喷嘴使一蝕刻液體射向該晶圓之一背面中央,藉以蝕刻存 在於該晶圓之一背面上之一不需要的材料。
    3 0. 如申請專利範圍第2 7項之#刻方法,其中藉由一表面 喷嘴使一保護液體射向該,晶圓之一表面中央,藉以覆蓋該 晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出的該 蝕刻液體。 31. 如申請專利範圍第2 7項之蝕刻方法,其中藉由一背面 喷嘴使一蝕刻液體射向該晶圓之一背面中央,藉以蝕刻存 在於該晶圓之一背面上之一不需要的材料,並且藉由一表 面喷嘴使一保護液體射向該晶圓之一表面中央,藉以覆蓋 該晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出的 該蝕刻液體。
    32. 如申請專利範圍第2 7項之蝕刻方法,其中從該邊緣喷 嘴射出的該蝕刻液體係束狀。 33. 如申請專利範圍第2 7項之蝕刻方法,其中使用包含 H2 02之一酸或一驗溶液作為該餘刻液體。
    第54頁 561536 ___案號89104773_年月曰 修正__ 六、申請專利範圍 34.如申請專利範圍第2 7項之蝕刻方法,其中該不需要的 材料係Cu ; 並且其中該蝕刻液體係選自於由FPM(HF/H2 02 /H20)、 SPM(H2S04/H2o2/h2〇) 、hpm(hci/h2o2/h2o)、硝酸過氧化氫 水溶液(hno3/h2o2/h2o)、apm(nh4oh/h2o2/h2o)、以及濃硝酸 (HN03 )所組成之族群中之一液體。 3 5·如申請專利範圍第3 4項之蝕刻方法,其中一 s i 02膜形 成於該晶圓之該表面上或其上方; 並且其中該餘刻液體之成份為: HF : H2 02 : H20 - 1-10 : 1^2 0 : 100 H2S04 : H2 02 : H20 = 1-10 : 1-20 : 100 HC1 : H2 02 : H20 = 1-10 : 1-2 0 : 100 hno3: h2 02: H20 = 1~10 : 1^20 : 100 NH40H : H2 02 : H20 = 1-10 : 1-20 : 100 HN03 = 30% - 80%。 3 6·如申請專利範圍第3 4項之蝕刻方法,其中一 s i 〇2膜形 成於該晶圓之該表面上或其上方; 並且其中該蝕刻液體係FPM,其成分為叮:h2〇2 : H2〇 二 1 : 10 : 100 〇 3 7·如申請專利範圍第2 7項之蝕刻方法,其中使用純水或 一有機酸之水溶液作為該保護液體。
    第55頁 561536 _案號89104773_年月曰 修正_ 六、申請專利範圍 38. 如申請專利範圍第3 7項之蝕刻方法,其中該有機酸之 水溶液係選自於由草酸、檸檬酸、以及丙二酸所組成之族 群中之一有機酸之水溶液; 並且其中該有機酸之水溶液之濃度為0. 001%至5%。 39. 如申請專利範圍第2 7項之蝕刻方法,其中該不需要的 材料係T a、T a N、或T a Ο X ; 並且其中使用氫氟酸(HF )作為該蝕刻液體。
    40. 一種清洗方法,包含下列步驟: (a) 旋轉一半導體晶圓於一水平面上; 該晶圓具有一元件面積與一表面周緣面積於其表面 上; 該表面周緣面積係位於該元件面積之外;以及
    (b) 藉由一邊緣喷嘴,使一清洗液體射向該晶圓之該 表面周緣面積,而射出的該清洗液體係控制成選擇性接觸 於該晶圓之該表面周緣面積與終端面而不接觸於該元件面 積,藉以選擇性移除存在於該表面周緣面積中之一不需要 的材料。 41. 如申請專利範圍第4 0項之清洗方法,其中該從邊緣喷 嘴射出的該清洗液體具有一射出方向,其沿著該晶圓之一 旋轉方向或者關於該晶圓之一切線朝外,該切線係形成於
    第56頁 561536 _案號89104773_年月日__ 六、申請專利範圍 該液體與該晶圓之該表面周緣面積之一接觸點附近。 42. 如申請專利範圍第40項之清洗方法,其中藉由一背面 喷嘴使一清洗液體射向該晶圓之一背面中央,藉以移除存 在於該晶圓之一背面上之一不需要的材料。 43. 如申請專利範圍第40項之清洗方法,其中藉由一表面 喷嘴使一保護液體射向該晶圓之一表面中央,藉以覆蓋該 晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出的該 清洗液體。 44. 如申請專利範圍第4 0項之清洗方法,其中藉由一背面 喷嘴使一清洗液體射向該晶圓之一背面中央,藉以移除存 在於該晶圓之一背面上之一不需要的材料’並且措由一表 面喷嘴使一保護液體射向該晶圓之一表面中央,藉以覆蓋 該晶圓之該元件面積,以保護其抵抗從該邊緣喷嘴射出的 該清洗液體。
    45. 如申請專利範圍第4 0項之清洗方法,其中從該邊緣喷 嘴射出的該清洗液體係束狀。 46. 如申請專利範圍第4 0項之清洗方法,其中使用包含 H2 02之一酸或一驗溶液作為該清洗液體。
    第57頁 561536 ---案號89104773_年月日 修正____ 六、申請專利範圍 4 7.如申請專利範圍第4 0項之清洗方法,其中該不需要的 材料係Cu ; 並且其中該清洗液體係選自於由??篮(1^/ 112 02 /1120)、 SPM(H2S04/H2 02 /H2〇) 、hpm(hci/h2o2/h2o)、硝酸過氧化氫 水溶液(ΗΝ03/Η2 02 /Η20)、apm(nh4oh/h2o2/h2o)、以及濃硝酸 (Η N 〇3 )所組成之族群中之一液體。 48·如申請專利範圍第4〇項之清洗方法,其中使用純水或 一有機酸之水溶液作為該保護液體。 49·如申請專利範圍第48項之清洗方法,其中該有機酸之 水〉谷液係選自於由草酸、擰檬酸、以及丙二酸所組成之族 群中之一有機酸之水溶液; 並且其中該有機酸之水溶液之濃度為0. 001%至5%。
    第58頁
TW089104773A 1999-03-15 2000-03-14 Etching and cleaning methods and etching and cleaning apparatuses used therefor TW561536B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06889899A JP3395696B2 (ja) 1999-03-15 1999-03-15 ウェハ処理装置およびウェハ処理方法

Publications (1)

Publication Number Publication Date
TW561536B true TW561536B (en) 2003-11-11

Family

ID=13386944

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089104773A TW561536B (en) 1999-03-15 2000-03-14 Etching and cleaning methods and etching and cleaning apparatuses used therefor

Country Status (6)

Country Link
US (4) US6683007B1 (zh)
EP (1) EP1037261B1 (zh)
JP (1) JP3395696B2 (zh)
CN (1) CN1157767C (zh)
DE (1) DE60027946T2 (zh)
TW (1) TW561536B (zh)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413436B1 (en) * 1999-01-27 2002-07-02 Semitool, Inc. Selective treatment of the surface of a microelectronic workpiece
EP1589568A3 (en) * 1998-03-13 2009-02-25 Semitool, Inc. Selective treatment of the surface of a microelectronic workpiece
US20050217707A1 (en) * 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
TW452828B (en) * 1998-03-13 2001-09-01 Semitool Inc Micro-environment reactor for processing a microelectronic workpiece
JP3395696B2 (ja) 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
JP4584385B2 (ja) * 1999-08-10 2010-11-17 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
TW490756B (en) 1999-08-31 2002-06-11 Hitachi Ltd Method for mass production of semiconductor integrated circuit device and manufacturing method of electronic components
US20020018172A1 (en) * 2000-02-10 2002-02-14 Alwan James J. Method for manufacturing a flat panel display using localized wet etching
JP2001319849A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd 液処理装置及び液処理方法
JP2001319919A (ja) * 2000-05-08 2001-11-16 Tokyo Electron Ltd 半導体装置の製造方法及び処理装置
JP2002134717A (ja) * 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法
JP2002313757A (ja) 2001-04-17 2002-10-25 Hitachi Ltd 半導体集積回路装置の製造方法
JP4743735B2 (ja) * 2001-05-30 2011-08-10 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP3511514B2 (ja) * 2001-05-31 2004-03-29 エム・エフエスアイ株式会社 基板浄化処理装置、ディスペンサー、基板保持機構、基板の浄化処理用チャンバー、及びこれらを用いた基板の浄化処理方法
JP3958539B2 (ja) * 2001-08-02 2007-08-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US6709875B2 (en) * 2001-08-08 2004-03-23 Agilent Technologies, Inc. Contamination control for embedded ferroelectric device fabrication processes
JP4803625B2 (ja) * 2001-09-04 2011-10-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2003115474A (ja) * 2001-10-03 2003-04-18 Ebara Corp 基板処理装置及び方法
JP2003124180A (ja) * 2001-10-16 2003-04-25 Ebara Corp 基板処理装置
TW561516B (en) 2001-11-01 2003-11-11 Tokyo Electron Ltd Substrate processing apparatus and substrate processing method
US6855640B2 (en) * 2002-02-26 2005-02-15 Institute Of Microelectronics Apparatus and process for bulk wet etch with leakage protection
KR100481277B1 (ko) * 2002-05-10 2005-04-07 한국디엔에스 주식회사 반도체 제조 장치 및 방법
KR100468529B1 (ko) * 2002-05-18 2005-01-27 엘지.필립스 엘시디 주식회사 에천트 도포장비 및 이를 이용한 습식식각 방법
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
JP4365605B2 (ja) * 2002-07-26 2009-11-18 大日本スクリーン製造株式会社 基板保持装置および基板保持方法、ならびにそれらを用いた基板処理装置および基板処理方法
US7018555B2 (en) * 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
TWI233157B (en) * 2002-09-17 2005-05-21 M Fsi Ltd Regeneration process of etching solution, etching process, and etching system
TWI231950B (en) * 2002-11-28 2005-05-01 Tokyo Electron Ltd Substrate processing apparatus and cleaning method
JP2004207454A (ja) * 2002-12-25 2004-07-22 Renesas Technology Corp 半導体装置の製造方法
DE10319521A1 (de) * 2003-04-30 2004-11-25 Scp Germany Gmbh Verfahren und Vorrichtung zum Behandeln von scheibenförmigen Substraten
DE10326273B4 (de) * 2003-06-11 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Reduzierung der Scheibenkontaminierung durch Entfernen von Metallisierungsunterlagenschichten am Scheibenrand
US7078160B2 (en) * 2003-06-26 2006-07-18 Intel Corporation Selective surface exposure, cleans, and conditioning of the germanium film in a Ge photodetector
US7476290B2 (en) * 2003-10-30 2009-01-13 Ebara Corporation Substrate processing apparatus and substrate processing method
US20050112279A1 (en) * 2003-11-24 2005-05-26 International Business Machines Corporation Dynamic release wafer grip and method of use
JP2005183937A (ja) * 2003-11-25 2005-07-07 Nec Electronics Corp 半導体装置の製造方法およびレジスト除去用洗浄装置
JP2005217320A (ja) * 2004-01-30 2005-08-11 Renesas Technology Corp 配線形成方法、半導体装置の製造方法並びに半導体実装装置の製造方法
JP2005235978A (ja) * 2004-02-19 2005-09-02 Sony Corp 半導体装置および半導体装置の製造方法
JP2007523463A (ja) * 2004-02-24 2007-08-16 株式会社荏原製作所 基板処理装置及び方法
JP2005327807A (ja) * 2004-05-12 2005-11-24 Sony Corp 枚葉式洗浄装置及びその洗浄方法
KR100618868B1 (ko) * 2004-10-19 2006-08-31 삼성전자주식회사 스핀 장치
TW200625437A (en) * 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
JP4613709B2 (ja) * 2005-06-24 2011-01-19 セイコーエプソン株式会社 半導体装置の製造方法
US20070072426A1 (en) * 2005-09-26 2007-03-29 Tzu-Yu Tseng Chemical mechanical polishing process and apparatus therefor
JP2006139271A (ja) * 2005-10-24 2006-06-01 Hoya Corp 不要膜除去装置および不要膜除去方法、並びにフォトマスクブランク製造方法
JP4793927B2 (ja) 2005-11-24 2011-10-12 東京エレクトロン株式会社 基板処理方法及びその装置
JP4698407B2 (ja) 2005-12-20 2011-06-08 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP4708243B2 (ja) * 2006-03-28 2011-06-22 東京エレクトロン株式会社 液処理装置および液処理方法ならびにコンピュータ読取可能な記憶媒体
WO2008005539A2 (en) * 2006-07-07 2008-01-10 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
KR101353490B1 (ko) * 2006-07-20 2014-01-27 에프엔에스테크 주식회사 기판 처리장치
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20080060683A1 (en) * 2006-09-08 2008-03-13 Arvidson Aaron W Apparatus and methods for cleaning a wafer edge
KR101387711B1 (ko) * 2007-04-10 2014-04-23 에프엔에스테크 주식회사 평판디스플레이 유리기판 에칭장치
JP4966116B2 (ja) * 2007-07-09 2012-07-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR20090005489A (ko) 2007-07-09 2009-01-14 삼성전자주식회사 반도체 습식 에천트 및 그를 이용한 배선 구조체의형성방법
US8734661B2 (en) * 2007-10-15 2014-05-27 Ebara Corporation Flattening method and flattening apparatus
JP5036614B2 (ja) * 2008-04-08 2012-09-26 東京応化工業株式会社 基板用ステージ
JP2011040419A (ja) * 2008-05-22 2011-02-24 Fuji Electric Systems Co Ltd 半導体装置の製造方法及びそのための装置
JP4848402B2 (ja) * 2008-08-20 2011-12-28 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
KR101017654B1 (ko) 2008-11-26 2011-02-25 세메스 주식회사 기판 척킹 부재, 이를 갖는 기판 처리 장치 및 이를 이용한기판 처리 방법
JP2010206056A (ja) * 2009-03-05 2010-09-16 Renesas Electronics Corp 半導体集積回路装置の製造方法
DE102009050845A1 (de) * 2009-10-19 2011-04-21 Gebr. Schmid Gmbh & Co. Verfahren und Vorrichtung zur Behandlung einer Substratoberfläche eines Substrats
US8324105B2 (en) * 2010-08-13 2012-12-04 Victory Gain Group Corporation Stacking method and stacking carrier
CN102140669B (zh) * 2011-03-17 2016-06-01 上海集成电路研发中心有限公司 硅片电镀铜后的清洗方法
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US9117856B2 (en) 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
CN103014708A (zh) * 2011-09-21 2013-04-03 沈阳黎明航空发动机(集团)有限责任公司 一种单晶叶片表面晶粒腐蚀方法
CN103084349A (zh) * 2011-11-03 2013-05-08 无锡华润上华科技有限公司 晶片清洗方法
CN103123904B (zh) * 2011-11-21 2015-11-25 无锡华润上华科技有限公司 一种测量硅片表面金属杂质的前处理方法
CN103128073A (zh) * 2011-12-01 2013-06-05 无锡华润上华科技有限公司 晶圆清洗方法、晶圆清洗装置以及晶圆
JP6130995B2 (ja) * 2012-02-20 2017-05-17 サンケン電気株式会社 エピタキシャル基板及び半導体装置
JP6084376B2 (ja) * 2012-06-19 2017-02-22 武蔵エンジニアリング株式会社 液体材料吐出装置の洗浄装置および洗浄方法
JP5586734B2 (ja) 2012-08-07 2014-09-10 東京エレクトロン株式会社 基板洗浄装置、基板洗浄システム、基板洗浄方法および記憶媒体
JP6054343B2 (ja) * 2012-08-07 2016-12-27 東京エレクトロン株式会社 基板洗浄装置、基板洗浄システム、基板洗浄方法および記憶媒体
CN103021831A (zh) * 2012-12-03 2013-04-03 天津中环领先材料技术有限公司 一种高少数载流子寿命单晶硅腐蚀片的加工方法
CN104078352B (zh) * 2013-03-27 2017-06-27 中芯国际集成电路制造(上海)有限公司 晶圆清洗方法及晶圆清洗装置
JP2015005660A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
CN103346108A (zh) * 2013-06-27 2013-10-09 上海华力微电子有限公司 改善晶圆边缘光滑度的装置及方法
US20150050752A1 (en) * 2013-08-14 2015-02-19 Applied Materials, Inc. Methods for cleaning a wafer edge including a notch
US9412639B2 (en) * 2013-12-06 2016-08-09 Tel Fsi, Inc. Method of using separate wafer contacts during wafer processing
JP6600470B2 (ja) 2014-04-01 2019-10-30 株式会社荏原製作所 洗浄装置及び洗浄方法
CN111589752B (zh) * 2014-04-01 2023-02-03 株式会社荏原制作所 清洗装置
DE102014013591A1 (de) 2014-09-13 2016-03-17 Jörg Acker Verfahren zur Herstellung von Siliciumoberflächen mit niedriger Reflektivität
JP6341035B2 (ja) * 2014-09-25 2018-06-13 東京エレクトロン株式会社 基板液処理方法、基板液処理装置、及び記憶媒体
KR101621482B1 (ko) 2014-09-30 2016-05-17 세메스 주식회사 기판 처리 장치 및 방법
JP6618334B2 (ja) * 2015-06-03 2019-12-11 株式会社Screenホールディングス 基板処理装置、膜形成ユニット、基板処理方法および膜形成方法
JP2017098367A (ja) * 2015-11-20 2017-06-01 東京エレクトロン株式会社 基板処理方法
US9768017B1 (en) * 2016-03-15 2017-09-19 United Microelectronics Corporation Method of epitaxial structure formation in a semiconductor
US11174558B2 (en) 2016-03-29 2021-11-16 Nippon Steel Corporation Liquid removal device and liquid removal method
JP6815799B2 (ja) * 2016-09-13 2021-01-20 東京エレクトロン株式会社 基板処理装置及び基板処理方法
TW201828356A (zh) * 2016-10-26 2018-08-01 日商東京威力科創股份有限公司 液體處理方法及液體處理裝置
JP6386113B2 (ja) * 2017-02-07 2018-09-05 芝浦メカトロニクス株式会社 スピン処理装置
JP7037459B2 (ja) * 2018-09-10 2022-03-16 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
JP7241594B2 (ja) * 2019-04-22 2023-03-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
WO2021005980A1 (ja) * 2019-07-05 2021-01-14 富士フイルム株式会社 組成物、キット、基板の処理方法
JP7170608B2 (ja) * 2019-09-09 2022-11-14 三菱電機株式会社 ウエハクリーニング装置および半導体装置の製造方法
CN110571137A (zh) * 2019-09-27 2019-12-13 西安奕斯伟硅片技术有限公司 一种晶圆的处理方法和处理装置
CN111009484B (zh) * 2019-12-23 2023-01-13 西安奕斯伟材料科技有限公司 晶圆清洗装置及晶圆清洗方法
CN111229685B (zh) * 2020-01-08 2021-06-01 长江存储科技有限责任公司 一种集成电路铝焊盘晶体缺陷的去除方法
CN111463152B (zh) * 2020-04-17 2023-03-14 重庆芯洁科技有限公司 半导体衬底的高压水洗设备及其使用方法
FR3113182B1 (fr) * 2020-07-31 2022-08-12 Commissariat Energie Atomique Procédé d'assemblage de plaques par collage moléculaire
CN111863696A (zh) * 2020-08-05 2020-10-30 西安奕斯伟硅片技术有限公司 真空吸盘、真空吸附装置及其工作方法
CN112652530A (zh) * 2020-12-11 2021-04-13 联合微电子中心有限责任公司 一种提高斜面刻蚀良率的方法
CN112864013B (zh) * 2021-01-18 2023-10-03 长鑫存储技术有限公司 半导体器件处理方法
JP2023139604A (ja) * 2022-03-22 2023-10-04 株式会社Screenホールディングス 基板処理方法および基板処理装置
WO2023204017A1 (ja) * 2022-04-18 2023-10-26 東京エレクトロン株式会社 基板処理装置、および基板処理方法

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5819350B2 (ja) * 1976-04-08 1983-04-18 富士写真フイルム株式会社 スピンコ−テイング方法
NL8403459A (nl) * 1984-11-13 1986-06-02 Philips Nv Werkwijze en inrichting voor het aanbrengen van een laag van fotogevoelig materiaal op een halfgeleiderschijf.
JPS6260225A (ja) * 1985-09-10 1987-03-16 Toshiba Ceramics Co Ltd シリコンウエハの洗浄方法
JPS6322665A (ja) 1986-03-05 1988-01-30 Hitachi Ltd サ−マル・ヘツドの製作方法
JPS62264626A (ja) 1986-05-12 1987-11-17 Nec Kyushu Ltd ウエツトエツチング装置
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS63193529A (ja) * 1987-02-06 1988-08-10 Toshiba Corp 半導体ウエハの洗浄乾燥装置
JPH0795540B2 (ja) 1988-04-11 1995-10-11 株式会社日立製作所 超音波洗浄スプレイノズルを用いた基板両面の洗浄方法及び洗浄装置
JPH06103687B2 (ja) * 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置
US4857142A (en) 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
JPH02130922A (ja) * 1988-11-11 1990-05-18 Toshiba Corp 半導体基板エッチング装置
JPH02197126A (ja) 1989-01-26 1990-08-03 Nec Kyushu Ltd 枚葉式半導体基板両面洗浄装置
JPH02309638A (ja) 1989-05-24 1990-12-25 Fujitsu Ltd ウエハーエッチング装置
US4976810A (en) * 1990-03-06 1990-12-11 Kabushiki Kaisha Toshiba Method of forming pattern and apparatus for implementing the same
US5204687A (en) 1990-07-19 1993-04-20 Galtronics Ltd. Electrical device and electrical transmitter-receiver particularly useful in a ct2 cordless telephone
JPH0821593B2 (ja) 1990-09-10 1996-03-04 富士通株式会社 半導体装置
DE4109955A1 (de) * 1991-03-26 1992-10-01 Siemens Ag Verfahren zum nasschemischen aetzen einer wolframrueckseitenbeschichtung auf einer halbleiterscheibe
JP2998259B2 (ja) 1991-04-09 2000-01-11 ソニー株式会社 ディスク保持装置及びそれを用いたディスク処理方法
JPH04340226A (ja) 1991-05-16 1992-11-26 Kyushu Electron Metal Co Ltd 半導体基板のエッチング方法とその装置
GB2257835B (en) 1991-07-13 1995-10-11 Technophone Ltd Retractable antenna
JPH0523530A (ja) 1991-07-17 1993-02-02 Abb Gadelius Kk ガス吸収方法および装置
JPH0590238A (ja) * 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
JPH0715897B2 (ja) * 1991-11-20 1995-02-22 株式会社エンヤシステム ウエ−ハ端面エッチング方法及び装置
JPH05226808A (ja) 1992-02-12 1993-09-03 Fujitsu Ltd パターン形成方法
JP3063046B2 (ja) 1992-05-19 2000-07-12 株式会社新川 板状部材の搬送装置
JPH06224170A (ja) 1993-01-26 1994-08-12 Matsushita Electron Corp 半導体ウェット装置
JP3277404B2 (ja) * 1993-03-31 2002-04-22 ソニー株式会社 基板洗浄方法及び基板洗浄装置
JP2991891B2 (ja) 1993-05-13 1999-12-20 東邦レーヨン株式会社 金属被覆炭素繊維チョップドストランド、その製造方法および繊維強化樹脂組成物
JPH0738316A (ja) 1993-07-26 1995-02-07 Harada Ind Co Ltd 携帯電話機用伸縮形アンテナ
JP2845738B2 (ja) * 1993-10-28 1999-01-13 大日本スクリーン製造株式会社 回転式基板処理装置の基板回転保持具
US5520205A (en) * 1994-07-01 1996-05-28 Texas Instruments Incorporated Apparatus for wafer cleaning with rotation
JPH0878378A (ja) 1994-09-08 1996-03-22 Toshiba Corp 半導体基板の表面処理方法
JP3180209B2 (ja) 1994-09-29 2001-06-25 東京エレクトロン株式会社 現像装置及び現像処理方法
US5625433A (en) 1994-09-29 1997-04-29 Tokyo Electron Limited Apparatus and method for developing resist coated on a substrate
KR100370728B1 (ko) 1994-10-27 2003-04-07 실리콘 밸리 그룹, 인크. 기판을균일하게코팅하는방법및장치
FI97499C (fi) 1995-04-07 1996-12-27 Nokia Mobile Phones Ltd Kaksitoiminen antenni
JPH08323303A (ja) 1995-06-02 1996-12-10 Toshiba Corp 洗浄処理装置
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
JP2692670B2 (ja) 1995-12-28 1997-12-17 日本電気株式会社 携帯無線機用アンテナ
JPH09213772A (ja) * 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd 基板保持装置
JPH09232410A (ja) * 1996-02-26 1997-09-05 Dainippon Screen Mfg Co Ltd 基板回転保持装置および回転式基板処理装置
JPH09254845A (ja) 1996-03-22 1997-09-30 Towa Kogyo Kk 自転車用荷かご
JPH09260331A (ja) 1996-03-26 1997-10-03 Nippon Steel Corp 洗浄装置
JPH09275087A (ja) 1996-04-05 1997-10-21 Sony Corp 半導体処理装置
JP3223109B2 (ja) 1996-04-26 2001-10-29 三洋電機株式会社 半導体装置の製造方法
US5861066A (en) 1996-05-01 1999-01-19 Ontrak Systems, Inc. Method and apparatus for cleaning edges of contaminated substrates
US5879576A (en) * 1996-05-07 1999-03-09 Hitachi Electronics Engineering Co., Ltd. Method and apparatus for processing substrates
EP0814536A3 (en) 1996-06-20 1999-10-13 Kabushiki Kaisha Yokowo Antenna and radio apparatus using same
JPH1079334A (ja) 1996-09-03 1998-03-24 Sony Corp 半導体基板の塗布膜除去装置
JP3492107B2 (ja) * 1996-09-09 2004-02-03 大日本スクリーン製造株式会社 回転式現像装置
JPH1092912A (ja) * 1996-09-11 1998-04-10 Dainippon Screen Mfg Co Ltd 基板回転保持装置および回転式基板処理装置
KR100277522B1 (ko) * 1996-10-08 2001-01-15 이시다 아키라 기판처리장치
US6114254A (en) * 1996-10-15 2000-09-05 Micron Technology, Inc. Method for removing contaminants from a semiconductor wafer
JPH10135312A (ja) * 1996-10-28 1998-05-22 Dainippon Screen Mfg Co Ltd 基板回転保持装置および回転式基板処理装置
JPH10133531A (ja) 1996-10-31 1998-05-22 Tec Corp 画像形成装置
JP2954059B2 (ja) * 1997-01-09 1999-09-27 山形日本電気株式会社 エッジリンス機構
US5779816A (en) * 1997-01-30 1998-07-14 Trinh; Tieu T. Nozzle and system for use in wafer cleaning procedures
JPH10223593A (ja) 1997-02-07 1998-08-21 Sumiere S Ii Z Kk 枚葉式ウェハ洗浄装置
JP3745863B2 (ja) 1997-02-28 2006-02-15 芝浦メカトロニクス株式会社 ウエットエッチング処理方法およびその処理装置
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
JPH10321572A (ja) * 1997-05-15 1998-12-04 Toshiba Corp 半導体ウェーハの両面洗浄装置及び半導体ウェーハのポリッシング方法
DE69835988T2 (de) * 1997-08-18 2007-06-21 Tokyo Electron Ltd. Doppelseitenreinigungsmaschine für ein Substrat
JP3788855B2 (ja) * 1997-09-11 2006-06-21 大日本スクリーン製造株式会社 基板処理ユニットおよびそれを用いた基板処理装置
JPH11102883A (ja) * 1997-09-29 1999-04-13 Shibaura Mechatronics Corp スピン処理装置
US6260562B1 (en) * 1997-10-20 2001-07-17 Dainippon Screen Mfg. Co., Ltd. Substrate cleaning apparatus and method
US6310578B1 (en) 1997-10-28 2001-10-30 Telefonaktiebolaget Lm Ericsson (Publ) Multiple band telescope type antenna for mobile phone
US5897379A (en) 1997-12-19 1999-04-27 Sharp Microelectronics Technology, Inc. Low temperature system and method for CVD copper removal
TW452828B (en) 1998-03-13 2001-09-01 Semitool Inc Micro-environment reactor for processing a microelectronic workpiece
JPH11274042A (ja) * 1998-03-24 1999-10-08 Dainippon Screen Mfg Co Ltd 基板処理装置
JP3499446B2 (ja) * 1998-08-17 2004-02-23 大日本スクリーン製造株式会社 基板処理装置
JP3559177B2 (ja) 1998-09-25 2004-08-25 大日本スクリーン製造株式会社 基板処理装置
US6156221A (en) * 1998-10-02 2000-12-05 International Business Machines Corporation Copper etching compositions, processes and products derived therefrom
US6202658B1 (en) * 1998-11-11 2001-03-20 Applied Materials, Inc. Method and apparatus for cleaning the edge of a thin disc
DE19854743A1 (de) * 1998-11-27 2000-06-08 Sez Semiconduct Equip Zubehoer Vorrichtung zum Naßätzen einer Kante einer Halbleiterscheibe
JP3395696B2 (ja) * 1999-03-15 2003-04-14 日本電気株式会社 ウェハ処理装置およびウェハ処理方法
WO2001003165A1 (en) * 1999-07-01 2001-01-11 Lam Research Corporation Spin, rinse, and dry station with adjustable nozzle assembly for semiconductor wafer backside rinsing
US6516815B1 (en) * 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6299697B1 (en) * 1999-08-25 2001-10-09 Shibaura Mechatronics Corporation Method and apparatus for processing substrate
KR100726015B1 (ko) * 1999-10-06 2007-06-08 가부시키가이샤 에바라 세이사꾸쇼 기판세정방법 및 그 장치
JP3625264B2 (ja) * 1999-11-25 2005-03-02 大日本スクリーン製造株式会社 基板処理装置
JP4084293B2 (ja) * 2002-12-05 2008-04-30 株式会社アドヴァンスド・ディスプレイ・プロセス・エンジニアリング Fpd製造装置
KR100513276B1 (ko) * 2003-05-23 2005-09-09 삼성전자주식회사 웨이퍼 고정 스핀 척

Also Published As

Publication number Publication date
US6964724B2 (en) 2005-11-15
CN1267904A (zh) 2000-09-27
EP1037261A2 (en) 2000-09-20
US20110130010A1 (en) 2011-06-02
DE60027946T2 (de) 2007-02-01
US6683007B1 (en) 2004-01-27
US7862658B2 (en) 2011-01-04
EP1037261B1 (en) 2006-05-17
EP1037261A3 (en) 2002-11-06
US8420549B2 (en) 2013-04-16
JP2000269178A (ja) 2000-09-29
DE60027946D1 (de) 2006-06-22
JP3395696B2 (ja) 2003-04-14
CN1157767C (zh) 2004-07-14
US20050257889A1 (en) 2005-11-24
US20040053508A1 (en) 2004-03-18

Similar Documents

Publication Publication Date Title
TW561536B (en) Etching and cleaning methods and etching and cleaning apparatuses used therefor
EP1055463B1 (en) Apparatus and method for plating a metal plating layer onto a surface of a seed layer of a wafer
TW201308416A (zh) 在裁切晶粒附著膜或其它材料層之前,蝕刻雷射切割半導體
JP3958106B2 (ja) 基板エッチング方法および基板エッチング装置
CN104201095A (zh) 一种晶边刻蚀工艺
JP2017059773A (ja) 基板処理装置及び基板処理方法
KR100322439B1 (ko) 에칭 및 세척 방법과 에칭 및 세척 장치
JP2002170802A5 (zh)
KR20000070378A (ko) 금속층의 패시베이션 방법
CN102034737B (zh) 金属互连层的制作方法
JP2003203900A (ja) ウェハ処理装置およびウェハ処理方法
JP4318209B2 (ja) フォトマスクブランクの製造方法及びフォトマスクの製造方法
JP2001015477A (ja) 基板処理方法及び基板処理装置
JP2003273064A (ja) 堆積物の除去装置及び除去方法
JP2002280344A (ja) 基板処理装置
JPH03272140A (ja) 半導体基板の薬品処理装置
JPH10135161A (ja) ウエーハの研磨方法
CN103426722A (zh) 基板的处理方法
JP2001077072A (ja) 基板の洗浄方法
US8652943B2 (en) Method of processing substrate
JP2006351805A (ja) 基板処理方法および基板処理装置
JP2006319151A (ja) エッチング残渣除去方法及びそれを用いた半導体装置の製造方法
JP2009290170A (ja) 半導体ウェーハの洗浄方法
JPH04225231A (ja) シリコンウエハのエッチング方法及びその装置
JP2001332537A (ja) Sog塗布装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent