RU2000124871A - Усовершенствованный генератор рисунков - Google Patents

Усовершенствованный генератор рисунков

Info

Publication number
RU2000124871A
RU2000124871A RU2000124871/28A RU2000124871A RU2000124871A RU 2000124871 A RU2000124871 A RU 2000124871A RU 2000124871/28 A RU2000124871/28 A RU 2000124871/28A RU 2000124871 A RU2000124871 A RU 2000124871A RU 2000124871 A RU2000124871 A RU 2000124871A
Authority
RU
Russia
Prior art keywords
modulator
paragraphs
workpiece
preceding paragraphs
radiation
Prior art date
Application number
RU2000124871/28A
Other languages
English (en)
Other versions
RU2232411C2 (ru
Inventor
Торбьерн САНДСТРЕМ
Original Assignee
Микроник Лазер Системз Аб
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from SE9800665A external-priority patent/SE9800665D0/xx
Application filed by Микроник Лазер Системз Аб filed Critical Микроник Лазер Системз Аб
Publication of RU2000124871A publication Critical patent/RU2000124871A/ru
Application granted granted Critical
Publication of RU2232411C2 publication Critical patent/RU2232411C2/ru

Links

Claims (37)

1. Устройство для создания рисунка на заготовке, чувствительной к световому излучению, например, такой, как фотошаблон, панель дисплея или микрооптический прибор, устройство содержит источник для испускания света в диапазоне длин волн от КУФ до ИК излучения, пространственный модулятор света (ПМС), имеющий множество модулирующих элементов (пикселей), адаптированных к их освещению упомянутым излучением, проекционную систему, формирующую изображение модулятора на заготовке, электронную систему обработки и подачи данных, принимающую цифровое описание рисунка, подлежащего записи, преобразующую упомянутый рисунок в сигналы модулятора, и подающую упомянутые сигналы в модулятор, прецизионную механическую систему для позиционирования упомянутой заготовки и/или проекционной системы друг относительно друга, электронную систему управления, управляющую положением заготовки, подачей сигналов в модулятор и интенсивностью излучения, так чтобы упомянутый рисунок печатался на заготовке, в котором возбуждающие сигналы и модулирующие элементы адаптируются для создания числа состояний модуляции более двух и, предпочтительно, более трех.
2. Устройство по п. 1, отличающееся тем, что модулирующий элемент модулирует по меньшей мере один из следующих параметров излучения: интенсивность, фаза, комплексная амплитуда, направление, поляризация, плоскостность волнового фронта, частота, в котором модуляция, накладываемая на поле излучения, имеет по меньшей мере три, а предпочтительно, по меньшей мере четыре различных состояния.
3. Устройство по п. 2, отличающееся тем, что имеет фильтр, избирательный к состоянию излучения, посредством чего модуляция поперек поверхности пространственного модулятора преобразуется в изображение яркости на заготовке.
4. Устройство по п. 1, отличающееся тем, что компенсирующая функция линеаризации используется при преобразовании входного описания рисунков в напряжения модулятора, для того, чтобы скорректировать нелинейный отклик от напряжений модулятора на экспозицию заготовки.
5. Устройство по п. 4, отличающееся тем, что функция линеаризации базируется на теоретическом моделировании.
6. Устройство по п. 4, отличающееся тем, что функция линеаризации базируется на эмпирической характеристике отклика.
7. Устройство по любому из пп. 4 - 6, отличающееся тем, что упомянутый отклик представляет собой физический или химический результат экспозиции элемента поверхности, такой, как абсорбция проявленной эмульсии галогенида серебра или удаление массы материала на участке поверхности посредством процесса абляции.
8. Устройство по п. 4, отличающееся тем, что желательные отклики вычисляются в виде цифровых значений, и функция линеаризации запоминается в справочной таблице, создавая новые скорректированные цифровые значения, используемые для генерации возбуждающих напряжений модулятора.
9. Устройство по п. 8, отличающееся тем, что напряжение модулятора создаются цифроаналоговыми преобразователями.
10. Устройство по п. 4, отличающееся тем, что желательные отклики вычисляются в виде цифровых значений, и эти значения используются для выбора, для каждого модулирующего элемента, одного из нескольких независимо генерируемых напряжений, и в котором упомянутые напряжения устанавливаются так, чтобы содержать функцию линеаризации.
11. Устройство по любому из предшествующих пунктов, отличающееся тем, что по меньшей мере два сигнала напряжения модулятора подаются в один элемент модулятора, и элемент модулятора способен реагировать на комбинацию сигналов, таким образом возбуждаясь до большего числа состояний, чем число возможных значений напряжения в каждом сигнале, например, четыре двоичных сигнала, создают шестнадцать различных состояний модулирующего элемента.
12. Устройство по любому из предшествующих пунктов, отличающееся тем, что содержит справочную таблицу, имеющую коррекции различных откликов между элементами модулятора.
13. Устройство по п. 12, отличающееся тем, что справочная таблица формируется во время процедуры калибровки, в которой измеряется функция отклика по меньшей мере двух различных элементов модулятора.
14. Устройство по п. 12, отличающееся тем, что упомянутая справочная таблица сохраняет по меньшей мере один из следующих типов данных для модулирующего элемента: напряжение гашения, коэффициент чувствительности, полиномиальная функция отклика.
15. Устройство по п. 12, отличающееся тем, что коррекция модулирующего элемента применяется как цифровая операция на цифровом представлении желательного состояния элемента модулятора.
16. Устройство по п. 12, отличающееся тем, что коррекция модулирующего элемента применяется посредством аналоговой операции на аналоговом возбуждающем сигнале.
17. Устройство по любому из пп. 1 - 16, отличающееся тем, что пространственный модулятор представляет собой двухмерную матрицу модулирующих элементов с загрузкой, с временным уплотнением значений в модулирующие элементы и с сохранением загруженных значений в каждом элементе.
18. Устройство по любому из пп. 1 - 16, отличающееся тем, что модулятор монтируется на матрично-адресуемой активной схеме.
19. Устройство по любому из пп. 1 - 16, отличающееся тем, что модулятор монтируется сверху на полупроводниковом чипе.
20. Устройство по любому из пп. 1 - 16, отличающееся тем, что модулятор содержит жидкий кристалл.
21. Устройство по любому из пп. 1 - 16, отличающееся тем, что модулятор имеет вязкоупругий слой.
22. Устройство по любому из пп. 1 - 16, отличающееся тем, что модулятор имеет матрицу микромеханических элементов, и, предпочтительно, матрицу микрозеркал, и наиболее предпочтительно матрицу пирамидальных микрозеркал.
23. Устройство по любому из предшествующих пунктов, отличающееся тем, что модулятор является отражающим.
24. Устройство по любому из пп. 1 - 22, отличающееся тем, что модулятор является пропускающим.
25. Устройство по любому из предшествующих пунктов, отличающееся тем, что входной рисунок раскладывается на множество полей экспозиции, и упомянутые поля экспозиции экспонируются в различных положениях на заготовке, таким образом сшивая полный рисунок из упомянутых полей экспозиции.
26. Устройство по п. 25, отличающееся тем, что столик и проекционная система адаптируются таким образом, чтобы вырабатывать такты непрерывного прохождения друг относительно друга, а электронная система управления координирует движение, подачу возбуждающих сигналов модулятора и освещение таким образом, чтобы в течение непрерывного такта экспонировалось по меньшей мере два, и, предпочтительно, по меньшей мере десять полей экспозиции.
27. Устройство по любому из предшествующих пунктов, отличающееся тем, что дополнительно содержит блок синхронизации, управляющий синхронизацией излучения из источника света.
28. Устройство по п. 27, отличающееся тем, что блок синхронизации прогнозирует временную задержку управляющего сигнала до эмиссии источника света на основе предыдущих измерений, и компенсирует эту задержку.
29. Устройство по любому из предшествующих пунктов, отличающееся тем, что источником света является лазер, и предпочтительно, эксимерный лазер.
30. Устройство по любому из предшествующих пунктов, отличающееся тем, что освещение по меньшей мере одного модулирующего элемента ПМС модулятора является импульсным, а предпочтительно, таковым является освещение всего ПМС модулятора.
31. Устройство по п. 30, отличающееся тем, что длительность импульса освещения, являющаяся полной шириной на половине высоты или ее эквивалента модулирующего элемента ПМС модулятора короче, чем время прохождения расстояния, соответствующего трем пикселям, проектированным на заготовке.
32. Устройство по любому из пп. 1 - 29, отличающееся тем, что освещение по меньшей мере одного модулирующего элемента ПМС модулятора является непрерывным и сканируемым, а предпочтительно, таковым является освещение всего ПМС модулятора.
33. Устройство по любому из предшествующих пунктов, отличающееся тем, что цифровое описание рисунка дается в символическом формате, например, векторном или алгоритмическом формате.
34. Устройство по одному из п. 25 или 26, в котором столик и/или оптическая система адаптируются таким образом, чтобы производить микролитографический рисунок с характерными элементами рисунка меньшими, чем 30 мкм и/или с позиционированием и точностью размера выше, чем 3 мкм.
35. Устройство по любому из предшествующих пунктов, отличающееся тем, что рисунок формируется в фоторезисте, фотополимере или фотоэмульсии.
36. Устройство по любому из предшествующих пунктов, отличающееся тем, что рисунок формируется посредством абляции, эффекта преломления света, фотохимического изменения компонентов заготовки или изменения под действием теплового процесса.
37. Устройство по п. 1, отличающееся тем, что электронная система обработки данных имеет матрицу параллельных процессоров для преобразования рисунков в масштабе реального времени.
RU2000124871/28A 1998-03-02 1999-03-02 Усовершенствованный генератор рисунков RU2232411C2 (ru)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM
SE9800665-3 1998-03-02

Publications (2)

Publication Number Publication Date
RU2000124871A true RU2000124871A (ru) 2002-09-27
RU2232411C2 RU2232411C2 (ru) 2004-07-10

Family

ID=20410382

Family Applications (2)

Application Number Title Priority Date Filing Date
RU2000124872/28A RU2257603C2 (ru) 1998-03-02 1999-03-02 Устройство для формирования рисунков
RU2000124871/28A RU2232411C2 (ru) 1998-03-02 1999-03-02 Усовершенствованный генератор рисунков

Family Applications Before (1)

Application Number Title Priority Date Filing Date
RU2000124872/28A RU2257603C2 (ru) 1998-03-02 1999-03-02 Устройство для формирования рисунков

Country Status (12)

Country Link
US (16) US6399261B1 (ru)
EP (6) EP1060442B1 (ru)
JP (12) JP2002506235A (ru)
KR (2) KR100474121B1 (ru)
CN (3) CN1189794C (ru)
AT (5) ATE309557T1 (ru)
AU (7) AU2755599A (ru)
DE (6) DE69928232T2 (ru)
ES (1) ES2357473T3 (ru)
RU (2) RU2257603C2 (ru)
SE (1) SE9800665D0 (ru)
WO (7) WO1999045437A1 (ru)

Families Citing this family (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69810919T2 (de) * 1997-04-14 2003-05-15 Dicon As Lystrup Gerät und verfahren zur beleuchtung eines lichtempfindlichen mediums
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
EP1152263A4 (en) * 1999-09-30 2003-08-20 Nikon Corp OPTICAL DEVICE WITH THIN MULTI-LAYER SYSTEM AND THEIR USE FOR ALIGNMENT
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
KR20040047816A (ko) * 2001-09-12 2004-06-05 마이크로닉 레이저 시스템즈 에이비 공간광변조기를 이용한 개선된 방법 및 장치
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
WO2003040829A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Maskless printer using photoelectric conversion of a light beam array
WO2003040830A2 (en) 2001-11-07 2003-05-15 Applied Materials, Inc. Optical spot grid array printer
US6618185B2 (en) * 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
SE0104238D0 (sv) 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
WO2004095110A1 (en) * 2003-04-24 2004-11-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Exposure control
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
CN1279403C (zh) * 2002-02-06 2006-10-11 Asml荷兰有限公司 光刻装置和器件制造方法
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) * 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
EP1543451A4 (en) * 2002-07-12 2010-11-17 Cadence Design Systems Inc PROCESS AND SYSTEM FOR CONTEX-SPECIFIC MASK WRITING
WO2004017069A1 (ja) * 2002-08-16 2004-02-26 Kabushiki Kaisha Hayashi Soken バイオチップ分析装置およびオンライン分析システム
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
JP4597675B2 (ja) * 2002-08-24 2010-12-15 マスクレス・リソグラフィー・インコーポレーテッド 連続直接書込み光リソグラフィ
EP1554634B1 (en) 2002-10-25 2011-12-21 Mapper Lithography Ip B.V. Lithography system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
EP1583946B1 (en) * 2003-01-15 2006-11-08 Micronic Laser Systems Ab A method to detect a defective pixel
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
EP1608003A1 (en) * 2003-03-05 2005-12-21 Tadahiro Ohmi Mask repeater and mask manufacturing method
US7663734B2 (en) * 2003-04-11 2010-02-16 Tadahiro Ohmi Pattern writing system and pattern writing method
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
US7183566B2 (en) * 2003-05-28 2007-02-27 Asml Netherlands B.V. Lithographic apparatus for manufacturing a device
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
JP2006527418A (ja) * 2003-06-12 2006-11-30 マイクロニック レーザー システムズ アクチボラゲット パターンの高精度印刷方法
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
SG118283A1 (en) * 2003-06-20 2006-01-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1489449A1 (en) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Spatial light modulator
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
EP1491966A1 (en) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Calibration method for a lithographic apparatus
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) * 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
EP1652006A1 (en) * 2003-08-04 2006-05-03 Micronic Laser Systems Ab Psm alignment method and device
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US7079306B2 (en) * 2003-08-22 2006-07-18 Plex Llc Optically addressed extreme ultraviolet modulator and lithography system incorporating modulator
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
WO2005022263A2 (en) * 2003-08-27 2005-03-10 Koninklijke Philips Electronics N.V. Control circuit and method for forming optical images
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
EP1668421A2 (en) * 2003-09-12 2006-06-14 Carl Zeiss SMT AG Illumination system for a microlithography projection exposure installation
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
KR20060097711A (ko) * 2003-09-22 2006-09-14 오르보테크 엘티디. 칼라 필터의 직접 영상을 위한 시스템 및 방법
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
US7410736B2 (en) 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7023526B2 (en) 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
CN100452057C (zh) * 2003-10-07 2009-01-14 睿初科技公司 用于平板印刷仿真的系统和方法
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100451723C (zh) * 2003-11-12 2009-01-14 麦克罗尼克激光系统公司 用于修正slm戳图像缺陷的方法和器件
US7842926B2 (en) 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US7110159B2 (en) * 2003-12-11 2006-09-19 Micronic Laser Systems Method and apparatus for patterning a workpiece and methods of manufacturing the same
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
TWI366219B (en) * 2004-02-06 2012-06-11 Nikon Corp Polarization changing device, optical illumination apparatus, light-exposure apparatus and light-exposure method
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
WO2005081070A1 (en) * 2004-02-25 2005-09-01 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
EP1739482A4 (en) * 2004-03-24 2009-03-25 Fujifilm Corp PICTURE GENERATION PROCESS, LITHOGRAPHIC PRINTING PLATE AND LITHOGRAPHIC PROCESS
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2005096098A2 (en) * 2004-03-30 2005-10-13 Carl Zeiss Smt Ag Projection objective, projection exposure apparatus and reflective reticle for microlithography
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
CN101002217A (zh) * 2004-05-18 2007-07-18 西尔弗布鲁克研究有限公司 医药产品跟踪
WO2005111717A2 (en) * 2004-05-19 2005-11-24 Fujifilm Corporation Image recording method
US20050259269A1 (en) * 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
JP4615563B2 (ja) 2004-06-23 2011-01-19 クイン メディア アーツ アンド サイエンシズ インコーポレイテッド 光学タイルを用いた彫刻撮影
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7500218B2 (en) 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
WO2006021406A2 (en) * 2004-08-23 2006-03-02 Micronic Laser Systems Ab Pupil improvement of incoherent imaging systems for enhanced cd linearity
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
WO2006083685A2 (en) * 2005-01-28 2006-08-10 Asml Holding N.V. Method and system for a maskless lithography rasterization tecnique based on global optimization
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7221514B2 (en) * 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
WO2006110073A1 (en) * 2005-04-15 2006-10-19 Micronic Laser Systems Ab Method for a multiple exposure beams lithopraphy tool
CN101203808A (zh) * 2005-04-15 2008-06-18 麦克罗尼克激光系统公司 图像增强技术
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7209275B2 (en) * 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
CN101305323B (zh) * 2005-09-26 2011-03-30 麦克罗尼克激光系统公司 用于基于多种形式的设计数据的图案生成的方法和系统
JP5025157B2 (ja) * 2005-09-29 2012-09-12 大日本スクリーン製造株式会社 画像記録装置および画像記録方法
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
DE102006019963B4 (de) * 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
JP5180446B2 (ja) * 2006-07-20 2013-04-10 株式会社ナノシステムソリューションズ 露光装置及び露光方法
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
JP4937705B2 (ja) * 2006-11-14 2012-05-23 株式会社オーク製作所 多重露光装置
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7969384B2 (en) * 2006-12-27 2011-06-28 Silicon Quest Kabushiki Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
RU2462004C2 (ru) * 2007-04-30 2012-09-20 Конинклейке Филипс Электроникс Н.В. Модульная система твердотельного освещения
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
JP5630634B2 (ja) * 2007-07-13 2014-11-26 株式会社ニコン 露光方法及び装置、並びにデバイス製造方法
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
JP2010537414A (ja) 2007-08-30 2010-12-02 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置においてマスクを照明するための照明システム
US8245162B2 (en) * 2007-09-14 2012-08-14 Abrams Daniel S Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
CN101681123B (zh) * 2007-10-16 2013-06-12 株式会社尼康 照明光学系统、曝光装置以及元件制造方法
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
KR101644660B1 (ko) * 2007-11-06 2016-08-01 가부시키가이샤 니콘 조명 광학 장치 및 노광 장치
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
JPWO2009078223A1 (ja) * 2007-12-17 2011-04-28 株式会社ニコン 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
ATE532105T1 (de) 2007-12-21 2011-11-15 Zeiss Carl Smt Gmbh Beleuchtungsmethode
KR101559602B1 (ko) 2007-12-21 2015-10-12 칼 짜이스 에스엠테 게엠베하 마이크로리소그래피 투영 노광 장치용 조명 시스템
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
KR101657053B1 (ko) * 2008-04-24 2016-09-13 마이크로닉 마이데이타 에이비 구조화된 거울 표면을 가진 공간적 광 조절기
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
CN102137731B (zh) * 2008-08-26 2014-01-01 浜松光子学株式会社 激光加工装置以及激光加工方法
WO2010024106A1 (ja) * 2008-08-28 2010-03-04 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) * 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2202580B1 (en) * 2008-12-23 2011-06-22 Carl Zeiss SMT GmbH Illumination system of a microlithographic projection exposure apparatus
US8531755B2 (en) * 2009-02-16 2013-09-10 Micronic Laser Systems Ab SLM device and method combining multiple mirrors for high-power delivery
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
EP3144955A1 (en) * 2009-05-20 2017-03-22 Mapper Lithography IP B.V. Method for exposing a wafer
WO2011025724A1 (en) 2009-08-27 2011-03-03 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
US20120244723A1 (en) * 2009-09-18 2012-09-27 Applied Materials, Inc. Laser drilling of vias in back contact solar cells
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
JP5738410B2 (ja) * 2010-07-28 2015-06-24 カール・ツァイス・エスエムティー・ゲーエムベーハー ファセットミラーデバイス
KR101964407B1 (ko) * 2010-09-27 2019-04-01 가부시키가이샤 니콘 공간 광변조기의 구동 방법, 노광용 패턴의 생성 방법, 노광 방법, 및 노광 장치
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
JP5969496B2 (ja) * 2010-12-07 2016-08-17 マイクロニック アーベーMycronic Ab クリスクロス書き込み戦略
JP5880443B2 (ja) * 2010-12-13 2016-03-09 株式会社ニコン 露光方法、露光装置、及びデバイス製造方法
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
WO2013028066A1 (en) * 2011-07-11 2013-02-28 Mapper Lithography Ip B.V. Lithography system and method for storing positional data of a target
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
KR20200043533A (ko) * 2011-09-02 2020-04-27 가부시키가이샤 니콘 공간 광 변조기의 검사 방법 및 장치, 및 노광 방법 및 장치
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) * 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法
CN104903793A (zh) * 2012-10-29 2015-09-09 西北大学 热启动和投影平版印刷系统和方法
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
EP2972589B1 (en) 2013-03-12 2017-05-03 Micronic Mydata AB Mechanically produced alignment fiducial method and alignment system
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
CA2890560C (en) * 2013-10-20 2021-06-01 Mtt Innovation Incorporated Light field projectors and methods
US9646898B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Methods for treating a substrate by optical projection of a correction pattern based on a detected spatial heat signature of the substrate
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927946A1 (en) * 2014-04-04 2015-10-07 Nordson Corporation X-ray inspection apparatus for inspecting semiconductor wafers
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
CA2917585C (en) 2014-05-15 2016-09-27 Mtt Innovation Incorporated Optimizing drive schemes for multiple projector systems
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10799998B2 (en) * 2016-10-17 2020-10-13 Virtek Vision International Ulc Laser projector with flash alignment
AU2017387099B2 (en) * 2016-12-27 2023-02-02 DePuy Synthes Products, Inc. Systems, methods, and devices for providing illumination in an endoscopic imaging environment
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
WO2018168923A1 (ja) * 2017-03-16 2018-09-20 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) * 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) * 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) * 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
DE102019115554A1 (de) * 2019-06-07 2020-12-10 Bystronic Laser Ag Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
WO2022033701A1 (en) 2020-08-14 2022-02-17 Christian Pflaum Method of and device for high-speed recording data on or in a layer (10) of a first material using a laser, a galvanometer and a digital micromirror
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (ru) 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4675702A (en) 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) * 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
DE59105477D1 (de) 1991-10-30 1995-06-14 Fraunhofer Ges Forschung Belichtungsvorrichtung.
DE69226511T2 (de) 1992-03-05 1999-01-28 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
AU5410294A (en) * 1992-11-02 1994-05-24 Etec Systems, Inc. Rasterizer for a pattern generation apparatus
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (en) 1993-09-15 1995-06-14 Texas Instruments Incorporated Image simulation and projection system
US5467146A (en) 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
ATE349024T1 (de) * 1994-08-04 2007-01-15 Texas Instruments Inc Anzeigevorrichtung
US5614990A (en) 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) * 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
EP0914626A4 (en) 1996-07-25 2002-02-20 Anvik Corp MASKLESS AND DISCONTINUOUS LITHOGRAPHIC SYSTEM INCLUDING A LIGHT SPACE MODULATOR
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
US6483641B1 (en) 1997-10-29 2002-11-19 Digital Optical Imaging Corporation Apparatus and methods relating to spatially light modulated microscopy
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Similar Documents

Publication Publication Date Title
RU2000124871A (ru) Усовершенствованный генератор рисунков
KR100451026B1 (ko) 향상된 패턴 발생기
KR101121825B1 (ko) 연속적인 직접-기록 광 리쏘그래피 장치 및 방법
US8144307B2 (en) Image forming method and apparatus
KR100545297B1 (ko) 리소그래피장치 및 디바이스 제조방법
JP2002372790A (ja) 改良型パターン・ジェネレータ
JP2004214625A (ja) リソグラフ用投影装置およびデバイス製造方法
JP4081606B2 (ja) パターン描画装置およびパターン描画方法