JP2010015176A - アドレス・レゾリューションが改善されたパターン・ジェネレータ - Google Patents
アドレス・レゾリューションが改善されたパターン・ジェネレータ Download PDFInfo
- Publication number
- JP2010015176A JP2010015176A JP2009235389A JP2009235389A JP2010015176A JP 2010015176 A JP2010015176 A JP 2010015176A JP 2009235389 A JP2009235389 A JP 2009235389A JP 2009235389 A JP2009235389 A JP 2009235389A JP 2010015176 A JP2010015176 A JP 2010015176A
- Authority
- JP
- Japan
- Prior art keywords
- phase modulation
- pattern
- light
- state
- slm
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70716—Stages
- G03F7/70725—Stages control
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B23—MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
- B23K—SOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
- B23K26/00—Working by laser beam, e.g. welding, cutting or boring
- B23K26/02—Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
- B23K26/03—Observing, e.g. monitoring, the workpiece
- B23K26/032—Observing, e.g. monitoring, the workpiece using optical means
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B23—MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
- B23K—SOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
- B23K26/00—Working by laser beam, e.g. welding, cutting or boring
- B23K26/02—Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
- B23K26/06—Shaping the laser beam, e.g. by masks or multi-focusing
- B23K26/064—Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B23—MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
- B23K—SOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
- B23K26/00—Working by laser beam, e.g. welding, cutting or boring
- B23K26/02—Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
- B23K26/06—Shaping the laser beam, e.g. by masks or multi-focusing
- B23K26/064—Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
- B23K26/0648—Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B41—PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
- B41J—TYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
- B41J2/00—Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
- B41J2/435—Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
- B41J2/465—Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B26/00—Optical devices or arrangements for the control of light using movable or deformable optical elements
- G02B26/08—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
- G02B26/0816—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
- G02B26/0833—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B26/00—Optical devices or arrangements for the control of light using movable or deformable optical elements
- G02B26/08—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
- G02B26/0816—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
- G02B26/0833—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
- G02B26/0841—Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B27/00—Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
- G02B27/0025—Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
- G02B27/0037—Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
- G02B27/0043—Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B27/00—Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
- G02B27/42—Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
- G02B27/4205—Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2022—Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70008—Production of exposure light, i.e. light sources
- G03F7/70041—Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70216—Mask projection systems
- G03F7/70283—Mask effects on the imaging process
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70216—Mask projection systems
- G03F7/70283—Mask effects on the imaging process
- G03F7/70291—Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70383—Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
- G03F7/704—Scanned exposure beam, e.g. raster-, rotary- and vector scanning
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70475—Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
-
- G—PHYSICS
- G09—EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
- G09G—ARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
- G09G3/00—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
- G09G3/20—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
- G09G3/34—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
- G09G3/3433—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
- G09G3/346—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04N—PICTORIAL COMMUNICATION, e.g. TELEVISION
- H04N1/00—Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
- H04N1/04—Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
- H04N1/19—Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
- H04N1/195—Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04N—PICTORIAL COMMUNICATION, e.g. TELEVISION
- H04N5/00—Details of television systems
- H04N5/74—Projection arrangements for image reproduction, e.g. using eidophor
- H04N5/7416—Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
- H04N5/7458—Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
-
- G—PHYSICS
- G09—EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
- G09G—ARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
- G09G3/00—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
- G09G3/20—Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
- G09G3/2007—Display of intermediate tones
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04N—PICTORIAL COMMUNICATION, e.g. TELEVISION
- H04N2201/00—Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
- H04N2201/04—Scanning arrangements
- H04N2201/0402—Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
- H04N2201/0414—Scanning an image in a series of overlapping zones
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Optics & Photonics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Mechanical Engineering (AREA)
- Multimedia (AREA)
- Signal Processing (AREA)
- Computer Hardware Design (AREA)
- Theoretical Computer Science (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Mechanical Light Control Or Optical Switches (AREA)
- Permanent Magnet Type Synchronous Machine (AREA)
- Testing, Inspecting, Measuring Of Stereoscopic Televisions And Televisions (AREA)
- Diaphragms For Electromechanical Transducers (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Control Of Motors That Do Not Use Commutators (AREA)
- Inorganic Insulating Materials (AREA)
- Electron Beam Exposure (AREA)
- Error Detection And Correction (AREA)
- Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
- Medicines That Contain Protein Lipid Enzymes And Other Medicines (AREA)
- Control Of Eletrric Generators (AREA)
- Control Of Electric Motors In General (AREA)
- Optical Elements Other Than Lenses (AREA)
- Image Processing (AREA)
- Lubricants (AREA)
- Details Of Garments (AREA)
- Burglar Alarm Systems (AREA)
- Soft Magnetic Materials (AREA)
- Magnetic Resonance Imaging Apparatus (AREA)
- Synchronisation In Digital Transmission Systems (AREA)
- Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
- Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
- Eye Examination Apparatus (AREA)
- Mirrors, Picture Frames, Photograph Stands, And Related Fastening Devices (AREA)
- Gyroscopes (AREA)
Abstract
【解決手段】本発明に係る装置は、ある種類の画素マップをパターン・フィーチャーの内部に、別の種類の画素マップをフィーチャーの外部に、中間画素マップを境界線上に作成するようにされる。境界線上の中間画素マップが、オン状態とオフ状態との間の中間変調状態を有する位相変調素子の画素を含み、加工品に投影される位相変調空間光変調装置の画素に比べて微細なアドレス・グリッドで境界線上の配置によって作成される。境界線の露光レベルが、オン状態とオフ状態との間の中間変調状態に設定する位相変調素子の能力を使って作られ、中間画素マップに一致する中間変調状態に設定された位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅により、微細なアドレス・グリッド及び前記境界線の露光レベルが作成される。
【選択図】図6
Description
特に、周知の装置を使用して、微細でより精密なアドレス・レゾリューションを達成する場合に問題がある。
従来技術で使用されているような図2(c)に示すクローバー型ミラーは、オン状態とオフ状態の間の中間状態にすることが可能である。しかし、積分複素振幅が偏向関数として作図された場合、完全に0になることは決してなく、0の周りに複数の円を描くことから、位相角が変化する非ゼロの最低反射率を有することが理解される。この状態は、図7の線701によって概略的に示されている。ただし、703は、一定の変形値に対する位置を示し、jは、関連する位相角を示すものとする。中間状態に設定された数個の画素を有する画像を綿密に分析すると、エッジ画素の積分位相角がゼロでない場合、最終的な画像のエッジ位置が、焦点に到るまで安定していないことが明らかである。これは、図4(a)〜(g)に示される反射効果に類似した回折効果によるものである。本発明の好適な実施例では、旋回素子を有する新型の画素を使用している。このような素子に関する複数の例が、図2(e)〜(h)に示されている。各素子が旋回すると、片方の端部が光源方向に移動し、もう片方の端部が別の方向を向くことから、ゼロに近い平均的位相が維持される。この状態は、図7の破線702によって概略的に示されている。さらに、このクローバー型設計には、製造中に残留内部応力が発生するという問題がある。この応力は、電気フィールドを利用しなくても、部分的な変形を発生させる傾向がある。この内部変形は、製造中の不完全性によることから、すべての画素で全く同様に発生するとは限らない。クローバー型設計では、この画素ごとの相違によって、反射率の一次的偏差が生じる。旋回素子により形成された画素セルによっても同様の結果が得られるが、さらに、二次的な効果が発生する。したがって、映像において均一性が向上する。
旋回設計には第3の利点がある。クローバー型は、完全な吸光には至らないが、旋回型セルは、より簡単に、完全な吸光を実現するジオメトリが得られ、あるいは、ゼロを通過して、非ゼロのわずかな反射に戻ることもあり、その場合は逆相になる。吸光が良好に行なわれることによって、重なり合った露光を印刷する自由度が大きくなり、低い負の値702を設計することにより、吸光に近いさらに良好な線形性が実現できる。暗い領域において約5%の弱い露光で逆相にした印刷では、15〜30%の高いエッジ鋭さが得られ、一定のレンズを使用して、より小型のフィーチャーを印刷することができる。これは、半導体業界で利用されている、いわゆる、減衰移相マスクによく似ている。エッジ鋭さを高める関連方法として、フィーチャー内部の画素に低い値を設定し、エッジ付近の画素に高い値を設定する方法がある。これにより、現在のマスクからのパターン投影や、ネルソンおよびクックによる投影使用法では不可能な新型の画像高画質化が実現できる。背景に非ゼロの負の振幅を使用しエッジに沿って露光を強くすることは、エッジ画素を中間値にして微細なアドレス・グリッドを生成することと矛盾しない。これは、それぞれの効果が付加的、あるいは、少なくとも計算可能なことによるものである。また、画素が印刷されるフィーチャーよりも実質的に小さい場合、すべての効果が同時に得られるような画素値の組み合わせがある。これらの画素を検出するためには、微細なアドレス・グリッドを作成するだけでなく、さらに、コンピュータ計算が必要になるが、本発明の一部の利用法においては、より小さいフィーチャーの印刷ができれば、多大な努力に見合うだけの高い値が得られる。
第1の好適な実施例は、2048×512マイクロミラーから成るSLMを使用したフォトマスクの深紫外線パターン・ジェネレータである。光源は、248ナノメートルのパルス出力と、約10nsのパルス長と、500Hzの反復度を有するKrFエキシマ・レーザである。また、SLMは、90%を上回る光を反射するアルミニウム面を有している。SLMは、ビーム・スクランブリング・イルミネータを通じてレーザにより照射され、反射光は、投光用レンズ方向に向けられるとともに、さらに、感光面に向けられる。イルミネータからの入射ビームとレンズへの既存ビームは、半透明のビーム・スプリッタ・ミラーによって分離される。好ましくは、このミラーは偏光選択型であり、イルミネータは偏光を使用し、その偏光方向は、SLMの正面にある1/4波長板によって切り換えられる。高い開口数(NA)でxおよびyに対して対称性を有するためには、画像は、対称的に偏光されなければならず、ビーム・スプリッタと投光用レンズの間にある第2の1/4波長板によって、円形に偏光された画像が生成される。レーザ・パルスのエネルギーによって可能な場合のさらに簡単な構成は、非偏光ビーム・スプリッタを使用することによって実現できる。ビーム・スプリッタの第2の通過後も、1/4波長板は、なお利点を有しているが、それは、該プレートによって、ビーム・スプリット・コーティングの設計が影響を受けにくくなるためである。全体の最も簡単な構成は、SLMにおける斜めの入射を利用して、イルミネータからのビームと投光用レンズに達するビームが、図1に示されるように、幾何学的に離れた状態にしたものである。
第2の好適な実施例において、レーザは、193nmの波長と500Hzパルスの周波数を有するArFエキシマ・レーザである。SLMは、20*20μmの3072×1024画素を有しており、レンズは、0.06μmの投影画素が得られる333Xの縮小変倍率を有している。また、60個の中間値があり、アドレス・グリッドは、1ナノメートルである。ポイント・スプレッド関数は、0.13μmであり、最小ラインは0.2μmである。データ・フローは、1572メガバイト/秒であり、230mm長さの1列のデータは、11.8Gbである。
エキシマ・レーザには2つの不利な特性、つまり、フラッシュ対フラッシュの5%のエネルギー偏差と、フラッシュ対フラッシュの100nsの時間的変動がある。好適な実施例では、いずれも同じ方法によって補償されている。最初の露光部は、90%の倍率で全体のパターンにより形成されている。実際のフラッシュのエネルギーと各フラッシュの時間位置が記録される。第2の露光部は、公称10%の露光によって形成され、アナログ変調により、第1露光の実際の値しだいでは、第2の露光を5〜15%にする。同様に、第2の露光において意図的に時間を相殺することにより、第1の露光の時間的変動が補正される。第2の露光は、第1の露光で生じた誤差を完全に補償できるが、それ自体が同じ種類の新たな誤差を発生する。露光全体の平均がわずか10%であることから、両者の誤差は、10だけ事実上減少する。実際に、レーザは、100nsよりもはるかに大きい時間的な不確定性を有している。この不確定性は、光のパルスが、トリガ・パルスからの遅延にしたがって発生し、この遅延が、時折、数マイクロ秒分、変化することによるものである。短時間の間に、遅延はより安定することから、継続的に遅延を測定し、好ましくはフィルタリングした最終遅延値を使用して、次のパルス遅延を予測するとともに、トリガ・パルスの位置付けを行なう。
エキシマ・レーザは、レーザの波長と種類に依存した500〜1000Hzの限定的なパルス繰返し周波数(prf)を有している。そのため、xおよびyの両方において、エッジをステッチングした大型のフィールドが使用されている。他の2つの好適な実施例では、SLMがprfがはるかに高いパルス・レーザ、例えば、Qスイッチ・アップコンバート固体レーザや、SLMの表面上で走査された連続レーザ源から照射されることにより、SLMのある部分が新規データで書き換えられる一方で、別の部分が印刷される。どちらの場合も、レーザのコヒーレンス特性がエキシマ・レーザとは異なっており、例えば、異なる光路長を有する複数の平行な光路などの、より大規模なビーム・スクランブリングおよびコヒーレンス制御が必要である。本発明の一部の実施例では、フラッシュ・ランプからの光の出力が充分であり、光源として使用可能である。その利点として、低コストであり、コヒーレンス特性が優れていることがあげられる。
一部の実施例では、光源としてのフラッシュ・ランプを使用することができ、便利な方法である。
EUVの光源は、粒子加速装置、磁気プラズマ・ピンチ・マシンからの放射、または、高電力レーザ・パルスを伴う極端な温度に若干重要な加熱によるものである。いずれの場合も、放射はパルス振動している。EUV放射は、真空のみで伝搬し、反射レンズでしか焦点を合わせることができない。SLMを使用する代表的なパターン・ジェネレータは、光パワーのさほど高くない要件である、小さい露光フィールドを有している。したがって、光学システムの設計は、EUVステッパに比べて緩やかであることから、より多くのミラーを使用でき、ステッパよりも高い開口数(NA)を実現できる。開口数(NA)が高いレンズは、リング形露光フィールドを有することが予想され、SLMの形状をそのようなフィールドに合わせて作製することが充分に可能である。13nmの波長と0.25の開口数(NA)により、わずか25nm幅のラインを露光することが可能であり、さらに、前記の通り、画像の高画質化を利用すれば、20nmを下回ることも可能である。このような解像度を実現できる周知の書込み技術は他にはなく、同時に、SLMの同様の特徴によって可能な書込み速度を実現できる技術も他にはない。
各フラッシュごとに、2次元フィールドが印刷されるとともに、各フィールドのエッジとエッジをつなぎ合わせることから、ステッチングは極めて重要である。わずか数ナノメートルの1フィールドを置き換えることにより、エッジに沿って目に見えるパターン誤差が発生し、マスクによって生成される電子回路の機能に悪影響を及ぼす可能性がある。このような不必要なステッチングの影響を減少させる効果的な方法として、数本の経路に同じパターンを印刷し、このような経路間にあるステッチング境界を置き換える方法があげられる。パターンが4回印刷された場合、ステッチング誤差が4箇所で発生することが予想されるが、その規模のわずか四分の一にすぎない。本発明の好適な実施例では、フィールド間のオーバラップ・バンドとともに、中間露光を発生する機能が使用される。ラスタライゼーションを実行している間、上記の値がコンピュータ計算されるが、圧縮データを解凍している間でもこの計算は実行できる。エッジ・オーバラップにより、ステッチング誤差が減少し、マルチパス印刷に比べてスループットのマイナス点がはるかに減少する。
第1の好適な実施例において、SLMの照射は、エキシマ・レーザや、フライアイ・レンズなどの光スクランブラーによって行なわれ、イルミネータのひとみ面の円形自発光面からの照射とよく似た照射が生成される。ある特定の投射系による印刷時に解像度を高める場合、修正照射法を利用することができる。最も簡単な例では、イルミネータのひとみ面に、例えば、四重極形または環状の透過領域を有するひとみフィルタを導入する方法がある。さらに複雑な例では、同じフィールドを数回印刷する方法がある。露光と露光の間で複数のパラメタを変化させることが可能であり、例えば、画像面の焦点、照射パターン、SLMに使用するデータ、投影レンズのひとみ面のひとみフィルタなどが使用できる。特に、照射の同期をとった変化やひとみフィルタによって、解像度を高めることができ、このことは、ひとみが扇形透過領域を有しているとともに、非回折光が該扇形の先端付近の吸収パッチをさえぎるように照射が一直線に並んでいる場合に、特に顕著である。
データからエッジまでの伝達関数の線形化を図るうえで、本書で行なう配置には、基本的に次の3つの方法がある。
‐データ変換装置において非線形性を考慮し、データ変換装置に8ビット(例)の画素値を生成し、同じ解像度を有するDACを使用してSLMを駆動する。この状態は、図8(a)に概略的に示されている。ただし、Rは、リレー信号であり、Cは、SLMの各マトリクスに設けられているコンデンサである。また、SLMは、破線で示されている。
‐より少ない値(例えば、5ビット、すなわち、最高32個の値)でデジタル値を生成し、ルックアップ・テーブル(LUT)の8ビット値に変換した後に、この8ビット値をDACに供給する。
‐5ビット値と半導体スイッチを使用して、1台または数台の高解像度DACにより生成されたDC電圧を選択する。この状態は、図8(b)に概略的に示されている。
図6について説明する。パターン・ジェネレータは、単数および複数の値を持つ画素アドレス指定方式によるSLM601と、光源602と、照射ビーム・スクランブル装置603と、結像光学システム604と、干渉計位置制御システム606を備えた微細位置付け基板ステージ605と、SLM用ハードウェアおよびソフトウェア・データ処理システム607とから構成されている。また、さらに、適正な機能を提供し操作を簡易化するために、前記パターン・ジェネレータは、温度制御を備えた周囲環境チャンバ、基板荷重システム、最適なパターン配置確度を実現するためのステージ移動および露光レーザ・トリガーのタイミングをとるためのソフトウェア、およびソフトウェア・ユーザ・インタフェースも具備している。
Claims (9)
- 位置付けステージ上の加工品にパターンを作成する装置において、該装置が、
超紫外線から赤外線までのエネルギ範囲にある電磁波を放射する源と、
前記電磁波によって照射される、多重の位相変調素子を有する位相変調空間光変調装置と、
前記加工品に前記位相変調空間光変調装置の画像を生成する投射系であって、ひとみを有する投射レンズを含む投射系と、
書き込まれるべき前記パターンのデジタル表現を受信して、デジタル・パターン表現から連続する部分的パターンを抽出し、前記パターンを変調装置用駆動信号に変換し、前記変調装置用駆動信号を前記空間光変調装置に送信する電子データ処理及び伝送システムと、
前記加工品および/または前記投射系を相互に移動させる高精度機械システムと、
前記加工品の移動、および前記変調装置用駆動信号の前記空間光変調装置への送信を制御する電子制御システムと
を有し、
前記位相変調素子がオン状態のとき、前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅は、前記位相変調素子の微小領域素子から反射された光の複素振幅を加えることにより、前記投射レンズのひとみの中心に到達する光が最大になり、
前記位相変調素子がオフ状態のとき、前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅は、弱め合う干渉により、前記投射レンズのひとみの中心に到達する光が最小になり、
前記変調装置用駆動信号および前記位相変調素子が、2よりも多い数の変調状態を生成するようにされ、前記電子データ処理及び伝送システムが、ある種類の画素マップをパターン・フィーチャーの内部に、別の種類の画素マップを前記フィーチャーの外部に、中間画素マップを境界線上に作成するようにされ、
境界線上の前記中間画素マップが、前記オン状態とオフ状態との間の中間変調状態を有する位相変調素子の画素を含み、前記加工品に投影される前記位相変調空間光変調装置の画素に比べて微細なアドレス・グリッドで前記境界線上の配置によって作成され、
前記境界線の露光レベルが、前記オン状態とオフ状態との間の中間変調状態に設定する前記位相変調素子の能力を使って作られ、
前記中間画素マップに一致する中間変調状態に設定された前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅により、微細なアドレス・グリッド及び前記境界線の露光レベルが作成されることを特徴とする装置。 - 前記位相変調空間光変調装置および前記投射系が、ある露光レベルをフィーチャー内に作成し、別の露光レベルをフィーチャー間に作成し、中間露光レベルを前記境界線上に作成することを特徴とする、請求項1に記載の装置。
- 前記変調装置用駆動信号からの前記境界線の実際の配置までの応答関数が特徴づけられた後、補正が施されることを特徴とする、請求項1または請求項2に記載の装置。
- 前記変調装置用駆動信号から前記境界線の実際の配置までの前記応答が実証的に測定されるとともに、校正関数がコンピュータで計算され、かつ前記電子データ処理及び伝送システムに記憶されることを特徴とする、請求項1から請求項3までのいずれか1項に記載の装置。
- 露光フィールドを前記位相変調空間光変調装置の座標系に対して平行でない方向に沿って繋ぎ合わせるように、ステージと前記位相変調空間光変調装置が適合されていることを特徴とする、請求項1から請求項4までのいずれか1項に記載の装置。
- 露光フィールドを前記位相変調空間光変調装置の座標系に対して45度の方向に沿って繋ぎ合わせるように、前記ステージと前記位相変調空間光変調装置が適合されていることを特徴とする、請求項1から請求項5までのいずれか1項に記載の装置。
- 前記ステージが、前記位相変調空間光変調装置の前記座標系に対して45度の方向に連続した動きを形成することを特徴とする、請求項6に記載の装置。
- 少なくとも2回の露光を重ね合わせることにより、前記アドレス・グリッドをさらに精緻にすることを特徴とする、請求項1から請求項7までのいずれか1項に記載の装置。
- 加工品にアドレス・レゾリューションの改善されたパターンを作成する方法において、該方法が、
書き込まれるべきパターンのデジタル表現を受け取る段階と、
連続する部分的パターンをデジタル・パターン表現から抽出する段階と、
前記パターンを変調装置用駆動信号に変換する段階と、
前記変調装置用駆動信号を位相変調空間光変調装置に供給する段階と、
超紫外線から赤外線までの波長の光を放射する段階と、
多数の位相変調素子を有する前記位相変調空間光変調装置によって、前記放射された光を変調する段階と、
前記加工品の動作及び前記位相変調空間光変調装置への信号の供給を調整することにより、前記加工品に画像を投影する段階と
を含み、
前記位相変調素子がオン状態のとき、前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅は、前記位相変調素子の微小領域素子から反射された光の複素振幅を加えることにより、前記投射レンズのひとみの中心に到達する光が最大になり、
前記位相変調素子がオフ状態のとき、前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅は、弱め合う干渉により、前記投射レンズのひとみの中心に到達する光が最小になり、
前記変調装置用駆動信号および前記位相変調素子が、2よりも多い数の変調状態を生成するようにされ、前記電子データ処理が、ある種類の画素マップをパターン・フィーチャーの内部に、別の種類の画素マップを前記フィーチャーの外部に、中間画素マップを境界線上に作成するようにされており、
境界線上の前記中間画素マップが、前記加工品に投影される前記位相変調空間光変調装置の画素に比べて微細なアドレス・グリッドで前記境界線上の配置によって作成され、
前記境界線の露光レベルが、前記オン状態とオフ状態との間の中間変調状態に設定する前記位相変調素子の能力を使って作られ、
前記中間画素マップに一致する中間変調状態に設定された前記位相変調素子の微小領域素子から反射された光の複素振幅を一体化した光の複素振幅により、微細なアドレス・グリッド及び前記境界線の露光レベルが作成されることを特徴とするパターンを作成する方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
SE9800665A SE9800665D0 (sv) | 1998-03-02 | 1998-03-02 | Improved method for projection printing using a micromirror SLM |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000534920A Division JP2002506235A (ja) | 1998-03-02 | 1999-03-02 | アドレス・レゾリューションが改善されたパターン・ジェネレータ |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2010015176A true JP2010015176A (ja) | 2010-01-21 |
Family
ID=20410382
Family Applications (12)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000534917A Pending JP2002506232A (ja) | 1998-03-02 | 1999-03-02 | Euvを使用するパターン・ジェネレータ |
JP2000534916A Pending JP2002506231A (ja) | 1998-03-02 | 1999-03-02 | ステッチング誤差防止用改良型パターン・ジェネレータ |
JP2000534915A Pending JP2002506230A (ja) | 1998-03-02 | 1999-03-02 | 精度改良型パターン・ジェネレータ |
JP2000534919A Withdrawn JP2002506234A (ja) | 1998-03-02 | 1999-03-02 | 改良型パターン・ジェネレータ |
JP2000534921A Pending JP2002506236A (ja) | 1998-03-02 | 1999-03-02 | 変調装置の設計を改良したパターン・ジェネレータ |
JP2000534920A Pending JP2002506235A (ja) | 1998-03-02 | 1999-03-02 | アドレス・レゾリューションが改善されたパターン・ジェネレータ |
JP2000534918A Pending JP2002506233A (ja) | 1998-03-02 | 1999-03-02 | 高画質パターン作成方法 |
JP2005269967A Pending JP2006080539A (ja) | 1998-03-02 | 2005-09-16 | 改良型パターン・ジェネレータ |
JP2008237405A Pending JP2009033190A (ja) | 1998-03-02 | 2008-09-17 | ステッチング誤差防止用改良型パターン・ジェネレータ |
JP2009235389A Pending JP2010015176A (ja) | 1998-03-02 | 2009-10-09 | アドレス・レゾリューションが改善されたパターン・ジェネレータ |
JP2009235543A Pending JP2010016404A (ja) | 1998-03-02 | 2009-10-09 | ステッチング誤差防止用改良型パターン・ジェネレータ |
JP2010137427A Pending JP2010267978A (ja) | 1998-03-02 | 2010-06-16 | 改良型パターン・ジェネレータ |
Family Applications Before (9)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000534917A Pending JP2002506232A (ja) | 1998-03-02 | 1999-03-02 | Euvを使用するパターン・ジェネレータ |
JP2000534916A Pending JP2002506231A (ja) | 1998-03-02 | 1999-03-02 | ステッチング誤差防止用改良型パターン・ジェネレータ |
JP2000534915A Pending JP2002506230A (ja) | 1998-03-02 | 1999-03-02 | 精度改良型パターン・ジェネレータ |
JP2000534919A Withdrawn JP2002506234A (ja) | 1998-03-02 | 1999-03-02 | 改良型パターン・ジェネレータ |
JP2000534921A Pending JP2002506236A (ja) | 1998-03-02 | 1999-03-02 | 変調装置の設計を改良したパターン・ジェネレータ |
JP2000534920A Pending JP2002506235A (ja) | 1998-03-02 | 1999-03-02 | アドレス・レゾリューションが改善されたパターン・ジェネレータ |
JP2000534918A Pending JP2002506233A (ja) | 1998-03-02 | 1999-03-02 | 高画質パターン作成方法 |
JP2005269967A Pending JP2006080539A (ja) | 1998-03-02 | 2005-09-16 | 改良型パターン・ジェネレータ |
JP2008237405A Pending JP2009033190A (ja) | 1998-03-02 | 2008-09-17 | ステッチング誤差防止用改良型パターン・ジェネレータ |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009235543A Pending JP2010016404A (ja) | 1998-03-02 | 2009-10-09 | ステッチング誤差防止用改良型パターン・ジェネレータ |
JP2010137427A Pending JP2010267978A (ja) | 1998-03-02 | 2010-06-16 | 改良型パターン・ジェネレータ |
Country Status (12)
Country | Link |
---|---|
US (16) | US6687041B1 (ja) |
EP (6) | EP1600817B1 (ja) |
JP (12) | JP2002506232A (ja) |
KR (2) | KR100451026B1 (ja) |
CN (3) | CN1550902A (ja) |
AT (5) | ATE309557T1 (ja) |
AU (7) | AU3284299A (ja) |
DE (6) | DE69938895D1 (ja) |
ES (1) | ES2357473T3 (ja) |
RU (2) | RU2232411C2 (ja) |
SE (1) | SE9800665D0 (ja) |
WO (7) | WO1999045435A1 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011093412A1 (ja) | 2010-01-27 | 2011-08-04 | 東洋インキScホールディングス株式会社 | インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物 |
JP2013012639A (ja) * | 2011-06-30 | 2013-01-17 | Dainippon Screen Mfg Co Ltd | パターン描画装置およびパターン描画方法 |
Families Citing this family (513)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
ES2335452T3 (es) * | 1997-04-14 | 2010-03-26 | Huntsman Advanced Materials (Switzerland) Gmbh | Unidad de ilumunacion y procedimiento para la iluminacion puntual de un medio. |
SE9800665D0 (sv) | 1998-03-02 | 1998-03-02 | Micronic Laser Systems Ab | Improved method for projection printing using a micromirror SLM |
US6816302B2 (en) * | 1998-03-02 | 2004-11-09 | Micronic Laser Systems Ab | Pattern generator |
US6727980B2 (en) * | 1998-09-17 | 2004-04-27 | Nikon Corporation | Apparatus and method for pattern exposure and method for adjusting the apparatus |
US6181210B1 (en) * | 1998-09-21 | 2001-01-30 | Broadcom Corporation | Low offset and low glitch energy charge pump for PLL-based timing recovery systems |
US7328425B2 (en) * | 1999-05-20 | 2008-02-05 | Micronic Laser Systems Ab | Method and device for correcting SLM stamp image imperfections |
GB2344899B (en) * | 1999-05-29 | 2000-11-22 | Bookham Technology Ltd | Production of an integrated optical device |
SE516914C2 (sv) * | 1999-09-09 | 2002-03-19 | Micronic Laser Systems Ab | Metoder och rastrerare för högpresterande mönstergenerering |
EP1152263A4 (en) * | 1999-09-30 | 2003-08-20 | Nikon Corp | OPTICAL DEVICE WITH THIN MULTI-LAYER SYSTEM AND THEIR USE FOR ALIGNMENT |
SE522531C2 (sv) * | 1999-11-24 | 2004-02-17 | Micronic Laser Systems Ab | Metod och anordning för märkning av halvledare |
TW508653B (en) * | 2000-03-24 | 2002-11-01 | Asml Netherlands Bv | Lithographic projection apparatus and integrated circuit manufacturing method |
SE517550C2 (sv) * | 2000-04-17 | 2002-06-18 | Micronic Laser Systems Ab | Mönstergenereringssystem användande en spatialljusmodulator |
US6552740B1 (en) * | 2000-08-01 | 2003-04-22 | Eastman Kodak Company | Method and apparatus for printing monochromatic imaging using a spatial light modulator |
US6645677B1 (en) | 2000-09-18 | 2003-11-11 | Micronic Laser Systems Ab | Dual layer reticle blank and manufacturing process |
US6580490B1 (en) * | 2000-10-30 | 2003-06-17 | Eastman Kodak Company | Method and apparatus for printing images in multiple formats using a spatial light modulator |
US6690499B1 (en) * | 2000-11-22 | 2004-02-10 | Displaytech, Inc. | Multi-state light modulator with non-zero response time and linear gray scale |
USRE43841E1 (en) * | 2000-12-14 | 2012-12-04 | F. Poszat Hu, Llc | Printing by active tiling |
US6653026B2 (en) * | 2000-12-20 | 2003-11-25 | Numerical Technologies, Inc. | Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask |
US20020122237A1 (en) * | 2001-03-01 | 2002-09-05 | Torbjorn Sandstrom | Method and apparatus for spatial light modulation |
JP4495898B2 (ja) * | 2001-04-04 | 2010-07-07 | マイクロニック レーザー システムズ アクチボラゲット | 改良型パターン・ジェネレータ |
US7843632B2 (en) * | 2006-08-16 | 2010-11-30 | Cymer, Inc. | EUV optics |
US6753947B2 (en) * | 2001-05-10 | 2004-06-22 | Ultratech Stepper, Inc. | Lithography system and method for device manufacture |
GB0114862D0 (en) | 2001-06-19 | 2001-08-08 | Secr Defence | Image replication system |
US7095484B1 (en) * | 2001-06-27 | 2006-08-22 | University Of South Florida | Method and apparatus for maskless photolithography |
JP5144863B2 (ja) * | 2001-06-29 | 2013-02-13 | 株式会社オーク製作所 | 多重露光描画方法及び多重露光描画装置 |
JP4273291B2 (ja) * | 2001-08-17 | 2009-06-03 | 株式会社オーク製作所 | 多重露光描画装置および多重露光描画方法 |
JP4324645B2 (ja) * | 2001-08-21 | 2009-09-02 | 株式会社オーク製作所 | 多重露光描画装置および多重露光描画方法 |
JP2003066366A (ja) * | 2001-08-22 | 2003-03-05 | Fuji Photo Film Co Ltd | 照明光学系及びこれを用いた画像表示装置、画像露光装置 |
US6784975B2 (en) * | 2001-08-30 | 2004-08-31 | Micron Technology, Inc. | Method and apparatus for irradiating a microlithographic substrate |
US6794100B2 (en) | 2001-08-30 | 2004-09-21 | Micron Technology, Inc. | Method for controlling radiation beam intensity directed to microlithographic substrates |
US6819490B2 (en) | 2001-09-10 | 2004-11-16 | Micronic Laser Systems Ab | Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece |
US7302111B2 (en) * | 2001-09-12 | 2007-11-27 | Micronic Laser Systems A.B. | Graphics engine for high precision lithography |
KR20040047816A (ko) * | 2001-09-12 | 2004-06-05 | 마이크로닉 레이저 시스템즈 에이비 | 공간광변조기를 이용한 개선된 방법 및 장치 |
JP3881865B2 (ja) | 2001-10-19 | 2007-02-14 | 株式会社 液晶先端技術開発センター | 光学的な記録装置及び方法並びに露光装置及び方法 |
CN101446773A (zh) | 2001-11-07 | 2009-06-03 | 应用材料有限公司 | 无掩膜光子电子点格栅阵列光刻机 |
KR20050044371A (ko) * | 2001-11-07 | 2005-05-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 광학 스폿 그리드 어레이 프린터 |
US6618185B2 (en) * | 2001-11-28 | 2003-09-09 | Micronic Laser Systems Ab | Defective pixel compensation method |
US6903859B2 (en) | 2001-12-07 | 2005-06-07 | Micronic Laser Systems Ab | Homogenizer |
US6950194B2 (en) * | 2001-12-07 | 2005-09-27 | Micronic Laser Systems Ab | Alignment sensor |
GB2383140A (en) * | 2001-12-13 | 2003-06-18 | Zarlink Semiconductor Ltd | Exposure positioning in photolithography |
WO2004095110A1 (en) * | 2003-04-24 | 2004-11-04 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Exposure control |
SE0104238D0 (sv) * | 2001-12-14 | 2001-12-14 | Micronic Laser Systems Ab | Method and apparatus for patterning a workpiece |
US7106490B2 (en) * | 2001-12-14 | 2006-09-12 | Micronic Laser Systems Ab | Methods and systems for improved boundary contrast |
US20030233630A1 (en) * | 2001-12-14 | 2003-12-18 | Torbjorn Sandstrom | Methods and systems for process control of corner feature embellishment |
US6665110B2 (en) * | 2001-12-31 | 2003-12-16 | Texas Instruments Incorporated | Diagonal to rectangular pixel mapping for spatial light modulator |
US7159197B2 (en) * | 2001-12-31 | 2007-01-02 | Synopsys, Inc. | Shape-based geometry engine to perform smoothing and other layout beautification operations |
US6873401B2 (en) * | 2002-01-24 | 2005-03-29 | Intel Corporation | Reflective liquid crystal display lithography system |
SG106121A1 (en) * | 2002-02-06 | 2004-09-30 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
SE0200547D0 (sv) * | 2002-02-25 | 2002-02-25 | Micronic Laser Systems Ab | An image forming method and apparatus |
US6590695B1 (en) * | 2002-02-26 | 2003-07-08 | Eastman Kodak Company | Micro-mechanical polarization-based modulator |
SE0200864D0 (sv) * | 2002-03-21 | 2002-03-21 | Micronic Laser Systems Ab | Method and apparatus for printing large data flows |
US7167185B1 (en) | 2002-03-22 | 2007-01-23 | Kla- Tencor Technologies Corporation | Visualization of photomask databases |
US6976426B2 (en) * | 2002-04-09 | 2005-12-20 | Day International, Inc. | Image replication element and method and system for producing the same |
US6707534B2 (en) * | 2002-05-10 | 2004-03-16 | Anvik Corporation | Maskless conformable lithography |
US6728023B1 (en) * | 2002-05-28 | 2004-04-27 | Silicon Light Machines | Optical device arrays with optimized image resolution |
US7023528B2 (en) * | 2002-06-10 | 2006-04-04 | International Business Machines Corporation | Hybrid electronic mask |
AUPS328402A0 (en) * | 2002-06-28 | 2002-07-18 | Australian Photonics Pty Limited | Writing of photo-induced structures |
JP2005533283A (ja) * | 2002-07-12 | 2005-11-04 | ケイデンス デザイン システムズ インコーポレイテッド | コンテクスト特定のマスク書込のための方法及びシステム |
JP2006502422A (ja) * | 2002-07-12 | 2006-01-19 | ケイデンス デザイン システムズ インコーポレイテッド | コンテクスト特定型のマスク検査のための方法及びシステム |
US7302672B2 (en) * | 2002-07-12 | 2007-11-27 | Cadence Design Systems, Inc. | Method and system for context-specific mask writing |
WO2004017069A1 (ja) * | 2002-08-16 | 2004-02-26 | Kabushiki Kaisha Hayashi Soken | バイオチップ分析装置およびオンライン分析システム |
US6818910B2 (en) * | 2002-08-23 | 2004-11-16 | Micron Technology, Inc. | Writing methodology to reduce write time, and system for performing same |
KR101087862B1 (ko) * | 2002-08-24 | 2011-11-30 | 매스크리스 리소그래피 인코퍼레이티드 | 연속적인 직접-기록 광 리쏘그래피 장치 및 방법 |
EP2302457B1 (en) | 2002-10-25 | 2016-03-30 | Mapper Lithography Ip B.V. | Lithography system |
US7098468B2 (en) * | 2002-11-07 | 2006-08-29 | Applied Materials, Inc. | Raster frame beam system for electron beam lithography |
JP2004200221A (ja) * | 2002-12-16 | 2004-07-15 | Toray Eng Co Ltd | レーザマーキング方法及び装置 |
US7171068B2 (en) * | 2002-12-20 | 2007-01-30 | Texas Instruments Incorporated | Method to improve an extinction ratio of an optical device |
EP1583946B1 (en) * | 2003-01-15 | 2006-11-08 | Micronic Laser Systems Ab | A method to detect a defective pixel |
SE0300138D0 (sv) * | 2003-01-22 | 2003-01-22 | Micronic Laser Systems Ab | Electromagnetic radiation pulse timing control |
US6906848B2 (en) * | 2003-02-24 | 2005-06-14 | Exajoule, Llc | Micromirror systems with concealed multi-piece hinge structures |
SE0300516D0 (sv) * | 2003-02-28 | 2003-02-28 | Micronic Laser Systems Ab | SLM direct writer |
US20060104413A1 (en) * | 2003-03-05 | 2006-05-18 | Tadahiro Ohmi | Mask repeater and mask manufacturing method |
EP1662552A1 (en) * | 2003-04-11 | 2006-05-31 | Ball Semiconductor Inc. | Pattern plotting device and pattern plotting method |
JP4314054B2 (ja) * | 2003-04-15 | 2009-08-12 | キヤノン株式会社 | 露光装置及びデバイスの製造方法 |
US6956692B2 (en) * | 2003-04-24 | 2005-10-18 | Micronic Laser Systems, Ab | Method and apparatus for controlling exposure of a surface of a substrate |
JP2004341160A (ja) * | 2003-05-15 | 2004-12-02 | Seiko Epson Corp | 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム |
US7063920B2 (en) * | 2003-05-16 | 2006-06-20 | Asml Holding, N.V. | Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems |
TWI304522B (en) * | 2003-05-28 | 2008-12-21 | Asml Netherlands Bv | Lithographic apparatus, method of calibrating and device manufacturing method |
US6989920B2 (en) | 2003-05-29 | 2006-01-24 | Asml Holding N.V. | System and method for dose control in a lithographic system |
US7061591B2 (en) * | 2003-05-30 | 2006-06-13 | Asml Holding N.V. | Maskless lithography systems and methods utilizing spatial light modulator arrays |
US20040239901A1 (en) * | 2003-05-29 | 2004-12-02 | Asml Holding N.V. | System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system |
EP1482373A1 (en) * | 2003-05-30 | 2004-12-01 | ASML Netherlands B.V. | Lithographic apparatus and device manufacturing method |
JP4486323B2 (ja) * | 2003-06-10 | 2010-06-23 | 富士フイルム株式会社 | 画素位置特定方法、画像ずれ補正方法、および画像形成装置 |
WO2004111701A1 (en) * | 2003-06-12 | 2004-12-23 | Micronic Laser Systems Ab | Method for high precision printing of patterns |
US6833854B1 (en) * | 2003-06-12 | 2004-12-21 | Micronic Laser Systems Ab | Method for high precision printing of patterns |
SG118283A1 (en) * | 2003-06-20 | 2006-01-27 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
EP1489449A1 (en) * | 2003-06-20 | 2004-12-22 | ASML Netherlands B.V. | Spatial light modulator |
SG110099A1 (en) * | 2003-06-24 | 2005-04-28 | Asml Holding Nv | Projection optical system for maskless lithography |
US7110082B2 (en) * | 2003-06-24 | 2006-09-19 | Asml Holding N.V. | Optical system for maskless lithography |
EP1491966A1 (en) * | 2003-06-26 | 2004-12-29 | ASML Netherlands B.V. | Calibration method for a lithographic apparatus |
SG119224A1 (en) * | 2003-06-26 | 2006-02-28 | Asml Netherlands Bv | Calibration method for a lithographic apparatus and device manufacturing method |
US7158215B2 (en) * | 2003-06-30 | 2007-01-02 | Asml Holding N.V. | Large field of view protection optical system with aberration correctability for flat panel displays |
US7154587B2 (en) * | 2003-06-30 | 2006-12-26 | Asml Netherlands B.V | Spatial light modulator, lithographic apparatus and device manufacturing method |
US7024638B2 (en) * | 2003-07-14 | 2006-04-04 | Cadence Design Systems, Inc. | Method for creating patterns for producing integrated circuits |
US7224504B2 (en) | 2003-07-30 | 2007-05-29 | Asml Holding N. V. | Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use |
US6831768B1 (en) | 2003-07-31 | 2004-12-14 | Asml Holding N.V. | Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography |
US7186486B2 (en) * | 2003-08-04 | 2007-03-06 | Micronic Laser Systems Ab | Method to pattern a substrate |
KR101080545B1 (ko) * | 2003-08-04 | 2011-11-04 | 마이크로닉 레이저 시스템즈 에이비 | 공간 광 변조기 정렬 방법 |
US7079306B2 (en) * | 2003-08-22 | 2006-07-18 | Plex Llc | Optically addressed extreme ultraviolet modulator and lithography system incorporating modulator |
US7315294B2 (en) * | 2003-08-25 | 2008-01-01 | Texas Instruments Incorporated | Deinterleaving transpose circuits in digital display systems |
US6972843B2 (en) * | 2003-08-25 | 2005-12-06 | Intel Corporation | Lithography alignment |
WO2005022263A2 (en) * | 2003-08-27 | 2005-03-10 | Koninklijke Philips Electronics N.V. | Control circuit and method for forming optical images |
US7714983B2 (en) * | 2003-09-12 | 2010-05-11 | Carl Zeiss Smt Ag | Illumination system for a microlithography projection exposure installation |
DE10343333A1 (de) * | 2003-09-12 | 2005-04-14 | Carl Zeiss Smt Ag | Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage |
JP2007506136A (ja) * | 2003-09-22 | 2007-03-15 | オーボテック リミテッド | カラーフィルタの直接描画システム及び直接描画方法 |
US7414701B2 (en) * | 2003-10-03 | 2008-08-19 | Asml Holding N.V. | Method and systems for total focus deviation adjustments on maskless lithography systems |
SG110196A1 (en) * | 2003-09-22 | 2005-04-28 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US7023526B2 (en) | 2003-09-30 | 2006-04-04 | Asml Holding N.V. | Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation |
US6876440B1 (en) | 2003-09-30 | 2005-04-05 | Asml Holding N.V. | Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region |
US7410736B2 (en) * | 2003-09-30 | 2008-08-12 | Asml Holding N.V. | Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones |
US7003758B2 (en) | 2003-10-07 | 2006-02-21 | Brion Technologies, Inc. | System and method for lithography simulation |
CN101419411B (zh) * | 2003-10-07 | 2013-06-19 | Asml荷兰有限公司 | 用于平板印刷仿真的系统和方法 |
US7109498B2 (en) * | 2003-10-09 | 2006-09-19 | Asml Netherlands B.V. | Radiation source, lithographic apparatus, and device manufacturing method |
US6894765B2 (en) * | 2003-10-14 | 2005-05-17 | Micron Technology, Inc. | Methods and systems for controlling radiation beam characteristics for microlithographic processing |
US8157389B2 (en) * | 2003-11-01 | 2012-04-17 | Silicon Quest Kabushiki-Kaisha | Synchronous control system for light source and spatial light modulator employed in projection apparatus |
DE10352040A1 (de) * | 2003-11-07 | 2005-07-21 | Carl Zeiss Sms Gmbh | In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope |
US7196772B2 (en) * | 2003-11-07 | 2007-03-27 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7116398B2 (en) * | 2003-11-07 | 2006-10-03 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7842926B2 (en) | 2003-11-12 | 2010-11-30 | Micronic Laser Systems Ab | Method and device for correcting SLM stamp image imperfections |
JP2007517239A (ja) | 2003-11-12 | 2007-06-28 | マイクロニック レーザー システムズ アクチボラゲット | Slmスタンプ像の欠陥を修正する方法及び装置 |
US7001232B2 (en) * | 2003-12-11 | 2006-02-21 | Montgomery Robert E | Personal watercraft air intake assembly |
KR100797433B1 (ko) * | 2003-12-11 | 2008-01-23 | 마이크로닉 레이저 시스템즈 에이비 | 워크피스를 패터닝하기 위한 방법과 장치 및 그 제조 방법 |
US6995830B2 (en) * | 2003-12-22 | 2006-02-07 | Asml Netherlands B.V. | Lithographic projection apparatus and device manufacturing method |
US7184184B2 (en) * | 2003-12-31 | 2007-02-27 | Reliant Technologies, Inc. | High speed, high efficiency optical pattern generator using rotating optical elements |
US7012674B2 (en) * | 2004-01-13 | 2006-03-14 | Asml Holding N.V. | Maskless optical writer |
US6847461B1 (en) * | 2004-01-29 | 2005-01-25 | Asml Holding N.V. | System and method for calibrating a spatial light modulator array using shearing interferometry |
US7580559B2 (en) * | 2004-01-29 | 2009-08-25 | Asml Holding N.V. | System and method for calibrating a spatial light modulator |
JP4083751B2 (ja) * | 2004-01-29 | 2008-04-30 | エーエスエムエル ホールディング エヌ.ブイ. | 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法 |
TWI412067B (zh) * | 2004-02-06 | 2013-10-11 | 尼康股份有限公司 | 偏光變換元件、光學照明裝置、曝光裝置以及曝光方法 |
US8537204B2 (en) * | 2004-07-08 | 2013-09-17 | Gyoung Il Cho | 3D television broadcasting system |
US7474454B2 (en) * | 2004-06-18 | 2009-01-06 | Angstrom, Inc. | Programmable micromirror motion control system |
US7580178B2 (en) * | 2004-02-13 | 2009-08-25 | Angstrom, Inc. | Image-guided microsurgery system and method |
US7382516B2 (en) * | 2004-06-18 | 2008-06-03 | Angstrom, Inc. | Discretely controlled micromirror with multi-level positions |
US7330297B2 (en) * | 2005-03-04 | 2008-02-12 | Angstrom, Inc | Fine control of rotation and translation of discretely controlled micromirror |
US7898144B2 (en) * | 2006-02-04 | 2011-03-01 | Angstrom, Inc. | Multi-step microactuator providing multi-step displacement to a controlled object |
US7751694B2 (en) * | 2004-02-13 | 2010-07-06 | Angstrom, Inc. | Three-dimensional endoscope imaging and display system |
US7350922B2 (en) * | 2004-02-13 | 2008-04-01 | Angstrom, Inc. | Three-dimensional display using variable focal length micromirror array lens |
US7333260B2 (en) * | 2004-08-09 | 2008-02-19 | Stereo Display, Inc. | Two-dimensional image projection system |
US7190434B2 (en) * | 2004-02-18 | 2007-03-13 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7133118B2 (en) * | 2004-02-18 | 2006-11-07 | Asml Netherlands, B.V. | Lithographic apparatus and device manufacturing method |
JP2007522671A (ja) * | 2004-02-25 | 2007-08-09 | マイクロニック レーザー システムズ アクチボラゲット | 光マスクレスリソグラフィにおいてパターンを露光し、マスクをエミュレートする方法 |
US7081947B2 (en) * | 2004-02-27 | 2006-07-25 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7016014B2 (en) * | 2004-02-27 | 2006-03-21 | Asml Netherlands B.V | Lithographic apparatus and device manufacturing method |
US7061586B2 (en) * | 2004-03-02 | 2006-06-13 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US7094506B2 (en) * | 2004-03-09 | 2006-08-22 | Asml Netherlands B.V | Lithographic apparatus and device manufacturing method |
US6967711B2 (en) * | 2004-03-09 | 2005-11-22 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
USRE43515E1 (en) | 2004-03-09 | 2012-07-17 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US6999224B2 (en) * | 2004-03-10 | 2006-02-14 | Reflectivity, Inc | Micromirror modulation method and digital apparatus with improved grayscale |
US7768571B2 (en) * | 2004-03-22 | 2010-08-03 | Angstrom, Inc. | Optical tracking system using variable focal length lens |
US7410266B2 (en) * | 2004-03-22 | 2008-08-12 | Angstrom, Inc. | Three-dimensional imaging system for robot vision |
US7339746B2 (en) * | 2004-03-22 | 2008-03-04 | Angstrom, Inc. | Small and fast zoom system using micromirror array lens |
EP1739482A4 (en) * | 2004-03-24 | 2009-03-25 | Fujifilm Corp | PICTURE GENERATION PROCESS, LITHOGRAPHIC PRINTING PLATE AND LITHOGRAPHIC PROCESS |
JP4541010B2 (ja) * | 2004-03-25 | 2010-09-08 | 財団法人国際科学振興財団 | パターン露光装置および二次元光像発生装置 |
US7561251B2 (en) | 2004-03-29 | 2009-07-14 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US8064040B2 (en) * | 2004-03-30 | 2011-11-22 | Carl Zeiss Smt Gmbh | Projection objective, projection exposure apparatus and reflective reticle for microlithography |
US7053981B2 (en) * | 2004-03-31 | 2006-05-30 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7153616B2 (en) * | 2004-03-31 | 2006-12-26 | Asml Holding N.V. | System and method for verifying and controlling the performance of a maskless lithography tool |
US8049776B2 (en) * | 2004-04-12 | 2011-11-01 | Angstrom, Inc. | Three-dimensional camcorder |
US7619614B2 (en) * | 2004-04-12 | 2009-11-17 | Angstrom, Inc. | Three-dimensional optical mouse system |
US20070115261A1 (en) * | 2005-11-23 | 2007-05-24 | Stereo Display, Inc. | Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens |
US7742232B2 (en) * | 2004-04-12 | 2010-06-22 | Angstrom, Inc. | Three-dimensional imaging system |
US20070040924A1 (en) * | 2005-08-19 | 2007-02-22 | Stereo Display, Inc. | Cellular phone camera with three-dimensional imaging function |
US8057963B2 (en) * | 2004-06-10 | 2011-11-15 | Lsi Corporation | Maskless vortex phase shift optical direct write lithography |
US7002666B2 (en) * | 2004-04-16 | 2006-02-21 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
JP2005311145A (ja) * | 2004-04-23 | 2005-11-04 | Canon Inc | 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法 |
US7372547B2 (en) * | 2004-04-27 | 2008-05-13 | Lsi Corporation | Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography |
US6963434B1 (en) * | 2004-04-30 | 2005-11-08 | Asml Holding N.V. | System and method for calculating aerial image of a spatial light modulator |
US20050243295A1 (en) * | 2004-04-30 | 2005-11-03 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing |
WO2005111920A1 (en) * | 2004-05-18 | 2005-11-24 | Silverbrook Research Pty Ltd | Authentication of an object using a signature encoded in a number of data portions |
EP2246741A1 (en) * | 2004-05-19 | 2010-11-03 | Fujifilm Corporation | Image recording method |
US20050259269A1 (en) | 2004-05-19 | 2005-11-24 | Asml Holding N.V. | Shearing interferometer with dynamic pupil fill |
US7242456B2 (en) | 2004-05-26 | 2007-07-10 | Asml Holdings N.V. | System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions |
US7354167B2 (en) | 2004-05-27 | 2008-04-08 | Angstrom, Inc. | Beam focusing and scanning system using micromirror array lens |
US7777959B2 (en) * | 2004-05-27 | 2010-08-17 | Angstrom, Inc. | Micromirror array lens with fixed focal length |
US7667896B2 (en) | 2004-05-27 | 2010-02-23 | Angstrom, Inc. | DVD recording and reproducing system |
US7477403B2 (en) * | 2004-05-27 | 2009-01-13 | Asml Netherlands B.V. | Optical position assessment apparatus and method |
US7123348B2 (en) * | 2004-06-08 | 2006-10-17 | Asml Netherlands B.V | Lithographic apparatus and method utilizing dose control |
US6989886B2 (en) * | 2004-06-08 | 2006-01-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7345806B2 (en) * | 2004-06-23 | 2008-03-18 | Texas Instruments Incorporated | Method and apparatus for characterizing microelectromechanical devices on wafers |
CA2578203C (en) | 2004-06-23 | 2014-03-11 | Quin Media Arts And Sciences Inc. | Sculptural imaging with optical tiles |
US7016016B2 (en) * | 2004-06-25 | 2006-03-21 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US7116403B2 (en) * | 2004-06-28 | 2006-10-03 | Asml Netherlands B.V | Lithographic apparatus and device manufacturing method |
US7116404B2 (en) * | 2004-06-30 | 2006-10-03 | Asml Netherlands B.V | Lithographic apparatus and device manufacturing method |
US7158208B2 (en) * | 2004-06-30 | 2007-01-02 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US20060001890A1 (en) * | 2004-07-02 | 2006-01-05 | Asml Holding N.V. | Spatial light modulator as source module for DUV wavefront sensor |
US7573574B2 (en) * | 2004-07-13 | 2009-08-11 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US20060012779A1 (en) * | 2004-07-13 | 2006-01-19 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7335398B2 (en) * | 2004-07-26 | 2008-02-26 | Asml Holding N.V. | Method to modify the spatial response of a pattern generator |
US7227613B2 (en) * | 2004-07-26 | 2007-06-05 | Asml Holding N.V. | Lithographic apparatus having double telecentric illumination |
US7259829B2 (en) * | 2004-07-26 | 2007-08-21 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7142286B2 (en) * | 2004-07-27 | 2006-11-28 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7251020B2 (en) * | 2004-07-30 | 2007-07-31 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7538855B2 (en) * | 2004-08-10 | 2009-05-26 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7102733B2 (en) | 2004-08-13 | 2006-09-05 | Asml Holding N.V. | System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool |
US7500218B2 (en) * | 2004-08-17 | 2009-03-03 | Asml Netherlands B.V. | Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same |
US7304718B2 (en) * | 2004-08-17 | 2007-12-04 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
WO2006021406A2 (en) * | 2004-08-23 | 2006-03-02 | Micronic Laser Systems Ab | Pupil improvement of incoherent imaging systems for enhanced cd linearity |
US7079225B2 (en) * | 2004-09-14 | 2006-07-18 | Asml Netherlands B.V | Lithographic apparatus and device manufacturing method |
JP4750396B2 (ja) * | 2004-09-27 | 2011-08-17 | キヤノン株式会社 | 露光装置及びデバイス製造方法 |
US7588868B2 (en) * | 2004-10-06 | 2009-09-15 | Cadence Design Systems, Inc. | Method and system for reducing the impact of across-wafer variations on critical dimension measurements |
US7177012B2 (en) * | 2004-10-18 | 2007-02-13 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7136210B2 (en) * | 2004-10-21 | 2006-11-14 | Hewlett-Packard Development Company, L.P. | Light modulator |
JP2006128194A (ja) * | 2004-10-26 | 2006-05-18 | Canon Inc | 露光装置及びデバイス製造方法 |
US7388663B2 (en) | 2004-10-28 | 2008-06-17 | Asml Netherlands B.V. | Optical position assessment apparatus and method |
US7423732B2 (en) * | 2004-11-04 | 2008-09-09 | Asml Holding N.V. | Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane |
US7457547B2 (en) * | 2004-11-08 | 2008-11-25 | Optium Australia Pty Limited | Optical calibration system and method |
US7489434B2 (en) | 2007-05-02 | 2009-02-10 | Angstrom, Inc. | Hybrid micromirror array lens for reducing chromatic aberration |
US7619807B2 (en) * | 2004-11-08 | 2009-11-17 | Angstrom, Inc. | Micromirror array lens with optical surface profiles |
US7609362B2 (en) * | 2004-11-08 | 2009-10-27 | Asml Netherlands B.V. | Scanning lithographic apparatus and device manufacturing method |
US7170584B2 (en) * | 2004-11-17 | 2007-01-30 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7474384B2 (en) * | 2004-11-22 | 2009-01-06 | Asml Holding N.V. | Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus |
US7061581B1 (en) * | 2004-11-22 | 2006-06-13 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7643192B2 (en) * | 2004-11-24 | 2010-01-05 | Asml Holding N.V. | Pattern generator using a dual phase step element and method of using same |
US7713667B2 (en) * | 2004-11-30 | 2010-05-11 | Asml Holding N.V. | System and method for generating pattern data used to control a pattern generator |
US7333177B2 (en) * | 2004-11-30 | 2008-02-19 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7365848B2 (en) * | 2004-12-01 | 2008-04-29 | Asml Holding N.V. | System and method using visible and infrared light to align and measure alignment patterns on multiple layers |
US7391499B2 (en) * | 2004-12-02 | 2008-06-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7362415B2 (en) * | 2004-12-07 | 2008-04-22 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7355677B2 (en) * | 2004-12-09 | 2008-04-08 | Asml Netherlands B.V. | System and method for an improved illumination system in a lithographic apparatus |
US7349068B2 (en) * | 2004-12-17 | 2008-03-25 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7180577B2 (en) * | 2004-12-17 | 2007-02-20 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane |
US7202939B2 (en) * | 2004-12-22 | 2007-04-10 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7391676B2 (en) | 2004-12-22 | 2008-06-24 | Asml Netherlands B.V. | Ultrasonic distance sensors |
US7274502B2 (en) * | 2004-12-22 | 2007-09-25 | Asml Holding N.V. | System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks |
US7230677B2 (en) * | 2004-12-22 | 2007-06-12 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing hexagonal image grids |
US7375795B2 (en) * | 2004-12-22 | 2008-05-20 | Asml Netherlands B.V. | Lithographic apparatus, device manufacturing method, and device manufactured thereby |
US7256867B2 (en) * | 2004-12-22 | 2007-08-14 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7538857B2 (en) * | 2004-12-23 | 2009-05-26 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a substrate handler |
US7656506B2 (en) * | 2004-12-23 | 2010-02-02 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a substrate handler |
US7426076B2 (en) * | 2004-12-23 | 2008-09-16 | Asml Holding N.V. | Projection system for a lithographic apparatus |
US7242458B2 (en) * | 2004-12-23 | 2007-07-10 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates |
US7126672B2 (en) * | 2004-12-27 | 2006-10-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US20060138349A1 (en) * | 2004-12-27 | 2006-06-29 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7317510B2 (en) * | 2004-12-27 | 2008-01-08 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7279110B2 (en) * | 2004-12-27 | 2007-10-09 | Asml Holding N.V. | Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays |
US7459247B2 (en) * | 2004-12-27 | 2008-12-02 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7403865B2 (en) * | 2004-12-28 | 2008-07-22 | Asml Netherlands B.V. | System and method for fault indication on a substrate in maskless applications |
US7274029B2 (en) * | 2004-12-28 | 2007-09-25 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7756660B2 (en) * | 2004-12-28 | 2010-07-13 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7145636B2 (en) * | 2004-12-28 | 2006-12-05 | Asml Netherlands Bv | System and method for determining maximum operational parameters used in maskless applications |
US7253881B2 (en) * | 2004-12-29 | 2007-08-07 | Asml Netherlands Bv | Methods and systems for lithographic gray scaling |
US7342644B2 (en) * | 2004-12-29 | 2008-03-11 | Asml Netherlands B.V. | Methods and systems for lithographic beam generation |
US7453645B2 (en) * | 2004-12-30 | 2008-11-18 | Asml Netherlands B.V. | Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby |
US7567368B2 (en) * | 2005-01-06 | 2009-07-28 | Asml Holding N.V. | Systems and methods for minimizing scattered light in multi-SLM maskless lithography |
JP4758443B2 (ja) * | 2005-01-28 | 2011-08-31 | エーエスエムエル ホールディング エヌ.ブイ. | 大域的最適化に基づくマスクレスリソグラフィ・ラスタライゼーション技術の方法、装置およびコンピュータ読取可能媒体 |
US7542013B2 (en) * | 2005-01-31 | 2009-06-02 | Asml Holding N.V. | System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode |
US7460208B2 (en) * | 2005-02-18 | 2008-12-02 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7286137B2 (en) * | 2005-02-28 | 2007-10-23 | Asml Holding N.V. | Method and system for constrained pixel graytones interpolation for pattern rasterization |
US20060198011A1 (en) * | 2005-03-04 | 2006-09-07 | Stereo Display, Inc. | Volumetric three-dimensional device using two-dimensional scanning device |
US20060204859A1 (en) * | 2005-03-09 | 2006-09-14 | International Business Machines Corporation | An extra dose trim mask, method of manufacture, and lithographic process using the same |
US20060203117A1 (en) * | 2005-03-10 | 2006-09-14 | Stereo Display, Inc. | Video monitoring system using variable focal length lens |
US7499146B2 (en) * | 2005-03-14 | 2009-03-03 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping |
US7812930B2 (en) * | 2005-03-21 | 2010-10-12 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume |
US7209216B2 (en) * | 2005-03-25 | 2007-04-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography |
US7403265B2 (en) | 2005-03-30 | 2008-07-22 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing data filtering |
US7728956B2 (en) * | 2005-04-05 | 2010-06-01 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data |
US7456935B2 (en) * | 2005-04-05 | 2008-11-25 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table |
TWI427440B (zh) * | 2005-04-06 | 2014-02-21 | Kodak Graphic Comm Canada Co | 用於校正影像化規則圖案的條帶之方法與裝置 |
JP4691653B2 (ja) * | 2005-04-07 | 2011-06-01 | 国立大学法人東北大学 | データ生成方法、データ生成装置、及びプログラム |
US7209217B2 (en) | 2005-04-08 | 2007-04-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing plural patterning devices |
US7330239B2 (en) | 2005-04-08 | 2008-02-12 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device |
JP2008536331A (ja) * | 2005-04-15 | 2008-09-04 | マイクロニック レーザー システムズ アクチボラゲット | 複数の露光ビームによるリソグラフィ・ツールのための方法 |
CN101203808A (zh) * | 2005-04-15 | 2008-06-18 | 麦克罗尼克激光系统公司 | 图像增强技术 |
US7221514B2 (en) * | 2005-04-15 | 2007-05-22 | Asml Netherlands B.V. | Variable lens and exposure system |
US20060244805A1 (en) * | 2005-04-27 | 2006-11-02 | Ming-Hsiang Yeh | Multicolor pen |
US7400382B2 (en) | 2005-04-28 | 2008-07-15 | Asml Holding N.V. | Light patterning device using tilting mirrors in a superpixel form |
US7738081B2 (en) * | 2005-05-06 | 2010-06-15 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler |
JP4570151B2 (ja) * | 2005-05-06 | 2010-10-27 | 株式会社ナノシステムソリューションズ | マスク製造方法 |
KR100815352B1 (ko) * | 2005-05-12 | 2008-03-19 | 삼성전기주식회사 | 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치 |
US7197828B2 (en) * | 2005-05-31 | 2007-04-03 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement |
US7477772B2 (en) * | 2005-05-31 | 2009-01-13 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression |
US7292317B2 (en) * | 2005-06-08 | 2007-11-06 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing substrate stage compensating |
US7742148B2 (en) * | 2005-06-08 | 2010-06-22 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method for writing a digital image |
JP4828870B2 (ja) * | 2005-06-09 | 2011-11-30 | 株式会社東芝 | 評価パタンの作成方法およびプログラム |
US7233384B2 (en) * | 2005-06-13 | 2007-06-19 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor |
US7321416B2 (en) * | 2005-06-15 | 2008-01-22 | Asml Netherlands B.V. | Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion |
US7408617B2 (en) * | 2005-06-24 | 2008-08-05 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method |
US7965373B2 (en) * | 2005-06-28 | 2011-06-21 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load |
US7307694B2 (en) * | 2005-06-29 | 2007-12-11 | Asml Netherlands B.V. | Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method |
US7522258B2 (en) * | 2005-06-29 | 2009-04-21 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination |
US7209275B2 (en) | 2005-06-30 | 2007-04-24 | Asml Holding N.V. | Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation |
US20070013889A1 (en) * | 2005-07-12 | 2007-01-18 | Asml Netherlands B.V. | Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus |
US7251019B2 (en) * | 2005-07-20 | 2007-07-31 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging |
US7446855B2 (en) * | 2005-07-25 | 2008-11-04 | Micron Technology, Inc | Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure |
US7283289B2 (en) * | 2005-07-30 | 2007-10-16 | Hewlett-Packard Development Company, L.P. | Projection system modulator reducing distortion and field curvature effects of projection system lens |
US20070041077A1 (en) * | 2005-08-19 | 2007-02-22 | Stereo Display, Inc. | Pocket-sized two-dimensional image projection system |
US7606430B2 (en) * | 2005-08-30 | 2009-10-20 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD |
US20070046917A1 (en) * | 2005-08-31 | 2007-03-01 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU |
JP2007114750A (ja) * | 2005-09-09 | 2007-05-10 | Asml Netherlands Bv | 投影システム設計方法、リソグラフィー装置およびデバイス製造方法 |
US7650588B2 (en) * | 2005-09-26 | 2010-01-19 | Micronic Laser Systems Ab | Methods and systems for pattern generation based on multiple forms of design data |
JP5025157B2 (ja) * | 2005-09-29 | 2012-09-12 | 大日本スクリーン製造株式会社 | 画像記録装置および画像記録方法 |
JP2007101687A (ja) * | 2005-09-30 | 2007-04-19 | Fujifilm Corp | 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法 |
JP2007101730A (ja) * | 2005-09-30 | 2007-04-19 | Fujifilm Corp | 画像露光装置 |
US7830493B2 (en) * | 2005-10-04 | 2010-11-09 | Asml Netherlands B.V. | System and method for compensating for radiation induced thermal distortions in a substrate or projection system |
US7391503B2 (en) * | 2005-10-04 | 2008-06-24 | Asml Netherlands B.V. | System and method for compensating for thermal expansion of lithography apparatus or substrate |
US7332733B2 (en) * | 2005-10-05 | 2008-02-19 | Asml Netherlands B.V. | System and method to correct for field curvature of multi lens array |
US7492450B2 (en) * | 2005-10-24 | 2009-02-17 | General Electric Company | Methods and apparatus for inspecting an object |
CN100362387C (zh) * | 2005-11-18 | 2008-01-16 | 重庆大学 | 静电简支梁式干涉光调制器 |
US20070127005A1 (en) * | 2005-12-02 | 2007-06-07 | Asml Holding N.V. | Illumination system |
US7626181B2 (en) * | 2005-12-09 | 2009-12-01 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US20070133007A1 (en) * | 2005-12-14 | 2007-06-14 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device |
US7440078B2 (en) * | 2005-12-20 | 2008-10-21 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units |
US20070153249A1 (en) * | 2005-12-20 | 2007-07-05 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types |
US7528932B2 (en) * | 2005-12-21 | 2009-05-05 | Micronic Laser Systems Ab | SLM direct writer |
US7466394B2 (en) * | 2005-12-21 | 2008-12-16 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array |
US7532403B2 (en) * | 2006-02-06 | 2009-05-12 | Asml Holding N.V. | Optical system for transforming numerical aperture |
JP4495104B2 (ja) * | 2006-03-28 | 2010-06-30 | エーエスエムエル ネザーランズ ビー.ブイ. | 可変式照明源 |
US7528933B2 (en) * | 2006-04-06 | 2009-05-05 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement |
US7508491B2 (en) * | 2006-04-12 | 2009-03-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity |
US7948606B2 (en) * | 2006-04-13 | 2011-05-24 | Asml Netherlands B.V. | Moving beam with respect to diffractive optics in order to reduce interference patterns |
US7839487B2 (en) * | 2006-04-13 | 2010-11-23 | Asml Holding N.V. | Optical system for increasing illumination efficiency of a patterning device |
JP2007286311A (ja) * | 2006-04-17 | 2007-11-01 | Matsushita Electric Ind Co Ltd | 波面変換装置、および光学装置 |
DE102006019963B4 (de) * | 2006-04-28 | 2023-12-07 | Envisiontec Gmbh | Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung |
US8264667B2 (en) * | 2006-05-04 | 2012-09-11 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using interferometric and other exposure |
DE102006020734A1 (de) * | 2006-05-04 | 2007-11-15 | Carl Zeiss Smt Ag | Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem |
US9736346B2 (en) | 2006-05-09 | 2017-08-15 | Stereo Display, Inc | Imaging system improving image resolution of the system with low resolution image sensor |
US8934084B2 (en) * | 2006-05-31 | 2015-01-13 | Asml Holding N.V. | System and method for printing interference patterns having a pitch in a lithography system |
US7728954B2 (en) * | 2006-06-06 | 2010-06-01 | Asml Netherlands B.V. | Reflective loop system producing incoherent radiation |
US8052289B2 (en) * | 2006-06-07 | 2011-11-08 | Asml Netherlands B.V. | Mirror array for lithography |
US7649676B2 (en) * | 2006-06-14 | 2010-01-19 | Asml Netherlands B.V. | System and method to form unpolarized light |
US7936445B2 (en) * | 2006-06-19 | 2011-05-03 | Asml Netherlands B.V. | Altering pattern data based on measured optical element characteristics |
US8896808B2 (en) * | 2006-06-21 | 2014-11-25 | Asml Netherlands B.V. | Lithographic apparatus and method |
US7697115B2 (en) * | 2006-06-23 | 2010-04-13 | Asml Holding N.V. | Resonant scanning mirror |
US7593094B2 (en) * | 2006-06-26 | 2009-09-22 | Asml Netherlands B.V. | Patterning device |
US20080002174A1 (en) * | 2006-06-30 | 2008-01-03 | Asml Netherlands B.V. | Control system for pattern generator in maskless lithography |
US7630136B2 (en) | 2006-07-18 | 2009-12-08 | Asml Holding N.V. | Optical integrators for lithography systems and methods |
JP5180446B2 (ja) * | 2006-07-20 | 2013-04-10 | 株式会社ナノシステムソリューションズ | 露光装置及び露光方法 |
US7548315B2 (en) | 2006-07-27 | 2009-06-16 | Asml Netherlands B.V. | System and method to compensate for critical dimension non-uniformity in a lithography system |
US7738077B2 (en) * | 2006-07-31 | 2010-06-15 | Asml Netherlands B.V. | Patterning device utilizing sets of stepped mirrors and method of using same |
US7365899B2 (en) * | 2006-08-10 | 2008-04-29 | Angstrom, Inc. | Micromirror with multi-axis rotation and translation |
US7626182B2 (en) * | 2006-09-05 | 2009-12-01 | Asml Netherlands B.V. | Radiation pulse energy control system, lithographic apparatus and device manufacturing method |
TWI345748B (en) * | 2006-09-05 | 2011-07-21 | Chunghwa Picture Tubes Ltd | Thin film transistor liquid crystal display |
US7628875B2 (en) * | 2006-09-12 | 2009-12-08 | Asml Netherlands B.V. | MEMS device and assembly method |
US8049865B2 (en) * | 2006-09-18 | 2011-11-01 | Asml Netherlands B.V. | Lithographic system, device manufacturing method, and mask optimization method |
US7589884B2 (en) * | 2006-09-22 | 2009-09-15 | Angstrom, Inc. | Micromirror array lens with encapsulation of reflective metal layer and method of making the same |
US7589885B2 (en) * | 2006-09-22 | 2009-09-15 | Angstrom, Inc. | Micromirror array device comprising encapsulated reflective metal layer and method of making the same |
KR100816494B1 (ko) * | 2006-10-09 | 2008-03-24 | 엘지전자 주식회사 | 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법 |
US7683300B2 (en) * | 2006-10-17 | 2010-03-23 | Asml Netherlands B.V. | Using an interferometer as a high speed variable attenuator |
US20080121939A1 (en) * | 2006-11-06 | 2008-05-29 | Michael Murray | Methods of automatically generating dummy fill having reduced storage size |
US7453551B2 (en) * | 2006-11-14 | 2008-11-18 | Asml Netherlands B.V. | Increasing pulse-to-pulse radiation beam uniformity |
JP4937705B2 (ja) * | 2006-11-14 | 2012-05-23 | 株式会社オーク製作所 | 多重露光装置 |
US20080111977A1 (en) * | 2006-11-14 | 2008-05-15 | Asml Holding N.V. | Compensation techniques for fluid and magnetic bearings |
US7738079B2 (en) * | 2006-11-14 | 2010-06-15 | Asml Netherlands B.V. | Radiation beam pulse trimming |
US8054449B2 (en) * | 2006-11-22 | 2011-11-08 | Asml Holding N.V. | Enhancing the image contrast of a high resolution exposure tool |
US7488082B2 (en) | 2006-12-12 | 2009-02-10 | Angstrom, Inc. | Discretely controlled micromirror array device with segmented electrodes |
US8259285B2 (en) * | 2006-12-14 | 2012-09-04 | Asml Holding N.V. | Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data |
US7990339B2 (en) * | 2006-12-27 | 2011-08-02 | Silicon Quest Kabushiki-Kaisha | Deformable micromirror device |
US8749463B2 (en) | 2007-01-19 | 2014-06-10 | Hamamatsu Photonics K.K. | Phase-modulating apparatus |
CN100456141C (zh) * | 2007-01-23 | 2009-01-28 | 上海微电子装备有限公司 | 批量硅片曝光的方法 |
JP5211487B2 (ja) * | 2007-01-25 | 2013-06-12 | 株式会社ニコン | 露光方法及び露光装置並びにマイクロデバイスの製造方法 |
US7965378B2 (en) * | 2007-02-20 | 2011-06-21 | Asml Holding N.V | Optical system and method for illumination of reflective spatial light modulators in maskless lithography |
US7705309B1 (en) * | 2007-02-27 | 2010-04-27 | Agiltron Corporation | Radiation detector with extended dynamic range |
US7535618B2 (en) * | 2007-03-12 | 2009-05-19 | Angstrom, Inc. | Discretely controlled micromirror device having multiple motions |
US8009269B2 (en) | 2007-03-14 | 2011-08-30 | Asml Holding N.V. | Optimal rasterization for maskless lithography |
US8009270B2 (en) * | 2007-03-22 | 2011-08-30 | Asml Netherlands B.V. | Uniform background radiation in maskless lithography |
US20080259304A1 (en) * | 2007-04-20 | 2008-10-23 | Asml Netherlands B.V. | Lithographic apparatus and method |
CN101669071B (zh) * | 2007-04-25 | 2012-03-21 | 卡尔蔡司Smt有限责任公司 | 微光刻曝光装置中照明掩模的照明系统 |
CN101682958A (zh) * | 2007-04-30 | 2010-03-24 | 皇家飞利浦电子股份有限公司 | 模块化固态照明系统 |
US8237913B2 (en) * | 2007-05-08 | 2012-08-07 | Asml Netherlands B.V. | Lithographic apparatus and method |
US20080278698A1 (en) * | 2007-05-08 | 2008-11-13 | Asml Netherlands B.V. | Lithographic apparatus and method |
US7714986B2 (en) * | 2007-05-24 | 2010-05-11 | Asml Netherlands B.V. | Laser beam conditioning system comprising multiple optical paths allowing for dose control |
US20080304034A1 (en) * | 2007-06-07 | 2008-12-11 | Asml Netherlands B.V. | Dose control for optical maskless lithography |
KR20080109409A (ko) * | 2007-06-13 | 2008-12-17 | 삼성전자주식회사 | 투사형 디스플레이장치 및 그에 적용된 디스플레이방법 |
US9505606B2 (en) * | 2007-06-13 | 2016-11-29 | Angstrom, Inc. | MEMS actuator with discretely controlled multiple motions |
US8189172B2 (en) * | 2007-06-14 | 2012-05-29 | Asml Netherlands B.V. | Lithographic apparatus and method |
US7768627B2 (en) * | 2007-06-14 | 2010-08-03 | Asml Netherlands B.V. | Illumination of a patterning device based on interference for use in a maskless lithography system |
US8692974B2 (en) * | 2007-06-14 | 2014-04-08 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control |
TWI443472B (zh) * | 2007-07-13 | 2014-07-01 | 尼康股份有限公司 | Pattern forming method and apparatus, exposure method and apparatus, and component manufacturing method and element |
US7605988B2 (en) * | 2007-07-23 | 2009-10-20 | Angstrom, Inc. | Compact image taking lens system with a lens-surfaced prism |
US7589916B2 (en) * | 2007-08-10 | 2009-09-15 | Angstrom, Inc. | Micromirror array with iris function |
US7838178B2 (en) * | 2007-08-13 | 2010-11-23 | Micron Technology, Inc. | Masks for microlithography and methods of making and using such masks |
DE102007038999A1 (de) * | 2007-08-17 | 2009-02-19 | Punch Graphix Prepress Germany Gmbh | Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe |
US7755121B2 (en) * | 2007-08-23 | 2010-07-13 | Aptina Imaging Corp. | Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same |
CN101796460B (zh) * | 2007-08-30 | 2013-05-01 | 卡尔蔡司Smt有限责任公司 | 微光刻投射曝光设备中用于照明掩模的照明系统 |
US8245162B2 (en) * | 2007-09-14 | 2012-08-14 | Abrams Daniel S | Write-pattern determination for maskless lithography |
JP5267029B2 (ja) * | 2007-10-12 | 2013-08-21 | 株式会社ニコン | 照明光学装置、露光装置及びデバイスの製造方法 |
WO2009050976A1 (en) * | 2007-10-16 | 2009-04-23 | Nikon Corporation | Illumination optical system, exposure apparatus, and device manufacturing method |
US8379187B2 (en) * | 2007-10-24 | 2013-02-19 | Nikon Corporation | Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method |
KR101644660B1 (ko) * | 2007-11-06 | 2016-08-01 | 가부시키가이샤 니콘 | 조명 광학 장치 및 노광 장치 |
JP5326259B2 (ja) * | 2007-11-08 | 2013-10-30 | 株式会社ニコン | 照明光学装置、露光装置、およびデバイス製造方法 |
JP5270142B2 (ja) | 2007-12-05 | 2013-08-21 | 浜松ホトニクス株式会社 | 反射型空間光変調素子 |
JP5063320B2 (ja) * | 2007-12-11 | 2012-10-31 | 株式会社ニューフレアテクノロジー | 描画装置及び描画データの変換方法 |
WO2009078223A1 (ja) * | 2007-12-17 | 2009-06-25 | Nikon Corporation | 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法 |
EP2388650B1 (en) | 2007-12-21 | 2013-11-20 | Carl Zeiss SMT GmbH | Illumination system for illuminating a mask in a microlithographic exposure apparatus |
WO2009080310A1 (en) * | 2007-12-21 | 2009-07-02 | Carl Zeiss Smt Ag | Illumination system for a microlithographic projection exposure apparatus |
US20090185067A1 (en) * | 2007-12-21 | 2009-07-23 | Stereo Display, Inc. | Compact automatic focusing camera |
US8247999B2 (en) | 2008-01-22 | 2012-08-21 | Alcatel Lucent | Time division multiplexing a DC-to-DC voltage converter |
US8129669B2 (en) | 2008-01-22 | 2012-03-06 | Alcatel Lucent | System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams |
US8109638B2 (en) * | 2008-01-22 | 2012-02-07 | Alcatel Lucent | Diffuser configuration for an image projector |
GB0802944D0 (en) * | 2008-02-19 | 2008-03-26 | Rumsby Philip T | Apparatus for laser processing the opposite sides of thin panels |
US8810908B2 (en) * | 2008-03-18 | 2014-08-19 | Stereo Display, Inc. | Binoculars with micromirror array lenses |
KR101657053B1 (ko) * | 2008-04-24 | 2016-09-13 | 마이크로닉 마이데이타 에이비 | 구조화된 거울 표면을 가진 공간적 광 조절기 |
US20090303569A1 (en) * | 2008-05-20 | 2009-12-10 | Stereo Didplay, Inc. | Self-tilted micromirror device |
US8622557B2 (en) * | 2008-05-20 | 2014-01-07 | Stereo Display, Inc. | Micromirror array lens with self-tilted micromirrors |
US8300263B2 (en) * | 2008-06-06 | 2012-10-30 | Eastman Kodak Company | Forming images with minimum feature sizes |
US7971961B2 (en) * | 2008-06-06 | 2011-07-05 | Eastman Kodak Company | Forming images with stitched swaths |
US20100020331A1 (en) * | 2008-07-25 | 2010-01-28 | Micronic Laser Systems Ab | Laser interferometer systems and methods with suppressed error and pattern generators having the same |
JP5253037B2 (ja) * | 2008-08-18 | 2013-07-31 | 株式会社日立ハイテクノロジーズ | 露光装置、露光方法、及び表示用パネル基板の製造方法 |
US8867113B2 (en) * | 2008-08-26 | 2014-10-21 | Hamamatsu Photonics K.K. | Laser processing device and laser processing method |
JPWO2010024106A1 (ja) | 2008-08-28 | 2012-01-26 | 株式会社ニコン | 照明光学系、露光装置、およびデバイス製造方法 |
KR101560617B1 (ko) * | 2008-09-10 | 2015-10-16 | 삼성전자주식회사 | 광 발생 장치 및 그 제어 방법 |
DE102008048660B4 (de) * | 2008-09-22 | 2015-06-18 | Carl Zeiss Sms Gmbh | Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken |
US8390786B2 (en) | 2008-09-23 | 2013-03-05 | Pinebrook Imaging Technology, Ltd. | Optical imaging writer system |
US8395752B2 (en) | 2008-09-23 | 2013-03-12 | Pinebrook Imaging Technology, Ltd. | Optical imaging writer system |
US8253923B1 (en) | 2008-09-23 | 2012-08-28 | Pinebrook Imaging Technology, Ltd. | Optical imaging writer system |
US8670106B2 (en) * | 2008-09-23 | 2014-03-11 | Pinebrook Imaging, Inc. | Optical imaging writer system |
US8390781B2 (en) | 2008-09-23 | 2013-03-05 | Pinebrook Imaging Technology, Ltd. | Optical imaging writer system |
NL2003364A (en) * | 2008-09-26 | 2010-03-29 | Asml Netherlands Bv | Lithographic apparatus and method. |
JP5376494B2 (ja) * | 2008-10-08 | 2013-12-25 | 大日本スクリーン製造株式会社 | 描画装置および描画方法 |
US8048359B2 (en) * | 2008-10-20 | 2011-11-01 | 3D Systems, Inc. | Compensation of actinic radiation intensity profiles for three-dimensional modelers |
NL2003449A (en) * | 2008-10-28 | 2010-04-29 | Asml Netherlands Bv | Fly's eye integrator, illuminator, lithographic apparatus and method. |
DE102009020320A1 (de) * | 2008-11-19 | 2010-05-20 | Heidelberg Instruments Mikrotechnik Gmbh | Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen |
DE102008054844B4 (de) * | 2008-12-17 | 2010-09-23 | Carl Zeiss Smt Ag | Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren |
EP2202580B1 (en) * | 2008-12-23 | 2011-06-22 | Carl Zeiss SMT GmbH | Illumination system of a microlithographic projection exposure apparatus |
US8531755B2 (en) * | 2009-02-16 | 2013-09-10 | Micronic Laser Systems Ab | SLM device and method combining multiple mirrors for high-power delivery |
JP5209544B2 (ja) * | 2009-03-04 | 2013-06-12 | 大日本スクリーン製造株式会社 | 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法 |
RU2473936C2 (ru) * | 2009-04-02 | 2013-01-27 | Аслан Хаджимуратович Абдуев | Экран и оптический коммутатор |
US8610986B2 (en) * | 2009-04-06 | 2013-12-17 | The Board Of Trustees Of The University Of Illinois | Mirror arrays for maskless photolithography and image display |
US8226241B2 (en) | 2009-05-15 | 2012-07-24 | Alcatel Lucent | Image projector employing a speckle-reducing laser source |
EP3144955A1 (en) * | 2009-05-20 | 2017-03-22 | Mapper Lithography IP B.V. | Method for exposing a wafer |
US8434887B2 (en) | 2009-08-27 | 2013-05-07 | Dolby Laboratories Licensing Corporation | Optical mixing and shaping system for display backlights and displays incorporating the same |
CN102598310A (zh) * | 2009-09-18 | 2012-07-18 | 应用材料公司 | 背接触太阳能电池中的通孔的激光钻孔 |
JP5393406B2 (ja) * | 2009-11-06 | 2014-01-22 | オリンパス株式会社 | パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法 |
US9511448B2 (en) * | 2009-12-30 | 2016-12-06 | Resonetics, LLC | Laser machining system and method for machining three-dimensional objects from a plurality of directions |
JP5481400B2 (ja) * | 2010-01-15 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置 |
KR101653213B1 (ko) * | 2010-02-19 | 2016-09-02 | 삼성디스플레이 주식회사 | 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치 |
US8539395B2 (en) | 2010-03-05 | 2013-09-17 | Micronic Laser Systems Ab | Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image |
JP2011199279A (ja) * | 2010-03-18 | 2011-10-06 | Ims Nanofabrication Ag | ターゲット上へのマルチビーム露光のための方法 |
DE102010029651A1 (de) | 2010-06-02 | 2011-12-08 | Carl Zeiss Smt Gmbh | Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern |
WO2012013227A1 (en) * | 2010-07-28 | 2012-02-02 | Carl Zeiss Smt Gmbh | Facet mirror device |
JP5811362B2 (ja) * | 2010-09-27 | 2015-11-11 | 株式会社ニコン | 露光用パターンの生成方法、露光方法及び装置、並びにデバイス製造方法 |
US8413084B2 (en) | 2010-10-04 | 2013-04-02 | International Business Machines Corporation | Photomask throughput by reducing exposure shot count for non-critical elements |
WO2012076629A2 (en) * | 2010-12-07 | 2012-06-14 | Micronic Mydata AB | Criss-cross writing strategy |
JP5880443B2 (ja) * | 2010-12-13 | 2016-03-09 | 株式会社ニコン | 露光方法、露光装置、及びデバイス製造方法 |
US8492074B2 (en) * | 2011-01-05 | 2013-07-23 | Laurie A. Bryant | Method of improving print performance in flexographic printing plates |
WO2012150263A1 (en) * | 2011-05-03 | 2012-11-08 | Stichting Dutch Polymer Institute | Method for controlling deposition |
JP5346356B2 (ja) * | 2011-05-30 | 2013-11-20 | エーエスエムエル ネザーランズ ビー.ブイ. | リソグラフィ装置及びデバイス製造方法 |
EP2732341B1 (en) * | 2011-07-11 | 2016-11-30 | Mapper Lithography IP B.V. | Lithography system and method for storing positional data of a target |
US8653454B2 (en) | 2011-07-13 | 2014-02-18 | Luminescent Technologies, Inc. | Electron-beam image reconstruction |
US10317346B2 (en) | 2011-09-02 | 2019-06-11 | Nikon Corporation | Method and device for inspecting spatial light modulator, and exposure method and device |
US8691476B2 (en) | 2011-12-16 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | EUV mask and method for forming the same |
JP2013193110A (ja) * | 2012-03-21 | 2013-09-30 | Sumitomo Heavy Ind Ltd | レーザ加工装置及びレーザ加工方法 |
JP5952391B2 (ja) | 2012-04-23 | 2016-07-13 | キヤノン電子株式会社 | 光走査装置及び画像読取装置 |
DE102012207220A1 (de) * | 2012-04-30 | 2013-10-31 | Robert Bosch Gmbh | Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung |
US10149390B2 (en) | 2012-08-27 | 2018-12-04 | Mycronic AB | Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs |
US9261793B2 (en) | 2012-09-14 | 2016-02-16 | Globalfoundries Inc. | Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution |
CN102914949B (zh) * | 2012-09-17 | 2015-12-09 | 天津芯硕精密机械有限公司 | 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法 |
JP2014066954A (ja) * | 2012-09-27 | 2014-04-17 | Dainippon Screen Mfg Co Ltd | 描画装置、および、描画方法 |
EP2926197A4 (en) * | 2012-10-29 | 2016-09-07 | Univ Northwestern | PROJECTED AND HEAT-ACTIVATED LITHOGRAPHY SYSTEMS AND METHODS |
RU2515672C1 (ru) * | 2012-12-18 | 2014-05-20 | Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) | Способ изготовления микрооптического растра |
KR101984898B1 (ko) | 2012-12-27 | 2019-06-03 | 삼성디스플레이 주식회사 | 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치 |
EP2757571B1 (en) * | 2013-01-17 | 2017-09-20 | IMS Nanofabrication AG | High-voltage insulation device for charged-particle optical apparatus |
KR102112751B1 (ko) * | 2013-02-01 | 2020-05-19 | 삼성디스플레이 주식회사 | 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치 |
KR102253995B1 (ko) | 2013-03-12 | 2021-05-18 | 마이크로닉 아베 | 기계적으로 생성된 정렬 표식 방법 및 정렬 시스템 |
WO2014140047A2 (en) | 2013-03-12 | 2014-09-18 | Micronic Mydata AB | Method and device for writing photomasks with reduced mura errors |
KR102171301B1 (ko) | 2013-07-09 | 2020-10-29 | 삼성디스플레이 주식회사 | Dmd를 이용한 디지털 노광기 및 그 제어 방법 |
JP2015023286A (ja) | 2013-07-17 | 2015-02-02 | アイエムエス ナノファブリケーション アーゲー | 複数のブランキングアレイを有するパターン画定装置 |
EP2830083B1 (en) | 2013-07-25 | 2016-05-04 | IMS Nanofabrication AG | Method for charged-particle multi-beam exposure |
CN103424996B (zh) * | 2013-09-03 | 2016-03-02 | 苏州大学 | 一种光学加工系统和方法 |
CN110286484A (zh) * | 2013-10-20 | 2019-09-27 | Mtt创新公司 | 光场投影装置及方法 |
EP2871525A3 (de) * | 2013-11-08 | 2015-09-23 | Limata GmbH | Lithografiebelichtungseinrichtung zur lithographischen Belichtung durch ein- oder mehrstufige Laserprojektionseinheiten mit einer oder mehreren Wellenlängen |
US9735067B2 (en) * | 2013-11-27 | 2017-08-15 | Tokyo Electron Limited | Substrate tuning system and method using optical projection |
US9645391B2 (en) * | 2013-11-27 | 2017-05-09 | Tokyo Electron Limited | Substrate tuning system and method using optical projection |
DE102014203040A1 (de) | 2014-02-19 | 2015-08-20 | Carl Zeiss Smt Gmbh | Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen |
EP2913838B1 (en) | 2014-02-28 | 2018-09-19 | IMS Nanofabrication GmbH | Compensation of defective beamlets in a charged-particle multi-beam exposure tool |
JP2015184480A (ja) * | 2014-03-24 | 2015-10-22 | 古河電気工業株式会社 | 光信号選択装置および光信号選択装置の制御方法 |
EP2927948A1 (en) * | 2014-04-04 | 2015-10-07 | Nordson Corporation | X-ray inspection apparatus for inspecting semiconductor wafers |
CN103926803B (zh) * | 2014-04-21 | 2016-03-09 | 中国科学院上海光学精密机械研究所 | 光刻机照明光源的描述方法 |
US9443699B2 (en) | 2014-04-25 | 2016-09-13 | Ims Nanofabrication Ag | Multi-beam tool for cutting patterns |
EP2944413A1 (de) * | 2014-05-12 | 2015-11-18 | Boegli-Gravures S.A. | Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen |
EP3143763B8 (en) | 2014-05-15 | 2023-12-27 | MTT Innovation Incorporated | Light projector and method for displaying an image |
EP3358599B1 (en) | 2014-05-30 | 2021-01-27 | IMS Nanofabrication GmbH | Compensation of dose inhomogeneity using row calibration |
JP6892214B2 (ja) | 2014-07-10 | 2021-06-23 | アイエムエス ナノファブリケーション ゲーエムベーハー | 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化 |
US9568907B2 (en) | 2014-09-05 | 2017-02-14 | Ims Nanofabrication Ag | Correction of short-range dislocations in a multi-beam writer |
KR20160046016A (ko) * | 2014-10-17 | 2016-04-28 | 삼성디스플레이 주식회사 | 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법 |
JP6474995B2 (ja) | 2014-11-11 | 2019-02-27 | ローランドディー.ジー.株式会社 | スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体 |
DE102015201140A1 (de) * | 2015-01-23 | 2016-07-28 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Bearbeitungskopf für die Materialbearbeitung |
JP6513980B2 (ja) * | 2015-03-16 | 2019-05-15 | 株式会社東芝 | 撮像装置及び撮像方法 |
US9653263B2 (en) | 2015-03-17 | 2017-05-16 | Ims Nanofabrication Ag | Multi-beam writing of pattern areas of relaxed critical dimension |
EP3096342B1 (en) | 2015-03-18 | 2017-09-20 | IMS Nanofabrication AG | Bi-directional double-pass multi-beam writing |
JP6593623B2 (ja) * | 2015-03-30 | 2019-10-23 | 株式会社ニコン | 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法 |
DE102015217523B4 (de) | 2015-04-28 | 2022-03-31 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht |
US10410831B2 (en) | 2015-05-12 | 2019-09-10 | Ims Nanofabrication Gmbh | Multi-beam writing using inclined exposure stripes |
DE102016204703B4 (de) | 2016-03-22 | 2022-08-04 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene |
US10325756B2 (en) | 2016-06-13 | 2019-06-18 | Ims Nanofabrication Gmbh | Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer |
JP6818393B2 (ja) * | 2016-09-01 | 2021-01-20 | 株式会社オーク製作所 | 露光装置 |
US10799998B2 (en) * | 2016-10-17 | 2020-10-13 | Virtek Vision International Ulc | Laser projector with flash alignment |
AU2017387099B2 (en) * | 2016-12-27 | 2023-02-02 | DePuy Synthes Products, Inc. | Systems, methods, and devices for providing illumination in an endoscopic imaging environment |
US10325757B2 (en) | 2017-01-27 | 2019-06-18 | Ims Nanofabrication Gmbh | Advanced dose-level quantization of multibeam-writers |
EP3598236A4 (en) * | 2017-03-16 | 2021-01-20 | Nikon Corporation | CONTROL DEVICE AND CONTROL PROCESS, EXPOSURE DEVICE AND EXPOSURE PROCESS, DEVICE MANUFACTURING PROCESS, DATA PRODUCTION PROCESS AND PROGRAM |
GB2560584B (en) * | 2017-03-17 | 2021-05-19 | Optalysys Ltd | Optical processing systems |
US10522329B2 (en) | 2017-08-25 | 2019-12-31 | Ims Nanofabrication Gmbh | Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus |
CN109426091B (zh) * | 2017-08-31 | 2021-01-29 | 京东方科技集团股份有限公司 | 曝光装置、曝光方法及光刻方法 |
US11569064B2 (en) | 2017-09-18 | 2023-01-31 | Ims Nanofabrication Gmbh | Method for irradiating a target using restricted placement grids |
CN107728312A (zh) | 2017-10-24 | 2018-02-23 | 上海天马微电子有限公司 | 一种空间光调制器及显示装置 |
US10651010B2 (en) | 2018-01-09 | 2020-05-12 | Ims Nanofabrication Gmbh | Non-linear dose- and blur-dependent edge placement correction |
US10840054B2 (en) | 2018-01-30 | 2020-11-17 | Ims Nanofabrication Gmbh | Charged-particle source and method for cleaning a charged-particle source using back-sputtering |
JP7260959B2 (ja) * | 2018-03-16 | 2023-04-19 | キヤノン株式会社 | リソグラフィ装置、照明装置及び物品の製造方法 |
US10983444B2 (en) * | 2018-04-26 | 2021-04-20 | Applied Materials, Inc. | Systems and methods of using solid state emitter arrays |
US10761430B2 (en) | 2018-09-13 | 2020-09-01 | Applied Materials, Inc. | Method to enhance the resolution of maskless lithography while maintaining a high image contrast |
JP7283893B2 (ja) * | 2018-12-03 | 2023-05-30 | 株式会社エスケーエレクトロニクス | フォトマスクの製造方法 |
US11679555B2 (en) | 2019-02-21 | 2023-06-20 | Sprintray, Inc. | Reservoir with substrate assembly for reducing separation forces in three-dimensional printing |
US11099482B2 (en) | 2019-05-03 | 2021-08-24 | Ims Nanofabrication Gmbh | Adapting the duration of exposure slots in multi-beam writers |
DE102019115554A1 (de) * | 2019-06-07 | 2020-12-10 | Bystronic Laser Ag | Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks |
CN110456609B (zh) * | 2019-08-09 | 2021-04-09 | 中国科学院光电技术研究所 | 一种适用于无掩模数字光刻的邻近效应校正方法 |
CN113050381B (zh) * | 2019-12-27 | 2022-04-26 | 上海微电子装备(集团)股份有限公司 | 一种拼接物镜的剂量控制装置、方法和曝光设备 |
KR20210132599A (ko) | 2020-04-24 | 2021-11-04 | 아이엠에스 나노패브릭케이션 게엠베하 | 대전 입자 소스 |
PL4048472T3 (pl) | 2020-08-14 | 2024-08-19 | Ceramic Data Solutions GmbH | Sposób i urządzenie do szybkiego rejestrowania danych na warstwie lub w warstwie (10) pierwszego materiału za pomocą lasera, galwanometru i mikrozwierciadła cyfrowego |
SE545314C2 (en) * | 2021-03-30 | 2023-06-27 | Sense Range Ab | Method and apparatus for laser beam mudulation and beam steering |
DE102021108339B4 (de) | 2021-04-01 | 2023-12-07 | Hochschule Anhalt, Körperschaft des öffentlichen Rechts | Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays |
EP4095882A1 (en) | 2021-05-25 | 2022-11-30 | IMS Nanofabrication GmbH | Pattern data processing for programmable direct-write apparatus |
CN113210873B (zh) * | 2021-06-03 | 2022-04-05 | 北京理工大学 | 一种基于电子动态调控的金属纳米网的制备方法 |
DE102023101782B3 (de) | 2023-01-25 | 2024-06-13 | Leica Microsystems Cms Gmbh | Vorrichtung und Verfahren zum Erzeugen eines zusammengesetzten Bildes einer Probe |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62249165A (ja) * | 1986-03-14 | 1987-10-30 | ガ−バ−・サイエンテイフイツク・インコ−ポレ−テツド | 光弁装置を用いたフオトプロツタおよび画像の感光方法 |
JPH0536595A (ja) * | 1991-08-02 | 1993-02-12 | Fujitsu Ltd | 電子線露光方法 |
JPH0688943A (ja) * | 1990-09-28 | 1994-03-29 | Texas Instr Inc <Ti> | 完全複合形光変調の方法 |
JPH06281869A (ja) * | 1992-04-03 | 1994-10-07 | Texas Instr Inc <Ti> | 多相光変調の方法および装置 |
JPH0894945A (ja) * | 1994-09-14 | 1996-04-12 | Texas Instr Inc <Ti> | 空間光変調器に基づく位相コントラスト画像投射装置 |
JPH08505003A (ja) * | 1992-11-02 | 1996-05-28 | イーテック・システムズ・インコーポレーテッド | パターン発生装置用のラスタライザ |
US5691541A (en) * | 1996-05-14 | 1997-11-25 | The Regents Of The University Of California | Maskless, reticle-free, lithography |
WO1998004950A1 (en) * | 1996-07-25 | 1998-02-05 | Anvik Corporation | Seamless, maskless lithography system using spatial light modulator |
Family Cites Families (57)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2030468A5 (ja) * | 1969-01-29 | 1970-11-13 | Thomson Brandt Csf | |
US3896338A (en) * | 1973-11-01 | 1975-07-22 | Westinghouse Electric Corp | Color video display system comprising electrostatically deflectable light valves |
US4317611A (en) * | 1980-05-19 | 1982-03-02 | International Business Machines Corporation | Optical ray deflection apparatus |
US4467211A (en) * | 1981-04-16 | 1984-08-21 | Control Data Corporation | Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system |
US4430571A (en) * | 1981-04-16 | 1984-02-07 | Control Data Corporation | Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system |
US5171965A (en) * | 1984-02-01 | 1992-12-15 | Canon Kabushiki Kaisha | Exposure method and apparatus |
US4566935A (en) | 1984-07-31 | 1986-01-28 | Texas Instruments Incorporated | Spatial light modulator and method |
US5061049A (en) * | 1984-08-31 | 1991-10-29 | Texas Instruments Incorporated | Spatial light modulator and method |
US4596992A (en) * | 1984-08-31 | 1986-06-24 | Texas Instruments Incorporated | Linear spatial light modulator and printer |
JPS6370423A (ja) * | 1986-09-11 | 1988-03-30 | Toshiba Corp | パタ−ン形成方法 |
JPH01154519A (ja) * | 1987-12-11 | 1989-06-16 | Hitachi Ltd | 半導体装置の製造方法 |
US5523193A (en) * | 1988-05-31 | 1996-06-04 | Texas Instruments Incorporated | Method and apparatus for patterning and imaging member |
US6348907B1 (en) * | 1989-08-22 | 2002-02-19 | Lawson A. Wood | Display apparatus with digital micromirror device |
JP2938568B2 (ja) * | 1990-05-02 | 1999-08-23 | フラウンホファー・ゲゼルシャフト・ツール・フォルデルング・デル・アンゲバンテン・フォルシュング・アインゲトラーゲネル・フェライン | 照明装置 |
US5073010A (en) * | 1990-05-11 | 1991-12-17 | University Of Colorado Foundation, Inc. | Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member |
JPH0423314A (ja) * | 1990-05-15 | 1992-01-27 | Kawasaki Steel Corp | 露光装置 |
DE4022732A1 (de) | 1990-07-17 | 1992-02-20 | Micronic Laser Systems Ab | Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung |
JP2902506B2 (ja) * | 1990-08-24 | 1999-06-07 | キヤノン株式会社 | 半導体装置の製造方法及び半導体装置 |
CA2075026A1 (en) * | 1991-08-08 | 1993-02-09 | William E. Nelson | Method and apparatus for patterning an imaging member |
WO1993009469A1 (de) | 1991-10-30 | 1993-05-13 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Belichtungsvorrichtung |
DE69226511T2 (de) * | 1992-03-05 | 1999-01-28 | Micronic Laser Systems Ab, Taeby | Verfahren und Vorrichtung zur Belichtung von Substraten |
JP3296448B2 (ja) * | 1993-03-15 | 2002-07-02 | 株式会社ニコン | 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法 |
JP3372086B2 (ja) * | 1993-08-06 | 2003-01-27 | 株式会社ニコン | 露光方法及び装置、並びにデバイスの製造方法 |
EP0657760A1 (en) | 1993-09-15 | 1995-06-14 | Texas Instruments Incorporated | Image simulation and projection system |
US5467146A (en) * | 1994-03-31 | 1995-11-14 | Texas Instruments Incorporated | Illumination control unit for display system with spatial light modulator |
US5497258A (en) * | 1994-05-27 | 1996-03-05 | The Regents Of The University Of Colorado | Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning |
US5539567A (en) * | 1994-06-16 | 1996-07-23 | Texas Instruments Incorporated | Photolithographic technique and illuminator using real-time addressable phase shift light shift |
US5504504A (en) | 1994-07-13 | 1996-04-02 | Texas Instruments Incorporated | Method of reducing the visual impact of defects present in a spatial light modulator display |
ATE349024T1 (de) * | 1994-08-04 | 2007-01-15 | Texas Instruments Inc | Anzeigevorrichtung |
US5614990A (en) | 1994-08-31 | 1997-03-25 | International Business Machines Corporation | Illumination tailoring system using photochromic filter |
JP3335011B2 (ja) * | 1994-09-16 | 2002-10-15 | 富士通株式会社 | マスク及びこれを用いる荷電粒子ビーム露光方法 |
US5754217A (en) * | 1995-04-19 | 1998-05-19 | Texas Instruments Incorporated | Printing system and method using a staggered array spatial light modulator having masked mirror elements |
JPH08304924A (ja) * | 1995-05-10 | 1996-11-22 | Nikon Corp | プロジェクター装置 |
US5835256A (en) | 1995-06-19 | 1998-11-10 | Reflectivity, Inc. | Reflective spatial light modulator with encapsulated micro-mechanical elements |
US5661591A (en) * | 1995-09-29 | 1997-08-26 | Texas Instruments Incorporated | Optical switch having an analog beam for steering light |
JP3617558B2 (ja) * | 1995-11-17 | 2005-02-09 | 株式会社ニコン | 露光量制御方法、露光装置、及び素子製造方法 |
JPH09148221A (ja) * | 1995-11-21 | 1997-06-06 | Seiko Epson Corp | 露光方法及び露光装置及びそれに用いるレチクル |
JP3884098B2 (ja) * | 1996-03-22 | 2007-02-21 | 株式会社東芝 | 露光装置および露光方法 |
JPH1050604A (ja) * | 1996-04-04 | 1998-02-20 | Nikon Corp | 位置管理方法及び位置合わせ方法 |
JP3512945B2 (ja) * | 1996-04-26 | 2004-03-31 | 株式会社東芝 | パターン形成方法及びパターン形成装置 |
US5870176A (en) * | 1996-06-19 | 1999-02-09 | Sandia Corporation | Maskless lithography |
JPH1011813A (ja) * | 1996-06-26 | 1998-01-16 | Nec Corp | 露光方法及び露光装置 |
US6312134B1 (en) * | 1996-07-25 | 2001-11-06 | Anvik Corporation | Seamless, maskless lithography system using spatial light modulator |
JPH10209019A (ja) * | 1997-01-27 | 1998-08-07 | Sony Corp | 露光パターン投影デバイス及び露光装置 |
US5847959A (en) * | 1997-01-28 | 1998-12-08 | Etec Systems, Inc. | Method and apparatus for run-time correction of proximity effects in pattern generation |
JPH113849A (ja) * | 1997-06-12 | 1999-01-06 | Sony Corp | 可変変形照明フィルタ及び半導体露光装置 |
US5790297A (en) * | 1997-06-26 | 1998-08-04 | Xerox Corporation | Optical row displacement for a fault tolerant projective display |
US5774254A (en) * | 1997-06-26 | 1998-06-30 | Xerox Corporation | Fault tolerant light modulator display system |
DE69835776T2 (de) | 1997-10-29 | 2007-08-30 | E. Calum Vancouver MacAulay | Gerät und Verfahren zur Mikroskopie unter Verwendung räumlich modulierten Lichtes |
SE9800665D0 (sv) | 1998-03-02 | 1998-03-02 | Micronic Laser Systems Ab | Improved method for projection printing using a micromirror SLM |
US6195196B1 (en) * | 1998-03-13 | 2001-02-27 | Fuji Photo Film Co., Ltd. | Array-type exposing device and flat type display incorporating light modulator and driving method thereof |
US6142641A (en) * | 1998-06-18 | 2000-11-07 | Ultratech Stepper, Inc. | Four-mirror extreme ultraviolet (EUV) lithography projection system |
US6489984B1 (en) | 1998-12-29 | 2002-12-03 | Kenneth C. Johnson | Pixel cross talk suppression in digital microprinters |
US6498685B1 (en) | 1999-01-11 | 2002-12-24 | Kenneth C. Johnson | Maskless, microlens EUV lithography system |
SE516914C2 (sv) | 1999-09-09 | 2002-03-19 | Micronic Laser Systems Ab | Metoder och rastrerare för högpresterande mönstergenerering |
SE517550C2 (sv) * | 2000-04-17 | 2002-06-18 | Micronic Laser Systems Ab | Mönstergenereringssystem användande en spatialljusmodulator |
US6425669B1 (en) * | 2000-05-24 | 2002-07-30 | Ball Semiconductor, Inc. | Maskless exposure system |
-
1998
- 1998-03-02 SE SE9800665A patent/SE9800665D0/xx unknown
-
1999
- 1999-03-02 DE DE69938895T patent/DE69938895D1/de not_active Expired - Lifetime
- 1999-03-02 WO PCT/SE1999/000291 patent/WO1999045435A1/en active Application Filing
- 1999-03-02 JP JP2000534917A patent/JP2002506232A/ja active Pending
- 1999-03-02 DE DE69943041T patent/DE69943041D1/de not_active Expired - Lifetime
- 1999-03-02 AT AT99908041T patent/ATE309557T1/de not_active IP Right Cessation
- 1999-03-02 EP EP05013286A patent/EP1600817B1/en not_active Expired - Lifetime
- 1999-03-02 AU AU32842/99A patent/AU3284299A/en not_active Abandoned
- 1999-03-02 KR KR10-2000-7009717A patent/KR100451026B1/ko not_active IP Right Cessation
- 1999-03-02 EP EP99908042A patent/EP1060440B1/en not_active Expired - Lifetime
- 1999-03-02 ES ES99908054T patent/ES2357473T3/es not_active Expired - Lifetime
- 1999-03-02 CN CNA2004100353616A patent/CN1550902A/zh active Pending
- 1999-03-02 AU AU27557/99A patent/AU2755799A/en not_active Abandoned
- 1999-03-02 WO PCT/SE1999/000296 patent/WO1999045438A1/en active Application Filing
- 1999-03-02 EP EP99908055A patent/EP1060442B1/en not_active Expired - Lifetime
- 1999-03-02 AT AT99908055T patent/ATE398299T1/de not_active IP Right Cessation
- 1999-03-02 US US09/623,270 patent/US6687041B1/en not_active Expired - Lifetime
- 1999-03-02 RU RU2000124871/28A patent/RU2232411C2/ru not_active IP Right Cessation
- 1999-03-02 JP JP2000534916A patent/JP2002506231A/ja active Pending
- 1999-03-02 DE DE69943040T patent/DE69943040D1/de not_active Expired - Lifetime
- 1999-03-02 WO PCT/SE1999/000310 patent/WO1999045439A1/en active IP Right Grant
- 1999-03-02 EP EP99908056A patent/EP1060443B1/en not_active Expired - Lifetime
- 1999-03-02 JP JP2000534915A patent/JP2002506230A/ja active Pending
- 1999-03-02 AU AU27556/99A patent/AU2755699A/en not_active Abandoned
- 1999-03-02 AT AT99908056T patent/ATE398792T1/de not_active IP Right Cessation
- 1999-03-02 DE DE69936950T patent/DE69936950T2/de not_active Expired - Lifetime
- 1999-03-02 US US09/623,200 patent/US6399261B1/en not_active Expired - Lifetime
- 1999-03-02 JP JP2000534919A patent/JP2002506234A/ja not_active Withdrawn
- 1999-03-02 AT AT99908042T patent/ATE491970T1/de not_active IP Right Cessation
- 1999-03-02 RU RU2000124872/28A patent/RU2257603C2/ru not_active IP Right Cessation
- 1999-03-02 DE DE69938921T patent/DE69938921D1/de not_active Expired - Lifetime
- 1999-03-02 WO PCT/SE1999/000311 patent/WO1999045440A1/en active Application Filing
- 1999-03-02 AU AU27568/99A patent/AU2756899A/en not_active Abandoned
- 1999-03-02 US US09/623,194 patent/US6428940B1/en not_active Expired - Lifetime
- 1999-03-02 EP EP99908041A patent/EP1060439B1/en not_active Expired - Lifetime
- 1999-03-02 US US09/623,195 patent/US6747783B1/en not_active Expired - Lifetime
- 1999-03-02 US US09/623,308 patent/US6373619B1/en not_active Expired - Lifetime
- 1999-03-02 CN CNB998034770A patent/CN1189794C/zh not_active Expired - Fee Related
- 1999-03-02 JP JP2000534921A patent/JP2002506236A/ja active Pending
- 1999-03-02 JP JP2000534920A patent/JP2002506235A/ja active Pending
- 1999-03-02 AU AU27569/99A patent/AU2756999A/en not_active Abandoned
- 1999-03-02 KR KR10-2000-7009716A patent/KR100474121B1/ko not_active IP Right Cessation
- 1999-03-02 WO PCT/SE1999/000292 patent/WO1999045436A1/en active IP Right Grant
- 1999-03-02 WO PCT/SE1999/000312 patent/WO1999045441A1/en active Application Filing
- 1999-03-02 CN CNB998034762A patent/CN1173234C/zh not_active Expired - Fee Related
- 1999-03-02 DE DE69928232T patent/DE69928232T2/de not_active Expired - Lifetime
- 1999-03-02 AU AU27555/99A patent/AU2755599A/en not_active Abandoned
- 1999-03-02 WO PCT/SE1999/000293 patent/WO1999045437A1/en active IP Right Grant
- 1999-03-02 JP JP2000534918A patent/JP2002506233A/ja active Pending
- 1999-03-02 AT AT99908054T patent/ATE491971T1/de not_active IP Right Cessation
- 1999-03-02 US US09/623,311 patent/US6504644B1/en not_active Expired - Lifetime
- 1999-03-02 AU AU27570/99A patent/AU2757099A/en not_active Abandoned
- 1999-03-02 US US09/623,310 patent/US6285488B1/en not_active Expired - Lifetime
- 1999-03-02 EP EP99908054A patent/EP1060441B1/en not_active Expired - Lifetime
-
2003
- 2003-05-30 US US10/449,661 patent/US6987599B2/en not_active Expired - Lifetime
-
2004
- 2004-02-12 US US10/776,192 patent/US7009753B2/en not_active Expired - Lifetime
-
2005
- 2005-06-20 US US11/157,043 patent/US7034986B2/en not_active Expired - Lifetime
- 2005-09-16 JP JP2005269967A patent/JP2006080539A/ja active Pending
- 2005-12-22 US US11/314,413 patent/US7365901B2/en not_active Expired - Fee Related
-
2006
- 2006-04-24 US US11/409,605 patent/US7184192B2/en not_active Expired - Lifetime
-
2007
- 2007-10-31 US US11/980,423 patent/US7800815B2/en not_active Expired - Fee Related
-
2008
- 2008-09-17 JP JP2008237405A patent/JP2009033190A/ja active Pending
-
2009
- 2009-02-03 US US12/320,713 patent/US7710634B2/en not_active Expired - Fee Related
- 2009-02-03 US US12/320,712 patent/US7787174B2/en not_active Expired - Fee Related
- 2009-10-09 JP JP2009235389A patent/JP2010015176A/ja active Pending
- 2009-10-09 JP JP2009235543A patent/JP2010016404A/ja active Pending
-
2010
- 2010-04-28 US US12/662,675 patent/US7957055B2/en not_active Expired - Fee Related
- 2010-06-16 JP JP2010137427A patent/JP2010267978A/ja active Pending
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62249165A (ja) * | 1986-03-14 | 1987-10-30 | ガ−バ−・サイエンテイフイツク・インコ−ポレ−テツド | 光弁装置を用いたフオトプロツタおよび画像の感光方法 |
JPH0688943A (ja) * | 1990-09-28 | 1994-03-29 | Texas Instr Inc <Ti> | 完全複合形光変調の方法 |
JPH0536595A (ja) * | 1991-08-02 | 1993-02-12 | Fujitsu Ltd | 電子線露光方法 |
JPH06281869A (ja) * | 1992-04-03 | 1994-10-07 | Texas Instr Inc <Ti> | 多相光変調の方法および装置 |
JPH08505003A (ja) * | 1992-11-02 | 1996-05-28 | イーテック・システムズ・インコーポレーテッド | パターン発生装置用のラスタライザ |
JPH0894945A (ja) * | 1994-09-14 | 1996-04-12 | Texas Instr Inc <Ti> | 空間光変調器に基づく位相コントラスト画像投射装置 |
US5691541A (en) * | 1996-05-14 | 1997-11-25 | The Regents Of The University Of California | Maskless, reticle-free, lithography |
WO1998004950A1 (en) * | 1996-07-25 | 1998-02-05 | Anvik Corporation | Seamless, maskless lithography system using spatial light modulator |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011093412A1 (ja) | 2010-01-27 | 2011-08-04 | 東洋インキScホールディングス株式会社 | インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物 |
JP2013012639A (ja) * | 2011-06-30 | 2013-01-17 | Dainippon Screen Mfg Co Ltd | パターン描画装置およびパターン描画方法 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2010015176A (ja) | アドレス・レゾリューションが改善されたパターン・ジェネレータ | |
JP4495898B2 (ja) | 改良型パターン・ジェネレータ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20091009 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100216 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100517 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100520 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100616 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100621 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20100716 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100722 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20101022 |