KR100474121B1 - Euv을 이용한 패턴 발생기 - Google Patents

Euv을 이용한 패턴 발생기 Download PDF

Info

Publication number
KR100474121B1
KR100474121B1 KR10-2000-7009716A KR20007009716A KR100474121B1 KR 100474121 B1 KR100474121 B1 KR 100474121B1 KR 20007009716 A KR20007009716 A KR 20007009716A KR 100474121 B1 KR100474121 B1 KR 100474121B1
Authority
KR
South Korea
Prior art keywords
pattern
modulator
slm
pixels
image
Prior art date
Application number
KR10-2000-7009716A
Other languages
English (en)
Other versions
KR20010052196A (ko
Inventor
토르프외른 잔트스트룀
Original Assignee
마이크로닉 레이저 시스템즈 에이비
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로닉 레이저 시스템즈 에이비 filed Critical 마이크로닉 레이저 시스템즈 에이비
Publication of KR20010052196A publication Critical patent/KR20010052196A/ko
Application granted granted Critical
Publication of KR100474121B1 publication Critical patent/KR100474121B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/435Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
    • B41J2/465Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • G02B27/0037Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
    • G02B27/0043Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4205Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/34Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
    • G09G3/3433Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
    • G09G3/346Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/04Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
    • H04N1/19Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
    • H04N1/195Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/74Projection arrangements for image reproduction, e.g. using eidophor
    • H04N5/7416Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
    • H04N5/7458Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/2007Display of intermediate tones
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N2201/00Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
    • H04N2201/04Scanning arrangements
    • H04N2201/0402Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
    • H04N2201/0414Scanning an image in a series of overlapping zones

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Mechanical Light Control Or Optical Switches (AREA)
  • Permanent Magnet Type Synchronous Machine (AREA)
  • Testing, Inspecting, Measuring Of Stereoscopic Televisions And Televisions (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Inorganic Insulating Materials (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Control Of Motors That Do Not Use Commutators (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Soft Magnetic Materials (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Control Of Eletrric Generators (AREA)
  • Control Of Electric Motors In General (AREA)
  • Lubricants (AREA)
  • Error Detection And Correction (AREA)
  • Burglar Alarm Systems (AREA)
  • Synchronisation In Digital Transmission Systems (AREA)
  • Eye Examination Apparatus (AREA)
  • Mirrors, Picture Frames, Photograph Stands, And Related Fastening Devices (AREA)
  • Gyroscopes (AREA)
  • Image Processing (AREA)
  • Electron Beam Exposure (AREA)
  • Medicines That Contain Protein Lipid Enzymes And Other Medicines (AREA)
  • Magnetic Resonance Imaging Apparatus (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
  • Details Of Garments (AREA)

Abstract

본 발명은 반도체 칩상의 패턴과 같이, 초고 해상도를 갖는 패턴을 발생하는 장치에 관계된 것이다. 상기 장치는 EUV 파장 범위에서 전자기파 방사를 방출하는 소스, 다수의 픽셀을 갖는 공간 변조기(SLM), 기록될 상기 패턴의 디지털 설명을 수신하고, 그것으로부터 부분적인 패턴 시퀀스를 추출하고, 상기 부분적인 패턴을 변조기 신호로 변환하고, 상기 변조기 신호를 상기 공간 변조기에 공급하는 전자 데이터 처리 및 전달 시스템, 및 상기 제품 및/또는 상기 투사 시스템을 서로 상대적으로 이동시키기 위한 정밀 기계 시스템으로 구성된다. 본 발명은 또한 상기 제품의 이동, 상기 변조기 신호의 변조기에의 공급, 그리고 상기 방사의 세기를 조절하여(coordinate), 상기 패턴이 부분적인 패턴의 상기 시퀀스에 의해 만들어진 부분적인 이미지들로부터 함께 스티치되도록(stitched) 하는 전자 제어 시스템을 포함한다.

Description

EUV을 이용한 패턴 발생기 {PATTERN GENERATOR USING EUV}
본 발명은 반도체 기기나 디스플레이를 위한 포토마스크와 같은 감광성 표면에 극도로 높은 정밀도를 가진 패턴을 인쇄하는 기술에 관련된 것이다. 본 발명은 또한 반도체 기기 패턴의 직접적인 기록, 디스플레이 패널, 집적 광학 기기와 전자식 상호 연결 구조에도 관련되어 있다. 나아가 본 발명은 안전 인쇄와 같은 다른 형태의 정밀 인쇄에도 적용될 수 있다. 인쇄(printing)라는 용어는 넓은 의미로 이해되어야 하며 포토레지스트(감광수지)와 포토그래픽 에멀젼(photographic emulsion)의 노광(exposure)뿐만 아니라, 빛 또는 열에 의해 활성화되는 화학 프로세스 또는 제거(ablation)에 의한, 드라이 프로세스 페이퍼(dry process paper)와 같은 다른 감광 매체에서의 빛의 작용을 의미하기도 한다. 여기에서의 빛은 극자외선(Extreme Ultra Violet ; EUV)를 의미하는 것으로 제한된다. 여기서의 극자외선은 그 파장이 100nm에서 그것의 방사가 빛으로 취급되는 것이 가능할 정도의 낮은 범위 사이에 해당되는 것으로 정의된다. 전형적인 극자외선(EUV)의 파장은 13nm이다.
다른 의미에서 본 발명은 공간 광 변조기(spatial light modulators, SLM)와 프로젝션 디스플레이(projection displays) 및 그런 변조기를 이용하는 프린터의 기술과 과학에 관계된 것이다. 특히, 본 발명은 그레이 스케일 특성, 초점을 통한 영상의 안정도(image stability through focus), 영상의 균일성(image uniformity), 아날로그 변조 기술에 의해서 그러한 변조기를 위한 데이터 처리를 향상시킨다. 아날로그 변조기술의 가장 중요한 용도는 패턴의 에지 위치에 의해 구체화된 증분인, 어드레스 그리드를 갖는 포토레지스트와 같은 고 휘도(high contrast)물질에 공간 광 변조기의 픽셀에 의해 만들어지는 그리드 보다 훨씬 더 정교한 영상을 형성하는 것이다.
현재 기술에서, 마이크로 미러 타입의 마이크로미러(micromirror) 공간 광 변조기(SLM)의 투사(projection)를 이용하는 정밀 패턴 발생기를 만드는 것은 이미 알려진 기술이다(Nelson 1988, Kueck 1990). 패턴 발생기에서 SLM을 사용하는 것은, 더 많이 알려진 방법인 스캐닝 레이저 스팟(scanning laser spot)을 이용한 방법에 비해 많은 장점들을 가지고 있다. 즉, SLM은 대형 병렬 기기이고, 초당 기록될 수 있는 픽셀의 수가 극도로 많다. SLM의 조명은 중요하지 않은 것이라는 점에서 광학시스템 또한 더 간단하다. 반면에 레이저 스캐너에서는 모든 빔 경로가 고도의 정밀도를 가지고 만들어져야 한다. 몇몇 타입의 스캐너들 특히 전자 광학적(electrooptic)이고 음향 광학적(acoustooptic)인 것들에 비해서, 마이크로미러 SLM은 순수한 반사 기기이기 때문에 더 짧은 파장에서 사용될 수 있다.
위에서 인용된 두 가지 모두의 참고문헌에서는, 공간변조기는 각 픽셀에서의 온-오프 변조만을 사용한다. 입력 데이터는 1 비트 깊이의 픽셀 맵으로 변환된다. 즉, 각 픽셀에서 0 및 1의 값을 갖는 픽셀 맵으로 변환된다. 변환은 그래픽 처리기나 영역 채우기 명령어들(area fill instructions)을 가진 통상의 논리회로(custom logic)를 사용하여 효과적으로 수행될 수 있다.
같은 발명자 Sandstroem(Sandstroem 등. 1990)에 의한 이전 발명에서는, 레이저 스캐너에 의해 만들어진 이미지에서 패턴 엘리먼트(element)의 에지의 위치를 정교하게 조정하기 위하여, 패턴 엘리먼트의 에지에서 중간 노출값을 사용할 수 있다고 설명되어 있다.
바람직하게는, 비디오 이미지의 프로젝션 디스플레이와 인쇄를 위하여 픽셀이 턴온되는 시간을 변경하거나 픽셀이 턴온되는 횟수를 바꿔가며 동일한 픽셀을 수회 프린팅하는 방식의 SLM으로, 그레이 스케일 이미지를 만들어내는 것도 또한 공지되어 있다. 본 발명은 초정밀 패턴의 발생을 목적으로, 공간 광 변조기를 이용하여 직접적인 그레이 스케일 생성을 위한 시스템에 관한 것이다. 바람직한 실시예에 있어서는, 중요한 측면은 픽셀과 픽셀간의 이미지의 균일성과 SLM의 픽셀들에 대한 피쳐(feature)의 정확한 배치의 독립성, 그리고 의도적이든 우연히든 초점이 변화된 경우에서의 안정도이다.
구체적으로 설명하면, 전통적인 패턴 발생기에서 EUV는 조명(illumination)으로 사용될 수 없었다. 이것은 EUV가 반사성 광학계(reflective optics)을 필요로 하기 때문이다. 또한, EUV는 파장이 매우 짧기 때문에, 더 세밀한 패턴들의 인쇄를 위해 사용될 수 있다. 그러나, 이것은 통상의 기술로는 얻을 수 없는 매우 높은 데이터율(data rate)을 필요로 한다.
마이크로리소그래피(microlithography)를 위한 광학 패턴 발생기는 전형적으로 파장이 350nm-450nm사이인 빛을 사용하며, 제한된 광학적 해상도를 가지고 있다. 파장이 250nm근처의 딥(deep) UV를 사용하는 차세대 패턴 발생기는 개발중에 있으나, 상용화되어 있지 않다. 이 차세대 장비는 광학적 해상도가 거의 두배이지만, 물리 법칙, 그리고 적당한 광학물질 및 광원(source)의 이용가능성은 해상도를 더 증가시키는 것을 어렵게 한다.
도 1은 종래기술에서의 프린터를 보여준다. SLM은 렌즈 눈동자에서 나온 빛을 편향시키는 마이크로 미러로 구성된다.
도 2는 위쪽 4개의 픽셀은 오프상태이고 나머지 5개의 픽셀은 온 상태인 다수의 픽셀 디자인을 보여준다.
도 3은 상하운동하므로써 위상차를 발생시키는 픽셀들의 배열을 보여준다. 이것이 위상타입의 SLM으로 에지가 정교하게 위치될 수 있는 방식이다.
도 4는 편향 거울을 가진 SLM과 변형거울을 가진 SLM의 도식적 비교이다.
도 5는 SLM으로 전해지는 데이터를 번역하고 전달하는 방법의 흐름도를 보여준다.
도 6은 본 발명의 바람직한 실시예에 따른 패턴 발생기이다.
도 7은 EUV가 방사장치로 사용된 본 발명에 따른 패턴 발생기의 한 바람직한 실시예이다.
본 발명의 목적은 조명을 위해 EUV를 이용하는 패턴 발생기를 제공하는 것이다.이 목적은 첨부된 청구항에 따른 장치에 의해 달성된다.
삭제
반도체 칩 상의 50nm의 선폭을 갖는 패턴과 같이 제품(workpiece)상에 초고 해상도를 가진 패턴을 발생시키는 장치로서, EUV 파장 범위에서 전자기파 방사선을 방출하는 소스, 상기 전자기파 방사선에 의해서 조명되도록 수정된 다수의 변조 엘리먼트들(픽셀들)을 갖는 공간 변조기(spatial modulator), 상기 제품에 상기 공간 변조기의 이미지를 만들어내는 투사시스템, 기록될 상기 패턴의 디지털 디스크립션을 수신하고, 그것으로부터 부분적인 패턴들의 시퀀스(sequence)를 추출하고, 상기 부분적인 패턴들을 변조기 신호들로 변환하고, 상기 신호를 상기 공간 변조기에 공급하는 전자 데이터 처리 및 전달 시스템, 상기 제품 및/또는 투사 시스템을 서로에 대해 이동시키기 위한 정밀 기계 시스템, 및 상기 제품의 이동과 상기 변조기 신호의 상기 변조기에의 공급, 그리고 상기 방사선의 세기를 조절(coordinate)하여, 상기 패턴이 부분적인 패턴의 상기 시퀀스에 의해 만들어진 부분적인 이미지들로부터 함께 스티치(stitch)되도록 하는 전자 제어 시스템을 제공한다.
변조기로 SLM을 사용하면, EUV를 사용하는 것이 가능하다. 그러한 장치를 사용하면 패턴의 매우 작은 피쳐들(features)도 기록될 수 있을 것이다. 공간 광 변조기의 독특한 특성들, 즉 전반사 광 경로와 매우 높은 데이터율(data rate)을 얻을 수 있는 가능성은 EUV를 사용해서 패턴 발생기를 만들고 이미 알려진 기술보다 실질적으로 더 높은 광학적 해상도를 얻을 수 있도록 해 준다. 그리고 동시에, 이론적인 기록 속도도 달성할 수 있게 해 준다. 입자 빔들 또는 X-ray가 포커싱(focusing)되는 옵티칼 스캐닝(optical scanning)을 포함하는, 이전에 알려진 기술들은 성능과 처리량의 동일한 조합을 달성할 수 없다.
기본적인 발명의 이해를 위해, SLM을 갖는 일반적인 투영 프린터를 나타내는 도 1을 참조한다. 반사에 기반을 둔 공간 광 변조기는 두가지 변형예로서, 편향타입(the deflection type, Nelson)과 위상타입(the phase type, Kueck)을 갖는다. 마이크로미러들이 있는 특별한 경우에는 상기 두 타입의 차이점이 작아 보일 수 있지만, 위상 SLM은 상쇄간섭에 의해서 거울(specular) 방향의 광선을 소멸시킨다. 그에 반해 편향 SLM의 픽셀은, 거울 광선(specular beam)을 기하학적으로 한쪽으로 편향시켜, 도 1에 나타내어진 바와 같이 이미징 렌즈의 개구를 통과하지 못하게 한다. 본 발명에서 수행되어지는 것과 같은 초정밀 인쇄를 위하여, Kueck 1990에 기술된 위상 변조 시스템이 편향타입보다 우월하다. 첫째, 위상 변조 타입은 표면의 모든 부분뿐만 아니라 경첩(hinge) 그리고 지지대(support post)들도 상쇄간섭에 참여하게 되어 전체적인 소멸이 달성될 수 있다. 둘째, 측면으로 빛을 편향시킴으로써 작용하는 시스템은, 중간의 편향 각도에서 광학적 축 주위에서 대칭이 되도록 만들기 어렵다. 이것은 초점이 변화하면 피쳐(feature)가 불안정해지는 위험을 발생시키게 된다. 바람직한 실시예에서는, 위상 타입이 사용되지만 누군가가 편향타입이 비대칭인 경우를 받아들이거나 설계한다면 편향타입도 또한 사용될 수 있다. 이것이 도 4에 도식적으로 설명되어 있다. 첫번째로 도 4a에서 편향되지 않은 마이크로미러(401)에 빛이 비추어진다. 반사되는 빛은 개구(402)로 향하지 않고 따라서 빛은 기판(403)에 도달하지 않는다. 한편, 도 4b에서는 미러(mirror)가 완전히 편향되어 모든 반사된 빛은 개구로 향하게 된다. 중간위치에서는 반사된 빛의 일부만이 기판에 도달할 것이고, 이것이 도 4c에 도시되어 있다. 그러나 이 경우에 빛은 렌즈(404)의 광학적 축 주위로 대칭적이지 않고, 기판상에 경사 입사된다. 그 결과, 렌즈와 기판 영역사이의 거리가 매우 중요하게 되며, 점선으로 표시된 것과 같은 작은 변화도 기판상의 피쳐들에 중대한 변이(displacement)를 일으키게 된다. 이 문제를 해결하는 방법은 도 4d-4f에 나타나 있다. 여기서 제 1 노광은 마이크로미러에 대한 제 1 편향 각에 의해 이루어진다. 제 2 노광은 가급적이면 같은 빛의 양으로 제 2 편향 각을 이루며, 이것은 제 1 편향 각에 대해 상보적이다. 제 1 및 제 2 노광의 조합은 렌즈의 광학적 축에 대해서 대칭적이다. 문제를 해결하는 또다른 방법은 도 4g와 같은 변형 미러(401')를 사용하는 것이다. 이에 의해 반사된 빛은 개구에 균일하게 분포되게 된다. 이 마지막 도면은 도식적으로 두가지 경우, 즉 위상타입의 SLM(이하에서 설명됨) 또는 편향타입의 SLM을 나타내며, 여기서 빛은 미러의 다른 부분들에서 반사된다.
위상 SLM은 소위 마이크로미러로 불리는 마이크로 가공된 미러들, 또는 전자신호를 사용하여, 변형이 가능한 지지 기판상의 연속된 미러 표면을 갖도록 형성될 수 있다. Kueck 1990에서는, 정전장에 의해 제어되는 점탄성(viscoelastic) 층이 사용된다. 그러나, 특히 수 나노미터 정도의 변형이면 충분한 매우 짧은 파장에 대해서는, 전기장에 의해 변형되는 압전 고체 디스크 또는 전기적으로, 자기적으로 또는 열적으로 제어되는 반사 표면을 사용하는 것도 가능하다. LCD 결정 또는 전자광학적 물질에 따라 투과 또는 반사하는 SLM들이나 압전 또는 전기 왜곡 액츄에이션(actuation)을 사용하는 마이크로기계(micromechanical) SLM과 같은, 상술한 바와 같은 다른 장치들도 가능하나, 본원의 이하 명세서에서는 정전적으로 제어되는 마이크로미러 매트릭스(1차원 또는 2차원)를 가정한다.
본 발명은 바람직하게는, 투사 렌즈의 눈동자(pupil)에 도달하는 가변적인 빛의 양을 얻을 수 있도록, 위상 변조가 가변될 수 있는 마이크로미러를 사용한다. 도 2는 몇 개의 다중 엘리먼트(multi element) 미러들을 보여준다. 미러들의 여러 부분들의 경사는 중요하지 않다. 사실상, 하나의 엘리먼트는 그 자체가 빛을 렌즈쪽으로 향하게 하고, 다른 엘리먼트는 눈동자의 바깥으로 향하게 할 것이다. 이 작용을 이해하는 올바른 방법은, 미러의 각 무한소(infinitesimal) 면적 엘리먼트로부터 눈동자의 중심에 도달하는 양의 복소수 크기(complex amplitude)를 구하고, 미러의 전체에 대해 그 크기를 적분하는 것이다. 미러의 형태를 적당히 변형시키면, 빛의 복소수 크기의 합이 거의 영이 되고, 이는 눈동자에 빛이 도달하지 않는것과 일치한다. 이것이 마이크로미러의 오프 상태이다. 반면에, 미러 표면이 평평하고 동일 위상으로 복소수 크기들이 더해지는 완화된(relaxed) 상태는 온 상태이다. 온상태와 오프상태 사이에서, 거울 방향의 빛의 양은 변형(deformation)에 대해 연속적이지만 비선형 함수이다.
기록될 패턴은 보통 유리 기판 상의 크롬의 포토마스크 패턴과 같은 이진(binary) 형태이다. 여기서 이진은 중간 영역들이 없음을 의미한다. 즉, 포토마스크의 어떤 포인트는 어둡고(크롬으로 덮인 상태) 어떤 포인트는 밝다(크롬이 없는 상태). 패턴은 SLM으로부터 투사된 이미지에 의해 포토레지스트에 노광되고 포토레지스트는 현상된다. 최근의 레지스트는 매우 높은 대비(contrast)를 가지고 있으며, 이것은 노광시 작은 양의 변화도 현상기에 있는 레지스트의 완전제거와 전혀 제거되지 않는 상태 간의 차이를 만들어 낸다는 것을 의미한다. 그러므로 흐린 이미지(aerial image)가 명과 암사이에서 점진적으로 변화를 갖게 되는 경우라도, 포토레지스트는 보통 기판 표면에 거의 수직인 에지를 가지고 있다. 크롬 에칭은 대비(contrast)를 증가시키고, 따라서 결과 이미지는 중간 영역들 없이 불투명 아니면 클리어(clear)한 완벽한 이진이다.
입력 데이터는 표면에 기록될 패턴의 기하학을 나타내는 디지털 포맷으로 되어 있다. 입력 데이터는 매우 작은 어드레스 단위, 예를 들면 1 나노미터로 주어진다. 반면에 SLM에서 픽셀을 온 또는 오프 상태로 설정하는 것은 더욱 거친(coarse) 패턴을 생성하게 된다. 만일 SLM에서의 픽셀이 이미지의 0.1㎛ 픽셀에 투사되면, 선폭은 픽셀의 정수배가 된다(n * 0.1㎛, n은 정수). 0.1㎛의 어드레스 그리드는 최근까지는 충분했으나, 소위 광학근접수정(optical proximity correction; OPC)의 출현은 1-5nm의 그리드가 바람직하도록 만들었다. OPC에서 마스크가 사용되면, 마스크에 있는 피쳐들 크기가 예상되는 광학적 이미지 에러를 보상하기 위해 약간 수정된다. 예로써 0.8㎛ 선폭의 평행선들을 가진 마스크가 최근의 4X 축소 스테퍼(반도체 웨이퍼를 위한 투사 프린터)에 인쇄되면, 선폭들은 모두 똑같은 폭을 갖도록 의도되었지만, 전형적인 경우에 0.187, 0.200, 0.200 그리고 0.187 ㎛ 폭으로 인쇄된다. 이것은 이미지 형성의 시뮬레이션에 의해 예측될 수 있으며, 마스크의 사용자는 마스크에서의 보상을 위해 OPC를 사용하게 된다. 그러므로 사용자는 마스크의 처음과 끝의 선폭들이 0.800㎛ 대신에 4 * 0.213 = 0.852 ㎛가 되도록 원하게 된다. 0.1㎛의 어드레스 그리드로는 수정(correction)을 할 수 없다. 그러나 5 nm의 어드레스 그리드 또는 더 미세한 어드레스 그리드를 통해 그러한 수정이 가능하다.
도 5에 데이터를 SLM에 공급하는 방법이 흐름도로 나타나 있다. 첫번째 단계 s1은 기록될 패턴에 대한 패턴 데이터를 별개의 패턴 필드들로 나누는 것이다. 패턴 데이터는 바람직하게는 디지털 포맷으로 수신된다. 단계 s2에서, 상기 필드들은 래스터화(rasterised)되며, 이에 의해 다른 노광값이 할당된다. 이러한 값들은 단계 s3에서 비선형 응답에 대해 수정되고, 단계 s4에서 픽셀간 변화(pixel to pixel variation)가 있게 된다. 마지막으로, 상기 픽셀 값들은 신호를 구동하기 위해 변환되고 SLM으로 보내진다.
본 발명은 미세한 어드레스 그리드, 예를들면 픽셀 크기의 1/15, 1/25, 1/50인 어드레스 그리드를 생성하기 위해 온 상태와 오프 상태사이의 중간값들을 사용하는 것이 바람직하다. 인쇄된 피쳐(feature)는 온상태의 픽셀들로 구성되지만, 에지를 따라서 중간값들로 설정된 픽셀들을 갖는다. 이것은 온 및 오프 전압과 다른 전압들로 픽셀들을 구동시킴으로써 구현된다. 몇몇의 연속된 비선형 효과들(경계부에서 에지의 위치 대 픽셀에서의 노광, 노광 대 변형, 그리고 변형 대 전기장)이 존재하므로, 입력 데이터에서 전기장으로의 비선형 변환이 필요하다. 또한 이 변환은 경험적으로 일정한 시간간격에 따라 눈금이 정해진다.
도 3은 피스톤같이 상하운동하고, 이에 의해 위상차를 발생시키는 픽셀들의 배열을 보여준다. 도 3은 픽셀들이 삽입된 형태의 반사력을 생성하도록 제어되는 방법을 나타낸다. 밝은 영역은 위상이 0°인 픽셀들로 이루어져 있고, 어두운 영역은 +90°및 -90°위상으로 교번되는 픽셀들로 이루어져 있다. 밝은 영역 및 어두운 영역사이의 경사진 경계들은 중간값들의 위상에 의해 형성된다. 이것이 위상타입의 SLM에서 에지가 미세-위치설정될 수 있는 방법이다. 그러나, 중간값들을 가진 다른 형태의 SLM도 동일한 방식으로 사용될 수 있다. 중간값들로 구동되는 위상 SLM의 영상 특성들은 복잡하며, 도 3에서 에지가 이동될 것인지는 명확하지 않다. 그러나, 광범위하고 이론적인 계산과 발명자에 의한 실험에 의해, 설명된 효과가 실제한다는 것이 입증되었다.
위상 타입 SLM의 설계
종래기술에서 사용되었던 것과 같은 클로버 잎모양의 미러 설계는 온과 오프사이의 중간 상태들을 구동할 수 있다. 그러나, 적분된 복소수 크기를 편향에 대한 함수로 그려보면, 그 값이 결코 완전히 제로로 가지 않고 제로 주위를 선회함으로써, 가변되는 위상각을 갖는 넌-제로(non-zero) 최소 반사도를 가지게 된다는 사실을 알게 된다. 중간값으로 세팅된 몇몇 픽셀들의 이미지의 철저한 분석은, 에지 픽셀들의 위상각의 합이 제로가 아니라면 최종 이미지에서의 에지의 위치는 초점을 통하여 안정하지 않다는 사실을 보여준다. 본 발명의 바람직한 실시예에서는, 피봇팅 엘리먼트(pivoting element)를 가진 새로운 타입의 픽셀들이 사용된다. 엘리먼트들이 피봇하게 되면, 일단은 광원으로 이동하고 타단은 광원으로부터 멀리 떨어지기 때문에, 제로에 근접한 평균 위상을 유지하게 된다. 게다가 클로버잎 설계에는 제조시 형성되는 내재된 스트레스(stress)의 문제가 있다. 이 스트레스는 인가된 전기장 없이도 부분적인 변형을 일으키는 경향이 있다. 그 변형은 제조시 불완전함에 좌우되기 때문에, 내재된 변형은 모든 픽셀에서 완전히 동일하지는 않다. 클로버잎 설계에서, 픽셀과 픽셀사이의 이러한 차이는 반사도의 1차 변형을 일으킨다. 피봇팅 엘리먼트(pivoting element)로부터 형성된 픽셀 셀들에도 같은 효과가 발생하지만, 이것은 2차적인 효과를 발생시킨다. 따라서 균일성은 투사된 이미지에서 더 우수하다.
이미지 향상
피봇팅 설계에는 세번째 이점이 있다. 즉, 클로버잎은 완전히 사라지지는 않으나, 피봇팅 셀은 완전히 사라지거나 제로를 지나 역 위상을 가진 작은 넌-제로 반사값으로 되돌아 올 수 있는 기하학 구조를 용이하게 가질 수 있다. 더 나은 소멸(extinction)이 있으면, 오버랩되는 노광을 프린트하기가 더 자유로와지기 때문에 작은 음수값에 대한 설계는 소멸에 가까운 더 나은 선형성을 갖게 해 준다. 어두운 영역에서, 반전된 위상을 가지고 대략 5% 정도의 약한 노광으로 인쇄를 하면 15 - 30%로 에지의 선명도(sharpness)가 증가하고, 주어진 렌즈로 더 작은 피쳐(feature)를 인쇄할 수 있다. 이것은 반도체 산업에서 사용되는, 소위 감쇄 위상천이 마스크(attenuating phase-shifting mask)와 유사한 것이다. 에지의 선명도를 증가시키는 관련된 방법으로는, 피쳐들 안쪽의 픽셀들은 더 낮은 값으로, 에지 근처의 픽셀들은 더 높은 값으로 세팅하는 것이 있다. 이것은 현재의 마스크로부터의 패턴의 투사나 Nelson과 Kueck 계열의 프로젝터를 사용해서는 할 수 없는 새로운 타입의 이미지 향상을 가져온다. 배경에 넌-제로 음수크기를 갖게 하고, 에지를 따라 증가된 노광을 갖게 하는 것이, 에지 픽셀들을 중간값으로 구동함으로써 생성되는 미세한 어드레스 그리드(fine address grid)와 상반될 필요는 없다. 왜냐하면 그 영향은 부가적이거나 적어도 계산가능하기 때문이다. 픽셀들이 인쇄될 피쳐보다 실질적으로 더 작으면, 모든 효과를 동시에 발생시킬 수 있는 픽셀값들의 조합이 존재한다. 이것을 발견하는 것은 미세한 어드레스 그리드의 발생보다 더 많은 계산을 필요로 한다. 그러나, 발명의 일부 응용예에 따라서는 더 작은 피쳐들을 인쇄하는 것은 추가적인 노력이 소요되는 높은 값을 가질 수 있다.
점탄성(viscoelastic) 층상의 연속적인 미러(continuous mirror)의 경우에는, 본래 평균 위상을 제로로 맞추려는 경향이 있다. 시뮬레이션의 결과는, 피쳐들의 에지의 미세한 위치조정을 위한 중간값들로의 구동이 연속적인 미러에 대해서도 작용한다는 사실을 보여주었다. 비선형성은 마이크로 미러보다 더 작다. 그러나 이 방법이 제대로 작용하기 위해서는, 최소 피쳐가 마이크로미러보다 더 커야한다. 다시 말해, 해상된(resolved) 피쳐 엘리먼트당 더 많은 수의 어드레스 픽셀들을 가질 필요가 있다. 더 큰 SLM 장치와, 주어진 패턴에 대해 데이터의 양이 더 많아야 하는 것이 중요하다. 그러므로, 마이크로미러가 첫번째와 두번째 실시예에서 선택되었다.
본 발명에서 회전대칭변형(rotation-symmetrical deformation)(적어도 2겹 대칭, 바람직하게는 4겹 대칭)을 가진 픽셀이 사용되는 두가지 이유가 있다. 즉, 투사된 렌즈의 눈동자에 대칭적인 조명을 하기 위해서, 그리고 이미지가 회전에 대해 영향을 받지 않도록 하기 위해서이다. 후자는 반도체 웨이퍼에 랜덤 로직 패턴(random logic pattern)을 인쇄하는데 있어서 중요하다. 만일 x축과 y축에 비대칭이 있다면 x축을 따라 설계된 트랜지스터는 y축을 따라 설계된 트랜지스터와는 다른 지연(delay)을 갖게 될 것이며, 그 회로는 오작동하거나 매우 낮은 클록 속도에서만 사용될 수 있을 것이다. 두가지 필요조건, 즉 포커스를 통한 이미지의 불변성, 및 x축과 y축 사이의 대칭은 광학 시스템에서 대칭성을 만들어내고 유지하는데 매우 중요하다. 대칭성은 본래부터 있는 것일 수도 있고, 부가적인 비대칭성의 성질로 다중노광을 사용하는것과 같이 비대칭성을 섬세하게 조절하는 것에 의해 발생될 수도 있다. 그러나, 다중노광은 처리량을 감소시키기 때문에, 내재된 대칭적 레이아웃들이 훨씬 선호된다.
바람직한 실시예
첫번째 바람직한 실시예로는, 2048 x 512 마이크로미러들의 SLM을 사용하는 포토마스크들을 위한 딥(deep)-UV 패턴 발생기를 들 수 있다. 광원은 248 nm에서 펄스화된 출력을 가진 KrF 엑시머 레이저이며, 펄스의 길이는 대량 10 ns이고 반복 속도는 500 Hz이다. SLM의 표면은 빛의 90% 이상을 반사하는 알루미늄 표면으로 되어 있다. SLM은 빔 스크램블링(beam-scrambling) 조명장치를 통한 레이저에 의해 조사되며 반사된 빛은 프로젝션 렌즈로 향해지며, 결국 감광표면으로 향한다. 조명으로부터 입사하는 광선과 렌즈로 나가는 광선은 반투명의 빔 스플리터(beamsplitter) 미러에 의해 분리된다. 바람직하게는 미러는 편광선택적(polarization-selective)이고 조명은 편광된 빛을 사용하며, 그 편광의 방향은 SLM의 앞에 있는 4분의 1파장 평판에 의해 변환된다. 개구수가 많은 경우(at high NA)의 x와 y의 대칭에 대해서, 이미지는 대칭적으로 편광되어야 하며, 빔 스플리터와 투사 렌즈사이의 두번째 4분의 1파장 평판은 원형으로(circularly) 편광된 이미지를 만들어낸다. 레이저 펄스 에너지가 허용하는 경우의 더 간단한 배열은 비편광 빔 스플리터(non-polarizing beamsplitter)를 사용하는 것이다. 두번째 것이 빔 스플리터를 통해 지나간 후의 4분의 1파장 평판은 여전히 유용하다. 왜냐하면 그것은 빔 스플리팅 코팅의 설계를 덜 민감하게 만들기 때문이다. 가장 간단한 배열은 SLM에서 경사입사를 사용하여, 조명기로부터 투사 렌즈까지의 빔이 도 1에서와 같이 기하학적으로 분리되도록 하는 것이다.
마이크로 미러의 픽셀들은 20 x 20 ㎛이고, 투사 렌즈는 200배의 축소비율을 가지고 있으므로, SLM위의 픽셀은 이미지에서 0.1 ㎛에 해당한다. 렌즈는 개구경이 0.8인 단색의 DUV 렌즈이며 0.17 ㎛ FWHM의 포인트 스프레드 펑션(point spread function)을 나타낸다. 좋은 품질로 기록될 수 있는 최소 선폭은 0.25㎛이다.
포토마스크 같은 제품은 렌즈아래에 있는 간섭계-제어 스테이지 (interferometer-controlled stage)와 플래시를 발생하기 위한 레이저에 대한 간섭계 논리 신호에 의해 이동된다. 플래시가 생기는 것은 10 ns동안 뿐이므로 노광되는 동안 스테이지는 이동되지 않으며, SLM의 이미지는 204.8 x 51.2 ㎛의 크기로 인쇄된다. 2 ㎳뒤에 스테이지가 51.2 ㎛ 이동되고 새로운 플래쉬가 발사되면 SLM의 새로운 이미지가 처음 것과 함께 인쇄된다. 노광사이에서 데이터 입력 시스템은 새로운 이미지를 SLM에 로드(load)하였으며, 좀 더 큰 패턴은 스티치된 플래시들(stitched flashes)로 구성된다. 한 칼럼(column)이 완전히 기록된 다음에는 스테이지는 수직방향으로 이동되고 새로운 로우(row)가 시작된다. 첫번째 실시예는 전형적으로 125 x 125 ㎜인 패턴들을 기록하기는 하지만 어떤 크기의 패턴도 이와 같은 방식으로 기록될 수 있다. 이런 크기의 패턴을 기록하는 데는 50분 정도가 걸리고, 여기에 연속된 칼럼들 사이의 이동에 필요한 시간이 추가로 소요된다.
각 픽셀은 (영을 포함하여) 25 레벨로 제어될 수 있고, 이에 따라 0.1 ㎛의 픽셀을 각 4 ㎚인 25개의 증분(increment)으로 보간할 수 있다. 데이터 변환은 기하학적인 규격(specification)을 취하고, 온, 오프 또는 중간 반사로 세팅된 픽셀들을 가진 맵으로 번역된다. 데이터 경로는 SLM에 초당 2048 * 512 * 500 워드의 데이터를 공급해야만 하며, 실제 응용에서는 초당 524 Mbyte의 픽셀 데이터를 공급해야만 한다. 바람직한 실시예에서는, 기록가능한 영역은 최대 230 x 230 ㎜이며 한 칼럼에 최대 230 / 0.0512 = 4500 플래시가 허용된다. 칼럼이 기록되는데는 4500 / 500 = 9 초의 시간이 소요된다. 한 칼럼에 필요한 픽셀 데이터의 양은 9 x 524 = 4800 Mb 이다. 전송되고 버퍼링되는 데이터의 양을 감소시키기 위해서 Sandstroem 등(1990)에 있는 것과 비슷하게 압축된 포맷이 사용된다. 그러나 길이와 값에 있어서 세그먼트들 대신에 픽셀 맵이 압축된다는 점이 다르다. 가능한 또다른 방법은 즉시 픽셀 맵을 만들어 내고 전송되고 버퍼링되는 데이터의 양을 줄이기 위한 압축과 압축해제(compression and decompression)를 위해 상용화된 하드웨어 프로세서를 사용하는 것이다. 압축을 해도 전체 마스크에서의 데이터의 양은 예비분쇄된(pre-fractured) 데이터를 디스크에 저장하기에는 매우 비실용적이다. 그러나 픽셀 데이터는 사용시에 형성되어야 한다. 프로세서 어레이가 병렬형태의 이미지를 압축 포맷으로 래스터화(rasterise)시키고, SLM에 픽셀 데이터를 공급하는 확장회로에 압축된 데이터를 옮긴다. 바람직한 실시예에서, 프로세서는 이미지의 다른 부분을 래스터화(rasterise)하고 확장회로의 입력버퍼에 결과를 전송하기 전에 결과를 버퍼링한다.
두번째 바람직한 실시예
두번째 실시예에서, 레이저는 파장이 193nm이고 펄스 주파수가 500 Hz인 ArF 엑시머 레이저이다. SLM은 20 * 20㎛의 3072 X 1024 픽셀들을 가지고 있고, 렌즈는 0.06㎛의 투사된 픽셀을 가진 333배의 축소비를 갖는다. 60개의 중간값이 존재하고 어드레스 그리드는 1nm이다. 포인트 스프레드 펑션(point spread function)은 0.13㎛이고 최소 선폭(minimum line)은 0.2㎛이다. 데이터 전송율은 초당 1572 Mbytes이고, 길이가 230㎜인 한 칼럼에 있는 데이터는 11.8Gb이다.
세번째 바람직한 실시예는 한가지 점만 제외하고 두번째 실시예와 동일하다. 다른 점은 픽셀들의 매트릭스(matrix)들이 45도 만큼 회전되어 있고, 픽셀 그리드는 84 ㎛이며 x와 y축을 따라 이격된 0.06㎛의 투사된 픽셀을 만들어 낸다. 레이저는 ArF 엑시머 레이저이고, 렌즈는 240배의 축소비율을 가진 렌즈이다. 회전된 매트릭스 때문에 매트릭스안의 픽셀들의 농도는 더 낮고, 데이터 볼륨(volume)은 이전 실시예의 절반이지만 똑같은 어드레스 해상도(resolution)를 갖는다.
변화를 플래시하기 위한 레이저 플래시
엑시머 레이저는 두가지 원치않는 특성들, 즉 5%의 플래시-대-플래시 에너지 변화와 100 ns의 플래시-대-플래시 타임 지터(gitter)를 갖는다. 바람직한 실시예에서는 두가지 모두 똑같은 방식으로 보상된다. 첫번째 노광은 90% 전력으로 전체 패턴이 형성된다. 각각의 플래시에 대한 실제적인 플래시 에너지와 시간 위치가 기록된다 (recorded). 두번째 노광은 명목상으로 10%의 노광과 두번째 노광을 5 - 15%로 만드는데 사용되는 아날로그 변조로 만들어진다. 마찬가지로 두번째 노광에서 계획된 타임 옵셋(time offset)은 처음의 타임 지터(gitter)를 보상해 줄 수 있다. 두번째 노광은 첫번째 노광의 에러를 완전히 보상해 줄 수 있지만, 그 자신은 새로운 타입의 에러를 발생시킬 것이다. 에러는 전체 노광의 평균 10%정도 이므로 두가지 에러는 10의 인수로(by a factor of ten) 효과적으로 감소될 수 있다. 실제 응용에서 레이저는 100 ns보다 훨씬 큰 시간의 불확실성을 갖고 있다. 왜냐하면 광 펄스(light pulse)는 트리거 펄스로부터의 딜레이(delay) 다음에 나타나고, 이 딜레이는 수 마이크로세컨드(microseconds)단위로 변화하기 때문이다. 더 짧은 시간안에 딜레이는 더 안정해진다. 그러므로 딜레이는 연속적으로 측정되고, 적절히 필터링된 마지막 딜레이 값은 다음 펄스 딜레이를 예측하고 트리거 펄스를 위치시키는데 사용된다.
스테이지의 불완전성을 똑같은 방식으로 수정하는 것도 가능하다. 즉 만일 스테이지 에러가 기록되면 스테이지가 두번째 노광에서의 보상 움직임으로 조절된다. 어떠한 측정가능한 배치 에러(placement error)도 원칙적으로 이런 방법으로 부분적으로 또는 전체적으로 수정가능하다. 두번째 노광동안에 스테이지를 계산된 포인트들로 조절하기 위해서는 빠른 서보(servo)를 가질 필요가 있다. 이전 기술에서는 작은 스트로크와 짧은 응답시간으로 SLM을 직접 스테이지에 탑재하고 그것을 이미지의 세밀한 위치설정(positioning)을 위해 사용하는 방법이 알려져 있다. 똑같이 유용한 다른 방법은 SLM과 이미지 표면사이에 광학 시스템에서 압전 제어를 가진 미러를 사용하는 것이다. 둘중에 어느 것을 택할 것인가는 실용적인 면을 고려하여 결정할 것이다. 노광 필드에 있는 데이터에, 위치 옵셋(position offset)을 추가할 수 있으므로, 이미지를 측방향으로 이동시킬 수 있다.
두번째 노광은 가급적이면 레이저와 SLM 사이의 감쇄 필터로 수행되어, SLM의 총 동적 범위(full dynamic range)는 공칭(nominal) 노광의 0 - 15% 범위내에서 사용될 수 있다. 25개의 중간 레벨들을 가진 경우에는 노광을 15% * 1/25 = 0.6 %의 단계들로 조절할 수 있다.
응답은 픽셀과 픽셀사이에서 제조과정의 불완전함 때문에 약간 변화하며 또한 노화(ageing) 때문에 변하기도 하는데, 그 결과는 이미지에서의 원치않는 비균질성이다. 이미지의 필요조건이 매우 높은 곳에서는 룩업 메모리에 저장된 반대 응답성을 가진 픽셀들을 곱하므로써 모든 픽셀을 수정할 필요가 있다. 각 픽셀에 대하여 두개, 세개 또는 그 이상의 항(term)들을 가진 다항(polynominal)의 어플리케이션이 훨씬 더 바람직하다. 이것은 SLM을 조절하는 로직의 하드웨어에서 행해질 수 있다.
좀더 복잡한 바람직한 실시예에서는, 플래시와 플래시간의 변화, 플래시 타임 지터(gitter), 그리고 픽셀들 사이의 응답에서 알려진 차이점들인 몇가지 수정과정이, 두번째 수정노광(second corrective exposure)으로 조합된다. 수정들이 작으면, 즉 각각에서 적은 %만이 수정되면, 대략 선형으로 더해질 것이다. 그러므로 SLM에 적용되기 전에 수정이 간단하게 부가될 수 있다. 그 합은 상기 픽셀에서 원하는 노광량의 값과 곱해진다.
선택적인(alternative) 조명 소스
엑시머 레이저는 파장과 레이저의 타입에 의존적인, 500 - 1000 Hz의 제한된 펄스 반복 주파수(pulse repetition frequency)를 가진다. 이것은 x축과 y축 모두에 스티칭 에지(stitching edge)를 가진 큰 필드를 만들게 된다. 두 개의 다른 바람직한 실시예에서, SLM은 훨씬 높은 prf를 가진 펄스화된 레이저, 예를들면 Q 스위치드 업컨버티드 고상 레이저(Q-switched upconverted solid state laser)와 SLM의 표면에 주사된 연속된 레이저 소스로 조명되며, 그리하여 SLM의 다른 부분이 인쇄되는 동안 SLM의 한 부분은 새로운 데이터로 재로드(reload)된다. 두가지 경우 모두에 있어서 레이저의 결합성질은 엑시머 레이저와는 다르고, 더 광범위한 빔 스크램블링과 결합 제어가 필요하다. 예를 들면 다른 경로길이를 가진 다중 병렬 광 경로가 필요하다. 몇몇 발명의 구현에서 플래시 램프로부터 나온 빛으로 충분하며 광원으로 사용될 수 있다. 장점은 비용이 저렴하다는 것과 좋은 코히어런스 (coherence) 특성을 갖는다는 것이다.
스캐닝은 바람직하게는 음향광학이나 전자광학같은 전자광학 스캐너를 사용하는 완전한 제어에 의해 행해지고, 많은 연속된 레이저는 레이저를 펄스화하는 전력 변동이 더 적기 때문에, 스캐닝 조명을 이용하는 바람직한 실시예에서는 두가지 문제인 시간과 에너지에 있어서 펄스와 펄스사이의 변화의 문제가 해결된다. 게다가 연속된 레이저의 사용은 파장의 다른 선택을 하게 하여 주고, 연속된 레이저는 펄스화된 레이저 보다는 눈에 덜 위험하다. 그러나 가장 중요한 것은 단지 수개의 라인을 가진 매트릭스로 훨씬 높은 데이터 율에 도달할 수 있다는 것이다. 왜냐하면 스캐닝은 중요한 문제가 아니며 100 kHz의 반복 율 이상이면 수행될 수 있기 때문이다. 조명 빔을 스캐닝하는 것은 또한 매우 균일한 조명을 발생하는 방법이며, 다른 방법으로 하기에는 매우 어려운 문제이다.
몇몇 실시예에서는 플래쉬 램프를 조명 소스로 사용하는 것이 가능하며, 실행할 수 있는 일이다.
EUV
EUV의 소스는 입자가속기, 자기 플라즈마 핀치 머신 또는 고전력 레이저 펄스로 소량의 물질을 극도로 높은 온도까지 가열하는 것에 의한 방사에 기반을 두고 있다. 어느 경우든 방사선은 펄스화된다. EUV 방사선은 진공중에서만 전파하며 반사성 광학계에 의해 포커싱될 수 있다. SLM을 사용하는 전형적인 패턴 발생기는 작은 노광 필드와 적당한 광 전력의 필요량을 가지고 있다. 광학 시스템의 설계는 그러므로 EUV 스테퍼(stepper)에 비해서 완화되어 있으며, 스테퍼에서 보다 더 많은 미러를 사용하고 더 높은 NA를 사용할 수 있다. NA가 높은 렌즈는 링모양의 노광 필드를 가질것이 기대되고, SLM의 모양을 그러한 필드에 적응시키는 것이 완전히 가능하다. 파장이 13 nm이고 NA가 0.25인 경우에는, 폭이 겨우 25 nm인 선들을 노광시키는 것이 가능하고, 아래 설명하는 이미지 향상을 사용하면 20 nm이하의 선들도 노광시키는 것이 가능하다. 알려진 다른 어떠한 기록 기술도 이 해결방법에 필적할 수 없으며, 동시에 SLM의 병렬 특성에 의한 기록 속도에도 필적할 수 없다.
에지 오버랩
2차원 필드는 각각의 플래쉬에 대해 인쇄되고, 필드는 에지끼리 함께 스티치(stitch)되기 때문에 스티칭은 매우 중요하다. 단지 몇 나노미터의 필드 이동은, 가시적(visible)이고 마스크로부터 생산된 전자회로의 기능에 잠재적으로 치명적인 패턴 에러들이 에지를 따라 생성된다. 원치 않는 스티칭 효과를 줄이는 효과적인 방법은, 통로(pass)들 사이의 스티칭 경계들의 이동을 통해 몇몇 통로에 똑같은 패턴을 인쇄하는 것이다. 패턴이 네번 인쇄되면 네 군데에서 스티칭 에러가 발생하지만, 그 크기는 4분의 1이다. 본 발명의 바람직한 실시예에서는 중간 노광을 발생하는 능력이 필드사이의 오버랩 밴드와 함께 사용된다. 값의 계산은 압축된 데이터를 확장하는 동안에도 가능하지만 래스터화되는 동안 계산된다. 에지 오버랩은 스티칭 에러를 감소시키지만, 멀티 패스(multi-pass) 프린팅보다 훨씬 적은 처리량을 갖는다는 단점이 있다.
수정된 조명
첫번째 바람직한 실시예에서는, SLM의 조명은 엑시머 레이저와 조명기의 눈동자 평면에 있는 원형의 자력 발광 표면으로부터 나온것을 닮은 조명을 발생시키기 위한 파리 눈(fly-eye) 렌즈 배열같은 라이트 스크램블러에 의해 행해진다. 특정 투사 시스템으로 인쇄할때의 효과를 증가시키도록 수정된 조명을 사용하는 것이 가능하다. 가장 간단한 경우에, 눈동자 필터는 조명기의 눈동자 평면, 예를들어 4중극자(quadrupole) 모양 또는 고리 모양의 전달 영역에 삽입된다. 더 복잡한 경우에는, 똑같은 필드가 여러번 프린팅된다. 이미지 평면에서의 초점, 조명 패턴, SLM에 적용된 데이터 그리고 프로젝션 광학의 눈동자(pupil) 평면에 있는 눈동자 필터같은 몇개의 파라미터들은 노광사이에서 변화되도록 할 수 있다. 특히 조명과 눈동자 필터의 조화된 변화에 의해 증가된 해상도를 주어질 수 있고, 눈동자가 섹터모양의 전달영역을 가지고 있고, 조명이 정렬되어, 비회절 광이 섹터의 정점 근방에서 흡수 조리개(stop)를 차단한다면 가장 현저한 효과를 가져올 수 있다.
응답의 선형화
데이터로부터 에지배치로의 전달함수의 선형화를 위해서는, 본질적으로 아래와 같은 세가지 방식이 있다.
- 데이터 변환 유닛에서 비선형성의 고려, 데이터 변환 유닛에서 8비트 픽셀 값의 발생, SLM을 조절할 때와 똑같은 방법으로 DACs를 사용함.
- 더 작은 값, 예를 들어 5비트에서 32비트까지의 값으로 디지털 값을 발생하고 그것들을 룩업테이블(Lookup Table, LUT)에 있는 8비트 값으로 바꾸고 그 8비트 값을 DACs에 공급함.
- 하나 또는 수개의 고해상도 DACs에 의해 발생되는 DC전압을 선택하기 위해 5 비트 값과 반도체 스위치를 사용함.
각각의 경우, 상기 함수가 각각 데이터 변환 유닛, LUT 또는 DC 전압에 적용되는 때에, 플레이트상의 응답이 선형화되도록 경험적인 눈금측정 함수(empirical calibration function)를 측정하는 것이 가능하게 된다.
어떤 선형화 방법을 사용할 것인지는 데이터 율, 요구되는 정밀도 그리고 시간이 흐름에 따라 변화하는 이용가능한 회로 기술에 달려있다. 현재는 데이터 변환 유닛은 문제가 있고, 따라서 데이터 변환 유닛에서 선형화하는 것은 바람직한 해결방법이 아니며, 8비트 픽셀 값을 발생하는 것도 마찬가지로 바람직하지 않다. 고속 DACs는 값이 비싸고 에너지가 많이 소비되므로, 가장 적합한 해결책은 DC 전압을 사용하고 스위치들을 사용하는 것이다. 그러면 8비트 보다 훨씬 고해상도를 사용하는 것이 가능하게 된다.
바람직한 패턴 발생기에 대한 설명
도 6을 참조하면, 패턴 발생기는 단일의 또는 다가(multi-value) 픽셀 어드레싱을 가진 SLM (601), 조명 소스 (602), 조명 빔 스크램블링 기기 (603), 이미징 광 시스템 (604), 정밀 배치 기판 스테이지 (605)와 그에 부속된 간섭계 위치 제어 시스템 (606), 그리고 SLM을 위한 하드웨어와 소프트웨어 데이터 핸들링 시스템 (607)으로 구성되어 있다. 적합한 기능과 작용의 편리를 위해서, 패턴발생기는 온도조절기가 달린 서라운딩 클라이밋 챔버(surrounding climate chamber), 기판 로딩 시스템, 스테이지 이동의 시간조절을 위한 소프트웨어와 최적의 패턴 배치 정확도와 소프트웨어 사용자 인터페이스를 이루기 위해 트리거링하는 노광 레이저를 포함한다.
패턴 발생기에서 조명은, 엑시머 레이저 본래의 라인폭에 해당하는 대역폭 (band width)을 가지며, 248 nm 파장의 UV 영역에 있는 10 - 20 ns 길이의 광 플래쉬를 내는 KrF 엑시머 레이저로 행해진다. 기판에서 패턴의 왜곡을 피하기 위해, 엑시머 레이저로부터의 빛은 SLM의 표면에 균일하게 분포되고, 빛은 기판에 레이저 스페클(speckle)을 만들지 않기에 충분히 짧은 코히어런스 길이(coherence length)를 가지고 있다. 빔 스크램블러는 이런 두가지 목적을 달성하기 위해서 사용된다. 빔 스크램블러는 엑시머 레이저로부터의 빔을 다른 경로 길이를 가진 수개의 빔 경로들로 나누고, 그것들을 공간 코히어런스 길이를 감소시키기 위하여 함께 더한다. 빔 스크램블러는 또한 엑시머 레이저로부터의 레이저 빔에 있는 각 점으로부터의 빛을 분산시키는, 최상층("top-hat") 광 분산을 가능하게하는, 한 세트의 파리 눈 렌즈를 포함하는 렌즈 시스템으로 구성되는 빔 균일화기(homogenizer)도 갖고 있다.
SLM으로부터의 빛은 중계되어 기판 스테이지 위의 기판에 비추어진다. 이것은 Kueck에 의해 설명된 실리렌(Schlieren) 광학 시스템을 사용하여 행하게 된다. 초점 폭(focal width)이 f1인 렌즈 l1은 SLM으로부터 f1의 거리에 위치하게 된다. 또 다른 렌즈인 초점 폭이 f2인 l2는 SLM으로부터 2 x f1 + f2의 거리에 위치하게 된다. 기판은 SLM으로부터 2 x f1 + 2 x f2의 거리에 있게 된다. SLM으로부터 2 x f1의 거리에는, 크기가 개구수(NA)를 결정하며 따라서 기록될 수 있는 최소 패턴 피쳐 크기를 결정하게 되는 개구(608)가 있다. 광학 시스템에서의 불완전성과 기판의 평탄도를 수정하기 위해서, 최적의 초점 특성(focal properties)를 얻기 위해, 50 ㎛의 위치 간격으로 다이내믹하게 (dynamically) 렌즈 l2를 z방향으로 위치시키는 초점 시스템(focal system)도 있다. 렌즈 시스템은 또한 248 nm의 조명하는 파장에 대해 수정된 파장이며, 적어도 ±1 nm의 조명광의 대역폭 허용치(tolerance)를 갖는다. 조명광은 렌즈 l1의 바로위에 위치한 빔스플리터(609)를 사용하는 이미징 광학 시스템으로 반사된다. 축소율이 250, NA가 0.62이면, 패턴 피쳐들을 양질의 패턴으로 0.2 ㎛까지 작은 사이즈로 노광하는 것이 가능하다. 각 SLM 픽셀로부터의 32개의 그레이 레벨의 경우에, 최소 그리드 사이즈는 2 nm이다.
패턴 발생기는, 간섭계 위치 제어 시스템을 가진 미세한 포지션닝 기판 스테이지를 가지고 있다. 패턴 발생기는 최소 열팽창을 위해 제로두르(zerodur)로 만들어진 이동가능한 공기 베어링 xy 테이블(605)로 구성되어 있다. 간섭계(interferometer) 위치 피드백 측정 시스템(606)을 가진 서보 시스템은, 각 방향에 위치한 스테이지를 제어 한다. 한 방향, 예를들어 y방향에서는 서보 시스템은 스테이지를 고정된 위치에 있게 하고, 다른 방향, 예를 들어 x방향에서는 스테이지는 연속된 속도로 움직인다. 간섭계 위치 측정 시스템은 x방향에서 기판 위의 SLM의 각 이미지 사이에서 일정한 위치를 갖게 하기 위한 노광 레이저 플래시를 트리거하기 위해 사용된다. SLM 이미지의 한 열 전체가 기판에 노광되면, 스테이지는 x 방향에서는 원래의 위치로 돌아가고 다른 열의 SLM 이미지를 노광시키기 위해 y 방향으로는 SLM 이미지 증가분을 이동시킨다. 이 과정은 기판 전체가 노광 될 때까지 반복된다.
SLM 이미지는 x와 y방향 모두에서 많은 픽셀들과 오버랩되고, 노광 데이터 패턴은 부분적으로 오버랩 픽셀에서 그러한 오버랩 영역을 야기하는 증가된 노광을 보상하도록 수정된다.
엑시머 레이저로에서 생긴 펄스와 펄스사이의 세기의 변화는, 패턴의 투패스 노광(two-pass exposure)에 의해 보상되고 여기서 첫번째 노광은 명목상의 90%의 수정된 강도를 사용하여 행해진다. 첫번째 패스에서는 각 레이저 플래시에서의 실질적인 강도가 측정되고 저장된다. 두번째 패스에서는 첫번째 패스로부터 측정된 강도값을 기초로 각각의 SLM 이미지 노광에 대한 적합한 강도가 사용된다. 이런 방식으로, 1차 크기로(by one order of magnitude), 엑시머 레이저에서 생긴 펄스간 강도의 변화로부터의 영향을 감소 시킬 수 있다.
이 명세서의 다른 곳에서, SLM의 기능성은 광범위하게 설명된다. SLM은 픽셀사이즈가 16 ㎛인 픽셀들을 2048 x 256개 가지고 있으며, 모든 픽셀들은 1 ㎳내에 어드레스하는 것이 가능하다. SLM은 단단하게 미세한 스테이지(fine stage)에 실장된다. 이 미세한 스테이지는 각각의 플래시 노광사이에서 100 ㎚이상의 정확도를 가지고 x와 y방향으로 100 ㎛만큼 이동가능하다. SLM의 미세한 위치설정은 패턴 스티칭 에러를 좀더 감소시키기 위해, 기판 위치설정 스테이지의 위치 부정확성을 수정하는데 사용된다. x-y 위치설정 이외에도 기판 스테이지 좌표계에 의해 특정되는 것 이외의 각으로 기판 위에 패턴을 노광시키기 위해 SLM 스테이지가 회전 될 수 있다. 그러한 회전의 목적은 기판에 대한 기판의 정렬 가능성과 추가적인 피쳐들이 더해질 이미 존재하는 패턴을 통합하는 가능성을 만들어 내는 것이다. 오프 라인 광학 채널과 기판 위에 존재하는 많은 수의 정렬 표시(alignment sign)에 대한 시스템 좌표를 결정하기 위해 CCD 카메라를 사용하여 기판을 로드(load)한 뒤에, 스테이지 위의 기판의 정확한 위치를 측정하는 것이 가능하다. 노광하는 동안에, 정열 표시의 측정된 포지션을 기초로 x 및 y 방향의 스테이지 포지션이 수정된다. 설명된 바와 같이, 회전된 좌표계를 따라가기 위해 스테이지 서보 시스템을 사용하고 또한 SLM 미세한 스테이지를 회전시켜서 회전 정렬이 만들어 진다.
임의의 포맷의 임의의 데이터 패턴은 패턴 래스터화기(pattern rasteriser; 610)에서, 픽셀당 32(5비트) 그레이 레벨을 가진 압축되고 래스터화된 픽셀 맵으로 변환된다. 노광된 픽셀의 그레이 스케일 스텝은 픽셀전극에 인가된 전압에 대한 반응에 있어서 선형이 아니기 때문에, 입력 데이터는 픽셀 선형화기(pixel linearizer; 611)에서 선형화 되어 32개의 그레이 레벨은 각각의 연속적인 레벨에 대한 노광량에 있어서 일정한 증가량에 해당하게 된다. 이것은 픽셀 맵으로부터의 각각의 그레이 레벨이 이전에 경험적으로 측정된 선형화 함수에 따라 DAC's로부터의 전압을 선택하는, 8 비트 디지털-아날로그 변환기(DACs ; 612)를 사용하여 행한다. DACs로부터의 아날로그 레벨의 선택에 있어서 추가적인 옵셋(offset)은 각각의 값이 SLM 픽셀에 해당하고, 각각의 그러한 값은 해당하는 픽셀의 변칙적인 형태를 수정하는 룩업 테이블을 사용하여 만들어진다. 룩업 테이블에서의 측정 값은 경험적인 측정 과정을 사용하여 발생되는데, 이 측정과정에서는 일련의 테스트 패턴들이 SLM에 보내지고 결과적인 노광된 패턴들은 각각의 픽셀 수정을 위해 측정되고 사용된다. 이것은 픽셀 맵에서 각각의 그레이 레벨은, 모든 해당하는 SLM 픽셀이 올바른 노광량을 갖도록 픽셀 변형을 발생하는 아날로그 전압을 선택한다는 것을 의미한다.
EUV에의 적용
위에 설명된 패턴 발생기는 EUV에 대해 사용되기 위해서는 약간의 수정이 필요하다. 그렇게 수정된 장치가 도 7에 나타나 있다. 이 기기에서 SLM은 반사되고 EUV 소스인(701)에 의해 발생된 EUV에 의해 조명된다. 한개 또는 바람직하게는 수개의 조명 미러(702)는 라이트 빔이 SLM에 도달하기 전에 라이트 빔을 필수적으로 평행하게 만들기 위해 사용된다. 하나 또는 수개의 투사 미러(703)가 빔을 기판에 초점을 맞추기 위해 사용된다. 이러한 투사 미러(이미징 렌즈)들은 바람직하게는 링 모양의 필드를 갖고, 공간광 변조기도 가급적이면 링 모양의 개구를 갖는다. 상기 장치와 적어도 광학 경로(path)는 바람직하게는 케이스 내에 놓여져 진공으로 유지된다. EUV를 위한 이러한 장치는 50 ㎚의 선폭을 가진 패턴과 같이 매우 높은 해상도를 가진 패턴을 만들어내는데 사용될 수 있다.
참고 문헌
Nelson 1988 : 미국 특허 공보 US 5,148,157
Kueck 1990 : 유럽 특허 공보 EP 0 610 183
Sandstroem 등. 1990 : 유럽 특허 공보 EP 0 467 076

Claims (10)

  1. 반도체 칩 상에 50nm의 선폭을 갖는 패턴과 같이, 제품(workpiece)상에 초 고 해상도를 가진 패턴을 발생시키는 장치로서,
    EUV 파장 범위의 전자기파 방사선을 방출하는 소스;
    상기 전자기파 방사선에 의해 조명되도록 적응되는 다수의 변조 엘리먼트들(픽셀들)을 갖는 공간 변조기(spatial modulator);
    상기 제품상에 상기 공간변조기의 이미지를 생성하는 투사 시스템;
    기록될 상기 패턴의 디지털 디스크립션(description)을 수신하고, 그것으로부터 부분적인 패턴들의 시퀀스(sequence)를 추출하며, 상기 부분적인 패턴들을 변조기 신호들로 변환하고, 상기 신호들을 상기 공간 변조기에 공급하는, 전자 데이터 처리 및 전달 시스템;
    상기 제품 및/또는 투사 시스템을 서로에 대해 이동시키기 위한 정밀 기계 시스템; 및
    상기 제품의 이동, 상기 변조기로의 신호들의 공급, 및 상기 방사선의 세기를 조절하여, 상기 패턴이 상기 부분적인 패턴들의 시퀀스에 의해 생성되는 상기 부분적인 이미지들로부터 함께 스티치(stitch)되도록 하는 전자 제어 시스템
    을 포함하는 패턴 발생 장치.
  2. 제 1 항에 있어서, 상기 공간 광 변조기는 반사적인(reflective) 것을 특징으로 하는 패턴 발생 장치.
  3. 제 1 항에 있어서, 상기 공간 광 변조기는 다가 픽셀들(multivalued pixels)을 갖는 것을 특징으로 하는 패턴 발생 장치.
  4. 제 1 항에 있어서, 광학 경로(optical path)는 반사 광학계(optics)로 형성되는 것을 특징으로 하는 패턴 발생 장치.
  5. 제 1 항에 있어서, 상기 이미징 렌즈는 링 형상의 필드를 갖고, 상기 공간 광 변조기는 링 형상의 개구를 갖는 것을 특징으로 하는 패턴 발생 장치.
  6. 제 1 항에 있어서, 상기 광학 경로는 비워져(evacuated) 있는 것을 특징으로 하는 패턴 발생 장치.
  7. 삭제
  8. 제 1 항에 있어서, 상기 공간 광 변조기는 전기 어드레싱 전압에 따라 변형되고, 이에 의해 파면(wavefront) 변조를 생성하는 반사면을 가진 압전 물질로 이루어진 고체판인 것을 특징으로 하는 패턴 발생 장치.
  9. 제 8 항에 있어서, 상기 공간 광 변조기는 활성 반도체 칩에 연결되는 것을 특징으로 하는 패턴 발생 장치.
  10. 제 1 항에 있어서, 상기 방사선은 상기 공간 광 변조기에서 경사각(oblique angle)을 갖는 것을 특징으로 하는 패턴 발생 장치.
KR10-2000-7009716A 1998-03-02 1999-03-02 Euv을 이용한 패턴 발생기 KR100474121B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM
SE9800665-3 1998-03-02

Publications (2)

Publication Number Publication Date
KR20010052196A KR20010052196A (ko) 2001-06-25
KR100474121B1 true KR100474121B1 (ko) 2005-05-06

Family

ID=20410382

Family Applications (2)

Application Number Title Priority Date Filing Date
KR10-2000-7009716A KR100474121B1 (ko) 1998-03-02 1999-03-02 Euv을 이용한 패턴 발생기
KR10-2000-7009717A KR100451026B1 (ko) 1998-03-02 1999-03-02 향상된 패턴 발생기

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2000-7009717A KR100451026B1 (ko) 1998-03-02 1999-03-02 향상된 패턴 발생기

Country Status (12)

Country Link
US (16) US6428940B1 (ko)
EP (6) EP1060441B1 (ko)
JP (12) JP2002506230A (ko)
KR (2) KR100474121B1 (ko)
CN (3) CN1550902A (ko)
AT (5) ATE491970T1 (ko)
AU (7) AU2756999A (ko)
DE (6) DE69936950T2 (ko)
ES (1) ES2357473T3 (ko)
RU (2) RU2232411C2 (ko)
SE (1) SE9800665D0 (ko)
WO (7) WO1999045440A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100770672B1 (ko) * 2005-06-30 2007-10-29 에이에스엠엘 홀딩 엔.브이. 최적 피처 표현을 얻도록 연산적으로 커플링된 거울들을사용하고 마스크없는 리소그래피 실시간 패턴 래스터화를위한 시스템 및 방법
KR20140063761A (ko) * 2011-09-02 2014-05-27 가부시키가이샤 니콘 공간 광 변조기의 검사 방법 및 장치, 및 노광 방법 및 장치

Families Citing this family (510)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69841370D1 (de) * 1997-04-14 2010-01-21 Dicon As Belichtungseinheit und verfahren zur punktmässigen belichtung eines trägers
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
US6574039B1 (en) * 1999-09-30 2003-06-03 Nikon Corporation Optical element with multilayer thin film and exposure apparatus with the element
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP4324645B2 (ja) * 2001-08-21 2009-09-02 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
KR20040047816A (ko) * 2001-09-12 2004-06-05 마이크로닉 레이저 시스템즈 에이비 공간광변조기를 이용한 개선된 방법 및 장치
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
US6841787B2 (en) 2001-11-07 2005-01-11 Applied Materials, Inc. Maskless photon-electron spot-grid array printer
JP2006502558A (ja) * 2001-11-07 2006-01-19 アプライド マテリアルズ インコーポレイテッド 光学式スポット格子アレイ印刷装置
US6618185B2 (en) 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
WO2004095110A1 (en) * 2003-04-24 2004-11-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Exposure control
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
TW594445B (en) * 2002-02-06 2004-06-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) * 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
JP2006502422A (ja) * 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
EP1543451A4 (en) * 2002-07-12 2010-11-17 Cadence Design Systems Inc PROCESS AND SYSTEM FOR CONTEX-SPECIFIC MASK WRITING
WO2004017069A1 (ja) * 2002-08-16 2004-02-26 Kabushiki Kaisha Hayashi Soken バイオチップ分析装置およびオンライン分析システム
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
EP1573366B1 (en) * 2002-08-24 2016-11-09 Chime Ball Technology Co., Ltd. Continuous direct-write optical lithography
KR101060557B1 (ko) * 2002-10-25 2011-08-31 마퍼 리쏘그라피 아이피 비.브이. 리소그라피 시스템
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
KR20050086953A (ko) * 2003-01-15 2005-08-30 마이크로닉 레이저 시스템즈 에이비 결함 픽셀을 탐지하는 방법
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
US20060104413A1 (en) * 2003-03-05 2006-05-18 Tadahiro Ohmi Mask repeater and mask manufacturing method
US7663734B2 (en) * 2003-04-11 2010-02-16 Tadahiro Ohmi Pattern writing system and pattern writing method
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
TWI304522B (en) * 2003-05-28 2008-12-21 Asml Netherlands Bv Lithographic apparatus, method of calibrating and device manufacturing method
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
JP2006527418A (ja) * 2003-06-12 2006-11-30 マイクロニック レーザー システムズ アクチボラゲット パターンの高精度印刷方法
EP1489449A1 (en) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Spatial light modulator
SG118283A1 (en) * 2003-06-20 2006-01-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
SG119224A1 (en) * 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
EP1491966A1 (en) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Calibration method for a lithographic apparatus
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) * 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
JP2007501430A (ja) * 2003-08-04 2007-01-25 マイクロニック レーザー システムズ アクチボラゲット Psm位置調整方法及び装置
US7079306B2 (en) * 2003-08-22 2006-07-18 Plex Llc Optically addressed extreme ultraviolet modulator and lithography system incorporating modulator
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
US7459709B2 (en) * 2003-08-27 2008-12-02 Koninklijke Philips Electronics N.V. Method of forming optical images, a control circuit for use with this method, apparatus for carrying out said method and process for manufacturing a device using said method
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
EP1668421A2 (en) * 2003-09-12 2006-06-14 Carl Zeiss SMT AG Illumination system for a microlithography projection exposure installation
JP2007506136A (ja) * 2003-09-22 2007-03-15 オーボテック リミテッド カラーフィルタの直接描画システム及び直接描画方法
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
CN101419411B (zh) * 2003-10-07 2013-06-19 Asml荷兰有限公司 用于平板印刷仿真的系统和方法
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7109498B2 (en) 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100879192B1 (ko) * 2003-11-12 2009-01-16 마이크로닉 레이저 시스템즈 에이비 Slm 스탬프 영상 결점을 교정하기 위한 방법 및 장치
US7842926B2 (en) 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
WO2005057291A1 (en) * 2003-12-11 2005-06-23 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece and methods of manufacturing the same
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI505329B (zh) * 2004-02-06 2015-10-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7133118B2 (en) 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
EP1719018A1 (en) * 2004-02-25 2006-11-08 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
US7700265B2 (en) 2004-03-24 2010-04-20 Fujifilm Corporation Image forming method, planographic printing plate precursor, and planographic printing method
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8064040B2 (en) * 2004-03-30 2011-11-22 Carl Zeiss Smt Gmbh Projection objective, projection exposure apparatus and reflective reticle for microlithography
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
EP1747529A1 (en) * 2004-05-18 2007-01-31 Silverbrook Research Pty. Ltd Method and apparatus for security document tracking
US20050259269A1 (en) 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
EP1747500A2 (en) * 2004-05-19 2007-01-31 Fuji Photo Film Co. Ltd. Image recording method
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US7477403B2 (en) * 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US7123348B2 (en) * 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
CA2578203C (en) * 2004-06-23 2014-03-11 Quin Media Arts And Sciences Inc. Sculptural imaging with optical tiles
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7500218B2 (en) 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
JP2008511144A (ja) * 2004-08-23 2008-04-10 マイクロニック レーザー システムズ アクチボラゲット 強化されたcd線形性のための非コヒーレント結像システムのひとみ改善
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) * 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) * 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7609362B2 (en) * 2004-11-08 2009-10-27 Asml Netherlands B.V. Scanning lithographic apparatus and device manufacturing method
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
JP4758443B2 (ja) * 2005-01-28 2011-08-31 エーエスエムエル ホールディング エヌ.ブイ. 大域的最適化に基づくマスクレスリソグラフィ・ラスタライゼーション技術の方法、装置およびコンピュータ読取可能媒体
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7209216B2 (en) * 2005-03-25 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing dynamic correction for magnification and position in maskless lithography
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7221514B2 (en) 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
EP1896902B1 (en) * 2005-04-15 2018-09-19 Mycronic AB Method for writing patterns using multiple exposure beams and pattern generator
EP1896901A1 (en) * 2005-04-15 2008-03-12 Micronic Laser Systems Ab Image enhancement technique
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) * 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
US7650588B2 (en) * 2005-09-26 2010-01-19 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
JP5025157B2 (ja) * 2005-09-29 2012-09-12 大日本スクリーン製造株式会社 画像記録装置および画像記録方法
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
DE102006019963B4 (de) * 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US8264667B2 (en) * 2006-05-04 2012-09-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and other exposure
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
JP5180446B2 (ja) * 2006-07-20 2013-04-10 株式会社ナノシステムソリューションズ 露光装置及び露光方法
US7548315B2 (en) 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
JP4937705B2 (ja) * 2006-11-14 2012-05-23 株式会社オーク製作所 多重露光装置
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US7990339B2 (en) * 2006-12-27 2011-08-02 Silicon Quest Kabushiki-Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) * 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
WO2008131928A1 (en) * 2007-04-25 2008-11-06 Carl Zeiss Smt Ag Illumination system for illuminating a mask in a microlithographic exposure apparatus
WO2008131524A1 (en) * 2007-04-30 2008-11-06 Koninklijke Philips Electronics N.V. Modular solid-state lighting system
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
WO2009011119A1 (ja) * 2007-07-13 2009-01-22 Nikon Corporation パターン形成方法及び装置、露光方法及び装置、並びにデバイス製造方法及びデバイス
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
WO2009026947A1 (en) * 2007-08-30 2009-03-05 Carl Zeiss Smt Ag Illumination system for illuminating a mask in a microlithographic projection exposure apparatus
US8245162B2 (en) * 2007-09-14 2012-08-14 Abrams Daniel S Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
KR101562073B1 (ko) * 2007-10-16 2015-10-21 가부시키가이샤 니콘 조명 광학 시스템, 노광 장치 및 디바이스 제조 방법
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
JP5582287B2 (ja) * 2007-11-06 2014-09-03 株式会社ニコン 照明光学装置及び露光装置
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
WO2009078223A1 (ja) * 2007-12-17 2009-06-25 Nikon Corporation 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
WO2009080231A1 (en) 2007-12-21 2009-07-02 Carl Zeiss Smt Ag Illumination system for illuminating a mask in a microlithographic exposure apparatus
JP5639894B2 (ja) * 2007-12-21 2014-12-10 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ投影露光装置用の照明系
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
WO2009130603A2 (en) * 2008-04-24 2009-10-29 Micronic Laser Systems Ab Spatial light modulator with structured mirror surfaces
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
US8867113B2 (en) * 2008-08-26 2014-10-21 Hamamatsu Photonics K.K. Laser processing device and laser processing method
JPWO2010024106A1 (ja) * 2008-08-28 2012-01-26 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8390786B2 (en) * 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) * 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) * 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2202580B1 (en) * 2008-12-23 2011-06-22 Carl Zeiss SMT GmbH Illumination system of a microlithographic projection exposure apparatus
WO2010092188A1 (en) 2009-02-16 2010-08-19 Micronic Laser Systems Ab Improved slm device and method
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
KR101854828B1 (ko) * 2009-05-20 2018-05-04 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8434887B2 (en) 2009-08-27 2013-05-07 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
CN102598310A (zh) * 2009-09-18 2012-07-18 应用材料公司 背接触太阳能电池中的通孔的激光钻孔
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
WO2012013227A1 (en) * 2010-07-28 2012-02-02 Carl Zeiss Smt Gmbh Facet mirror device
KR102117986B1 (ko) * 2010-09-27 2020-06-02 가부시키가이샤 니콘 공간 광변조기의 구동 방법, 노광용 패턴의 생성 방법, 노광 방법, 및 노광 장치
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
CN103270453B (zh) * 2010-12-07 2016-04-13 密克罗尼克麦达塔公司 十字形写入策略
WO2012081292A1 (ja) * 2010-12-13 2012-06-21 株式会社ニコン 空間光変調器及びその駆動方法、並びに露光方法及び装置
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
RU2583003C2 (ru) * 2011-07-11 2016-04-27 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Литографическая система и способ хранения позиционных данных мишени
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法
EP2926197A4 (en) * 2012-10-29 2016-09-07 Univ Northwestern PROJECTED AND HEAT-ACTIVATED LITHOGRAPHY SYSTEMS AND METHODS
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
JP6453780B2 (ja) 2013-03-12 2019-01-16 マイクロニック アーベーMycronic Ab 機械的に形成されるアライメント基準体の方法及び装置
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
WO2015054797A1 (en) * 2013-10-20 2015-04-23 Mtt Innovation Incorporated Light field projectors and methods
CN105765462B (zh) * 2013-11-27 2019-03-19 东京毅力科创株式会社 使用光学投影的基板调整系统和方法
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927945B1 (en) 2014-04-04 2023-05-31 Nordson Corporation X-ray inspection apparatus for inspecting semiconductor wafers
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
WO2015172236A1 (en) 2014-05-15 2015-11-19 Mtt Innovation Incorporated Optimizing drive schemes for multiple projector systems
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10799998B2 (en) * 2016-10-17 2020-10-13 Virtek Vision International Ulc Laser projector with flash alignment
CN110113982B (zh) * 2016-12-27 2022-12-06 德普伊新特斯产品公司 用于在内窥镜成像环境中提供照明的系统、方法和设备
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
CN110431486B (zh) * 2017-03-16 2022-03-15 株式会社尼康 控制装置及控制方法、曝光装置及曝光方法、元件制造方法、数据生成方法和计算机可读介质
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) * 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
DE102019115554A1 (de) * 2019-06-07 2020-12-10 Bystronic Laser Ag Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
CN116075386A (zh) 2020-08-14 2023-05-05 陶瓷数据解决方案有限公司 用于使用激光器、检流计和数字微镜在第一材料的层(10)上或中高速记录数据的方法和装置
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (ko) * 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US4675702A (en) 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) * 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
WO1993009469A1 (de) 1991-10-30 1993-05-13 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Belichtungsvorrichtung
DE69226511T2 (de) 1992-03-05 1999-01-28 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
WO1994010633A1 (en) * 1992-11-02 1994-05-11 Etec Systems, Inc. Rasterizer for a pattern generation apparatus
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (en) 1993-09-15 1995-06-14 Texas Instruments Incorporated Image simulation and projection system
US5467146A (en) * 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
EP0774130B1 (en) * 1994-08-04 2006-12-20 Texas Instruments Incorporated Display system
US5614990A (en) 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) * 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) * 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
EP0914626A4 (en) * 1996-07-25 2002-02-20 Anvik Corp MASKLESS AND DISCONTINUOUS LITHOGRAPHIC SYSTEM INCLUDING A LIGHT SPACE MODULATOR
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
EP1207415B1 (en) 1997-10-29 2006-08-30 MacAulay, Calum, E. Apparatus and methods relating to spatially light modulated microscopy
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) * 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) * 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100770672B1 (ko) * 2005-06-30 2007-10-29 에이에스엠엘 홀딩 엔.브이. 최적 피처 표현을 얻도록 연산적으로 커플링된 거울들을사용하고 마스크없는 리소그래피 실시간 패턴 래스터화를위한 시스템 및 방법
KR20140063761A (ko) * 2011-09-02 2014-05-27 가부시키가이샤 니콘 공간 광 변조기의 검사 방법 및 장치, 및 노광 방법 및 장치
US10317346B2 (en) 2011-09-02 2019-06-11 Nikon Corporation Method and device for inspecting spatial light modulator, and exposure method and device
US10598606B2 (en) 2011-09-02 2020-03-24 Nikon Corporation Method and device for inspecting spatial light modulator, and exposure method and device
KR102321222B1 (ko) * 2011-09-02 2021-11-03 가부시키가이샤 니콘 공간 광 변조기의 검사 방법 및 장치, 및 노광 방법 및 장치

Also Published As

Publication number Publication date
DE69943040D1 (de) 2011-01-27
AU2756999A (en) 1999-09-20
US20090191489A1 (en) 2009-07-30
US20030202233A1 (en) 2003-10-30
EP1060442A1 (en) 2000-12-20
AU2755799A (en) 1999-09-20
AU2756899A (en) 1999-09-20
WO1999045438A1 (en) 1999-09-10
US20060187524A1 (en) 2006-08-24
CN1189794C (zh) 2005-02-16
ATE309557T1 (de) 2005-11-15
ATE491971T1 (de) 2011-01-15
US7710634B2 (en) 2010-05-04
EP1060441B1 (en) 2010-12-15
JP2010015176A (ja) 2010-01-21
KR100451026B1 (ko) 2004-10-06
AU3284299A (en) 1999-09-20
WO1999045441A1 (en) 1999-09-10
WO1999045440A1 (en) 1999-09-10
US20060103914A1 (en) 2006-05-18
EP1060443A1 (en) 2000-12-20
AU2755699A (en) 1999-09-20
SE9800665D0 (sv) 1998-03-02
JP2009033190A (ja) 2009-02-12
AU2755599A (en) 1999-09-20
US7184192B2 (en) 2007-02-27
KR20010052196A (ko) 2001-06-25
JP2002506234A (ja) 2002-02-26
JP2002506233A (ja) 2002-02-26
US6987599B2 (en) 2006-01-17
WO1999045439A1 (en) 1999-09-10
WO1999045435A1 (en) 1999-09-10
ATE491970T1 (de) 2011-01-15
JP2002506236A (ja) 2002-02-26
EP1060441A1 (en) 2000-12-20
US20100208327A1 (en) 2010-08-19
US6373619B1 (en) 2002-04-16
AU2757099A (en) 1999-09-20
ATE398792T1 (de) 2008-07-15
RU2232411C2 (ru) 2004-07-10
JP2002506235A (ja) 2002-02-26
DE69936950D1 (de) 2007-10-04
DE69938921D1 (de) 2008-07-31
US20040165170A1 (en) 2004-08-26
EP1060439B1 (en) 2005-11-09
EP1600817A1 (en) 2005-11-30
US7009753B2 (en) 2006-03-07
JP2010016404A (ja) 2010-01-21
EP1060440A1 (en) 2000-12-20
US7800815B2 (en) 2010-09-21
JP2006080539A (ja) 2006-03-23
DE69938895D1 (de) 2008-07-24
KR20010052197A (ko) 2001-06-25
EP1060442B1 (en) 2008-06-11
US20050225836A1 (en) 2005-10-13
JP2010267978A (ja) 2010-11-25
CN1292103A (zh) 2001-04-18
DE69936950T2 (de) 2008-05-15
CN1292102A (zh) 2001-04-18
US7957055B2 (en) 2011-06-07
US6399261B1 (en) 2002-06-04
JP2002506232A (ja) 2002-02-26
US6504644B1 (en) 2003-01-07
JP2002506231A (ja) 2002-02-26
US6687041B1 (en) 2004-02-03
US6428940B1 (en) 2002-08-06
WO1999045436A1 (en) 1999-09-10
ATE398299T1 (de) 2008-07-15
EP1060439A1 (en) 2000-12-20
EP1060440B1 (en) 2010-12-15
DE69928232T2 (de) 2006-08-03
CN1173234C (zh) 2004-10-27
EP1600817B1 (en) 2007-08-22
US20080079922A1 (en) 2008-04-03
RU2257603C2 (ru) 2005-07-27
WO1999045437A1 (en) 1999-09-10
US7365901B2 (en) 2008-04-29
US20090147345A1 (en) 2009-06-11
US6285488B1 (en) 2001-09-04
US6747783B1 (en) 2004-06-08
JP2002506230A (ja) 2002-02-26
CN1550902A (zh) 2004-12-01
US7034986B2 (en) 2006-04-25
EP1060443B1 (en) 2008-06-18
DE69928232D1 (de) 2005-12-15
DE69943041D1 (de) 2011-01-27
US7787174B2 (en) 2010-08-31
ES2357473T3 (es) 2011-04-26

Similar Documents

Publication Publication Date Title
KR100474121B1 (ko) Euv을 이용한 패턴 발생기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130222

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131219

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee