JP2002506231A - ステッチング誤差防止用改良型パターン・ジェネレータ - Google Patents

ステッチング誤差防止用改良型パターン・ジェネレータ

Info

Publication number
JP2002506231A
JP2002506231A JP2000534916A JP2000534916A JP2002506231A JP 2002506231 A JP2002506231 A JP 2002506231A JP 2000534916 A JP2000534916 A JP 2000534916A JP 2000534916 A JP2000534916 A JP 2000534916A JP 2002506231 A JP2002506231 A JP 2002506231A
Authority
JP
Japan
Prior art keywords
pattern
exposure
modulator
spatial light
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000534916A
Other languages
English (en)
Inventor
サンドストロム、トルブヨルン
Original Assignee
マイクロニック レーザー システムズ アクチボラゲット
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロニック レーザー システムズ アクチボラゲット filed Critical マイクロニック レーザー システムズ アクチボラゲット
Publication of JP2002506231A publication Critical patent/JP2002506231A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/03Observing, e.g. monitoring, the workpiece
    • B23K26/032Observing, e.g. monitoring, the workpiece using optical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/064Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms
    • B23K26/0648Shaping the laser beam, e.g. by masks or multi-focusing by means of optical elements, e.g. lenses, mirrors or prisms comprising lenses
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/435Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material
    • B41J2/465Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by selective application of radiation to a printing material or impression-transfer material using masks, e.g. light-switching masks
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0025Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration
    • G02B27/0037Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements
    • G02B27/0043Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 for optical correction, e.g. distorsion, aberration with diffracting elements in projection exposure systems, e.g. microlithographic systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/42Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect
    • G02B27/4205Diffraction optics, i.e. systems including a diffractive element being designed for providing a diffractive effect having a diffractive optical element [DOE] contributing to image formation, e.g. whereby modulation transfer function MTF or optical aberrations are relevant
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70475Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/34Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source
    • G09G3/3433Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices
    • G09G3/346Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters by control of light from an independent source using light modulating elements actuated by an electric field and being other than liquid crystal devices and electrochromic devices based on modulation of the reflection angle, e.g. micromirrors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/04Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa
    • H04N1/19Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays
    • H04N1/195Scanning arrangements, i.e. arrangements for the displacement of active reading or reproducing elements relative to the original or reproducing medium, or vice versa using multi-element arrays the array comprising a two-dimensional array or a combination of two-dimensional arrays
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/74Projection arrangements for image reproduction, e.g. using eidophor
    • H04N5/7416Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal
    • H04N5/7458Projection arrangements for image reproduction, e.g. using eidophor involving the use of a spatial light modulator, e.g. a light valve, controlled by a video signal the modulator being an array of deformable mirrors, e.g. digital micromirror device [DMD]
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G3/00Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes
    • G09G3/20Control arrangements or circuits, of interest only in connection with visual indicators other than cathode-ray tubes for presentation of an assembly of a number of characters, e.g. a page, by composing the assembly by combination of individual elements arranged in a matrix no fixed position being assigned to or needed to be assigned to the individual characters or partial characters
    • G09G3/2007Display of intermediate tones
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N2201/00Indexing scheme relating to scanning, transmission or reproduction of documents or the like, and to details thereof
    • H04N2201/04Scanning arrangements
    • H04N2201/0402Arrangements not specific to a particular one of the scanning methods covered by groups H04N1/04 - H04N1/207
    • H04N2201/0414Scanning an image in a series of overlapping zones

Abstract

(57)【要約】 本発明は、フォトマスク、半導体ウェハ、電子内部接続装置、プリント回路基板、表示パネル、マイクロオプティカル装置、または、印刷板などの加工品にパターンを生成する装置に関するものであり、よりエッジが見えにくいパターンを作成する。本装置は、光パルスを放射する源と、複数の画素を有する空間光変調装置(SLM)と、投射系と、電子データ伝送システムと、前記投射系に対して前記加工品を移動する高精度の機械システムとから成る。さらに、本装置は、加工品、変調装置、ならびに光源を調整する電子制御システムを具備することにより、連続した放射パルスによって作成された部分的画像をつぎ合わせて大型のパターンを作成し、つぎ合わされた隣接する画像が、共通の境界でオーバラップし、オーバラップしている画像が、オーバラップ領域において本質的に同じパターンとより低い輝度を有することを特徴とする。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明は、半導体装置および表示装置用フォトマスク(photomask)
などの感光面の極めて高精度なパターン印刷に関するものである。さらに、本発
明は、半導体装置パターン、表示パネル、一体型光学装置、および電子配線構造
体の直接書込み動作に関するものである。さらに、セキュリティ・プリンティン
グ(証券印刷)などの、他の種類の高精度印刷に利用することも可能である。用
語の「印刷(printing)」は、広い意味で理解すべきものであり、フォ
トレジストや写真用感光乳剤の露光を意味するだけでなく、光または熱によって
活性化する融蝕または化学処理による、乾式処理紙などの他の感光媒体上での光
作用も意味するものとする。光は、平均的な可視光線に限定されず、赤外線(I
R)から超紫外線までの広い範囲の波長を含んでいる。特に重要なのは、370
nm(UV)から、深紫外線(DUV)、真空紫外線(VUV)、および超紫外
線(EUV)を通過して、数ナノメートルの波長に至る紫外線範囲である。EU
Vは、本願において、100nmからその放射を光として扱うことが可能な下限
までの範囲として定義される。EUVの通常の波長は13nmである。IRは、
780nm〜約20μmとして定義される。
【0002】 別の意味において、本発明は、空間光変調装置と、そのような変調装置を用い
る投射型表示装置および投射型プリンタの技術ならびに方法に関するものである
。特に、本発明は、グレースケール特性、焦点や画像の均一化による画像の安定
性、およびアナログ変調技術を利用したこのような変調装置のためのデータ処理
を改善している。アナログ変調の最も重要な用途は、アドレス・グリッド(ad
dress grid)(例えば、パターンのエッジ位置を特定するような、す
なわち、空間光変調装置の画素によって生成されるグリッドよりもはるかに微細
な増分)を備えたフォトレジストのようなコントラストの強い素材への画像の生
成である。
【0003】 (背景技術) 最新の技術では、マイクロミラー型のマイクロミラー空間光変調装置(SLM
)の投射を用いた高精度パターン・ジェネレータの構成が周知である(ネルソン
1988年、クック 1990年)。パターン・ジェネレータにおけるSLM
の使用は、走査レーザ・スポットを使用する、より広く知られた方法に比べて多
くの利点がある。SLMは、大規模並列処理装置であり、1秒当たりに書き込め
る画素数は極めて多い。この光学系は、SLMの照射が非限界的であるという点
でより簡素化されているのに対し、レーザ・スキャナでは、ビーム路全体を高精
度で構築しなければならない。数種類のスキャナ(特に電気光学および音響光学
スキャナ)と比較して、マイクロミラーSLMが完全に反射装置であることから
、マイクロミラーSLMをより短い波長で使用することができる。
【0004】 前記2つの引用文献において、空間光変調装置は、各画素ごとにオン/オフ変
調だけを使用している。入力データは、1ビットの深度、例えば、各画素ごとに
0および1の値を有する画素マップに変換される。この変換は、図形処理装置や
、領域充填指令(area fill instructions)エリア・フ
ィル命令を有するカスタム論理制御回路を使用して、効果的に行なうことが可能
である。
【0005】 同じ発明者のサンドストローム(サンドストローム他、1990)による先の
出願では、パターン素子の境界に中間露光指数を使用して、レーザ・スキャナで
作成された画像の該素子のエッジ位置を微調整できることが記載されている。
【0006】 時間を変化させながらSLMにより画素をオンにしたり、あるいは、同じ画素
を数回印刷することにより、画素を様々な回数オンにすることによって、好まし
くはビデオ画像の投射表示および印刷用にグレースケール画像を生成することも
技術上周知である。本発明は、特に、超高精度パターンの生成を目的とする、空
間光変調装置を備えた直接グレースケール生成用のシステムである。好適な実施
例の重要な特徴は、画素単位の画像の均一性と、焦点変化時(意図的あるいは偶
発的のいずれかを問わず)のSLMの画素に対するフィーチャー(featur
e)の正確な配置に関する独立性である。
【0007】 特に、ステッチング誤差(stitching errors)に関して、従
来技術にはいくつか問題点がある。SLMの使用時に、数多くのパターン・フィ
ールドをつぎ合わせて全体的パターンを作成しなければならず、従来から周知の
装置では、使用者が、ステッチング境界をパターンの感度の高い部分に配置する
ことを回避できない。さらに、本発明でも使用する一部コヒーレントな光を用い
た再生は、非線形的プロセスである。したがって、境界線に人為的な手を加えず
にステッチングを施して極めて重要なパターンを作成することは理論的にも不可
能である。
【0008】 (発明の開示) したがって、本発明は、ステッチング誤差の問題を解決するパターン作成装置
を提供することを目的とする。 この目的は、添付クレームに示された装置によって実現される。
【0009】 本発明による装置は、 超紫外線(EUV)から赤外線(IR)までの波長範囲にあるエネルギー量を
有する光パルスの源と、 前記放射パルスによって照射される複数の変調素子を有する空間光変調装置と
、 加工品に該変調装置の放射パルス画像を作成する投射系と、 書き込まれたパターンのデジタル表現を受信し、連続する部分的パターンをデ
ジタル・パターン表現から抽出し、前記部分的パターンを変調装置電圧に変換し
、さらに、前記電圧を該変調装置に供給する電子データ伝送システムと、 前記投射系に対して前記加工品を移動する高精度機械システムと、 該加工品の動作と、該変調装置への電圧の供給と、放射パルスを調整し、大型
のパターンを前記連続した放射パルスによって作成された複数の部分的画像から
つぎ合わせて作成する電子制御システムとから成り、 つぎ合わされた少なくとも2つの隣接する画像が共通の境界でオーバラップし
、該オーバラップ画像が、該オーバラップ領域において本質的に同じパターンと
より低い輝度を有することを特徴としている。
【0010】 その結果、オーバラップによってフィールド間のエッジは滑らかになり、誤差
がより広い領域に拡散される。
【0011】 (発明を実施するための最良の形態) 本発明は、SLMを有する総称的な投射型プリンタを示す図1の全体的配置に
基づき理解できる。反射に基づく空間光変調装置は、偏向型(ネルソン)と位相
型(クック)の2種類に分類される。マイクロミラーを備えた特定の例において
両者の違いは小さいように見えるが、位相型SLMは、破壊的干渉(destr
uction interference)によって反射方向にビームを放射す
るのに対して、偏向型SLMでは、画素によって、正反射ビーム(specul
ar beam)が幾何学的に片側に偏向され、図1に示すように、結像レンズ
の開口部を逸脱する。最新の発明により実行される超高精度印刷の場合、クック
によって1990年に開示された位相変調システムは、偏向型よりも優れている
。第一に、表面の全部品(ヒンジおよび支柱も含む)が破壊的干渉に関与し、全
体的な吸光が実現可能なことから、良好なコントラストが得られる。第二に、光
を片側に偏向させることによって機能するシステムは、中間偏角地点で光軸に対
して対称性を得ることが困難であり、焦点が変化したときに、フィーチャーが不
安定になる危険が生じる。好適な実施例では、位相型が使用されているが、非対
称の偏向型を囲むように収容あるいは設計すれば、使用することも可能である。
図4にこの状態が概略的に示されている。最初の図4aでは、非偏向マイクロミ
ラー401が照射されており、反射光は、開口402の方向に向けられず、した
がって、光は、基板403に到達していない。一方、図4bでは、ミラーが完全
に偏向され、全反射光が、開口部方向に向けられる。中間の位置では、反射光の
一部だけが、図4cに示されている基板に達する。ただし、この場合、光は、レ
ンズ404の光軸に対して対称にならず、基板に斜めに入射する。これにより、
レンズと基板領域との間の距離が極めて重要なものとなり、領域の破線位置で示
されるような若干の変更によって、基板上のフィーチャーが大幅にずれることに
なる。この問題を解決する方法は、図4dから図4fによって示されている。こ
こでは、最初の露光がマイクロミラーの第1偏角で行なわれ、その後、好ましく
は同じ光線量で、第2の露光が第2の偏角に対して行なわれ、第1の角度を補足
する。これにより、第1の露光と第2の露光を組み合わせたものが、レンズの光
軸に対して対称となる。この課題を解決する別の方法として、図4gに示すよう
な変形ミラー401′を使用して、反射光を開口部全面に均一に分布させる方法
がある。この最後の図では、概ね(後述する)位相型SLMまたは偏向型SLM
の2つの例を示すことができるが、その場合、光はミラーの異なる部分から反射
する。
【0012】 位相型SLMは、マイクロマシン加工ミラー、いわゆるマイクロミラー、ある
いは、電気信号を使用して変形が可能な支持体上の連続するミラー面により形成
可能である。クックにより1990年に開示された発明では、静電界によって制
御される粘弾性層が使用されているが、特に、数ナノメートルほどの変形で充分
な極めて短い波長に対して、電界または、別の、電気的、電磁的、または熱的に
制御される反射面によって変形される圧電固体ディスクを使用することも同様に
可能である。本願の残りの部分では、静電気により制御可能なマイクロミラー・
マトリクス(1次元または2次元)が想定されているが、前記の通り、変調機構
としてのLCDクリスタル素材または電気光学素材に依存した透過または反射型
SLMや、圧電または電気歪動作を利用したマイクロマシン型SLMなど、他の
構成も可能である。
【0013】 本発明では、位相変調が可変であることにより、投射レンズのひとみに達する
光の量が可変になることを特徴とするマイクロミラーを使用することが好ましい
。図2aから図2hでは、数個の多重素子から成るミラーをいくつか示している
が、各ミラーの多様な部分の傾斜は重要ではない。実際に、ある素子によって光
がレンズ方向に向けられる一方で、別の素子により、光がレンズのひとみの外部
に向けられている。この機能を正しく理解する方法として、ミラーの各極小領域
素子からレンズのひとみの中心に達する複素振幅を調べ、ミラー全体の振幅を積
分する方法がある。ミラーを適正な形状にして、複素振幅の合計がゼロに近くな
るように変形することが可能であり、これによって、レンズのひとみに達する光
は全くなくなる。これがマイクロミラーのオフ状態であり、ミラー面が平坦であ
って複素振幅が位相を含むような緩和された状態がオン状態である。オン状態と
オフ状態の間では、反射方向の光量が、変形に関して連続的ではあるが非線形的
な関数となる。
【0014】 書き込まれるパターンは、通常、ガラス基板上にクロムで書かれたフォトマス
ク・パターンなどの2値パターンである。この場合、2値とは、中間領域が全く
ないことを意味しており、フォトマスク面のある一定の点は、黒(クロムで覆わ
れている)か白(クロムなし)である。このパターンは、SLMからの投射画像
によりフォトレジストで露光され、このフォトレジストが現像される。現代のレ
ジストは、コントラストが強く、このことは、露光にわずかな比率の変化が生じ
た場合に、現像剤でレジストを完全に除去したときと、ほとんど除去したときと
では、差が生じることを意味している。したがって、空中の画像が白から黒へ徐
々に推移しても、フォトレジストは、通常、支持体表面に対してほとんど垂直な
エッジを有している。クロム・エッチングを行なった場合、さらに、コントラス
トが強くなり、その結果得られる画像は完全に2値的であり、中間領域が全くな
い黒または白のいずれかに分けられる。
【0015】 入力データは、デジタル形式で、表面に書き込まれるパターンの幾何学的形状
寸法を表している。入力データは、極小アドレス単位、例えば、1ナノメートル
で与えられることが多いが、SLMの画素をオンまたはオフのいずれかに設定し
た場合、はるかにきめの粗いパターンができる。SLMの画素を画像の0.1μ
mの画素に投射する場合、1本の線は、整数個の画素分の幅(n*0.1μm。
ただし、nは整数とする)しか有することができない。最近まで0.1μmのア
ドレス・グリッドで充分ではあったが、いわゆる光学近似補正(OPC)の出現
により、1〜5ナノメートルのグリッドが望まれている。OPCでは、マスクの
使用時に、マスクのフィーチャーの寸法を僅かに修正して、予想される光画像誤
差を補償する。一例として、4本の平行線による0.8μm幅のマスクが最新の
4Xリダクション・ステッパ(半導体ウェハ用投射型プリンタ)に印刷される場
合、通常、同じ幅に印刷しようとしても、0.187および0.200、0.2
00、0.187μm幅の線として印刷される。このことは、画像形成のシミュ
レーションによって予想することができ、マスクのユーザは、OPCを用いて、
マスクを補償する。したがって、0.800μmにする代わりに、マスクの最初
と最後の線を、4*0.213=0.852μmにしたいと考える。0.1μm
のアドレス・グリッドでは、訂正不可能であるが、5nmまたはそれよりも細い
アドレス・グリッドの場合、訂正が可能である。
【0016】 図5では、SLMのデータを提供する方法が、フローチャートで示されている
。第1ステップのS1では、個別のパターン・フィールドに書き込まれるパター
ンのパターン・データを分割する。このパターン・データは、デジタル形式で受
信されることが好ましい。その後、ステップS2において、各フィールドがラス
タライズ化(rasterised)されることにより、異なる露光指数が割り
当てられる。次に、ステップS3において、これらの値を非線形的応答が得られ
るように修正し、ステップS4で、画素単位の変形が行なわれる。最後に、画素
値が駆動信号に変換され、SLMに送信される。
【0017】 本発明は、オフ状態とオン状態との間の中間値を使用して、きめの細かいアド
レス・グリッド(例えば、画素寸法の1/15、1/25、1/50)を生成す
ることが好ましい。印刷されたフィーチャーは、オン状態の画素から成るが、エ
ッジに沿って、中間値に設定された画素が形成される。これは、オンおよびオフ
電圧以外の電圧により画素を駆動することにより行なわれる。カスケード式非線
形効果がいくつか存在することから(エッジ位置と境界線上の画素の露光、露光
と変形、変形と電界)、入力データから電界への非線形的な変換が必要である。
さらに、この変換は、実証的に等時間間隔で校正される。
【0018】 図3は、ピストンのように上下移動することにより、位相差を生成する画素の
配列を示している。この図では、画素をどのように制御して、このインセット(
差込板)で反射率を生成するのか示している。明るい領域は、位相0の画素を有
しているのに対し、暗い領域は、+90度と−90度の位相が交互にくる画素に
よって生成されている。明るい領域と暗い領域の間にある斜めの境界線は、中間
値の位相によって生成されている。これは、エッジが位相型SLMによって如何
に微細位置付けできるかを示している。ただし、中間値を有する他の種類のSL
Mも同様に使用できる。中間値の位相SLMによる結像特性は複雑であり、図3
でエッジが移動する明確さからはほど遠い。しかしながら、本発明者による膨大
な理論に基づく計算および実験によって、記載された効果が実際にあることが証
明されている。
【0019】 (位相型SLMの設計) 従来技術で使用されているようなクローバー型ミラーは、オン状態とオフ状態
の間の中間状態にすることが可能である。しかし、積分複素振幅が偏向関数とし
て作図された場合、完全に0になることは決してなく、0の周りに複数の円を描
くことから、位相角が変化する非ゼロの最低反射率を有することが理解される。
中間状態に設定された数個の画素を有する画像を綿密に分析すると、エッジ画素
の積分位相角がゼロでない場合、最終的な画像のエッジ位置が、焦点に到るまで
安定していないことが明らかである。本発明の好適な実施例では、旋回素子を有
する新型の画素を使用している。各素子が旋回すると、片方の端部が光源方向に
移動し、もう片方の端部が別の方向を向くことから、ゼロに近い平均的位相が維
持される。さらに、このクローバー型設計には、製造中に残留内部応力が発生す
るという問題がある。この応力は、印加された電場を利用しなくても、部分的な
変形を発生させる傾向がある。この内部変形は、製造中の不完全性によることか
ら、すべての画素で全く同様に発生するとは限らない。クローバー型設計では、
この画素ごとの相違によって、反射率の一次的偏差が生じる。旋回素子により形
成された画素セルによっても同様の結果が得られるが、さらに、二次的な効果が
発生する。したがって、投射において均一性が向上する。
【0020】 (画像の高画質化) 旋回設計には第3の利点がある。クローバー型は、完全な吸光には至らないが
、旋回型セルは、より簡単に、完全な吸光を実現する幾何学的形状寸法が得られ
、あるいは、ゼロを通過して、非ゼロのわずかな反射に戻ることもあり、その場
合は逆相になる。吸光が良好に行なわれることによって、重なり合った露光を印
刷する自由度が大きくなり、低い負の値を設計することにより、吸光に近いさら
に良好な線形性が実現する。暗い領域において約5%の弱い露光で逆相にした印
刷では、15〜30%の高いエッジ鮮鋭度が得られ、一定のレンズを使用して、
より小型のフィーチャーを印刷することができる。これは、半導体業界で利用さ
れている、いわゆる、減衰移相マスク(attenuating phase−
shifting mask)によく似ている。エッジ鮮鋭度を高める関連方法
として、フィーチャー内部の画素に低い値を設定し、エッジ付近の画素に高い値
を設定する方法がある。これにより、現在のマスクからのパターン投射や、ネル
ソンおよびクックによる投射機の使用では不可能な新型の画像高画質化が実現す
る。背景に非ゼロの負の振幅を使用しエッジに沿って露光を強くすることは、エ
ッジ画素を中間値にして微細なアドレス・グリッドを生成することと矛盾しない
。これは、それぞれの効果が付加的、あるいは、少なくとも計算可能なことによ
るものである。また、画素が印刷されるフィーチャーよりも実質的に小さい場合
、すべての効果が同時に得られるような画素値の組み合わせがある。これらの画
素を検出するためには、微細なアドレス・グリッドを作成するだけでなく、さら
に、コンピュータi9.計算が必要になるが、本発明の一部の利用法においては
、より小さいフィーチャーの印刷ができれば、多大な努力に見合うだけの高い値
が得られる。
【0021】 粘弾性層上の連続したミラーの場合、ゼロに対する平均的位相の固有平衡が存
在する。シミュレーションにより、フィーチャー・エッジの微細な位置決めに中
間値を当てはめることにより、連続したミラーが形成されることが示されている
。非線形性は、マイクロミラーを使用したときよりも小さい。充分に機能する方
法がないとすると、最小のフィーチャーは、マイクロミラーを使用したときより
も大きくなくてはならず、例えば、分解された1フィーチャー素子当たりのアド
レス指定された画素の数がより多くなくてはならない。その結果、SLM装置は
大型となり、一定のパターンに対するデータ量が大きくなる。したがって、第1
および第2実施例において、マイクロミラーを選択している。
【0022】 本発明では、投射レンズのひとみに対称的な照射が得られることと、画像が回
転に対して応答しないという2つの理由から、回転対称性変形(少なくとも、2
培(two−fold)の対称性であり、好適な実施例では、4培(four−
fold)の対称性)が施された画素が使用されている。後者の理由は、半導体
ウェハにランダムな論理パターンを印刷するのに重要である。x軸−y軸に対し
て非対称性が存在すれば、x軸に沿って配置された各トランジスタは、y軸に沿
って配置されたものと異なった遅延を伴う。そのような回路は誤作動することが
あり、または、より遅いクロック速度でしか使用できなくなる。x軸およびy軸
間の焦点および対称性による画像の不変性に関するこの2つの要件により、光学
系で対称性を生成および維持することが極めて重要になる。対称性は、もともと
備えていてもよく、あるいは、相補的非対称性を有する複数の露光を使用するな
ど、非対称性を意図的に均衡化することによって生成し得る。ただし、複数の露
光は、スループットの低下につながることから、初めから対称的なレイアウトを
有していることが極めて好ましい。
【0023】 (好適な実施例) 第1の好適な実施例は、2048×512マイクロミラーから成るSLMを使
用したフォトマスクの深紫外線パターン・ジェネレータである。光源は、248
ナノメートルのパルス出力と、約10nsのパルス長と、500Hzの反復度を
有するKrFエキシマ・レーザである。SLMは、90%を上回る光を反射する
アルミニウム面を有している。SLMは、ビーム・スクランブリング・イルミネ
ータ(beam−scrambling illuminator)を通じてレ
ーザにより照射され、反射光は、投射レンズ方向に向けられるとともに、さらに
、感光面に向けられる。イルミネータからの入射ビームとレンズへの出射ビーム
は、半透明のビーム・スプリッタ・ミラー(beamsplitter mir
ror)によって分離される。好ましくは、このミラーは偏光選択型であり、イ
ルミネータは偏光を使用し、その偏光方向は、SLMの正面にある1/4波長板
によって切り換えられる。高い開口数(NA)でx軸およびy軸に対して対称性
を有するためには、画像は、対称的に偏光されなければならず、ビーム・スプリ
ッタと投射レンズの間にある第2の1/4波長板によって、円形に偏光された画
像が生成される。レーザ・パルスのエネルギーによって可能な場合のさらに簡単
な構成は、非偏光ビーム・スプリッタを使用することである。ビーム・スプリッ
タの第2の通過後も、1/4波長板は、なお利点を有しているが、それは、該プ
レートによって、ビーム・スプリット・コーティング(beam−splitt
ing coating)の設計が影響を受けにくくなるためである。全体の最
も簡単な構成は、SLMにおける斜めの入射を利用して、イルミネータからのビ
ームと投射レンズに達するビームが、図1に示されるように、幾何学的に離れる
ようにしたものである。
【0024】 マイクロミラーの画素は20×20μmであり、投射レンズは200Xの縮小
変倍率を有することにより、SLMの画素を画像の0.1μmに対応させる。レ
ンズは、0.8の開口数(NA)を有するモノクロームのDUVレンズであり、
ポイント・スプレッド関数が、0.17μmFWHM(半値全幅)となる。良質
で書き込み可能な最小ラインは、0.25μmである。
【0025】 加工品、例えば、フォトマスクが、レンズ下の干渉計制御ステージと、フラッ
シュを生成するレーザへの干渉計論理信号によって移動される。フラッシュが1
0nsに過ぎないことから、ステージの移動は、露光の間は行なわれず、SLM
の画像は、204.8×51.2μmの大きさに印刷される。2ミリ秒後に、ス
テージは、51.2μmだけ移動し、新たなフラッシュが放射され、SLMの新
規画像が、エッジから最初の画像のエッジまで印刷される。露光と露光の間に、
データ入力システムは、新規画像をSLMにロードして、より大きいパターンが
、つぎ合わされたフラッシュによって形成されるようにする。1列が完全に書き
込まれると、ステージは、垂直方向に進み、新規の行が開始する。任意の寸法の
パターンも書き込めるが、第1の好適な実施例では、通常、125×125mm
のパターンを書き込んでいる。この寸法のパターンを書き込むには、50分に加
え、連続した列の間の移動時間がかかることになる。
【0026】 各画素は、25レベル(+ゼロ)に制御できることから、0.1μmの画素を
補間して、それぞれ4ナノメートルを成す25増分する。データ変換は、パター
ンを幾何学的に指定し、オン、オフ、または中間の反射に設定された画素を使用
して、データをマップに変換する。データ経路は、1秒当たり2048*512
*500語のデータ、実際には、1秒当たり524メガバイトの画素データをS
LMに供給しなければならない。好適な実施例では、書き込み可能領域は、最大
230×230mmであり、1列に最大230/0.0512=4500フラッ
シュまで可能であり、この列は、450/500=9秒で書き込まれる。1列に
必要な画素データ量は、9×524=4800Mbである。転送されバッファに
収められるデータの量を少なくするために、圧縮形式が用いられる。この形式は
、1990年のサンドストローム他による発明とよく似ているが、一定の長さと
値を有するセグメントの代わりに、画素マップが圧縮される点が異なっている。
実現性のある代替例として、画素マップを直接生成し、圧縮および解凍用の市販
のハードウェア処理装置を使用して、転送ならびにバッファに収められるデータ
量を減少させる方法がある。
【0027】 しかし、マスク全体のデータ量は、たとえ圧縮しても、ディスク上に予め分割
されたデータを記憶しておくには、かなり膨大な量となっており、画素データを
使用時に生成しなければならない。1アレイの処理装置は、圧縮形式への変換と
並行して画像をラスタライズ化するとともに、この圧縮データを、SLMに画素
データを供給する拡張回路(expander circuit)に転送する。
好適な実施例において、前記処理装置は、画像の異なる部分もラスタライズし、
その結果をバッファリングした後に、拡張回路の入力バッファに送信する。
【0028】 (第2の好適な実施例) 第2の好適な実施例において、レーザは、193nmの波長と500Hzパル
スの周波数を有するArFエキシマ・レーザである。SLMは、20*20μm
の3072×1024画素を有しており、レンズは、0.06μmの投射画素が
得られる333Xの縮小変倍率を有している。また、60個の中間値があり、ア
ドレス・グリッドは、1ナノメートルである。ポイント・スプレッド関数は、0
.13μmであり、最小ラインは0.2μmである。データ・フローは、157
2メガバイト/秒であり、230mm長さの1列のデータは、11.8Gbであ
る。
【0029】 第3の好適な実施例は、画素マトリクスが45度回転し、画素グリッドが84
μmであることにより、投射された画素がx軸およびy軸に沿って0.06μm
の間隔が開けられる点を除いては、第2の好適な実施例と同じである。レーザは
、ArFエキシマ・レーザであり、レンズは、240の縮小変倍率である。マト
リクスが回転されていることから、マトリクスの画素密度は、減少し、データ量
は、第2の好適な実施例の半分となるが、アドレス・レゾリューション(add
ress resolution)は同じである。
【0030】 (レーザのフラッシュ対フラッシュ変化量) エキシマ・レーザには2つの不利な特性、つまり、フラッシュ対フラッシュの
5%のエネルギー変化量と、フラッシュ対フラッシュの100nsの時間的変動
がある。好適な実施例では、いずれも同じ方法によって補償されている。最初の
露光は、90%の倍率での全体のパターンにより形成されている。各フラッシュ
についての実際のフラッシュのエネルギーと時間の状態が記録される。第2の露
光は、公称10%の露光によって形成され、アナログ変調により、第1露光の実
際の値しだいでは、第2の露光を5〜15%にする。同様に、第2の露光におい
て意図的に時間を相殺することにより、第1の露光の時間的変動を補正できる。
第2の露光は、第1の露光で生じた誤差を完全に補償できるが、それ自体が同じ
種類の新たな誤差を発生する。露光全体の平均がわずか10%であることから、
両者の誤差は、10だけ事実上減少する。実際に、レーザは、100nsよりも
はるかに大きい時間的な不確定性を有している。この不確定性は、光のパルスが
、トリガ・パルスからの遅延にしたがって発生し、この遅延が、時折、数マイク
ロ秒分、変化することによるものである。短時間の間に、遅延はより安定するこ
とから、継続的に遅延を測定し、適当にフィルタリングした最終遅延値を使用し
て、次のパルス遅延を予測するとともに、トリガ・パルスの位置付けを行なう。
【0031】 同様に、ステージ誤差が記録され、ステージが第2の露光における補償動作に
より駆動された場合、ステージの不完全性を補正することも可能である。測定可
能な配置誤差があれば、原則として、部分的または完全に上記のように補正する
ことができる。第2の露光中に計算された個所にステージを移動させる高速サー
ボを備えていることが必要である。従来技術では、SLM自体をストロークが小
さく応答時間の短いステージに搭載し、画像の精密な位置付けに使用する方法が
周知である。別の同様に有効な方式は、SLMと画像面との間の光学系において
圧電制御を備えたミラーを使用する方法があり、両者のいずれを選択するかは、
実際の状況を考慮して行なう。さらに、また、露光フィールドのデータに相殺位
置を付け加えて、画像を横に移動させることも可能である。
【0032】 第2の露光は、レーザおよびSLM間の減衰フィルタを使用して実行し、公称
露光の0〜15%以内で、SLMのダイナミック・レンジを完全に使用できるよ
うにすることが好ましい。25個の中間レベルにより、15%*1/25=0.
6%の段階で露光を調整することができる。
【0033】 応答は、製造上の不完全性によって画素ごとに若干異なり、また、経時変化が
原因となってこのような違いが生じることもある。その結果、画像が不均質にな
るという不都合が生じる。画像に求められている条件が極めて高い場合、ルック
アップ・メモリーに記憶されている画素の逆応答性による増大によって、全画素
を補正する必要がある。また、各画素ごとに、2、3、またはそれ以上の項を有
する多項式を使用することがさらに好ましい。これは、SLMを駆動する論理回
路に基づくハードウェアで実行可能である。
【0034】 さらに複雑で好適な実施例では、補正をいくつか組み合わせることにより、第
2の補正露光を行なう。フラッシュ毎の変化量、フラッシュの時間的変動、さら
に、周知の画素間の応答の違いなどもその対象となる。補正が小さい限り、即ち
、各補正ごとに数パーセントである限り、ほぼ線形的に追加されていくことから
、補正がそのまま加えられ、SLMに適用される。その合計は、該当する画素に
おいて、所望の照射線量の値により乗算される。
【0035】 (代替光源) エキシマ・レーザは、レーザの波長と種類に依存した500〜1000Hzの
限定的なパルス繰返し周波数(prf)を有している。そのため、x軸およびy
軸の両方において、エッジをステッチングした大型のフィールドを与える。他の
2つの好適な実施例では、SLMがprfがはるかに高いパルス・レーザ、例え
ば、Qスイッチ・アップコンバート固体レーザや、SLMの表面上で走査された
連続レーザ源から照射されることにより、SLMのある部分が新規データで書き
換えられる一方で、別の部分が印刷される。どちらの場合も、レーザのコヒーレ
ンス特性がエキシマ・レーザとは異なっており、例えば、異なる光路長を有する
複数の平行な光路などの、より大規模なビーム・スクランブリングおよびコヒー
レンス制御が必要である。本発明の一部の実施例では、フラッシュ・ランプから
の光の出力が充分であり、光源として使用可能である。その利点として、低コス
トであり、コヒーレンス特性が優れていることである。
【0036】 走査により照射を行なう好適な実施例では、2つの問題点が解決される。一つ
は、時間およびエネルギーにおけるパルス毎の変化量の問題であり、これは、好
ましくは音響光学または電気光学などの電気光学スキャナーの使用による完全な
制御のもとで走査が行なわれることによるものであり、多くの連続したレーザを
使用した方が、パルス・レーザを使用したときよりも電力の変動が少ないためで
ある。さらに、連続型レーザを使用すると、異なる波長の選択が可能であり、連
続型レーザは、パルス・レーザに比べて目に対する危険性が少ない。しかし、最
も重要な点は、走査が非限界的であり、100kHz以上の反復度で実行可能な
ことから、わずか数行のマトリクスで、はるかに高いデータ速度に達することが
可能な点である。照射ビームの走査は、極めて均一な照射を生成する方法でもあ
り、他のやり方では困難である。
【0037】 一部の実施例では、光源としてのフラッシュ・ランプを使用することができ、
便利な方法である。
【0038】 (EUV) EUVの光源は、粒子加速装置、磁気プラズマ・ピンチ・マシンからの放射、
または、高電力レーザ・パルスによる物質の小滴を極端な温度に加熱することに
よるものである。いずれの場合も、放射はパルス振動している。EUV放射は、
真空のみで伝搬し、反射光学器械でしか焦点を合わせることができない。SLM
を使用する代表的なパターン・ジェネレータは、光パワーのさほど高くない要件
である、小さい露光フィールドを有している。したがって、光学系の設計は、E
UVステッパに比べて緩やかであることから、より多くのミラーを使用でき、ス
テッパよりも高い開口数(NA)を実現できる。開口数(NA)が高いレンズは
、リング形露光フィールドを有することが予想され、SLMの形状をそのような
フィールドに合わせることが充分に可能である。13nmの波長と0.25の開
口数(NA)では、わずか25nm幅のラインを露光することが可能であり、さ
らに、下記の通り、画像の高画質化を利用すれば、20nmを下回ることも可能
である。このような解像度と、同時に、SLMの同様の特徴によって可能な書込
み速度を実現できる周知の書込み技術も他にはない。
【0039】 (エッジ・オーバラップ) 各フラッシュごとに、2次元フィールドが印刷されるとともに、各フィールド
のエッジとエッジをつぎ合わせることから、ステッチングは極めて重要である。
わずか数ナノメートルの1フィールドを置き換えることにより、エッジに沿って
目に見えるパターン誤差が発生し、マスクによって生成される電子回路の機能に
悪影響を及ぼす可能性がある。このような不必要なステッチングの影響を減少さ
せる効果的な方法として、数本の経路に同じパターンを印刷し、このような経路
間にあるステッチング境界を置き換える方法があげられる。パターンが4回印刷
された場合、ステッチング誤差が4箇所で発生することが予想されるが、その規
模にして僅か四分の一にすぎない。本発明の好適な実施例では、フィールド間の
オーバラップ・バンドとともに、中間露光を発生する機能が使用される。ラスタ
ライス゛化している間、上記の値がコンピュータで計算されるが、圧縮データを
解凍している間でもこの計算は実行できる。エッジ・オーバラップにより、ステ
ッチング誤差が減少し、マルチパス印刷に比べてスループットの不利益がはるか
に減少する。
【0040】 本発明によれば、つぎ合された少なくとも2つの隣接画像が共通の境界でオー
バラップすることにより、オーバラップしている画像がオーバラップ領域におい
て本質的に同じパターンとより低い輝度を有し、目に見えないエッジが生成され
る。このオーバラップ領域における露光は一定であってもよく、あるいは、ある
画像から隣の画像にかけて徐々に変化してもよい。オーバラップ領域の露光もま
た、調整可能であってもよい。
【0041】 オーバラップ領域の低めの輝度は、例えば、光路に配置された、透過マスクま
たは反射率が領域にかけて変化するミラーによって提供されてもよい。さらに、
このマスクは、開領域を有し、かつエッジに沿って段階的に透過性を有すること
により、加工品に非鮮明な画像が作成されるように配置されてもよい。マスクは
、光源と空間光変調装置の間、または、空間光変調装置と加工品の間に配置され
ることが好ましい。さらに、このマスクは、透過性か反射性の第2の空間光変調
装置であってもよく、アナログ空間光変調装置であることが好ましい。主空間光
変調装置は、また、好ましくは、加工品上のパターンのオーバラップすると思わ
れる領域に静的光効率を低くして備え、該領域に弱い露光が生成されてもよい。
このことは、光効率を低くしたオーバラップ領域において、空間光変調装置での
追加的コーティングによって実現されてもよく、あるいは、空間光変調装置がア
ナログ変調装置のとき、変調装置のアナログ機能によって実現されてもよい。さ
らに、弱い露光は、アナログ空間光変調装置に供給されるデータによって制御さ
れることが好ましく、前記減じた露光データは、入力表現から、空間光変調装置
に適した画素データへの変換中に、あるいはカスタム論理またはグラフィック処
理装置を用いた別のステップで、パターン・データに追加されることが最も好ま
しい。
【0042】 図7aでは、従来の書込み、すなわち、オーバラップのない例を示している。
異なるシェーディングは、別々に書き込まれたパターン・フィールドを示してい
る。また、図7bでは、パターンの配置が異なるフィールド間でどのように変化
しているかを概略的に誇張して示している。特に、1本の線が、複数のパターン
・フィールドにわたって延びており、フィールド間にエッジ誤差が発生している
様子が示されている。図7cでは、同じパターンが示されているが、本発明によ
るフィールド間のオーバラップが施されている。このオーバラップは、図7dの
輝度曲線で示されているように段階的である。これにより、エッジ誤差は、連続
的な段階に分割され、誤差は滑らかになり、目に見えにくくなる。さらに改善さ
れた方法では、このステップが固定した位置に配置されるのではなく、パターン
によって配置の仕方が決まる。詳しく述べると、各ステップは、フィーチャー・
エッジおよび小型の極めて重要なフィーチャーから離れた位置に配置される。図
7eおよび図7fでは、フィールド間に線形のオーバラップ変化が使用され、誤
差が、オーバラップ領域にわたって線形的に分配されている。
【0043】 (修正照射) 第1の好適な実施例において、SLMの照射は、エキシマ・レーザや、フライ
アイ・レンズなどの光スクランブラーによって行なわれ、イルミネータのひとみ
面の円形自発光面からの照射とよく似た照射が生成される。ある特定の投射系に
よる印刷時に解像度を高める場合、修正照射法を利用することができる。最も簡
単な例では、イルミネータのひとみ面に、例えば、四重極形または環状の透過領
域を有するひとみフィルタを導入する方法がある。さらに複雑な例では、同じフ
ィールドを数回印刷する。露光と露光の間で数個のパラメータ、例えば、画像面
の焦点、照射パターン、SLMに印加されるデータ、投射レンズのひとみ面のひ
とみフィルタなどを変化させることが可能である。特に、照射の同期した変化や
ひとみフィルタによって、解像度を高めることができ、このことは、ひとみが扇
形透過領域を有しているとともに、非回折光が該扇形の先端付近の吸収絞りをさ
えぎるように照射が一直線に並んでいる場合に、特に顕著である。
【0044】 (応答の線形化) データからエッジ配置までの伝達関数の線形化を図るうえで、本明細書で行な
う基本的に次の3つの方法がある。 −データ変換装置において非線形性を考慮し、データ変換装置に8ビット(例)
の画素値を生成し、同じ解像度を有するDACを使用してSLMを駆動する。 −より少ない値(例えば、5ビット、すなわち、最高32個の値)でデジタル値
を生成し、ルックアップ・テーブル(LUT)で8ビット値に変換した後に、こ
の8ビット値をDACに供給する。 −5ビット値と半導体スイッチを使用して、1台または数台の高解像度DACに
より生成されたDC電圧を選択する。
【0045】 いずれの場合も、実証的校正関数がデータ変換装置のLUTで使用されるか、
または、DC電圧で使用される場合に、プレート上の応答が線形化されるような
実証的校正関数を測定することが可能である。
【0046】 どの線形化方式を用いるかは、データ速度、精度要件、および、時代とともに
変化しうる利用可能な回路技術次第である。現時点では、データ変換装置は行き
詰まった状態にあることから、データ変換装置による線形化は、好適な解決法と
はいえず、8ビット画素値を生成することも好ましくない。また、高速DACは
、高価であり消費電力が高い。最も適正な解決法は、DC電圧を生成し、スイッ
チを使用することである。それで、8ビットよりもさらに高い解像度の使用が可
能である。
【0047】 (好適なパターン・ジェネレータの説明) 図6を参照すると、パターン・ジェネレータは、単数および複数の値を持つ画
素アドレス指定方式によるSLM601と、光源602と、照射ビーム・スクラ
ンブル装置603と、結像光学系604と、干渉計位置制御系606を備えた微
細位置付け基板ステージ605と、SLM用ハードウェアおよびソフトウェア・
データ処理システム607とから構成されている。また、さらに、適正な機能を
提供し操作を簡易化するために、前記パターン・ジェネレータは、温度制御を備
えた周囲環境チャンバ、基板荷重システム、最適なパターン配置精度を実現する
ためのステージ移動および露光レーザ・トリガーのタイミングをとるためのソフ
トウェア、およびソフトウェア・ユーザ・インタフェースも具備している。
【0048】 パターン・ジェネレータの照射は、KrFエキシマ・レーザによって行なわれ
、エキシマ・レーザの自然線幅に相当する帯域を有し、248ナノメートルの波
長でUV領域において10〜20ナノ秒の長さのフラッシュ光を放出する。基板
上のパターンの歪みを防止するために、エキシマ・レーザからの光を、SLM面
に均一に分配し、光のコヒーレンス長は、基板上にレーザ・スペックルを発生さ
せないのに充分に短くする。ビーム・スクランブラーを使用して、この2つの目
的を達成する。ビーム・スクランブラーは、エキシマ・レーザからのビームを異
なる光路長を持つ数本のビーム路に分割した後に、空間コヒーレンス長を短くす
るために各ビーム路をまとめて一つにする。さらに、ビーム・スクランブラーは
、1組のフライアイ・レンズを有するレンズ系から成るビーム・ホモジナイザー
を有し、このビーム・ホモジナイザーは、エキシマ・レーザからのレーザ・ビー
ムの各ポイントからの光を、SLM面全体に均一に分配し、「最上層」に光の分
布を行なう。
【0049】 SLMからの光は、中継され基板ステージ上の基板に結像される。これは、ク
ックにより記載されているシュリーレン光学系を用いて行なわれる。焦点幅f1 のレンズl1が、SLMから距離f1の位置に配置される。焦点長さf2のもう一 つのレンズl2は、SLMから距離2×f1+f2の位置に配置される。次に、基 板が、SLMから距離2×f1+2×f2の位置に配置される。SLMから距離2
×f1の位置には、寸法によって系の開口数(NA)、したがって、基板上に書 き込める最小パターン・フィーチャーの寸法が決まる開口608がある。また、
光学系や基板の平面度の不完全性を補正するために、レンズl2をz方向に動的 に位置付けする焦点システムもあり、50マイクロメートルの位置スパンにより
、最適な焦点特性が得られる。さらに、このレンズ系は、照射光の波長が248
ナノメートルになるように波長補正されており、照射光の帯域幅許容誤差が少な
くとも±1ナノメートルである。レンズl1の真上に位置付けられたビーム・ス プリッター609により、照射光が結像光学系に反射する。縮小率250および
開口数(NA)0.62の場合、寸法を0.2マイクロメートルまで縮小したパ
ターン・フィーチャーを露光して高品質なパターンを得ることができる。各SL
M画素から32グレー・レベルで、最小グリッド寸法が2ナノメートルになる。
【0050】 パターン・ジェネレータは、干渉計位置制御システムを備えた微細位置付け基
板ステージを有しており、最小熱膨張用にZerodurで作製された可動エア
ベアリングxyテーブル605から成る。干渉計位置フィードバック測定系60
6を備えたサーボ系は、各方向のステージ位置付けを制御する。1方向のy軸に
おいて、サーボ系は、ステージを固定位置に維持し、もう片方の方向x軸におい
て、ステージは、連続的な速度で移動する。干渉計位置測定系は、x軸方向に使
用されることにより、露光レーザ・フラッシュをトリガーし、基板上のSLMの
各画像間の位置を均一にする。SLM画像の1行全体が基板上で露光されると、
ステージは、x軸方向の元の位置に戻り、y軸方向にSLM画像の1増分だけ移
動して、基板上のもう1行のSLM画像を露光する。この手順は、基板全体が露
光されるまで繰返し行なわれる。
【0051】 SLM画像は、x軸およびy軸の両方向に多数の画素とオーバラップしており
、露光データ・パターンは、オーバラップしている画素で局部的に修正され、こ
のようなオーバラップ領域となる多くの増大した露光を補償している。
【0052】 エキシマ・レーザからのパルス毎の強度の変化量は、パターンの2パス露光の
使用により補償され、ここでは、第1パスが正しい強度である公称90%の強度
によって実行される。第1パスでは、各レーザ・フラッシュの実際の強度が測定
ならびに記憶される。第2パスでは、第1パスからの測定済み強度の値に基づい
て、各SLM画像露光用の正しい強度が用いられる。このように、エキシマ・レ
ーザからのパルス毎の強度の変化量による影響を1桁ほど抑制することができる
【0053】 SLMの機能性については、本明細書の別の箇所でさらに詳しく述べる。SL
Mは、画素寸法が16マイクロメートルの画素を2048×256個有しており
、1ミリ秒以内に全画素を処理することが可能である。SLMは、精巧なステー
ジに堅固に取付けられている。この精巧なステージは、フラッシュ露光とフラッ
シュ露光の間において100ナノメートルよりも高い精度で、x軸およびy軸の
方向に、100ミクロン移動可能である。SLMの微細な位置付けを用いて、基
板位置付けステージの位置の不正確さを補正し、パターン・ステッチング誤差を
さらに少なくする。x−y方向の位置付けに加え、基板ステージの座標系で指定
されたもの以外の角度で基板上のパターンを露光するために、SLMステージを
回転させることも可能である。このような回転を行なう目的は、補足的フィーチ
ャーを追加する場合、既存のパターンを有する基板に対して、基板の整合可能性
を組み込むのを可能にすることである。オフライン光学チャネルおよびCCDカ
メラを使用して、搭載後に、ステージ上の基板の正確な位置を測定し、基板上に
ある多数の整合マークの系での座標を決定することができる。露光中は、整合マ
ークの測定位置に基づいて、x軸およびy軸の方向に、ステージ位置が修正され
る。回転座標系に追従するステージ・サーボ系を使用するとともに、前記の通り
SLMの精巧なステージを回転させて、回転的な整合が行なえる。
【0054】 パターン・ラスタライザー610において、任意の形式を有する任意のデータ
・パターンが、1画素につき32(5ビット)グレー・レベルの圧縮ラスタライ
ズ済み画素マップに変換される。画素電極に印加される電圧に応答して、露光さ
れた画素のグレースケールの段階が線形的ではないことから、32のグレー・レ
ベルがそれぞれ連続したレベルの照射線量の均一な増加分に対応するように、入
力データが画素リニアライザー611で線形化される。この動作は、8ビットの
デジタル・アナログ変換器(DAC)612を使用して行なわれ、予め実証的に
校正された線形化関数にしたがって、画素マップからの各グレー・レベルによっ
て、DACからの電圧を選択する。DACからのアナログ・レベルの選択におい
て、各値がSLM画素に対応し、そのような各値が、対応する画素の変則性を補
正するルックアップ・テーブルを使用して、追加的な補正が行なわれる。ルック
アップ・テーブルの校正値は、実証的校正手順によって作成され、この手順では
、一連のテスト・パターンがSLMに送信され、得られた露光パターンを測定し
、測定されたパターンが個々の画素補正に使用される。以上は、画素マップの各
グレー・レベルによってアナログ電圧が選択され、対応する全SLM画素に対し
て画素の変形を施すことにより、正しい照射線量を供給することを意味している
【0055】 (引用文献) ネルソン 1988年: 米国特許第5、148、157号 クック 1990年: 欧州特許第0 610 183号 サンドストロン他 1990年: 欧州特許第0 467 076号
【図面の簡単な説明】
【図1】 従来技術によるプリンタを示す図である。SLMは、レンズのひとみからの光
を偏向させるマイクロミラーから成る。
【図2】 a〜hは、4つの上部画素をオフ状態に、残りの5つの画素をオン状態にした
画素設計をいくつか示した図である。
【図3】 ピストンのように上下移動することにより、位相差を生成する画素の配列を示
す図である。これにより、位相型SLMによってエッジ位置をどのように微調整
できるか示されている。
【図4】 a〜gは、偏向ミラーの付いたSLMと変形ミラーの付いたSLMとの概略的
な比較を示す図である。
【図5】 データを翻訳しSLMに供給する方法を示すフローチャートである。
【図6】 本発明によるパターン・ジェネレータの好適な実施例を示す図である。
【図7】 a〜fは、本発明によるエッジ誤差の補正例を示す図である。
【手続補正書】特許協力条約第34条補正の翻訳文提出書
【提出日】平成12年4月18日(2000.4.18)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】特許請求の範囲
【補正方法】変更
【補正内容】
【特許請求の範囲】
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,SD,SL,SZ,UG,ZW),E A(AM,AZ,BY,KG,KZ,MD,RU,TJ ,TM),AL,AM,AT,AU,AZ,BA,BB ,BG,BR,BY,CA,CH,CN,CU,CZ, DE,DK,EE,ES,FI,GB,GD,GE,G H,GM,HR,HU,ID,IL,IN,IS,JP ,KE,KG,KP,KR,KZ,LC,LK,LR, LS,LT,LU,LV,MD,MG,MK,MN,M W,MX,NO,NZ,PL,PT,RO,RU,SD ,SE,SG,SI,SK,SL,TJ,TM,TR, TT,UA,UG,US,UZ,VN,YU,ZW Fターム(参考) 2H041 AA13 AB14 AC06 AC08 AZ05 2H095 BA01 BA05 BB01 BB12 BB32 2H097 AA20 BA10 BB01 EA01 GB04 JA02 LA01 LA09 LA10 LA17 5F046 AA11 BA06 CA04 CB02 CB11 CC01 CC04 DA12

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】 フォトマスク、半導体ウェハ、電子内部接続装置、プリント
    回路基板、表示パネル、マイクロオプティカル装置、または、印刷板などの加工
    品にパターンを作成する装置であって、よりエッジが見えにくいパターンを作成
    し、該装置は、 超紫外線(EUV)から赤外線(IR)までの波長範囲にあるエネルギー量を
    有する電磁波を放射する源と、 前記放射によって照射される複数の変調素子を有する空間光変調装置と、 加工品に該変調装置の画像を作成する投射系と、 書き込まれたパターンのデジタル表現を受信し、連続する部分的パターンをデ
    ジタル・パターン表現から抽出し、前記部分的パターンを変調装置信号に変換し
    、さらに、前記信号を該変調装置に供給する電子データ伝送システムと、 前記投射系に対して前記加工品を移動する高精度機械システムと、 該加工品の動作と、該変調装置への信号の供給と、放射を調整し、前記連続し
    た部分的パターンにより作成された部分的画像をつぎ合わせて大型のパターンに
    する電子制御システムとから成り、 つぎ合わされた少なくとも2つの隣接画像が共通の境界でオーバラップし、オ
    ーバラップしている画像が、該オーバラップ領域において本質的に同じパターン
    とより低い輝度を有することを特徴とする装置。
  2. 【請求項2】 前記オーバラップ領域の露光が、一定の段階を有することを
    特徴とする請求項1に記載の装置。
  3. 【請求項3】 前記オーバラップ領域の露光が、ある画像から隣の画像まで
    徐々に変化していくことを特徴とする請求項1または2に記載の装置。
  4. 【請求項4】 前記オーバラップ領域の露光が、調整可能であることを特徴
    とする請求項1〜3のいずれかに記載の装置。
  5. 【請求項5】 前記オーバラップ領域の境界が調整可能であることを特徴と
    する請求項1〜3のいずれかに記載の装置。
  6. 【請求項6】 前記オーバラップ領域の境界が、エッジと極めて重要なフィ
    ーチャーを避けて配置されることを特徴とする請求項5に記載の装置。
  7. 【請求項7】 データ処理および伝送システムが、前記入力パターン表現を
    露光フィールドに分割し(1フィールドは1光パルスに対応する)、互いに隣接
    するフィールドは、共通の境界でオーバラップしていることを特徴とする請求項
    1〜6のいずれかに記載の装置。
  8. 【請求項8】 光路に、例えば、透過マスクまたは領域にかけて反射率が変
    化するミラーなどのマスクを配置して、前記オーバラップ領域に低めに設定した
    露光を発生させることを特徴とする請求項1〜7のいずれかに記載の装置。
  9. 【請求項9】 前記マスクが、開領域を有し、エッジに沿って徐々に透過性
    を有することを特徴とする請求項8に記載の装置。
  10. 【請求項10】 前記マスクが、中心に透明な領域と、該透明な領域の周囲
    にある鋭いエッジを有し、該マスクが、加工品に不鮮明な画像が作成されるよう
    に配置されていることを特徴とする請求項8に記載の装置。
  11. 【請求項11】 前記マスクが、前記光源と前記空間光変調装置の間に配置
    されていることを特徴とする請求項8に記載の装置。
  12. 【請求項12】 前記マスクが、前記空間光変調装置と前記加工品の間に配
    置されていることを特徴とする請求項8に記載の装置。
  13. 【請求項13】 前記マスクが、第2の空間光変調装置であることを特徴と
    する請求項8に記載の装置。
  14. 【請求項14】 前記マスクが、アナログ空間光変調装置であることを特徴
    とする請求項9に記載の装置。
  15. 【請求項15】 前記低めに設定された露光が、該パターンを作成する同じ
    前記空間光変調装置によって作成されることを特徴とする請求項1〜7のいずれ
    かに記載の装置。
  16. 【請求項16】 前記空間光変調装置が、前記加工品上の前記パターンにお
    いてオーバラップすると思われる領域の静的光効率を低くすることにより、該領
    域に低い露光が生成されることを特徴とする請求項15に記載の装置。
  17. 【請求項17】 前記光効率を低くする前記オーバラップ領域の前記空間光
    変調装置に追加的コーティングを施すことを特徴する請求項15に記載の装置。
  18. 【請求項18】 前記空間光変調装置がアナログ変調装置であり、前記低露
    光が、前記変調装置のアナログ機能によって生成されることを特徴とする請求項
    15に記載の装置。
  19. 【請求項19】 前記低露光が、前記アナログ空間光変調装置に供給される
    前記データにより制御されることを特徴とする請求項18に記載の装置。
  20. 【請求項20】 前記低露光が、前記アナログ空間光変調装置に供給される
    前記データにより制御され、前記入力表現から前記空間光変調装置に適した画素
    データへの変換中に、前記低露光データが、前記パターン・データに追加される
    ことを特徴とする請求項18に記載の装置。
  21. 【請求項21】 前記低露光が、前記アナログ空間光変調装置に供給される
    前記データによって制御され、前記低露光データが、特殊ハードウェア装置によ
    って、前記パターン・データに追加されることを特徴とする請求項18に記載の
    装置。
JP2000534916A 1998-03-02 1999-03-02 ステッチング誤差防止用改良型パターン・ジェネレータ Pending JP2002506231A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE9800665A SE9800665D0 (sv) 1998-03-02 1998-03-02 Improved method for projection printing using a micromirror SLM
SE9800665-3 1998-03-02
PCT/SE1999/000292 WO1999045436A1 (en) 1998-03-02 1999-03-02 Improved pattern generator for avoiding stitching errors

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2008237405A Division JP2009033190A (ja) 1998-03-02 2008-09-17 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2009235543A Division JP2010016404A (ja) 1998-03-02 2009-10-09 ステッチング誤差防止用改良型パターン・ジェネレータ

Publications (1)

Publication Number Publication Date
JP2002506231A true JP2002506231A (ja) 2002-02-26

Family

ID=20410382

Family Applications (12)

Application Number Title Priority Date Filing Date
JP2000534919A Withdrawn JP2002506234A (ja) 1998-03-02 1999-03-02 改良型パターン・ジェネレータ
JP2000534918A Pending JP2002506233A (ja) 1998-03-02 1999-03-02 高画質パターン作成方法
JP2000534917A Pending JP2002506232A (ja) 1998-03-02 1999-03-02 Euvを使用するパターン・ジェネレータ
JP2000534916A Pending JP2002506231A (ja) 1998-03-02 1999-03-02 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2000534915A Pending JP2002506230A (ja) 1998-03-02 1999-03-02 精度改良型パターン・ジェネレータ
JP2000534921A Pending JP2002506236A (ja) 1998-03-02 1999-03-02 変調装置の設計を改良したパターン・ジェネレータ
JP2000534920A Pending JP2002506235A (ja) 1998-03-02 1999-03-02 アドレス・レゾリューションが改善されたパターン・ジェネレータ
JP2005269967A Pending JP2006080539A (ja) 1998-03-02 2005-09-16 改良型パターン・ジェネレータ
JP2008237405A Pending JP2009033190A (ja) 1998-03-02 2008-09-17 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2009235389A Pending JP2010015176A (ja) 1998-03-02 2009-10-09 アドレス・レゾリューションが改善されたパターン・ジェネレータ
JP2009235543A Pending JP2010016404A (ja) 1998-03-02 2009-10-09 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2010137427A Pending JP2010267978A (ja) 1998-03-02 2010-06-16 改良型パターン・ジェネレータ

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2000534919A Withdrawn JP2002506234A (ja) 1998-03-02 1999-03-02 改良型パターン・ジェネレータ
JP2000534918A Pending JP2002506233A (ja) 1998-03-02 1999-03-02 高画質パターン作成方法
JP2000534917A Pending JP2002506232A (ja) 1998-03-02 1999-03-02 Euvを使用するパターン・ジェネレータ

Family Applications After (8)

Application Number Title Priority Date Filing Date
JP2000534915A Pending JP2002506230A (ja) 1998-03-02 1999-03-02 精度改良型パターン・ジェネレータ
JP2000534921A Pending JP2002506236A (ja) 1998-03-02 1999-03-02 変調装置の設計を改良したパターン・ジェネレータ
JP2000534920A Pending JP2002506235A (ja) 1998-03-02 1999-03-02 アドレス・レゾリューションが改善されたパターン・ジェネレータ
JP2005269967A Pending JP2006080539A (ja) 1998-03-02 2005-09-16 改良型パターン・ジェネレータ
JP2008237405A Pending JP2009033190A (ja) 1998-03-02 2008-09-17 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2009235389A Pending JP2010015176A (ja) 1998-03-02 2009-10-09 アドレス・レゾリューションが改善されたパターン・ジェネレータ
JP2009235543A Pending JP2010016404A (ja) 1998-03-02 2009-10-09 ステッチング誤差防止用改良型パターン・ジェネレータ
JP2010137427A Pending JP2010267978A (ja) 1998-03-02 2010-06-16 改良型パターン・ジェネレータ

Country Status (12)

Country Link
US (16) US6428940B1 (ja)
EP (6) EP1060443B1 (ja)
JP (12) JP2002506234A (ja)
KR (2) KR100451026B1 (ja)
CN (3) CN1189794C (ja)
AT (5) ATE398299T1 (ja)
AU (7) AU2756899A (ja)
DE (6) DE69938895D1 (ja)
ES (1) ES2357473T3 (ja)
RU (2) RU2257603C2 (ja)
SE (1) SE9800665D0 (ja)
WO (7) WO1999045439A1 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003057837A (ja) * 2001-08-21 2003-02-28 Pentax Corp 多重露光描画装置および多重露光描画方法
WO2004079799A1 (ja) * 2003-03-05 2004-09-16 Tadahiro Ohmi マスクレピータ及びマスク製造方法
JP2005003762A (ja) * 2003-06-10 2005-01-06 Fuji Photo Film Co Ltd 画素位置特定方法、画像ずれ補正方法、および画像形成装置
JP2006133784A (ja) * 2004-11-08 2006-05-25 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2006313202A (ja) * 2005-05-06 2006-11-16 Nano System Solutions:Kk マスク製造方法
JP2007121998A (ja) * 2005-09-29 2007-05-17 Dainippon Screen Mfg Co Ltd 画像記録装置および画像記録方法
JP2007123800A (ja) * 2005-03-25 2007-05-17 Asml Netherlands Bv リソグラフィ装置及びマスクレス・リソグラフィにおける倍率及び位置の動的修正を利用したデバイス製造方法
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
JP2007305987A (ja) * 2006-05-04 2007-11-22 Asml Netherlands Bv 干渉露光及び他の露光を用いるリソグラフィ装置及びデバイス製造方法
JP2008028099A (ja) * 2006-07-20 2008-02-07 Nano System Solutions:Kk 露光装置及び露光方法
JP2008122730A (ja) * 2006-11-14 2008-05-29 Orc Mfg Co Ltd 多重露光装置
JP2013191901A (ja) * 2007-07-13 2013-09-26 Nikon Corp パターン形成方法及びパターン形成装置、並びにデバイス製造方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法

Families Citing this family (499)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU6919198A (en) * 1997-04-14 1998-11-11 Dicon A/S An apparatus and a method for illuminating a light-sensitive medium
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6727980B2 (en) * 1998-09-17 2004-04-27 Nikon Corporation Apparatus and method for pattern exposure and method for adjusting the apparatus
US6181210B1 (en) * 1998-09-21 2001-01-30 Broadcom Corporation Low offset and low glitch energy charge pump for PLL-based timing recovery systems
US7328425B2 (en) * 1999-05-20 2008-02-05 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
GB2344899B (en) * 1999-05-29 2000-11-22 Bookham Technology Ltd Production of an integrated optical device
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
US6574039B1 (en) * 1999-09-30 2003-06-03 Nikon Corporation Optical element with multilayer thin film and exposure apparatus with the element
SE522531C2 (sv) * 1999-11-24 2004-02-17 Micronic Laser Systems Ab Metod och anordning för märkning av halvledare
TW508653B (en) * 2000-03-24 2002-11-01 Asml Netherlands Bv Lithographic projection apparatus and integrated circuit manufacturing method
SE517550C2 (sv) * 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6552740B1 (en) * 2000-08-01 2003-04-22 Eastman Kodak Company Method and apparatus for printing monochromatic imaging using a spatial light modulator
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US6580490B1 (en) * 2000-10-30 2003-06-17 Eastman Kodak Company Method and apparatus for printing images in multiple formats using a spatial light modulator
US6690499B1 (en) * 2000-11-22 2004-02-10 Displaytech, Inc. Multi-state light modulator with non-zero response time and linear gray scale
USRE43841E1 (en) * 2000-12-14 2012-12-04 F. Poszat Hu, Llc Printing by active tiling
US6653026B2 (en) * 2000-12-20 2003-11-25 Numerical Technologies, Inc. Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask
US20020122237A1 (en) * 2001-03-01 2002-09-05 Torbjorn Sandstrom Method and apparatus for spatial light modulation
JP4495898B2 (ja) * 2001-04-04 2010-07-07 マイクロニック レーザー システムズ アクチボラゲット 改良型パターン・ジェネレータ
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6753947B2 (en) * 2001-05-10 2004-06-22 Ultratech Stepper, Inc. Lithography system and method for device manufacture
GB0114862D0 (en) 2001-06-19 2001-08-08 Secr Defence Image replication system
US7095484B1 (en) * 2001-06-27 2006-08-22 University Of South Florida Method and apparatus for maskless photolithography
JP5144863B2 (ja) * 2001-06-29 2013-02-13 株式会社オーク製作所 多重露光描画方法及び多重露光描画装置
JP4273291B2 (ja) * 2001-08-17 2009-06-03 株式会社オーク製作所 多重露光描画装置および多重露光描画方法
JP2003066366A (ja) * 2001-08-22 2003-03-05 Fuji Photo Film Co Ltd 照明光学系及びこれを用いた画像表示装置、画像露光装置
US6794100B2 (en) * 2001-08-30 2004-09-21 Micron Technology, Inc. Method for controlling radiation beam intensity directed to microlithographic substrates
US6784975B2 (en) * 2001-08-30 2004-08-31 Micron Technology, Inc. Method and apparatus for irradiating a microlithographic substrate
US6819490B2 (en) 2001-09-10 2004-11-16 Micronic Laser Systems Ab Homogenization of a spatially coherent radiation beam and printing and inspection, respectively, of a pattern on a workpiece
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
CN100410725C (zh) * 2001-09-12 2008-08-13 麦克罗尼克激光系统公司 使用空间光调制器的改进方法和装置
JP3881865B2 (ja) 2001-10-19 2007-02-14 株式会社 液晶先端技術開発センター 光学的な記録装置及び方法並びに露光装置及び方法
US6841787B2 (en) 2001-11-07 2005-01-11 Applied Materials, Inc. Maskless photon-electron spot-grid array printer
JP2006502558A (ja) * 2001-11-07 2006-01-19 アプライド マテリアルズ インコーポレイテッド 光学式スポット格子アレイ印刷装置
US6618185B2 (en) * 2001-11-28 2003-09-09 Micronic Laser Systems Ab Defective pixel compensation method
US6903859B2 (en) 2001-12-07 2005-06-07 Micronic Laser Systems Ab Homogenizer
US6950194B2 (en) * 2001-12-07 2005-09-27 Micronic Laser Systems Ab Alignment sensor
GB2383140A (en) * 2001-12-13 2003-06-18 Zarlink Semiconductor Ltd Exposure positioning in photolithography
US7106490B2 (en) 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US6665110B2 (en) * 2001-12-31 2003-12-16 Texas Instruments Incorporated Diagonal to rectangular pixel mapping for spatial light modulator
US7159197B2 (en) * 2001-12-31 2007-01-02 Synopsys, Inc. Shape-based geometry engine to perform smoothing and other layout beautification operations
US6873401B2 (en) * 2002-01-24 2005-03-29 Intel Corporation Reflective liquid crystal display lithography system
CN1279403C (zh) * 2002-02-06 2006-10-11 Asml荷兰有限公司 光刻装置和器件制造方法
SE0200547D0 (sv) 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6590695B1 (en) * 2002-02-26 2003-07-08 Eastman Kodak Company Micro-mechanical polarization-based modulator
SE0200864D0 (sv) 2002-03-21 2002-03-21 Micronic Laser Systems Ab Method and apparatus for printing large data flows
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
US6976426B2 (en) * 2002-04-09 2005-12-20 Day International, Inc. Image replication element and method and system for producing the same
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6728023B1 (en) * 2002-05-28 2004-04-27 Silicon Light Machines Optical device arrays with optimized image resolution
US7023528B2 (en) * 2002-06-10 2006-04-04 International Business Machines Corporation Hybrid electronic mask
AUPS328402A0 (en) * 2002-06-28 2002-07-18 Australian Photonics Pty Limited Writing of photo-induced structures
WO2004008246A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004008245A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004017069A1 (ja) * 2002-08-16 2004-02-26 Kabushiki Kaisha Hayashi Soken バイオチップ分析装置およびオンライン分析システム
US6818910B2 (en) * 2002-08-23 2004-11-16 Micron Technology, Inc. Writing methodology to reduce write time, and system for performing same
EP1573366B1 (en) * 2002-08-24 2016-11-09 Chime Ball Technology Co., Ltd. Continuous direct-write optical lithography
WO2004038509A2 (en) 2002-10-25 2004-05-06 Mapper Lithography Ip B.V. Lithography system
US7098468B2 (en) * 2002-11-07 2006-08-29 Applied Materials, Inc. Raster frame beam system for electron beam lithography
JP2004200221A (ja) * 2002-12-16 2004-07-15 Toray Eng Co Ltd レーザマーキング方法及び装置
US7171068B2 (en) * 2002-12-20 2007-01-30 Texas Instruments Incorporated Method to improve an extinction ratio of an optical device
EP1583946B1 (en) * 2003-01-15 2006-11-08 Micronic Laser Systems Ab A method to detect a defective pixel
SE0300138D0 (sv) * 2003-01-22 2003-01-22 Micronic Laser Systems Ab Electromagnetic radiation pulse timing control
US6906848B2 (en) * 2003-02-24 2005-06-14 Exajoule, Llc Micromirror systems with concealed multi-piece hinge structures
SE0300516D0 (sv) * 2003-02-28 2003-02-28 Micronic Laser Systems Ab SLM direct writer
WO2004095549A1 (ja) * 2003-04-11 2004-11-04 Ball Semiconductor Inc. パターン描画装置及びパターン描画方法
JP4314054B2 (ja) * 2003-04-15 2009-08-12 キヤノン株式会社 露光装置及びデバイスの製造方法
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
EP1616211B1 (en) * 2003-04-24 2010-07-14 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Exposure Control
JP2004341160A (ja) * 2003-05-15 2004-12-02 Seiko Epson Corp 露光用マスク、光近接効果補正装置、光近接効果補正方法、半導体装置の製造方法および光近接効果補正プログラム
US7063920B2 (en) 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
TWI304522B (en) * 2003-05-28 2008-12-21 Asml Netherlands Bv Lithographic apparatus, method of calibrating and device manufacturing method
US6989920B2 (en) 2003-05-29 2006-01-24 Asml Holding N.V. System and method for dose control in a lithographic system
US20040239901A1 (en) * 2003-05-29 2004-12-02 Asml Holding N.V. System and method for producing gray scaling using multiple spatial light modulators in a maskless lithography system
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
EP1482373A1 (en) * 2003-05-30 2004-12-01 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
US7755657B2 (en) 2003-06-12 2010-07-13 Micronic Laser Systems Ab Method for high precision printing of patterns
EP1489449A1 (en) * 2003-06-20 2004-12-22 ASML Netherlands B.V. Spatial light modulator
SG118283A1 (en) * 2003-06-20 2006-01-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
SG110099A1 (en) * 2003-06-24 2005-04-28 Asml Holding Nv Projection optical system for maskless lithography
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
EP1491966A1 (en) * 2003-06-26 2004-12-29 ASML Netherlands B.V. Calibration method for a lithographic apparatus
SG119224A1 (en) * 2003-06-26 2006-02-28 Asml Netherlands Bv Calibration method for a lithographic apparatus and device manufacturing method
US7158215B2 (en) * 2003-06-30 2007-01-02 Asml Holding N.V. Large field of view protection optical system with aberration correctability for flat panel displays
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
US7224504B2 (en) * 2003-07-30 2007-05-29 Asml Holding N. V. Deformable mirror using piezoelectric actuators formed as an integrated circuit and method of use
US6831768B1 (en) * 2003-07-31 2004-12-14 Asml Holding N.V. Using time and/or power modulation to achieve dose gray-scaling in optical maskless lithography
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
KR101080545B1 (ko) * 2003-08-04 2011-11-04 마이크로닉 레이저 시스템즈 에이비 공간 광 변조기 정렬 방법
JP2007503723A (ja) * 2003-08-22 2007-02-22 プレックス・エルエルシー 光学アドレス式極紫外線モジュレータ及びこのモジュレータを含むリソグラフィー装置
US6972843B2 (en) * 2003-08-25 2005-12-06 Intel Corporation Lithography alignment
US7315294B2 (en) * 2003-08-25 2008-01-01 Texas Instruments Incorporated Deinterleaving transpose circuits in digital display systems
WO2005022263A2 (en) * 2003-08-27 2005-03-10 Koninklijke Philips Electronics N.V. Control circuit and method for forming optical images
DE10343333A1 (de) * 2003-09-12 2005-04-14 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
US7714983B2 (en) * 2003-09-12 2010-05-11 Carl Zeiss Smt Ag Illumination system for a microlithography projection exposure installation
SG110196A1 (en) * 2003-09-22 2005-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7414701B2 (en) * 2003-10-03 2008-08-19 Asml Holding N.V. Method and systems for total focus deviation adjustments on maskless lithography systems
WO2005029178A2 (en) * 2003-09-22 2005-03-31 Orbotech Ltd. A system and method for the direct imaging of color filters
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7023526B2 (en) * 2003-09-30 2006-04-04 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap without an explicit attenuation
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
CN100452057C (zh) * 2003-10-07 2009-01-14 睿初科技公司 用于平板印刷仿真的系统和方法
US7109498B2 (en) * 2003-10-09 2006-09-19 Asml Netherlands B.V. Radiation source, lithographic apparatus, and device manufacturing method
US6894765B2 (en) * 2003-10-14 2005-05-17 Micron Technology, Inc. Methods and systems for controlling radiation beam characteristics for microlithographic processing
US8157389B2 (en) * 2003-11-01 2012-04-17 Silicon Quest Kabushiki-Kaisha Synchronous control system for light source and spatial light modulator employed in projection apparatus
DE10352040A1 (de) * 2003-11-07 2005-07-21 Carl Zeiss Sms Gmbh In Lage, Form und/oder den optischen Eigenschaften veränderbare Blenden-und/oder Filteranordnung für optische Geräte, insbesondere Mikroskope
US7196772B2 (en) * 2003-11-07 2007-03-27 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116398B2 (en) * 2003-11-07 2006-10-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1682934B1 (en) 2003-11-12 2022-04-06 Mycronic AB Method and device for correcting slm stamp image imperfections
US7842926B2 (en) * 2003-11-12 2010-11-30 Micronic Laser Systems Ab Method and device for correcting SLM stamp image imperfections
US7001232B2 (en) * 2003-12-11 2006-02-21 Montgomery Robert E Personal watercraft air intake assembly
KR100797433B1 (ko) * 2003-12-11 2008-01-23 마이크로닉 레이저 시스템즈 에이비 워크피스를 패터닝하기 위한 방법과 장치 및 그 제조 방법
US6995830B2 (en) * 2003-12-22 2006-02-07 Asml Netherlands B.V. Lithographic projection apparatus and device manufacturing method
US7184184B2 (en) * 2003-12-31 2007-02-27 Reliant Technologies, Inc. High speed, high efficiency optical pattern generator using rotating optical elements
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US6847461B1 (en) * 2004-01-29 2005-01-25 Asml Holding N.V. System and method for calibrating a spatial light modulator array using shearing interferometry
US7580559B2 (en) * 2004-01-29 2009-08-25 Asml Holding N.V. System and method for calibrating a spatial light modulator
JP4083751B2 (ja) * 2004-01-29 2008-04-30 エーエスエムエル ホールディング エヌ.ブイ. 空間光変調器アレイを較正するシステムおよび空間光変調器アレイを較正する方法
TWI505329B (zh) * 2004-02-06 2015-10-21 尼康股份有限公司 光學照明裝置、曝光裝置、曝光方法以及元件製造方法
US7330297B2 (en) * 2005-03-04 2008-02-12 Angstrom, Inc Fine control of rotation and translation of discretely controlled micromirror
US7898144B2 (en) * 2006-02-04 2011-03-01 Angstrom, Inc. Multi-step microactuator providing multi-step displacement to a controlled object
US7474454B2 (en) * 2004-06-18 2009-01-06 Angstrom, Inc. Programmable micromirror motion control system
US7580178B2 (en) * 2004-02-13 2009-08-25 Angstrom, Inc. Image-guided microsurgery system and method
US7333260B2 (en) * 2004-08-09 2008-02-19 Stereo Display, Inc. Two-dimensional image projection system
US7382516B2 (en) * 2004-06-18 2008-06-03 Angstrom, Inc. Discretely controlled micromirror with multi-level positions
US8537204B2 (en) * 2004-07-08 2013-09-17 Gyoung Il Cho 3D television broadcasting system
US7350922B2 (en) * 2004-02-13 2008-04-01 Angstrom, Inc. Three-dimensional display using variable focal length micromirror array lens
US7751694B2 (en) * 2004-02-13 2010-07-06 Angstrom, Inc. Three-dimensional endoscope imaging and display system
US7133118B2 (en) * 2004-02-18 2006-11-07 Asml Netherlands, B.V. Lithographic apparatus and device manufacturing method
US7190434B2 (en) * 2004-02-18 2007-03-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
EP1719018A1 (en) * 2004-02-25 2006-11-08 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US7081947B2 (en) * 2004-02-27 2006-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7016014B2 (en) * 2004-02-27 2006-03-21 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7061586B2 (en) * 2004-03-02 2006-06-13 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US6967711B2 (en) * 2004-03-09 2005-11-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
USRE43515E1 (en) 2004-03-09 2012-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US6999224B2 (en) * 2004-03-10 2006-02-14 Reflectivity, Inc Micromirror modulation method and digital apparatus with improved grayscale
US7410266B2 (en) * 2004-03-22 2008-08-12 Angstrom, Inc. Three-dimensional imaging system for robot vision
US7339746B2 (en) * 2004-03-22 2008-03-04 Angstrom, Inc. Small and fast zoom system using micromirror array lens
US7768571B2 (en) * 2004-03-22 2010-08-03 Angstrom, Inc. Optical tracking system using variable focal length lens
EP1739482A4 (en) * 2004-03-24 2009-03-25 Fujifilm Corp PICTURE GENERATION PROCESS, LITHOGRAPHIC PRINTING PLATE AND LITHOGRAPHIC PROCESS
JP4541010B2 (ja) * 2004-03-25 2010-09-08 財団法人国際科学振興財団 パターン露光装置および二次元光像発生装置
US7561251B2 (en) * 2004-03-29 2009-07-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US8064040B2 (en) * 2004-03-30 2011-11-22 Carl Zeiss Smt Gmbh Projection objective, projection exposure apparatus and reflective reticle for microlithography
US7053981B2 (en) * 2004-03-31 2006-05-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US20070040924A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Cellular phone camera with three-dimensional imaging function
US20070115261A1 (en) * 2005-11-23 2007-05-24 Stereo Display, Inc. Virtual Keyboard input system using three-dimensional motion detection by variable focal length lens
US7619614B2 (en) * 2004-04-12 2009-11-17 Angstrom, Inc. Three-dimensional optical mouse system
US7742232B2 (en) * 2004-04-12 2010-06-22 Angstrom, Inc. Three-dimensional imaging system
US8049776B2 (en) * 2004-04-12 2011-11-01 Angstrom, Inc. Three-dimensional camcorder
US8057963B2 (en) * 2004-06-10 2011-11-15 Lsi Corporation Maskless vortex phase shift optical direct write lithography
US7002666B2 (en) * 2004-04-16 2006-02-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2005311145A (ja) * 2004-04-23 2005-11-04 Canon Inc 露光装置、露光方法、デバイス製造方法、パターン形成装置および位置合わせ方法
US7372547B2 (en) * 2004-04-27 2008-05-13 Lsi Corporation Process and apparatus for achieving single exposure pattern transfer using maskless optical direct write lithography
US20050243295A1 (en) * 2004-04-30 2005-11-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
EP1751702A4 (en) * 2004-05-18 2009-01-07 Silverbrook Res Pty Ltd MONITORING OF PHARMACEUTICAL PRODUCT
US20050259269A1 (en) * 2004-05-19 2005-11-24 Asml Holding N.V. Shearing interferometer with dynamic pupil fill
EP2246741A1 (en) * 2004-05-19 2010-11-03 Fujifilm Corporation Image recording method
US7242456B2 (en) 2004-05-26 2007-07-10 Asml Holdings N.V. System and method utilizing a lithography tool having modular illumination, pattern generator, and projection optics portions
US7477403B2 (en) 2004-05-27 2009-01-13 Asml Netherlands B.V. Optical position assessment apparatus and method
US7354167B2 (en) 2004-05-27 2008-04-08 Angstrom, Inc. Beam focusing and scanning system using micromirror array lens
US7777959B2 (en) * 2004-05-27 2010-08-17 Angstrom, Inc. Micromirror array lens with fixed focal length
US7667896B2 (en) 2004-05-27 2010-02-23 Angstrom, Inc. DVD recording and reproducing system
US7123348B2 (en) 2004-06-08 2006-10-17 Asml Netherlands B.V Lithographic apparatus and method utilizing dose control
US6989886B2 (en) * 2004-06-08 2006-01-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006000087A1 (en) 2004-06-23 2006-01-05 Quin Media Arts And Sciences Inc. Sculptural imaging with optical tiles
US7345806B2 (en) * 2004-06-23 2008-03-18 Texas Instruments Incorporated Method and apparatus for characterizing microelectromechanical devices on wafers
US7016016B2 (en) * 2004-06-25 2006-03-21 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7116403B2 (en) * 2004-06-28 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7116404B2 (en) * 2004-06-30 2006-10-03 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US20060001890A1 (en) * 2004-07-02 2006-01-05 Asml Holding N.V. Spatial light modulator as source module for DUV wavefront sensor
US20060012779A1 (en) * 2004-07-13 2006-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7573574B2 (en) * 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7227613B2 (en) * 2004-07-26 2007-06-05 Asml Holding N.V. Lithographic apparatus having double telecentric illumination
US7259829B2 (en) * 2004-07-26 2007-08-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7335398B2 (en) * 2004-07-26 2008-02-26 Asml Holding N.V. Method to modify the spatial response of a pattern generator
US7142286B2 (en) * 2004-07-27 2006-11-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7251020B2 (en) * 2004-07-30 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7538855B2 (en) * 2004-08-10 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7102733B2 (en) 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
US7500218B2 (en) 2004-08-17 2009-03-03 Asml Netherlands B.V. Lithographic apparatus, method, and computer program product for generating a mask pattern and device manufacturing method using same
US7304718B2 (en) * 2004-08-17 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2008511144A (ja) * 2004-08-23 2008-04-10 マイクロニック レーザー システムズ アクチボラゲット 強化されたcd線形性のための非コヒーレント結像システムのひとみ改善
US7079225B2 (en) * 2004-09-14 2006-07-18 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
JP4750396B2 (ja) * 2004-09-27 2011-08-17 キヤノン株式会社 露光装置及びデバイス製造方法
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7177012B2 (en) 2004-10-18 2007-02-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7136210B2 (en) * 2004-10-21 2006-11-14 Hewlett-Packard Development Company, L.P. Light modulator
JP2006128194A (ja) * 2004-10-26 2006-05-18 Canon Inc 露光装置及びデバイス製造方法
US7388663B2 (en) 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7423732B2 (en) * 2004-11-04 2008-09-09 Asml Holding N.V. Lithographic apparatus and device manufacturing method utilizing placement of a patterning device at a pupil plane
US7489434B2 (en) 2007-05-02 2009-02-10 Angstrom, Inc. Hybrid micromirror array lens for reducing chromatic aberration
US7457547B2 (en) * 2004-11-08 2008-11-25 Optium Australia Pty Limited Optical calibration system and method
US7619807B2 (en) * 2004-11-08 2009-11-17 Angstrom, Inc. Micromirror array lens with optical surface profiles
US7170584B2 (en) * 2004-11-17 2007-01-30 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7474384B2 (en) * 2004-11-22 2009-01-06 Asml Holding N.V. Lithographic apparatus, device manufacturing method, and a projection element for use in the lithographic apparatus
US7061581B1 (en) * 2004-11-22 2006-06-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7643192B2 (en) * 2004-11-24 2010-01-05 Asml Holding N.V. Pattern generator using a dual phase step element and method of using same
US7333177B2 (en) * 2004-11-30 2008-02-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7713667B2 (en) * 2004-11-30 2010-05-11 Asml Holding N.V. System and method for generating pattern data used to control a pattern generator
US7365848B2 (en) * 2004-12-01 2008-04-29 Asml Holding N.V. System and method using visible and infrared light to align and measure alignment patterns on multiple layers
US7391499B2 (en) * 2004-12-02 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7362415B2 (en) * 2004-12-07 2008-04-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7355677B2 (en) * 2004-12-09 2008-04-08 Asml Netherlands B.V. System and method for an improved illumination system in a lithographic apparatus
US7349068B2 (en) * 2004-12-17 2008-03-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7180577B2 (en) * 2004-12-17 2007-02-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a microlens array at an image plane
US7375795B2 (en) * 2004-12-22 2008-05-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7391676B2 (en) * 2004-12-22 2008-06-24 Asml Netherlands B.V. Ultrasonic distance sensors
US7274502B2 (en) * 2004-12-22 2007-09-25 Asml Holding N.V. System, apparatus and method for maskless lithography that emulates binary, attenuating phase-shift and alternating phase-shift masks
US7202939B2 (en) * 2004-12-22 2007-04-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7230677B2 (en) * 2004-12-22 2007-06-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing hexagonal image grids
US7256867B2 (en) * 2004-12-22 2007-08-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7242458B2 (en) * 2004-12-23 2007-07-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple substrate carrier for flat panel display substrates
US7538857B2 (en) * 2004-12-23 2009-05-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7656506B2 (en) * 2004-12-23 2010-02-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a substrate handler
US7426076B2 (en) * 2004-12-23 2008-09-16 Asml Holding N.V. Projection system for a lithographic apparatus
US20060138349A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7459247B2 (en) * 2004-12-27 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7317510B2 (en) * 2004-12-27 2008-01-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7279110B2 (en) * 2004-12-27 2007-10-09 Asml Holding N.V. Method and apparatus for creating a phase step in mirrors used in spatial light modulator arrays
US7126672B2 (en) * 2004-12-27 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7756660B2 (en) * 2004-12-28 2010-07-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7274029B2 (en) * 2004-12-28 2007-09-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403865B2 (en) * 2004-12-28 2008-07-22 Asml Netherlands B.V. System and method for fault indication on a substrate in maskless applications
US7145636B2 (en) * 2004-12-28 2006-12-05 Asml Netherlands Bv System and method for determining maximum operational parameters used in maskless applications
US7253881B2 (en) * 2004-12-29 2007-08-07 Asml Netherlands Bv Methods and systems for lithographic gray scaling
US7342644B2 (en) * 2004-12-29 2008-03-11 Asml Netherlands B.V. Methods and systems for lithographic beam generation
US7453645B2 (en) * 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby
US7567368B2 (en) * 2005-01-06 2009-07-28 Asml Holding N.V. Systems and methods for minimizing scattered light in multi-SLM maskless lithography
KR20070104444A (ko) * 2005-01-28 2007-10-25 에이에스엠엘 홀딩 엔.브이. 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템
US7542013B2 (en) * 2005-01-31 2009-06-02 Asml Holding N.V. System and method for imaging enhancement via calculation of a customized optimal pupil field and illumination mode
US7460208B2 (en) * 2005-02-18 2008-12-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286137B2 (en) * 2005-02-28 2007-10-23 Asml Holding N.V. Method and system for constrained pixel graytones interpolation for pattern rasterization
US20060198011A1 (en) * 2005-03-04 2006-09-07 Stereo Display, Inc. Volumetric three-dimensional device using two-dimensional scanning device
US20060204859A1 (en) * 2005-03-09 2006-09-14 International Business Machines Corporation An extra dose trim mask, method of manufacture, and lithographic process using the same
US20060203117A1 (en) * 2005-03-10 2006-09-14 Stereo Display, Inc. Video monitoring system using variable focal length lens
US7499146B2 (en) * 2005-03-14 2009-03-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, an integrated circuit, a flat panel display, and a method of compensating for cupping
US7812930B2 (en) * 2005-03-21 2010-10-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using repeated patterns in an LCD to reduce datapath volume
US7403265B2 (en) 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7728956B2 (en) * 2005-04-05 2010-06-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing multiple die designs on a substrate using a data buffer that stores pattern variation data
US7456935B2 (en) * 2005-04-05 2008-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a positioning device for positioning an object table
TWI427440B (zh) * 2005-04-06 2014-02-21 Kodak Graphic Comm Canada Co 用於校正影像化規則圖案的條帶之方法與裝置
JP4691653B2 (ja) * 2005-04-07 2011-06-01 国立大学法人東北大学 データ生成方法、データ生成装置、及びプログラム
US7209217B2 (en) 2005-04-08 2007-04-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing plural patterning devices
US7330239B2 (en) * 2005-04-08 2008-02-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a blazing portion of a contrast device
US7221514B2 (en) 2005-04-15 2007-05-22 Asml Netherlands B.V. Variable lens and exposure system
JP2008536331A (ja) * 2005-04-15 2008-09-04 マイクロニック レーザー システムズ アクチボラゲット 複数の露光ビームによるリソグラフィ・ツールのための方法
US20090303452A1 (en) * 2005-04-15 2009-12-10 Micronic Laser Systems Ab Image Enhancement Technique
US20060244805A1 (en) * 2005-04-27 2006-11-02 Ming-Hsiang Yeh Multicolor pen
US7400382B2 (en) 2005-04-28 2008-07-15 Asml Holding N.V. Light patterning device using tilting mirrors in a superpixel form
US7738081B2 (en) * 2005-05-06 2010-06-15 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a flat panel display handler with conveyor device and substrate handler
KR100815352B1 (ko) * 2005-05-12 2008-03-19 삼성전기주식회사 후단 렌즈계의 개구수가 개선된 광변조기를 이용한디스플레이 장치
US7477772B2 (en) * 2005-05-31 2009-01-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing 2D run length encoding for image data compression
US7197828B2 (en) * 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US7742148B2 (en) * 2005-06-08 2010-06-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method for writing a digital image
US7292317B2 (en) * 2005-06-08 2007-11-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing substrate stage compensating
JP4828870B2 (ja) * 2005-06-09 2011-11-30 株式会社東芝 評価パタンの作成方法およびプログラム
US7233384B2 (en) * 2005-06-13 2007-06-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method, and device manufactured thereby for calibrating an imaging system with a sensor
US7321416B2 (en) * 2005-06-15 2008-01-22 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, device manufactured thereby, and controllable patterning device utilizing a spatial light modulator with distributed digital to analog conversion
US7408617B2 (en) * 2005-06-24 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a large area FPD chuck equipped with encoders an encoder scale calibration method
US7965373B2 (en) * 2005-06-28 2011-06-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a datapath having a balanced calculation load
US7307694B2 (en) * 2005-06-29 2007-12-11 Asml Netherlands B.V. Lithographic apparatus, radiation beam inspection device, method of inspecting a beam of radiation and device manufacturing method
US7522258B2 (en) * 2005-06-29 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing movement of clean air to reduce contamination
US7209275B2 (en) 2005-06-30 2007-04-24 Asml Holding N.V. Method and system for maskless lithography real-time pattern rasterization and using computationally coupled mirrors to achieve optimum feature representation
US20070013889A1 (en) * 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
US7251019B2 (en) * 2005-07-20 2007-07-31 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a continuous light beam in combination with pixel grid imaging
US7446855B2 (en) * 2005-07-25 2008-11-04 Micron Technology, Inc Methods and apparatuses for configuring radiation in microlithographic processing of workpieces using an adjustment structure
US7283289B2 (en) * 2005-07-30 2007-10-16 Hewlett-Packard Development Company, L.P. Projection system modulator reducing distortion and field curvature effects of projection system lens
US20070041077A1 (en) * 2005-08-19 2007-02-22 Stereo Display, Inc. Pocket-sized two-dimensional image projection system
US7606430B2 (en) * 2005-08-30 2009-10-20 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a multiple dictionary compression method for FPD
US20070046917A1 (en) 2005-08-31 2007-03-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method that compensates for reticle induced CDU
JP2007114750A (ja) * 2005-09-09 2007-05-10 Asml Netherlands Bv 投影システム設計方法、リソグラフィー装置およびデバイス製造方法
US7650588B2 (en) * 2005-09-26 2010-01-19 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
JP2007101730A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 画像露光装置
JP2007101687A (ja) * 2005-09-30 2007-04-19 Fujifilm Corp 描画装置、描画方法、データ構造及び記録媒体、並びに、データ処理装置及び処理方法
US7830493B2 (en) * 2005-10-04 2010-11-09 Asml Netherlands B.V. System and method for compensating for radiation induced thermal distortions in a substrate or projection system
US7391503B2 (en) * 2005-10-04 2008-06-24 Asml Netherlands B.V. System and method for compensating for thermal expansion of lithography apparatus or substrate
US7332733B2 (en) * 2005-10-05 2008-02-19 Asml Netherlands B.V. System and method to correct for field curvature of multi lens array
US7492450B2 (en) * 2005-10-24 2009-02-17 General Electric Company Methods and apparatus for inspecting an object
CN100362387C (zh) * 2005-11-18 2008-01-16 重庆大学 静电简支梁式干涉光调制器
US20070127005A1 (en) * 2005-12-02 2007-06-07 Asml Holding N.V. Illumination system
US7626181B2 (en) * 2005-12-09 2009-12-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070133007A1 (en) * 2005-12-14 2007-06-14 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using laser trimming of a multiple mirror contrast device
US7440078B2 (en) * 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070153249A1 (en) * 2005-12-20 2007-07-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using multiple exposures and multiple exposure types
US7466394B2 (en) * 2005-12-21 2008-12-16 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using a compensation scheme for a patterning array
US7528932B2 (en) * 2005-12-21 2009-05-05 Micronic Laser Systems Ab SLM direct writer
US7532403B2 (en) * 2006-02-06 2009-05-12 Asml Holding N.V. Optical system for transforming numerical aperture
JP4495104B2 (ja) * 2006-03-28 2010-06-30 エーエスエムエル ネザーランズ ビー.ブイ. 可変式照明源
US7528933B2 (en) * 2006-04-06 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing a MEMS mirror with large deflection using a non-linear spring arrangement
US7508491B2 (en) * 2006-04-12 2009-03-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilized to reduce quantization influence of datapath SLM interface to dose uniformity
US7839487B2 (en) * 2006-04-13 2010-11-23 Asml Holding N.V. Optical system for increasing illumination efficiency of a patterning device
US7948606B2 (en) * 2006-04-13 2011-05-24 Asml Netherlands B.V. Moving beam with respect to diffractive optics in order to reduce interference patterns
DE102006019963B4 (de) 2006-04-28 2023-12-07 Envisiontec Gmbh Vorrichtung und Verfahren zur Herstellung eines dreidimensionalen Objekts durch schichtweises Verfestigen eines unter Einwirkung von elektromagnetischer Strahlung verfestigbaren Materials mittels Maskenbelichtung
DE102006020734A1 (de) * 2006-05-04 2007-11-15 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Lithographie sowie erstes und zweites optisches Element zum Einsatz in einem derartigen Beleuchtungssystem
US9736346B2 (en) 2006-05-09 2017-08-15 Stereo Display, Inc Imaging system improving image resolution of the system with low resolution image sensor
US8934084B2 (en) * 2006-05-31 2015-01-13 Asml Holding N.V. System and method for printing interference patterns having a pitch in a lithography system
US7728954B2 (en) * 2006-06-06 2010-06-01 Asml Netherlands B.V. Reflective loop system producing incoherent radiation
US8052289B2 (en) * 2006-06-07 2011-11-08 Asml Netherlands B.V. Mirror array for lithography
US7649676B2 (en) * 2006-06-14 2010-01-19 Asml Netherlands B.V. System and method to form unpolarized light
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US8896808B2 (en) * 2006-06-21 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and method
US7697115B2 (en) * 2006-06-23 2010-04-13 Asml Holding N.V. Resonant scanning mirror
US7593094B2 (en) * 2006-06-26 2009-09-22 Asml Netherlands B.V. Patterning device
US20080002174A1 (en) * 2006-06-30 2008-01-03 Asml Netherlands B.V. Control system for pattern generator in maskless lithography
US7630136B2 (en) 2006-07-18 2009-12-08 Asml Holding N.V. Optical integrators for lithography systems and methods
US7548315B2 (en) * 2006-07-27 2009-06-16 Asml Netherlands B.V. System and method to compensate for critical dimension non-uniformity in a lithography system
US7738077B2 (en) * 2006-07-31 2010-06-15 Asml Netherlands B.V. Patterning device utilizing sets of stepped mirrors and method of using same
US7365899B2 (en) * 2006-08-10 2008-04-29 Angstrom, Inc. Micromirror with multi-axis rotation and translation
TWI345748B (en) * 2006-09-05 2011-07-21 Chunghwa Picture Tubes Ltd Thin film transistor liquid crystal display
US7626182B2 (en) * 2006-09-05 2009-12-01 Asml Netherlands B.V. Radiation pulse energy control system, lithographic apparatus and device manufacturing method
US7628875B2 (en) * 2006-09-12 2009-12-08 Asml Netherlands B.V. MEMS device and assembly method
US8049865B2 (en) * 2006-09-18 2011-11-01 Asml Netherlands B.V. Lithographic system, device manufacturing method, and mask optimization method
US7589885B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array device comprising encapsulated reflective metal layer and method of making the same
US7589884B2 (en) * 2006-09-22 2009-09-15 Angstrom, Inc. Micromirror array lens with encapsulation of reflective metal layer and method of making the same
KR100816494B1 (ko) * 2006-10-09 2008-03-24 엘지전자 주식회사 마스크리스 노광기 및 이를 이용한 표시장치용 기판의 제조방법
US7683300B2 (en) * 2006-10-17 2010-03-23 Asml Netherlands B.V. Using an interferometer as a high speed variable attenuator
US20080121939A1 (en) * 2006-11-06 2008-05-29 Michael Murray Methods of automatically generating dummy fill having reduced storage size
US7453551B2 (en) * 2006-11-14 2008-11-18 Asml Netherlands B.V. Increasing pulse-to-pulse radiation beam uniformity
US7738079B2 (en) * 2006-11-14 2010-06-15 Asml Netherlands B.V. Radiation beam pulse trimming
US20080111977A1 (en) * 2006-11-14 2008-05-15 Asml Holding N.V. Compensation techniques for fluid and magnetic bearings
US8054449B2 (en) * 2006-11-22 2011-11-08 Asml Holding N.V. Enhancing the image contrast of a high resolution exposure tool
US7488082B2 (en) 2006-12-12 2009-02-10 Angstrom, Inc. Discretely controlled micromirror array device with segmented electrodes
US8259285B2 (en) * 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
US8125407B2 (en) * 2006-12-27 2012-02-28 Silicon Quest Kabushiki-Kaisha Deformable micromirror device
US8749463B2 (en) 2007-01-19 2014-06-10 Hamamatsu Photonics K.K. Phase-modulating apparatus
CN100456141C (zh) * 2007-01-23 2009-01-28 上海微电子装备有限公司 批量硅片曝光的方法
JP5211487B2 (ja) * 2007-01-25 2013-06-12 株式会社ニコン 露光方法及び露光装置並びにマイクロデバイスの製造方法
US7965378B2 (en) * 2007-02-20 2011-06-21 Asml Holding N.V Optical system and method for illumination of reflective spatial light modulators in maskless lithography
US7705309B1 (en) * 2007-02-27 2010-04-27 Agiltron Corporation Radiation detector with extended dynamic range
US7535618B2 (en) * 2007-03-12 2009-05-19 Angstrom, Inc. Discretely controlled micromirror device having multiple motions
US8009269B2 (en) * 2007-03-14 2011-08-30 Asml Holding N.V. Optimal rasterization for maskless lithography
US8009270B2 (en) * 2007-03-22 2011-08-30 Asml Netherlands B.V. Uniform background radiation in maskless lithography
US20080259304A1 (en) * 2007-04-20 2008-10-23 Asml Netherlands B.V. Lithographic apparatus and method
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
WO2008131524A1 (en) * 2007-04-30 2008-11-06 Koninklijke Philips Electronics N.V. Modular solid-state lighting system
US8237913B2 (en) * 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20080278698A1 (en) * 2007-05-08 2008-11-13 Asml Netherlands B.V. Lithographic apparatus and method
US7714986B2 (en) * 2007-05-24 2010-05-11 Asml Netherlands B.V. Laser beam conditioning system comprising multiple optical paths allowing for dose control
US20080304034A1 (en) * 2007-06-07 2008-12-11 Asml Netherlands B.V. Dose control for optical maskless lithography
KR20080109409A (ko) * 2007-06-13 2008-12-17 삼성전자주식회사 투사형 디스플레이장치 및 그에 적용된 디스플레이방법
US9505606B2 (en) * 2007-06-13 2016-11-29 Angstrom, Inc. MEMS actuator with discretely controlled multiple motions
US8189172B2 (en) * 2007-06-14 2012-05-29 Asml Netherlands B.V. Lithographic apparatus and method
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
US7768627B2 (en) * 2007-06-14 2010-08-03 Asml Netherlands B.V. Illumination of a patterning device based on interference for use in a maskless lithography system
US7605988B2 (en) * 2007-07-23 2009-10-20 Angstrom, Inc. Compact image taking lens system with a lens-surfaced prism
US7589916B2 (en) * 2007-08-10 2009-09-15 Angstrom, Inc. Micromirror array with iris function
US7838178B2 (en) * 2007-08-13 2010-11-23 Micron Technology, Inc. Masks for microlithography and methods of making and using such masks
DE102007038999A1 (de) * 2007-08-17 2009-02-19 Punch Graphix Prepress Germany Gmbh Verfahren zur Steigerung des Durchsatzes und zur Reduzierung der Bewegungsunschärfe
US7755121B2 (en) * 2007-08-23 2010-07-13 Aptina Imaging Corp. Imagers, apparatuses and systems utilizing pixels with improved optical resolution and methods of operating the same
JP2010537414A (ja) * 2007-08-30 2010-12-02 カール・ツァイス・エスエムティー・アーゲー マイクロリソグラフィ投影露光装置においてマスクを照明するための照明システム
US8111380B2 (en) * 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
JP5267029B2 (ja) * 2007-10-12 2013-08-21 株式会社ニコン 照明光学装置、露光装置及びデバイスの製造方法
WO2009050976A1 (en) * 2007-10-16 2009-04-23 Nikon Corporation Illumination optical system, exposure apparatus, and device manufacturing method
US8379187B2 (en) * 2007-10-24 2013-02-19 Nikon Corporation Optical unit, illumination optical apparatus, exposure apparatus, and device manufacturing method
KR101644660B1 (ko) * 2007-11-06 2016-08-01 가부시키가이샤 니콘 조명 광학 장치 및 노광 장치
JP5326259B2 (ja) * 2007-11-08 2013-10-30 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
JP5270142B2 (ja) 2007-12-05 2013-08-21 浜松ホトニクス株式会社 反射型空間光変調素子
JP5063320B2 (ja) * 2007-12-11 2012-10-31 株式会社ニューフレアテクノロジー 描画装置及び描画データの変換方法
JPWO2009078223A1 (ja) * 2007-12-17 2011-04-28 株式会社ニコン 空間光変調ユニット、照明光学系、露光装置、およびデバイス製造方法
WO2009080310A1 (en) * 2007-12-21 2009-07-02 Carl Zeiss Smt Ag Illumination system for a microlithographic projection exposure apparatus
US20090185067A1 (en) * 2007-12-21 2009-07-23 Stereo Display, Inc. Compact automatic focusing camera
EP2238513B1 (en) 2007-12-21 2011-11-02 Carl Zeiss SMT GmbH Illumination method
US8247999B2 (en) 2008-01-22 2012-08-21 Alcatel Lucent Time division multiplexing a DC-to-DC voltage converter
US8109638B2 (en) * 2008-01-22 2012-02-07 Alcatel Lucent Diffuser configuration for an image projector
US8129669B2 (en) 2008-01-22 2012-03-06 Alcatel Lucent System and method generating multi-color light for image display having a controller for temporally interleaving the first and second time intervals of directed first and second light beams
GB0802944D0 (en) * 2008-02-19 2008-03-26 Rumsby Philip T Apparatus for laser processing the opposite sides of thin panels
US8810908B2 (en) * 2008-03-18 2014-08-19 Stereo Display, Inc. Binoculars with micromirror array lenses
KR101657053B1 (ko) * 2008-04-24 2016-09-13 마이크로닉 마이데이타 에이비 구조화된 거울 표면을 가진 공간적 광 조절기
US20090303569A1 (en) * 2008-05-20 2009-12-10 Stereo Didplay, Inc. Self-tilted micromirror device
US8622557B2 (en) * 2008-05-20 2014-01-07 Stereo Display, Inc. Micromirror array lens with self-tilted micromirrors
US7971961B2 (en) * 2008-06-06 2011-07-05 Eastman Kodak Company Forming images with stitched swaths
US8300263B2 (en) * 2008-06-06 2012-10-30 Eastman Kodak Company Forming images with minimum feature sizes
US20100020331A1 (en) * 2008-07-25 2010-01-28 Micronic Laser Systems Ab Laser interferometer systems and methods with suppressed error and pattern generators having the same
JP5253037B2 (ja) * 2008-08-18 2013-07-31 株式会社日立ハイテクノロジーズ 露光装置、露光方法、及び表示用パネル基板の製造方法
CN102137731B (zh) * 2008-08-26 2014-01-01 浜松光子学株式会社 激光加工装置以及激光加工方法
WO2010024106A1 (ja) * 2008-08-28 2010-03-04 株式会社ニコン 照明光学系、露光装置、およびデバイス製造方法
KR101560617B1 (ko) * 2008-09-10 2015-10-16 삼성전자주식회사 광 발생 장치 및 그 제어 방법
DE102008048660B4 (de) * 2008-09-22 2015-06-18 Carl Zeiss Sms Gmbh Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
US8390781B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8253923B1 (en) 2008-09-23 2012-08-28 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8395752B2 (en) 2008-09-23 2013-03-12 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8390786B2 (en) 2008-09-23 2013-03-05 Pinebrook Imaging Technology, Ltd. Optical imaging writer system
US8670106B2 (en) * 2008-09-23 2014-03-11 Pinebrook Imaging, Inc. Optical imaging writer system
NL2003364A (en) * 2008-09-26 2010-03-29 Asml Netherlands Bv Lithographic apparatus and method.
JP5376494B2 (ja) * 2008-10-08 2013-12-25 大日本スクリーン製造株式会社 描画装置および描画方法
US8048359B2 (en) * 2008-10-20 2011-11-01 3D Systems, Inc. Compensation of actinic radiation intensity profiles for three-dimensional modelers
NL2003449A (en) * 2008-10-28 2010-04-29 Asml Netherlands Bv Fly's eye integrator, illuminator, lithographic apparatus and method.
DE102009020320A1 (de) * 2008-11-19 2010-05-20 Heidelberg Instruments Mikrotechnik Gmbh Verfahren und Vorrichtung zur Steigerung der Auflösung und/oder der Geschwindigkeit von Belichtungssystemen
DE102008054844B4 (de) * 2008-12-17 2010-09-23 Carl Zeiss Smt Ag Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage, sowie mikrolithographisches Projektionsbelichtungsverfahren
EP2317386B1 (en) * 2008-12-23 2012-07-11 Carl Zeiss SMT GmbH Illumination system of a microlithographic projection exposure apparatus
WO2010092189A1 (en) 2009-02-16 2010-08-19 Micronic Laser Systems Ab Reconfigurable micro-mechanical light modulator and method
JP5209544B2 (ja) * 2009-03-04 2013-06-12 大日本スクリーン製造株式会社 描画装置、描画装置用のデータ処理装置、および描画装置用の描画データ生成方法
RU2473936C2 (ru) * 2009-04-02 2013-01-27 Аслан Хаджимуратович Абдуев Экран и оптический коммутатор
US8610986B2 (en) * 2009-04-06 2013-12-17 The Board Of Trustees Of The University Of Illinois Mirror arrays for maskless photolithography and image display
US8226241B2 (en) 2009-05-15 2012-07-24 Alcatel Lucent Image projector employing a speckle-reducing laser source
KR101757837B1 (ko) * 2009-05-20 2017-07-26 마퍼 리쏘그라피 아이피 비.브이. 듀얼 패스 스캐닝
US8434887B2 (en) 2009-08-27 2013-05-07 Dolby Laboratories Licensing Corporation Optical mixing and shaping system for display backlights and displays incorporating the same
WO2011035153A2 (en) * 2009-09-18 2011-03-24 Applied Materials, Inc. Laser drilling of vias in back contact solar cells
JP5393406B2 (ja) * 2009-11-06 2014-01-22 オリンパス株式会社 パターン投影装置、走査型共焦点顕微鏡、及びパターン照射方法
US9511448B2 (en) * 2009-12-30 2016-12-06 Resonetics, LLC Laser machining system and method for machining three-dimensional objects from a plurality of directions
JP5481400B2 (ja) * 2010-01-15 2014-04-23 株式会社日立ハイテクノロジーズ マイクロミラーデバイスの選別方法、マイクロミラーデバイス選別装置およびマスクレス露光装置
JP5446930B2 (ja) 2010-01-27 2014-03-19 東洋インキScホールディングス株式会社 インクジェットインキ受容層形成用コート剤、それを用いた記録媒体及び印刷物
KR101653213B1 (ko) * 2010-02-19 2016-09-02 삼성디스플레이 주식회사 디지털 노광 방법 및 이를 수행하기 위한 디지털 노광 장치
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011199279A (ja) * 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
DE102010029651A1 (de) 2010-06-02 2011-12-08 Carl Zeiss Smt Gmbh Verfahren zum Betrieb einer Projektionsbelichtungsanlage für die Mikrolithographie mit Korrektur von durch rigorose Effekte der Maske induzierten Abbildungsfehlern
WO2012013227A1 (en) * 2010-07-28 2012-02-02 Carl Zeiss Smt Gmbh Facet mirror device
US9599906B2 (en) * 2010-09-27 2017-03-21 Nikon Corporation Method for driving spatial light modulator, method for forming pattern for exposure, exposure method, and exposure apparatus
US8413084B2 (en) 2010-10-04 2013-04-02 International Business Machines Corporation Photomask throughput by reducing exposure shot count for non-critical elements
WO2012076629A2 (en) * 2010-12-07 2012-06-14 Micronic Mydata AB Criss-cross writing strategy
WO2012081292A1 (ja) 2010-12-13 2012-06-21 株式会社ニコン 空間光変調器及びその駆動方法、並びに露光方法及び装置
US8492074B2 (en) * 2011-01-05 2013-07-23 Laurie A. Bryant Method of improving print performance in flexographic printing plates
WO2012150263A1 (en) * 2011-05-03 2012-11-08 Stichting Dutch Polymer Institute Method for controlling deposition
JP5346356B2 (ja) * 2011-05-30 2013-11-20 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5722136B2 (ja) * 2011-06-30 2015-05-20 株式会社Screenホールディングス パターン描画装置およびパターン描画方法
WO2013028066A1 (en) * 2011-07-11 2013-02-28 Mapper Lithography Ip B.V. Lithography system and method for storing positional data of a target
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
JPWO2013031901A1 (ja) 2011-09-02 2015-03-23 株式会社ニコン 空間光変調器の検査方法及び装置、並びに露光方法及び装置
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
JP2013193110A (ja) * 2012-03-21 2013-09-30 Sumitomo Heavy Ind Ltd レーザ加工装置及びレーザ加工方法
JP5952391B2 (ja) * 2012-04-23 2016-07-13 キヤノン電子株式会社 光走査装置及び画像読取装置
DE102012207220A1 (de) 2012-04-30 2013-10-31 Robert Bosch Gmbh Verfahren zur Bearbeitung eines Werkstücks mit Laserstrahlung
US10149390B2 (en) * 2012-08-27 2018-12-04 Mycronic AB Maskless writing of a workpiece using a plurality of exposures having different focal planes using multiple DMDs
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
CN102914949B (zh) * 2012-09-17 2015-12-09 天津芯硕精密机械有限公司 一种用于扫描式无掩膜光刻机倾斜slm曝光的数据处理方法
CN104903793A (zh) 2012-10-29 2015-09-09 西北大学 热启动和投影平版印刷系统和方法
RU2515672C1 (ru) * 2012-12-18 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский национальный исследовательский университет информационных технологий, механики и оптики" (НИУ ИТМО) Способ изготовления микрооптического растра
KR101984898B1 (ko) 2012-12-27 2019-06-03 삼성디스플레이 주식회사 마스크리스 노광 장치를 이용한 표시 장치의 제조 방법 및 그 표시 장치
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
KR102112751B1 (ko) * 2013-02-01 2020-05-19 삼성디스플레이 주식회사 레이저 빔을 이용한 마스크 제조 방법 및 마스크 제조 장치
CN105143987B (zh) 2013-03-12 2017-10-20 麦克罗尼克迈达塔有限责任公司 机械制造的对准基准方法和对准系统
WO2014140047A2 (en) 2013-03-12 2014-09-18 Micronic Mydata AB Method and device for writing photomasks with reduced mura errors
KR102171301B1 (ko) 2013-07-09 2020-10-29 삼성디스플레이 주식회사 Dmd를 이용한 디지털 노광기 및 그 제어 방법
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
CN103424996B (zh) * 2013-09-03 2016-03-02 苏州大学 一种光学加工系统和方法
EP4009626A1 (en) * 2013-10-20 2022-06-08 Mtt Innovation Incorporated Light field projectors and methods
US9645391B2 (en) * 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
WO2015081167A1 (en) * 2013-11-27 2015-06-04 Tokyo Electron Limited Substrate tuning system and method using optical projection
DE102014203040A1 (de) 2014-02-19 2015-08-20 Carl Zeiss Smt Gmbh Beleuchtungssystem einer mikrolithographischen Projektionsbelichtungsanlage und Verfahren zum Betreiben eines solchen
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
JP2015184480A (ja) * 2014-03-24 2015-10-22 古河電気工業株式会社 光信号選択装置および光信号選択装置の制御方法
EP2927948A1 (en) * 2014-04-04 2015-10-07 Nordson Corporation X-ray inspection apparatus for inspecting semiconductor wafers
CN103926803B (zh) * 2014-04-21 2016-03-09 中国科学院上海光学精密机械研究所 光刻机照明光源的描述方法
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP2944413A1 (de) * 2014-05-12 2015-11-18 Boegli-Gravures S.A. Vorrichtung zur Maskenprojektion von Femtosekunden- und Pikosekunden- Laserstrahlen mit einer Blende, einer Maske und Linsensystemen
CN114554123A (zh) 2014-05-15 2022-05-27 Mtt创新公司 优化用于多投影仪系统的驱动方案
EP2950325B1 (en) 2014-05-30 2018-11-28 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using overlapping exposure spots
JP6890373B2 (ja) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機における結像偏向の補償
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
KR20160046016A (ko) * 2014-10-17 2016-04-28 삼성디스플레이 주식회사 마스크리스 노광 장치 및 이를 이용한 누적 조도 보정 방법
JP6474995B2 (ja) 2014-11-11 2019-02-27 ローランドディー.ジー.株式会社 スライスデータ作成装置、スライスデータ作成方法、プログラムおよびコンピューター読み取り可能な記録媒体
DE102015201140A1 (de) * 2015-01-23 2016-07-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bearbeitungskopf für die Materialbearbeitung
JP6513980B2 (ja) * 2015-03-16 2019-05-15 株式会社東芝 撮像装置及び撮像方法
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
JP6593623B2 (ja) * 2015-03-30 2019-10-23 株式会社ニコン 空間光変調器の設定方法、駆動データの作成方法、露光装置、露光方法、およびデバイス製造方法
DE102015217523B4 (de) 2015-04-28 2022-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur lokal definierten Bearbeitung an Oberflächen von Werkstücken mittels Laserlicht
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
DE102016204703B4 (de) 2016-03-22 2022-08-04 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Erzeugung eines optischen Musters aus Bildpunkten in einer Bildebene
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6818393B2 (ja) * 2016-09-01 2021-01-20 株式会社オーク製作所 露光装置
US10239178B2 (en) * 2016-10-17 2019-03-26 Virtek Vision International, ULC Laser projector with dynamically adaptable focus
AU2017387099B2 (en) * 2016-12-27 2023-02-02 DePuy Synthes Products, Inc. Systems, methods, and devices for providing illumination in an endoscopic imaging environment
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US11537051B2 (en) * 2017-03-16 2022-12-27 Nikon Corporation Control apparatus and control method, exposure apparatus and exposure method, device manufacturing method, data generating method and program
GB2560584B (en) * 2017-03-17 2021-05-19 Optalysys Ltd Optical processing systems
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
CN109426091B (zh) 2017-08-31 2021-01-29 京东方科技集团股份有限公司 曝光装置、曝光方法及光刻方法
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
CN107728312A (zh) * 2017-10-24 2018-02-23 上海天马微电子有限公司 一种空间光调制器及显示装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7260959B2 (ja) * 2018-03-16 2023-04-19 キヤノン株式会社 リソグラフィ装置、照明装置及び物品の製造方法
US10983444B2 (en) * 2018-04-26 2021-04-20 Applied Materials, Inc. Systems and methods of using solid state emitter arrays
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
JP7283893B2 (ja) * 2018-12-03 2023-05-30 株式会社エスケーエレクトロニクス フォトマスクの製造方法
US11679555B2 (en) 2019-02-21 2023-06-20 Sprintray, Inc. Reservoir with substrate assembly for reducing separation forces in three-dimensional printing
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
DE102019115554A1 (de) 2019-06-07 2020-12-10 Bystronic Laser Ag Bearbeitungsvorrichtung zur Laserbearbeitung eines Werkstücks und Verfahren zur Laserbearbeitung eines Werkstücks
CN110456609B (zh) * 2019-08-09 2021-04-09 中国科学院光电技术研究所 一种适用于无掩模数字光刻的邻近效应校正方法
CN113050381B (zh) * 2019-12-27 2022-04-26 上海微电子装备(集团)股份有限公司 一种拼接物镜的剂量控制装置、方法和曝光设备
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
US20230286081A1 (en) 2020-08-14 2023-09-14 Creamic Data Solutions GmbH High-Speed Data Recording and Reading
SE545314C2 (en) * 2021-03-30 2023-06-27 Sense Range Ab Method and apparatus for laser beam mudulation and beam steering
DE102021108339B4 (de) 2021-04-01 2023-12-07 Hochschule Anhalt, Körperschaft des öffentlichen Rechts Lichtfeld-Display, Verfahren, Computerprogramm und Vorrichtung zum Kalibrieren eines solchen Lichtfeld-Displays
CN113210873B (zh) * 2021-06-03 2022-04-05 北京理工大学 一种基于电子动态调控的金属纳米网的制备方法

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2030468A5 (ja) 1969-01-29 1970-11-13 Thomson Brandt Csf
US3896338A (en) * 1973-11-01 1975-07-22 Westinghouse Electric Corp Color video display system comprising electrostatically deflectable light valves
US4317611A (en) * 1980-05-19 1982-03-02 International Business Machines Corporation Optical ray deflection apparatus
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US4430571A (en) * 1981-04-16 1984-02-07 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
US5171965A (en) * 1984-02-01 1992-12-15 Canon Kabushiki Kaisha Exposure method and apparatus
US4566935A (en) 1984-07-31 1986-01-28 Texas Instruments Incorporated Spatial light modulator and method
US4596992A (en) * 1984-08-31 1986-06-24 Texas Instruments Incorporated Linear spatial light modulator and printer
US5061049A (en) * 1984-08-31 1991-10-29 Texas Instruments Incorporated Spatial light modulator and method
US4675702A (en) * 1986-03-14 1987-06-23 Gerber Scientific Inc. Photoplotter using a light valve device and process for exposing graphics
JPS6370423A (ja) * 1986-09-11 1988-03-30 Toshiba Corp パタ−ン形成方法
JPH01154519A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 半導体装置の製造方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US6348907B1 (en) * 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5073010A (en) * 1990-05-11 1991-12-17 University Of Colorado Foundation, Inc. Optically addressable spatial light modulator having a distorted helix ferroelectric liquid crystal member
JPH0423314A (ja) * 1990-05-15 1992-01-27 Kawasaki Steel Corp 露光装置
DE4022732A1 (de) 1990-07-17 1992-02-20 Micronic Laser Systems Ab Auf einem lichtempfindlich beschichteten substrat durch fokussierte laserstrahlung hergestellte struktur sowie verfahren und vorrichtung zu ihrer herstellung
JP2902506B2 (ja) * 1990-08-24 1999-06-07 キヤノン株式会社 半導体装置の製造方法及び半導体装置
US5148157A (en) 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
CA2075026A1 (en) * 1991-08-08 1993-02-09 William E. Nelson Method and apparatus for patterning an imaging member
US5486851A (en) 1991-10-30 1996-01-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device using a pulsed laser source a Schlieren optical system and a matrix addressable surface light modulator for producing images with undifracted light
DE69226511T2 (de) 1992-03-05 1999-01-28 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
US5312513A (en) * 1992-04-03 1994-05-17 Texas Instruments Incorporated Methods of forming multiple phase light modulators
KR100310279B1 (ko) * 1992-11-02 2001-12-17 게스레이 마크 패턴발생장치용라스터라이저
JP3296448B2 (ja) * 1993-03-15 2002-07-02 株式会社ニコン 露光制御方法、走査露光方法、露光制御装置、及びデバイス製造方法
JP3372086B2 (ja) * 1993-08-06 2003-01-27 株式会社ニコン 露光方法及び装置、並びにデバイスの製造方法
EP0657760A1 (en) 1993-09-15 1995-06-14 Texas Instruments Incorporated Image simulation and projection system
US5467146A (en) * 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
US5497258A (en) * 1994-05-27 1996-03-05 The Regents Of The University Of Colorado Spatial light modulator including a VLSI chip and using solder for horizontal and vertical component positioning
US5539567A (en) * 1994-06-16 1996-07-23 Texas Instruments Incorporated Photolithographic technique and illuminator using real-time addressable phase shift light shift
US5504504A (en) 1994-07-13 1996-04-02 Texas Instruments Incorporated Method of reducing the visual impact of defects present in a spatial light modulator display
EP0774130B1 (en) * 1994-08-04 2006-12-20 Texas Instruments Incorporated Display system
US5614990A (en) 1994-08-31 1997-03-25 International Business Machines Corporation Illumination tailoring system using photochromic filter
JP3537192B2 (ja) * 1994-09-14 2004-06-14 テキサス インスツルメンツ インコーポレイテツド 空間光変調器に基づく位相コントラスト画像投射装置
JP3335011B2 (ja) * 1994-09-16 2002-10-15 富士通株式会社 マスク及びこれを用いる荷電粒子ビーム露光方法
US5754217A (en) * 1995-04-19 1998-05-19 Texas Instruments Incorporated Printing system and method using a staggered array spatial light modulator having masked mirror elements
JPH08304924A (ja) * 1995-05-10 1996-11-22 Nikon Corp プロジェクター装置
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5661591A (en) * 1995-09-29 1997-08-26 Texas Instruments Incorporated Optical switch having an analog beam for steering light
JP3617558B2 (ja) * 1995-11-17 2005-02-09 株式会社ニコン 露光量制御方法、露光装置、及び素子製造方法
JPH09148221A (ja) * 1995-11-21 1997-06-06 Seiko Epson Corp 露光方法及び露光装置及びそれに用いるレチクル
JP3884098B2 (ja) * 1996-03-22 2007-02-21 株式会社東芝 露光装置および露光方法
JPH1050604A (ja) * 1996-04-04 1998-02-20 Nikon Corp 位置管理方法及び位置合わせ方法
JP3512945B2 (ja) * 1996-04-26 2004-03-31 株式会社東芝 パターン形成方法及びパターン形成装置
US5691541A (en) * 1996-05-14 1997-11-25 The Regents Of The University Of California Maskless, reticle-free, lithography
US5870176A (en) 1996-06-19 1999-02-09 Sandia Corporation Maskless lithography
JPH1011813A (ja) * 1996-06-26 1998-01-16 Nec Corp 露光方法及び露光装置
EP0914626A4 (en) * 1996-07-25 2002-02-20 Anvik Corp MASKLESS AND DISCONTINUOUS LITHOGRAPHIC SYSTEM INCLUDING A LIGHT SPACE MODULATOR
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
JPH10209019A (ja) * 1997-01-27 1998-08-07 Sony Corp 露光パターン投影デバイス及び露光装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
JPH113849A (ja) * 1997-06-12 1999-01-06 Sony Corp 可変変形照明フィルタ及び半導体露光装置
US5790297A (en) * 1997-06-26 1998-08-04 Xerox Corporation Optical row displacement for a fault tolerant projective display
US5774254A (en) * 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
DE69835776T2 (de) 1997-10-29 2007-08-30 E. Calum Vancouver MacAulay Gerät und Verfahren zur Mikroskopie unter Verwendung räumlich modulierten Lichtes
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6195196B1 (en) * 1998-03-13 2001-02-27 Fuji Photo Film Co., Ltd. Array-type exposing device and flat type display incorporating light modulator and driving method thereof
US6142641A (en) * 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6489984B1 (en) 1998-12-29 2002-12-03 Kenneth C. Johnson Pixel cross talk suppression in digital microprinters
US6498685B1 (en) 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
SE517550C2 (sv) 2000-04-17 2002-06-18 Micronic Laser Systems Ab Mönstergenereringssystem användande en spatialljusmodulator
US6425669B1 (en) * 2000-05-24 2002-07-30 Ball Semiconductor, Inc. Maskless exposure system

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003057837A (ja) * 2001-08-21 2003-02-28 Pentax Corp 多重露光描画装置および多重露光描画方法
WO2004079799A1 (ja) * 2003-03-05 2004-09-16 Tadahiro Ohmi マスクレピータ及びマスク製造方法
JP2005003762A (ja) * 2003-06-10 2005-01-06 Fuji Photo Film Co Ltd 画素位置特定方法、画像ずれ補正方法、および画像形成装置
JP4486323B2 (ja) * 2003-06-10 2010-06-23 富士フイルム株式会社 画素位置特定方法、画像ずれ補正方法、および画像形成装置
JP2009110016A (ja) * 2004-11-08 2009-05-21 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2006133784A (ja) * 2004-11-08 2006-05-25 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2011059716A (ja) * 2004-11-08 2011-03-24 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2007123800A (ja) * 2005-03-25 2007-05-17 Asml Netherlands Bv リソグラフィ装置及びマスクレス・リソグラフィにおける倍率及び位置の動的修正を利用したデバイス製造方法
JP2006313202A (ja) * 2005-05-06 2006-11-16 Nano System Solutions:Kk マスク製造方法
JP4570151B2 (ja) * 2005-05-06 2010-10-27 株式会社ナノシステムソリューションズ マスク製造方法
JP2007121998A (ja) * 2005-09-29 2007-05-17 Dainippon Screen Mfg Co Ltd 画像記録装置および画像記録方法
JP2007286311A (ja) * 2006-04-17 2007-11-01 Matsushita Electric Ind Co Ltd 波面変換装置、および光学装置
JP2007305987A (ja) * 2006-05-04 2007-11-22 Asml Netherlands Bv 干渉露光及び他の露光を用いるリソグラフィ装置及びデバイス製造方法
JP2008028099A (ja) * 2006-07-20 2008-02-07 Nano System Solutions:Kk 露光装置及び露光方法
JP2008122730A (ja) * 2006-11-14 2008-05-29 Orc Mfg Co Ltd 多重露光装置
JP2013191901A (ja) * 2007-07-13 2013-09-26 Nikon Corp パターン形成方法及びパターン形成装置、並びにデバイス製造方法
JP2014066954A (ja) * 2012-09-27 2014-04-17 Dainippon Screen Mfg Co Ltd 描画装置、および、描画方法

Also Published As

Publication number Publication date
AU2757099A (en) 1999-09-20
EP1060441A1 (en) 2000-12-20
CN1292103A (zh) 2001-04-18
DE69943041D1 (de) 2011-01-27
US20100208327A1 (en) 2010-08-19
JP2009033190A (ja) 2009-02-12
CN1550902A (zh) 2004-12-01
WO1999045437A1 (en) 1999-09-10
EP1060442B1 (en) 2008-06-11
US20050225836A1 (en) 2005-10-13
US6504644B1 (en) 2003-01-07
AU3284299A (en) 1999-09-20
KR100474121B1 (ko) 2005-05-06
US7184192B2 (en) 2007-02-27
ATE398792T1 (de) 2008-07-15
ATE491971T1 (de) 2011-01-15
KR100451026B1 (ko) 2004-10-06
JP2002506236A (ja) 2002-02-26
US20090147345A1 (en) 2009-06-11
US6987599B2 (en) 2006-01-17
ATE398299T1 (de) 2008-07-15
US6747783B1 (en) 2004-06-08
EP1060439A1 (en) 2000-12-20
WO1999045436A1 (en) 1999-09-10
EP1060443B1 (en) 2008-06-18
DE69938895D1 (de) 2008-07-24
DE69936950D1 (de) 2007-10-04
US6687041B1 (en) 2004-02-03
AU2756999A (en) 1999-09-20
DE69928232D1 (de) 2005-12-15
US20090191489A1 (en) 2009-07-30
US7957055B2 (en) 2011-06-07
EP1060439B1 (en) 2005-11-09
US7800815B2 (en) 2010-09-21
AU2755799A (en) 1999-09-20
JP2006080539A (ja) 2006-03-23
US7365901B2 (en) 2008-04-29
JP2002506234A (ja) 2002-02-26
EP1060440A1 (en) 2000-12-20
WO1999045441A1 (en) 1999-09-10
JP2002506232A (ja) 2002-02-26
CN1173234C (zh) 2004-10-27
US6428940B1 (en) 2002-08-06
AU2755599A (en) 1999-09-20
WO1999045435A1 (en) 1999-09-10
RU2257603C2 (ru) 2005-07-27
EP1060441B1 (en) 2010-12-15
JP2010015176A (ja) 2010-01-21
WO1999045440A1 (en) 1999-09-10
JP2010267978A (ja) 2010-11-25
US20080079922A1 (en) 2008-04-03
EP1600817B1 (en) 2007-08-22
US6399261B1 (en) 2002-06-04
AU2755699A (en) 1999-09-20
US20060103914A1 (en) 2006-05-18
JP2002506230A (ja) 2002-02-26
EP1060443A1 (en) 2000-12-20
US6285488B1 (en) 2001-09-04
US20060187524A1 (en) 2006-08-24
EP1060440B1 (en) 2010-12-15
CN1189794C (zh) 2005-02-16
DE69938921D1 (de) 2008-07-31
DE69928232T2 (de) 2006-08-03
ATE309557T1 (de) 2005-11-15
ES2357473T3 (es) 2011-04-26
US6373619B1 (en) 2002-04-16
US20040165170A1 (en) 2004-08-26
WO1999045439A1 (en) 1999-09-10
SE9800665D0 (sv) 1998-03-02
DE69936950T2 (de) 2008-05-15
EP1060442A1 (en) 2000-12-20
US7034986B2 (en) 2006-04-25
JP2002506233A (ja) 2002-02-26
DE69943040D1 (de) 2011-01-27
JP2010016404A (ja) 2010-01-21
ATE491970T1 (de) 2011-01-15
US7787174B2 (en) 2010-08-31
CN1292102A (zh) 2001-04-18
US20030202233A1 (en) 2003-10-30
KR20010052196A (ko) 2001-06-25
US7710634B2 (en) 2010-05-04
JP2002506235A (ja) 2002-02-26
EP1600817A1 (en) 2005-11-30
US7009753B2 (en) 2006-03-07
KR20010052197A (ko) 2001-06-25
RU2232411C2 (ru) 2004-07-10
WO1999045438A1 (en) 1999-09-10
AU2756899A (en) 1999-09-20

Similar Documents

Publication Publication Date Title
JP2002506231A (ja) ステッチング誤差防止用改良型パターン・ジェネレータ
JP4495898B2 (ja) 改良型パターン・ジェネレータ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051202

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081107

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090309

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090609