KR101684588B1 - 기판을 프로세싱하기 위한 시스템 - Google Patents

기판을 프로세싱하기 위한 시스템 Download PDF

Info

Publication number
KR101684588B1
KR101684588B1 KR1020137011597A KR20137011597A KR101684588B1 KR 101684588 B1 KR101684588 B1 KR 101684588B1 KR 1020137011597 A KR1020137011597 A KR 1020137011597A KR 20137011597 A KR20137011597 A KR 20137011597A KR 101684588 B1 KR101684588 B1 KR 101684588B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
curing
processing
load
Prior art date
Application number
KR1020137011597A
Other languages
English (en)
Other versions
KR20140000687A (ko
Inventor
드미트리 루보미르스키
제이 디. 핀슨 2세
컬비 에이치. 플로이드
에디드 칸
산카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140000687A publication Critical patent/KR20140000687A/ko
Application granted granted Critical
Publication of KR101684588B1 publication Critical patent/KR101684588B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B25/00Details of general application not covered by group F26B21/00 or F26B23/00
    • F26B25/001Handling, e.g. loading or unloading arrangements
    • F26B25/003Handling, e.g. loading or unloading arrangements for articles
    • F26B25/004Handling, e.g. loading or unloading arrangements for articles in the shape of discrete sheets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 프로세싱 시스템은 복수의 증착 챔버들과, 증착 챔버들 중 하나와 로드-락 기판 유지 영역 사이로 기판을 이동시키도록 동작 가능한 제 1 로봇 아암을 갖는다. 시스템은 로드-락 기판 유지 영역과 기판 경화 및 처리 모듈의 경화 챔버 사이로 기판을 이동시키도록 동작 가능한 제 2 로봇 아암을 또한 가질 수 있다. 기판 경화 및 처리 모듈은 로드-락 기판 유지 영역에 부착되며, 오존을 포함하는 분위기에서 유전층을 경화시키기 위한 경화 챔버와, 경화된 유전층을 수증기를 포함하는 분위기에서 처리하기 위한 처리 챔버를 포함할 수 있다. 경화 챔버는 처리 챔버에 대해 수직으로 위치될 수 있다. 모듈은 경화 챔버와 처리 챔버에 동작 가능하게 커플링된 가열 시스템을 또한 포함할 수 있으며, 가열 시스템은 경화 챔버의 제 1 온도를 약 150℃ 내지 약 200℃로 조절하도록, 그리고 처리 챔버의 제 2 온도를 약 80℃ 내지 약 100℃로 조절하도록 동작 가능하다. 모듈은 경화 챔버와 처리 챔버 모두에 액세스 도어를 또한 더 포함할 수 있다. 각각의 액세스 도어는 기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 기판이 경화되고 있거나 처리되고 있을 때에는 폐쇄된 밀봉 위치로 이동되도록 동작 가능하다.

Description

기판을 프로세싱하기 위한 시스템{SYSTEM FOR PROCESSING A SUBSTRATE}
관련 출원들에 대한 상호 참조들
본원은 "오존 경화 및 경화후 수분 처리 모듈"이란 명칭으로 2011년 9월 28일자에 출원된 미국 특허 출원 번호 제13/247,687호의 PCT 출원이며, "오존 경화 및 경화후 수분 처리 모듈"이란 명칭으로 2010년 10월 5일자에 출원된 미국 가특허 출원 번호 제61/389,957호에 관한 것으로 이 출원의 우선권 이익을 주장하며, 이 출원들은 모든 목적들을 위해 그 전체가 인용에 의해 본 명세서에 포함된다.
반도체 디바이스의 기하학적 구조들은 이들이 수십 년 전 도입된 이래 그 크기가 현저하게 감소되었다. 현대의 반도체 제조 장비는 피처 크기(feature size)가 250㎚, 180㎚ 및 65㎚인 디바이스들을 통상적으로 생산하고 있으며, 심지어 더 작은 기하학적 구조들을 가진 디바이스들을 만들기기 위해 새로운 장비가 개발되고 구현되고 있다. 피처 크기의 감소는 공간적 치수가 감소된 디바이스 상의 구조적 피처를 초래한다. 감소된 치수는, 결과적으로, 저항률이 매우 낮은 전도성 물질들과 유전 상수가 매우 낮은 절연 물질들의 사용을 요구한다.
저 유전 상수 필름들은, 인터커넥트 금속화(interconnect metalization)의 RC 시간 지연을 줄이고, 서로 다른 금속화 레벨들 간의 누화(cross-talk)를 방지하며, 디바이스 전력 소비를 줄이기 위해, 금속전 유전(PMD)층들과 층간 유전(IMD)층들에 특히 바람직하다. 초기 CVD 기술들을 이용하여 증착된 비도핑(undoped) 실리콘 산화물 필름들은 전형적으로 4.0 내지 4.2 범위의 유전 상수(k)를 가졌다. 반면에, 반도체 산업에서 요즘 일반적으로 사용되는 다양한 탄소계 유전층들은 3.0 미만의 유전 상수들을 갖는다. 이러한 많은 탄소계 층들이 처음에 증착될 때 상대적으로 불안정하며, 필름의 안정성을 높이기 위해 산소 환경(environment)에서 후속하여 경화되거나 및/또는 어닐링된다.
기판상에 증착된 유전층들의 경화 및 경화후 처리를 실시하기 위한 기판 경화 및 처리 모듈이 설명된다. 모듈들은 분리된 경화 챔버와 처리 챔버를 포함할 수 있다. FCVD 프로세스에 의해 경화되지 않은 층들이 위에 증착된 기판들이 모듈의 경화 챔버로 이송될 수 있다. 증착 프로세스는 경화되지 않은 실리콘-산소-탄소 함유층, 실리콘-산소-질소 함유층 및/또는 실리콘-산소-질소-탄소 함유층을 기판상에 형성할 수 있다. 기판이 경화 챔버로 이송되었을 때, 증착된 층 또는 층들은 오존-함유 분위기(atmosphere)에서 약 150℃ 내지 약 200℃의 온도로 경화될 수 있다. 경화 후, 기판은 모듈의 처리 챔버로 이송될 수 있고, 처리된 유전 필름을 형성하기 위해 이슬점을 초과하는 온도(예컨대, 약 80℃ 내지 약 100℃)들에서 수증기 함유 분위기에 노출될 수 있다. 몇몇 제조 프로세스들에 있어서, 경화 및 처리되어 모듈로부터 제거된 기판들은, 로드-락 챔버와 팩토리 인터페이스(FI)를 통해, 기판이 제조 시스템으로부터 제거될 때 기판을 저장하는 전방-개방형 통합 포드(front-opening unified pod; FOUP)로 이송된다.
본 발명의 실시예들은 기판 경화 및 처리 모듈을 포함한다. 모듈은 오존을 포함하는 분위기에서 유전층을 경화시키기 위한 경화 챔버와, 경화된 유전층을 수증기를 포함하는 분위기에서 처리하기 위한 처리 챔버를 포함할 수 있다. 경화 챔버는 처리 챔버에 대해 수직으로 위치될 수 있다. 모듈은 경화 챔버와 처리 챔버에 동작 가능하게 커플링된 가열 시스템을 또한 포함할 수 있다. 가열 시스템은 경화 챔버의 제 1 온도를 약 150℃ 내지 약 200℃로 조절하도록, 그리고 처리 챔버의 제 2 온도를 약 80℃ 내지 약 100℃로 조절하도록 동작 가능할 수 있다. 모듈은 경화 챔버와 처리 챔버 모두에 액세스 도어를 더 포함할 수 있다. 각각의 액세스 도어는 기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 기판이 경화되고 있거나 처리되고 있을 때에는 폐쇄된 밀봉 위치로 이동되도록 동작 가능하다.
본 발명의 실시예들은 복수의 증착 챔버들과, 증착 챔버들 중 하나와 로드-락 기판 유지 영역 사이로 기판을 이동시키도록 동작 가능한 제 1 로봇 아암을 가진 기판 프로세싱 시스템을 더 포함한다. 시스템은 로드-락 기판 유지 영역과 기판 경화 및 처리 모듈의 경화 챔버 사이로 기판을 이동시키도록 동작 가능한 제 2 로봇 아암을 또한 가질 수 있다. 기판 경화 및 처리 모듈은 로드-락 기판 유지 영역에 부착되며, 오존을 포함하는 분위기에서 유전층을 경화시키기 위한 경화 챔버와, 수증기를 포함하는 분위기에서 경화된 유전층을 처리하기 위한 처리 챔버를 포함할 수 있다. 경화 챔버는 처리 챔버에 대해 수직으로 위치될 수 있다. 모듈은 경화 챔버와 처리 챔버에 동작 가능하게 커플링된 가열 시스템을 또한 포함할 수 있으며, 가열 시스템은 경화 챔버의 제 1 온도를 약 150℃ 내지 약 200℃로 조절하도록, 그리고 처리 챔버의 제 2 온도를 약 80℃ 내지 약 100℃로 조절하도록 동작 가능하다. 모듈은 경화 챔버와 처리 챔버 모두에 액세스 도어를 또한 더 포함할 수 있다. 각각의 액세스 도어는 기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 기판이 경화되고 있거나 처리되고 있을 때에는 폐쇄된 밀봉 위치로 이동되도록 동작 가능하다.
부가적인 실시예들과 특징들이 일부는 이하의 설명에 제시되고, 일부는 당업자가 명세서를 검토할 때 분명해지거나 본 발명을 실행함으로써 학습될 수 있다. 본 발명의 특징들과 장점들은 명세서에 설명된 수단들, 조합들 및 방법들에 의해 실현되고 달성될 수 있다.
본 발명의 본질과 장점들에 대한 추가적인 이해는 명세서의 나머지 부분들과 도면들을 참조하여 실현될 수 있으며, 유사한 참조번호들이 유사한 구성요소들을 지칭하기 위해 여러 도면들에 걸쳐서 사용된다. 몇몇 경우들에서, 다수의 유사한 구성요소들 중 하나를 나타내기 위해 참조번호와 함께 하이픈에 이어 첨자가 결합된다. 기존의 첨자에 대한 설명 없이 참조번호를 인용하면, 이는 그러한 다수의 유사한 구성요소들 전부를 지칭하고자 의도된 것이다.
도 1은 본 발명의 실시예들에 따른 기판 경화 및 처리 모듈의 단순화된 단면도를 도시한다.
도 2는 본 발명의 실시예들에 따른 한 쌍의 기판 경화 및 처리 모듈들을 포함하는 기판 프로세싱 시스템의 단순화된 도면을 도시한다.
도 3은 본 발명의 실시예들에 따라 로드-락 챔버에 커플링된 한 쌍의 기판 경화 및 처리 모듈들을 구비한 기판 프로세싱 시스템의 또 다른 도면을 도시한다.
도 4a는 본 발명의 실시예들에 따라 기판 프로세싱 챔버들의 세트와 인터페이스로 접속하는(interfaces with) 로드-락 챔버의 대향 측들에 위치된 한 쌍의 기판 경화 및 처리 모듈들을 구비한 기판 프로세싱 시스템의 단순화된 정면 사시도를 도시한다.
도 4b는 본 발명의 실시예들에 따라 기판 프로세싱 챔버들의 세트와 인터페이스로 접속하는 로드-락 챔버의 대향 측들에 위치된 한 쌍의 기판 경화 및 처리 모듈들을 구비한 기판 프로세싱 시스템의 단순화된 배면 사시도를 도시한다.
도 5는 팩토리 인터페이스에 인접하여 위치된 기판 경화 및 처리 모듈을 구비한 기판 프로세싱 시스템의 단순화된 평면도를 도시한다.
도 1은 서로에 대해 수직으로 위치된 경화 챔버(102)와 처리 챔버(104)를 포함하는 기판 경화 및 처리 모듈(100)을 도시하고 있다. 모듈(100)에서, 경화 챔버가 처리 챔버(102) 위에 수직으로 위치된 것으로 도시되어 있으나, 부가적인 실시예들은 이 순서를 역전시켜 경화 챔버 위에 처리 챔버를 위치시킬 수 있다. 모듈(100)은 공통 벽(110)에 의해 분리된 2개의 챔버들을 또한 도시하며, 공통 벽의 대향 측들은 경화 챔버(102)와 처리 챔버(104)의 내부들에 각각 노출되어 있다. 부가적인 실시예들은 경화 챔버(102)의 바닥과 처리 챔버(104)의 상부을 각각 규정하는 2개의 분리 벽들을 가질 수 있다. 분리 벽들은 가역적으로(reversibly) 또는 영구적으로 서로에 대해 부착되거나, 또는 대안적으로, 임의의 절연 물질로 충진되거나 충진되지 않을 수 있는 갭에 의해 분리될 수 있다.
양쪽 챔버들은, 하나 또는 둘 이상의 기판(108a 내지 108c)들을 수용하기 위해 개방 위치로 이동하도록 동작 가능하며, 또한 기판이 경화되고 있거나 처리되고 있을 때 폐쇄된 밀봉 위치로 이동하도록 동작 가능한 액세스 도어(106a, 106b)를 포함한다. 모듈(100)은 챔버들에서 복수의 기판(108a 내지 108c)들을 동시에 유지할 수 있는 기판 랙(112a, 112b)들을 도시한다. 이는 모듈(100)을 다수의 기판들(예컨대, 2개 또는 3개 이상의 기판들, 3개 또는 4개 이상의 기판들, 4개 또는 5개 이상의 기판들, 5개 또는 6개 이상의 기판들, 10개 또는 11개 이상의 기판들 등)에 대해 경화 및 처리 단계들을 동시에 실시할 수 있는 배치형(batch) 경화 및 처리 모듈로 만든다. 부가적인 실시예들은, 수직 방향, 수평 방향 및/또는 원(circular) 방향으로 병진 이동 가능(translatable)할 수 있는 플래튼(platen)과 같은, 기판들을 유지하기 위한 대안적인 구조물을 포함할 수 있다. 이 대안적 구조물들은 단일 기판 또는 다수의 기판들을 유지하도록 동작 가능할 수 있다.
모듈(100)은 경화 챔버(102)와 처리 챔버(104) 내외로 기판을 이동시키도록 동작 가능한 위치결정 아암(116)을 가진 로봇 아암(114)으로부터 기판들을 수용할 수 있다. 위치결정 아암(116)은 경화 챔버(102)의 기판 랙(112a) 속에 기판(108a 내지 108c)을 위치시킬 수 있고, 이후에 경화된 기판을 경화 챔버로부터 제거할 수 있다. 또한 위치결정 아암(116)은 경화된 기판을 경화 챔버(102)로부터 처리 챔버(104)의 기판 랙(112b)으로 이송할 수 있다. 또한 아암(116)은 경화되고 처리된 기판을 처리 챔버(104)로부터 제거할 수 있다. 도시된 실시예에서, 위치결정 아암(116)은 챔버들 사이에서 수직 방향으로 이동할 뿐만 아니라 모듈(100)의 챔버들 내외로 연장 및 후퇴될 수 있다. 또한 아암(116)은 모듈(100) 부근의 기판 유지 영역으로부터 기판들을 수용하거나 또는 위치시키기 위해 회전할 수 있다.
모듈(100)은 챔버들의 분위기 조건들과 온도들을 제어하기 위해 경화 및 처리 챔버들에 각각 커플링된 가스 분배 시스템(118)과 가열 시스템(122)을 또한 포함한다. 상기 주지된 바와 같이, 기판 경화는 약 150℃ 내지 약 200℃의 오존-함유 분위기에 기판을 노출시키는 단계를 포함할 수 있으며, 기판 처리는 약 80℃ 내지 약 100℃의 수증기-함유 분위기에 경화된 기판을 노출시키는 단계를 포함할 수 있다.
가스 분배 시스템(118)은 가스 소스(미도시)로부터 경화 챔버(102)와 처리 챔버(104) 속으로의 가스들의 유동을 제어하도록 동작 가능하다. 모듈(100)에서, 전달 기구(mechanism)는 가스 분배 시스템으로부터 각각의 챔버들로 가스들을 운송하는 가스 도관(120a, 120b)들을 포함한다. 가스 분배 시스템은 또한 모듈(100)의 챔버들로부터 가스들을 제거하는 가스 리턴(return)(미도시)을 포함할 수도 있다. 가스 리턴은 모듈(100)에 유체적으로 커플링되어 있는 진공 펌프 및 배기부에 커플링될 수 있다.
가스 분배 시스템(118)에 의해 경화 챔버(102)로 전달된 가스들은 다른 가스들 중에서도 분자 산소, 오존, 아르곤 및 분자 질소를 포함할 수 있다. 가스들은 혼합되어 단일 스트림으로 경화 챔버(102)로 보내지거나, 개별적으로 제공되고 챔버에서 먼저 혼합될 수 있다. 분배 시스템(118)에 의해 처리 챔버(104)로 전달된 가스들은 다른 가스들 중에서도 수증기, 아르곤 및 분자 질소를 포함할 수 있다.
가열 시스템(122)은 경화 챔버(102)와 처리 챔버(104) 양쪽 모두에서 가열 요소(미도시)들과 동작 가능하게 커플링된다. 이 가열 요소들은 경화 챔버(102)의 온도가 처리 챔버(104)의 온도와 다르게 될 수 있도록 하기 위해 가열 시스템(122)에 의해 독립적으로 제어된다. 챔버의 온도를 조절 및/또는 유지하기 위해 챔버 온도를 모니터링하여 피드백을 가열 시스템(122)에 제공하도록 독립적인 온도 센서(미도시)들이 챔버들에 존재할 수 있다.
이제, 도 2 및 도 3을 참조하면, 본 발명의 실시예들에 따라, 한 쌍의 기판 경화 및 처리 모듈(202a, 202b)들을 포함하는 기판 프로세싱 시스템(200)이 도시된다. 시스템(200)의 상업적인 예들에는 캘리포니아 산타클라라에 소재한 어플라이드 머티어리얼스에 의해 제조된 CenturaTM, ProducerTM 및 EternaTM 시스템들의 특정 구성들이 포함될 수 있다.
도 2에 도시된 바와 같이, 로드-락 챔버(204)의 대향 단부들에, 그리고 모듈(202a, 202b)들은 시스템(200)의 팩토리 인터페이스(FI)(206) 뒤에 위치된다. 도시된 시스템(200)의 구성에서, 이러한 위치 결정은, 시스템의 전체 폭을 넓히지 않고, 그리고 시스템의 정면에 가역적으로 커플링된 4개의 FOUP 중 2개의 FOUP(208a, 208b)를 변위시키지 않고, FI(206)의 뒤에 모듈(202a, 202b)들을 위치시킨다.
모듈(202a, 202b)들은 로드-락 챔버(204)에 가역적으로 그리고 실질적으로 누설 밀봉 방식으로(leak-tightly) 커플링될 수 있다. 커플링 기구는 모듈(202a, 202b)들을 로드-락 챔버에 누설 밀봉 방식으로 커플링하는 가역적인 파스너들(fasteners)(예컨대, 나사형 볼트들, 스크류들 등)과 가스켓들을 포함할 수 있다. 로드-락 챔버(204)는, 로봇 아암이 로드-락 챔버와 모듈들 사이에서 기판들을 이송할 수 있도록 하기 위해, 모듈(202a, 202b)들의 경화 및 처리 챔버들의 액세스 도어들과 정렬된 개구들을 포함한다.
FI(206)에 밀봉식으로 커플링될 수 있는 FOUP(208a 내지 208d)를 통해 기판 웨이퍼들이 시스템에 제공될 수 있다. 기판들은 FI 내에 위치된 로봇 아암(미도시)의 도움으로 FI(206)를 통과해 로드-락 챔버(204) 속으로 전달될 수 있다. 그런 다음에, 또 다른 로봇 아암이 기판을 로드-락 챔버(204)로부터 프로세싱 챔버(210a 내지 210f)들 중 하나로 이송할 수 있으며, 프로세싱 챔버는 기판상에 하나 또는 둘 이상의 경화되지 않은 유전층들을 증착시킨다.
증착 후, 로봇 아암은 프로세싱 챔버(210a 내지 210f)로부터 로드-락 챔버(204)로 기판을 다시 이송할 수 있으며, 처음의 로봇 아암이 챔버의 개방된 액세스 도어를 통해 모듈(202a, 202b)들 중 하나의 경화 챔버 속에 기판을 위치시킬 수 있다. 도 1의 전술한 설명에서 주지된 바와 같이, 로봇 아암이 경화 챔버에 경화되지 않은 기판을 위치시킨 후, 가스 분배 시스템과 가열 시스템이 경화 챔버 내의 환경을 경화 상태로 조절하는 동안, 액세스 도어는 폐쇄된 밀봉 위치에 위치될 수 있다. 일단 기판상의 유전층(들)이 경화되면, 액세스 도어가 개방될 수 있으며, 로봇 아암이 경화된 기판을 취하여 그 기판을 동일한 모듈(210a, 210b)의 처리 챔버로 이송할 수 있다. 가스 분배 시스템과 가열 시스템이 경화되고 처리된 기판을 생산하기 위해 처리 챔버의 환경을 처리 상태로 조절하는 동안, 처리 챔버의 액세스 도어는 폐쇄된 밀봉 위치에 위치될 수 있다. 처리 프로세스가 종료되면, 액세스 도어가 개방될 수 있으며, 로봇 아암이 경화되고 처리된 기판을 모듈(210a, 210b)로부터 제거하여, 기판이 시스템(200)으로부터의 제거를 대기하는 동안, 그 기판을 FOUP(208a 내지 208d)로 리턴시킬 수 있다. 대안적으로, FOUP(208a 내지 208d)들 중 하나로 최종적으로 이송되기 전에, 경화되고 처리된 기판이 부가적인 증착, 에칭, CMP 등을 위해 프로세싱 챔버(210a 내지 210f)들 중 하나 또는 둘 이상의 챔버로 다시 이송될 수 있다.
도 4a 및 도 4b는 각각 본 발명의 실시예들에 따라 기판 프로세싱 챔버(406a 내지 406f)들의 세트와 인터페이스로 접속하는 로드-락 챔버(404)의 대향 측들에 한 쌍의 기판 경화 및 처리 모듈(402a, 402b)들이 배치된 기판 프로세싱 시스템(400)의 단순화된 정면 및 배면 사시도들을 도시한다. 이 도면들은 팩토리 인터페이스(미도시)에 부착된 로드-락 챔버의 정면에 대해 실질적으로 평탄하게 로드-락 챔버의 대향 측들에 부착된 모듈(402a, 402b)들을 도시하고 있다. 상기 주지된 바와 같이, 모듈(402a, 402b)들의 이러한 구성은, 시스템(400)의 폭을 넓히거나 FI와 로드-락 챔버(406)의 커플링을 간섭하지 않으면서, 모듈들이 전체 기판 프로세싱 시스템(400)과 일체형이 될 수 있도록 한다.
도 5는 FI(504)에 인접하여 위치된 기판 경화 및 처리 모듈(502)을 갖는 기판 프로세싱 시스템(500)의 단순화된 평면도를 도시한다. FI에 인접하여 경화 및 처리 모듈(502)을 위치시키는 것은 경화 및 처리 모듈(502)로부터 FI의 정면 상에 위치된 FOUP(506a 내지 506d)들로의 기판들의 전달 뿐만 아니라, FI(미도시)의 배면 상의 증착 챔버들로부터의 기판들의 줄어든 이동을 허용할 수 있다. 경화 및 처리 모듈들은 FI의 일측 또는 양측에 위치될 수 있다.
여러 실시예들이 설명되었지만, 본 발명의 사상을 벗어나지 않으면서 다양한 변형들, 대안적 구성들, 및 등가물들이 이용될 수 있다는 것을 당업자들은 인식할 것이다. 아울러, 본 발명이 불필요하게 불명료해지는 것을 피하기 위해서, 잘 공지된 많은 프로세스들 및 요소들은 설명되지 않았다. 따라서, 상기 설명은 본 발명의 범주를 제한하는 것으로 간주되어서는 안된다.
수치 범위가 주어진 경우, 그러한 수치 범위의 상한들과 하한들 사이에 속하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지 또한 구체적으로 개시된 것으로 이해된다. 명시된 범위의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 더 작은 범위가 포함된다. 이러한 더 작은 범위의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 더 작은 범위에 포함되든지 그러한 더 작은 범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 본 발명에 포함된다.
본 명세서 및 첨부된 특허청구범위에 사용된 바와 같이, 단수형("a", "an", 및 "the")은, 문맥이 달리 명시하지 않는 한, 복수의 인용물들을 포함한다. 따라서, 예컨대, "프로세스"에 대한 인용은 복수의 그러한 프로세스들을 포함하며, "기판"에 대한 인용은 하나 또는 둘 이상의 기판들과 당업자에게 알려진 기판의 등가물들 등에 대한 인용을 포함한다.
또한, 용어 "포함한다" 및 "포함하는"("comprise", "comprising", "include", "including", 및 "includes")은, 본 명세서 및 이하의 특허청구범위에서 사용되는 경우, 명시된 특징들, 정수들, 구성요소들 또는 단계들의 존재를 특정하도록 의도되지만, 이들은 하나 또는 둘 이상의 다른 특징들, 정수들, 구성요소들, 단계들, 작용들 또는 그룹들의 존재 또는 부가를 배제하지 않는다.

Claims (13)

  1. 기판 프로세싱 시스템으로서,
    복수의 증착 챔버들;
    로드-락(load-lock) 챔버;
    상기 증착 챔버들 중 하나와 상기 로드-락 챔버 사이로 기판을 이동시키도록 동작 가능한 제 1 로봇 아암;
    상기 로드-락 챔버의 대향 단부들에 배치된 한 쌍의 기판 경화 및 처리 모듈들; 및
    상기 로드-락 챔버와 상기 기판 경화 및 처리 모듈의 경화 챔버 사이로 상기 기판을 이동시키도록 동작 가능한 제 2 로봇 아암
    을 포함하고,
    각각의 기판 경화 및 처리 모듈은:
    오존을 포함하는 분위기에서 유전층을 경화시키기 위한 경화 챔버;
    상기 경화된 유전층을 수증기를 포함하는 분위기에서 처리하기 위한 처리 챔버 ― 상기 경화 챔버는 상기 처리 챔버에 대해 수직으로 위치됨 ―;
    상기 경화 챔버와 상기 처리 챔버에 동작 가능하게 커플링된 가열 시스템 ― 상기 가열 시스템은 상기 경화 챔버의 제 1 온도를 150℃ 내지 200℃로 조절하도록, 그리고 상기 처리 챔버의 제 2 온도를 80℃ 내지 100℃로 조절하도록 동작 가능함 ―; 및
    상기 경화 챔버와 상기 처리 챔버 모두에 구비된 액세스 도어 ― 각각의 액세스 도어들은 기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 상기 기판이 경화되고 있거나 처리되고 있는 동안 폐쇄된 밀봉 위치로 이동되도록 동작 가능함 ―
    를 포함하는,
    기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 제 2 로봇 아암은 상기 경화 챔버로부터 상기 기판을 제거하고 상기 기판을 상기 처리 챔버로 전달하도록 동작 가능한,
    기판 프로세싱 시스템.
  3. 제 1 항에 있어서,
    복수의 기판 경화 및 처리 모듈들을 더 포함하며, 각각의 기판 경화 및 처리 모듈들은 상기 로드-락 챔버에 부착되는,
    기판 프로세싱 시스템.
  4. 제 1 항에 있어서,
    각각의 상기 경화 챔버 및 상기 처리 챔버는 복수의 기판들을 동시에 수용하기 위해 기판 랙을 포함하는,
    기판 프로세싱 시스템.
  5. 기판 프로세싱 시스템으로서,
    팩토리 인터페이스;
    복수의 처리 챔버들;
    상기 팩토리 인터페이스의 배면 상에 배치된 로드-락 챔버 ― 상기 로드-락 챔버는 상기 팩토리 인터페이스와 상기 복수의 처리 챔버들과 접속됨 ―; 및
    상기 로드-락 챔버의 제1 단부에 커플링된 제1 기판 경화 및 처리 모듈
    을 포함하고,
    상기 제1 기판 경화 및 처리 모듈은:
    경화 챔버; 및
    처리 챔버
    를 포함하고,
    상기 경화 챔버는:
    복수의 기판들을 유지하기 위한 기판 랙; 및
    기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 그리고 경화되는 동안 폐쇄된 밀봉 위치로 이동되도록 동작 가능한 액세스 도어 ― 상기 로드-락 챔버는 상기 경화 챔버의 액세스 도어와 정렬된 개구를 포함함 ―
    를 포함하고,
    상기 처리 챔버는:
    복수의 기판들을 유지하기 위한 기판 랙; 및
    기판을 수용하기 위해 개방 위치로 이동되도록 동작 가능하고, 그리고 처리되는 동안 폐쇄된 밀봉 위치로 이동되도록 동작 가능한 액세스 도어 ― 상기 로드-락 챔버는 상기 처리 챔버의 액세스 도어와 정렬된 개구를 포함함 ―
    를 포함하고,
    상기 경화 챔버 및 상기 처리 챔버는 함께 수직으로 쌓이는,
    기판 프로세싱 시스템.
  6. 제 5 항에 있어서,
    상기 로드-락 챔버의 제2 단부에 커플링된 제2 기판 경화 및 처리 모듈을 더 포함하고, 상기 제2 단부는 상기 제1 단부에 대향하는,
    기판 프로세싱 시스템.
  7. 제 5 항에 있어서,
    상기 경화 챔버 및 처리 챔버는 공통 벽에 의해 분리되는,
    기판 프로세싱 시스템.
  8. 제 5 항에 있어서,
    상기 제1 기판 경화 및 처리 모듈은:
    상기 경화 챔버와 상기 처리 챔버에 동작 가능하게 커플링된 가열 시스템 ― 상기 가열 시스템은 상기 경화 챔버의 제 1 온도를 150℃ 내지 200℃로 조절하도록, 그리고 상기 처리 챔버의 제 2 온도를 80℃ 내지 100℃로 조절하도록 동작 가능함 ― 을 더 포함하는,
    기판 프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 제1 기판 경화 및 처리 모듈은:
    가스 소스로부터 상기 경화 챔버와 상기 처리 챔버 속으로의 가스들의 유동을 제어하도록 동작 가능한 가스 분배 시스템
    을 더 포함하는,
    기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 제1 기판 경화 및 처리 모듈은:
    진공 펌프에 유체적으로 접속된 가스 리턴(gas return)을 더 포함하는,
    기판 프로세싱 시스템.
  11. 제 5 항에 있어서,
    상기 제1 기판 경화 및 처리 모듈의 상기 로드-락 챔버와 상기 경화 챔버 사이의 기판들을 이동시키도록 동작 가능한 로봇 아암을 더 포함하는,
    기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 로봇 아암은 상기 팩토리 인터페이스 내에 배치되는,
    기판 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 팩토리 인터페이스의 정면에 배치된 복수의 전방-개방형 통합 포드(front-opening unified pod; FOUP)들을 더 포함하고, 상기 로봇 아암은 상기 제1 기판 경화 및 처리 모듈과 상기 FOUP들 사이에서 기판들을 전달하도록 동작 가능한,
    기판 프로세싱 시스템.
KR1020137011597A 2010-10-05 2011-10-05 기판을 프로세싱하기 위한 시스템 KR101684588B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US38995710P 2010-10-05 2010-10-05
US61/389,957 2010-10-05
US13/247,687 US9285168B2 (en) 2010-10-05 2011-09-28 Module for ozone cure and post-cure moisture treatment
US13/247,687 2011-09-28
PCT/US2011/054984 WO2012048044A2 (en) 2010-10-05 2011-10-05 Module for ozone cure and post-cure moisture treatment

Publications (2)

Publication Number Publication Date
KR20140000687A KR20140000687A (ko) 2014-01-03
KR101684588B1 true KR101684588B1 (ko) 2016-12-08

Family

ID=45888704

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011597A KR101684588B1 (ko) 2010-10-05 2011-10-05 기판을 프로세싱하기 위한 시스템

Country Status (7)

Country Link
US (1) US9285168B2 (ko)
JP (1) JP2013545285A (ko)
KR (1) KR101684588B1 (ko)
CN (1) CN103168347A (ko)
SG (1) SG189137A1 (ko)
TW (1) TWI544557B (ko)
WO (1) WO2012048044A2 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
DE102011109325B4 (de) * 2011-08-03 2016-09-22 Venjakob Maschinenbau Gmbh & Co. Kg Vorrichtung zum Trocknen von Werkstücken
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
JP2017512123A (ja) * 2014-02-14 2017-05-18 エムケイエス インストゥルメンツ, インコーポレイテッド 直接電気加熱式フロースルー化学反応器のための方法および装置
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
KR20180075702A (ko) 2015-11-23 2018-07-04 어플라이드 머티어리얼스, 인코포레이티드 프로세스 툴에서의 온-보드 메트롤로지(obm) 설계 및 그 영향
CN107477993A (zh) * 2017-08-03 2017-12-15 合肥念萍电子商务有限公司 一种木材板灭菌干燥箱
US10720348B2 (en) * 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
JP7257813B2 (ja) * 2019-02-21 2023-04-14 東京エレクトロン株式会社 水蒸気処理装置及び水蒸気処理方法
CN111604810B (zh) * 2020-07-24 2020-11-03 杭州众硅电子科技有限公司 一种晶圆传输设备、化学机械平坦化装置及晶圆传输方法
US20220415635A1 (en) * 2021-06-25 2022-12-29 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090156017A1 (en) * 2007-12-13 2009-06-18 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture

Family Cites Families (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JPH0616505B2 (ja) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 絶縁膜形成方法
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JP2763100B2 (ja) 1988-02-03 1998-06-11 株式会社東芝 薄膜形成方法
JP2763104B2 (ja) 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH01241826A (ja) 1988-03-23 1989-09-26 Mitsubishi Electric Corp 薄膜形成装置
JPH03197684A (ja) 1989-12-26 1991-08-29 Anelva Corp 隣接プラズマcvd装置
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
JPH03286531A (ja) 1990-04-02 1991-12-17 Kawasaki Steel Corp シリコン酸化膜の形成方法
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH0590214A (ja) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd 同軸型プラズマ処理装置
JPH05152215A (ja) * 1991-11-29 1993-06-18 Hitachi Ltd 成膜装置
JPH05259156A (ja) 1992-03-16 1993-10-08 Fujitsu Ltd 半導体装置の製造方法
JPH05304147A (ja) 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (ja) 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
JP2645215B2 (ja) 1994-01-17 1997-08-25 株式会社東芝 薄膜形成装置
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
JPH07316823A (ja) 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08236518A (ja) 1995-02-28 1996-09-13 Hitachi Ltd シリコン酸化膜の形成方法
TW297135B (ko) 1995-03-20 1997-02-01 Hitachi Ltd
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH08288286A (ja) 1995-04-19 1996-11-01 Sharp Corp シリコン酸化膜の成膜方法
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
JPH10163183A (ja) 1996-11-29 1998-06-19 Sony Corp 薄膜形成装置
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP3080061B2 (ja) 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
JP3792417B2 (ja) 1998-10-26 2006-07-05 ナブテスコ株式会社 真空チャンバーに用いる回転軸のシール機構
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
JP4249843B2 (ja) 1999-04-12 2009-04-08 憲一 高木 プラズマ処理装置
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US20030213772A9 (en) * 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6568896B2 (en) * 2001-03-21 2003-05-27 Applied Materials, Inc. Transfer chamber with side wall port
WO2002077320A1 (en) 2001-03-23 2002-10-03 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (ja) 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003010289A2 (en) 2001-07-25 2003-02-06 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
TWI232509B (en) * 2001-07-25 2005-05-11 Tokyo Electron Ltd Processing apparatus and processing method
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
KR100428768B1 (ko) 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
JP4049214B2 (ja) 2001-08-30 2008-02-20 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成装置
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
DE10214065B4 (de) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines verbesserten Metallsilizidbereichs in einem Silizium enthaltenden leitenden Gebiet in einer integrierten Schaltung
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (fr) 2002-04-19 2003-10-30 Tokyo Electron Limited Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004012315A (ja) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd 炭化ケイ素材または窒化ケイ素材の不純物濃度分布測定方法ならびにセラミックスの不純物濃度分布測定方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6792693B2 (en) * 2002-10-08 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Wafer dryer system for PRS wet bench
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
CN1778002A (zh) 2003-03-04 2006-05-24 陶氏康宁公司 有机发光二极管
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP2004328825A (ja) 2003-04-22 2004-11-18 Tdk Corp Dc−dcコンバータ
KR100505419B1 (ko) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
KR20050003758A (ko) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 반도체 소자의 얕은 트랜치 소자분리막 형성방법
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
KR100636035B1 (ko) * 2003-11-08 2006-10-18 삼성전자주식회사 웨이퍼를 건조하기 위한 방법 및 장치, 그리고 웨이퍼 건조 장치를 포함하는 웨이퍼 처리 장치
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
US7321786B2 (en) 2003-12-17 2008-01-22 Cedraeus Incorporated Method for a random-based decision-making process
KR20050072332A (ko) 2004-01-06 2005-07-11 학교법인 동서학원 피디엠에스 몰드를 이용한 초고온 초소형전자기계시스템용 실리콘 카본 나이트라이드 미세구조물제조방법
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
KR20050094183A (ko) 2004-03-22 2005-09-27 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 산화막 형성 방법
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100762573B1 (ko) 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US7033960B1 (en) * 2004-08-16 2006-04-25 Advanced Micro Devices, Inc. Multi-chamber deposition of silicon oxynitride film for patterning
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7352065B2 (en) 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP4509868B2 (ja) 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
KR20080028963A (ko) 2005-07-08 2008-04-02 에비자 테크놀로지, 인크. 실리콘 함유 필름의 증착 방법
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100816749B1 (ko) 2006-07-12 2008-03-27 삼성전자주식회사 소자분리막, 상기 소자분리막을 구비하는 비휘발성 메모리소자, 그리고 상기 소자분리막 및 비휘발성 메모리 소자형성 방법들
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
EP2122007A4 (en) 2007-02-27 2011-10-26 Sixtron Advanced Materials Inc METHOD FOR FORMING A FILM ON A SUBSTRATE
US20080202419A1 (en) * 2007-02-27 2008-08-28 Smith John M Gas manifold directly attached to substrate processing chamber
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (ja) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos型半導体メモリ装置
KR20090011765A (ko) 2007-07-27 2009-02-02 주식회사 아이피에스 갭-필 능력을 향상시킨 실리콘 산화막 증착 방법
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
JP2010539730A (ja) 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (ko) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) * 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (ko) 2008-05-26 2009-12-01 주성엔지니어링(주) 폴리실리콘막 및 그 형성 방법, 이를 이용한 플래쉬 메모리소자 및 그 제조 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101524824B1 (ko) 2009-01-21 2015-06-03 삼성전자주식회사 패턴 구조체 형성 방법
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (ja) 2010-04-05 2011-11-04 Denso Corp 排気ガス循環装置
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (ja) 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090156017A1 (en) * 2007-12-13 2009-06-18 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture

Also Published As

Publication number Publication date
US9285168B2 (en) 2016-03-15
JP2013545285A (ja) 2013-12-19
US20120079982A1 (en) 2012-04-05
SG189137A1 (en) 2013-05-31
WO2012048044A3 (en) 2012-06-28
WO2012048044A2 (en) 2012-04-12
KR20140000687A (ko) 2014-01-03
CN103168347A (zh) 2013-06-19
TW201225199A (en) 2012-06-16
TWI544557B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
KR101684588B1 (ko) 기판을 프로세싱하기 위한 시스템
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
US8524004B2 (en) Loadlock batch ozone cure
KR100682163B1 (ko) 하이브리드형 pvd-cvd 시스템
KR102234464B1 (ko) 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR0155572B1 (ko) 감압처리 시스템 및 감압처리 방법
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
KR20000047598A (ko) 기판 처리 장치
JP4744328B2 (ja) クーリングステージを備えた半導体製造装置及びそれを使った半導体製造方法
KR100297971B1 (ko) 스퍼터화학증착복합장치
US6638860B2 (en) Method and apparatus for processing substrates and method for manufacturing a semiconductor device
TW202329231A (zh) 同時進行基板傳輸的機械手
JPH09104982A (ja) 基板処理装置
US20240128121A1 (en) Multi-step process for flowable gap-fill film
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
KR101364116B1 (ko) 기판 처리를 위한 클러스터 설비
Liehr Integrated thermal chemical vapor deposition processing for Si technology
KR100566697B1 (ko) 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
US20030194299A1 (en) Processing system for semiconductor wafers
JP2003115523A (ja) 基板処理装置および基板処理方法

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant