JP5789353B2 - 半導体製造プロセスのための方法とシステム - Google Patents
半導体製造プロセスのための方法とシステム Download PDFInfo
- Publication number
- JP5789353B2 JP5789353B2 JP2002529821A JP2002529821A JP5789353B2 JP 5789353 B2 JP5789353 B2 JP 5789353B2 JP 2002529821 A JP2002529821 A JP 2002529821A JP 2002529821 A JP2002529821 A JP 2002529821A JP 5789353 B2 JP5789353 B2 JP 5789353B2
- Authority
- JP
- Japan
- Prior art keywords
- sample
- tool
- coupled
- output signals
- characteristic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/707—Chucks, e.g. chucking or un-chucking operations or structural details
- G03F7/70708—Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/17—Systems in which incident light is modified in accordance with the properties of the material investigated
- G01N21/21—Polarisation-affecting properties
- G01N21/211—Ellipsometry
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/17—Systems in which incident light is modified in accordance with the properties of the material investigated
- G01N21/47—Scattering, i.e. diffuse reflection
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/17—Systems in which incident light is modified in accordance with the properties of the material investigated
- G01N21/55—Specular reflectivity
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/62—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
- G01N21/63—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
- G01N21/636—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited using an arrangement of pump beam and probe beam; using the measurement of optical non-linear properties
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/62—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
- G01N21/63—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
- G01N21/64—Fluorescence; Phosphorescence
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/94—Investigating contamination, e.g. dust
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/9501—Semiconductor wafers
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/7065—Defects, e.g. optical inspection of patterned layer for defects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70653—Metrology techniques
- G03F7/70658—Electrical testing
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/707—Chucks, e.g. chucking or un-chucking operations or structural details
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/62—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
- G01N21/63—Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light optically excited
- G01N21/64—Fluorescence; Phosphorescence
- G01N21/645—Specially adapted constructive features of fluorimeters
- G01N21/6456—Spatial resolved fluorescence measurements; Imaging
- G01N2021/646—Detecting fluorescent inhomogeneities at a position, e.g. for detecting defects
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/8806—Specially adapted optical and illumination features
- G01N2021/8822—Dark field detection
- G01N2021/8825—Separate detection of dark field and bright field
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/9501—Semiconductor wafers
- G01N21/9503—Wafer edge inspection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/30—Electron or ion beam tubes for processing objects
- H01J2237/317—Processing objects on a microscale
- H01J2237/31701—Ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10T117/10—Apparatus
- Y10T117/1004—Apparatus with means for measuring, testing, or sensing
Description
本発明は、一般的に半導体製造プロセスのための方法とシステムに関する。いくつかの実施形態は、試料の少なくとも2つの特性を判別することにより半導体製造プロセスを評価かつ/または制御するための方法およびシステムに関する。
一実施形態では、本明細書で説明しているように、各システムを使用して、ウェーハ内で(「WIW」)、リソグラフィ・プロセスなどのプロセスの限界測定基準の変動性を小さくし、さらには最小にすることさえできる。例えば、リソグラフィ・プロセスの限界測定基準は、これらに限定しないが、リソグラフィ・プロセスによって形成されたフィーチャの限界寸法およびオーバーレイ・ミスレジストレーションなどの特性を含む。しかし、限界測定基準は、これらに限定しないが、試料の欠陥の有無、試料の薄膜特性、試料の平坦さ測定、試料の注入特性、試料の粘着特性、試料内の要素の濃度をはじめとする、本明細書で説明しているような特性のいずれも含む。本明細書で説明しているように、このようなシステムは、試料上の複数の位置で試料の少なくとも1つの特性を判別するように構成されている。例えば、測定具は、フィールド内の複数の位置で、および/または試料上の少なくとも2つのフィールド内の複数の位置で、試料の少なくとも1つの特性を測定するように構成されている。測定された特性は、プロセッサへ、またはウェーハ膜プロセッサ内に送ることができる。プロセッサを測定具に結合し、本明細書で説明しているように構成されている。
ρdielectric=−V/[(dV/dt)・e・e0]
ただし、ρdielectricは誘電体の抵抗、Vは誘電体の測定された表面電圧、tは減衰時間、eは誘電体の誘電定数、e0は真空の誘電率である。誘電体内の金属汚染の特性もまた、誘電体の抵抗の関数となる。
ば当業者にとっては明白なことであろう。例えば、システムは測定具に関して複数の角度
および方向で傾斜するように構成されたステージを備えている。したがって、この説明は
、解説を示すのみと解釈すべきであり、本発明を実施する一般的な方法を当業者に教示す
ることを目的としている。また、本明細書で示され説明されている発明の形態は、今のと
ころ好ましい実施形態として解釈すべきであることは理解されるであろう。本明細書で示
し説明している要素および材料については置き換えることができ、パーツおよびプロセス
は逆にすることができ、本発明のいくつかのフィーチャは独立して利用することができる
が、すべて、本発明の説明を理解した後では当業者にとって明白なことであろう。請求項
で説明している発明の精神と範囲を逸脱することなく、本明細書で説明している要素に変
更を加えることができる。
<付記1> 使用時に試料の少なくとも2つの特性を判別するように構成されたシス
テムであって、
使用時に試料を支持するように構成されているステージと、
ステージに結合され、
使用時にエネルギーを試料の表面に向けるように構成された照明システムと、
照明システムに結合され、使用時に試料の表面から伝搬するエネルギーを検出するよ
うに構成され、測定具が使用時に検出されたエネルギーに対応して1つまたは複数の出力
信号を発生するように構成されている検出システムとを備える測定具と、
測定具に結合され、使用時に1つまたは複数の出力信号から試料の第1の特性および第
2の特性を判別するように構成され、第1の特性が試料の限界寸法を含み、第2の特性が
試料のオーバーレイ・ミスレジストレーションを含むプロセッサと
を備えるシステム。
<付記2> ステージがさらに、使用時に横方向に移動するように構成されている付
記1に記載のシステム。
<付記3> ステージがさらに、使用時に回転移動するように構成されている付記1
に記載のシステム。
<付記4> ステージがさらに、使用時に水平移動と回転移動をするように構成され
ている付記1に記載のシステム。
<付記5> 照明システムが単一エネルギー源を備える付記1に記載のシステム。
<付記6> 照明システムが複数のエネルギー源を備える付記1に記載のシステム。
<付記7> 検出システムが単一エネルギー感知デバイスを備える付記1に記載のシ
ステム。
<付記8> 検出システムが複数のエネルギー感知デバイスを備える付記1に記載の
システム。
<付記9> 測定具がさらに非結像スキャタロメータを備える付記1に記載のシステ
ム。
<付記10> 測定具がさらにスキャタロメータを備える付記1に記載のシステム。
<付記11> 測定具がさらに分光スキャタロメータを備える付記1に記載のシステ
ム。
<付記12> 測定具がさらに反射率計を備える付記1に記載のシステム。
<付記13> 測定具がさらに分光反射率計を備える付記1に記載のシステム。
<付記14> 測定具がさらに偏光解析器を備える付記1に記載のシステム。
<付記15> 測定具がさらに分光偏光解析器を備える付記1に記載のシステム。
<付記16> 測定具がさらに明視野撮像デバイスを備える付記1に記載のシステム
。
<付記17> 測定具がさらに暗視野撮像デバイスを備える付記1に記載のシステム
。
<付記18> 測定具がさらに明視野暗視野撮像デバイスを備える付記1に記載のシ
ステム。
<付記19> 測定具がさらに明視野非結像デバイスを備える付記1に記載のシステ
ム。
<付記20> 測定具がさらに暗視野非結像デバイスを備える付記1に記載のシステ
ム。
試料の表面に複数のダイスおよび複数の欠陥がある試料の一実施形態の概略上面図であ
る。
【図2a】
使用中に回転移動させるように構成されているステージと使用中に直線移動させるよう
に構成されている測定具の一実施形態の概略上面図である。
【図2b】
使用中に回転移動させるように構成されているステージと静止している測定具の一実施
形態の概略上面図である。
【図3】
1つの照明システムと1つの検出システムを備えるシステムの一実施形態の概略側面図
である。
【図4】
複数の照明システムと1つの検出システムを備えるシステムの一実施形態の概略側面図
である。
【図5】
複数の照明システムと複数の検出システムを備えるシステムの一実施形態の概略側面図
である。
【図6】
1つの照明システムと複数の検出システムを備えるシステムの一実施形態の概略側面図
である。
【図7】
1つの照明システムと複数の検出システムを備えるシステムの一実施形態の概略側面図
である。
【図8】
試料の一実施形態の概略側面図である。
【図9】
複数の測定具を備えるシステムの一実施形態の概略上面図である。
【図10】
試料の限界寸法を判別するように構成されたシステムの一実施形態の概略側面図である
。
【図11a】
試料の限界寸法を判別するように構成された測定具の一実施形態の概略側面図である。
【図11b】
試料の限界寸法を判別するように構成された測定具の一部の一実施形態の概略側面図で
ある。
【図12】
試料の複数の面の複数の特性を判別するように構成されたシステムの一実施形態の概略
側面図である。
【図13】
半導体製造プロセス・ツールに結合されたシステムの一実施形態の概略上面図である。
【図14】
半導体製造プロセス・ツールに結合するように構成されたシステムの一実施形態の透視
図である。
【図15】
半導体製造プロセス・ツールに結合されたシステムの一実施形態の透視図である。
【図16】
測定チャンバ内に配置されたシステムの一実施形態の概略側面図である。
【図17】
半導体製造プロセス・ツールのプロセス・チャンバに側面に沿って近くなるように配置
された測定チャンバの一実施形態の概略側面図である。
【図18】
半導体製造プロセス・ツールのプロセス・チャンバに結合されたシステムの一実施形態
の概略側面図である。
【図19】
試料の少なくとも2つの特性を判別する方法の一実施形態を説明する流れ図である。
【図20】
試料の表面から帰ってくる検出された光を処理する方法の一実施形態を説明する流れ図
である。
【図21】
資料の少なくとも2つの特性を判別するように構成されたシステムを制御する方法の一
実施形態を説明する流れ図である。
【図22】
化学機械研磨ツールに結合されたシステムの一実施形態の概略側面図である。
【図23】
化学堆積ツールに結合されたシステムの一実施形態の概略側面図である。
【図24】
エッチング・ツールに結合されたシステムの一実施形態の概略側面図である。
【図25】
イオン注入器に結合されたシステムの一実施形態の概略側面図である。
【図26】
試料の表面上の微小欠陥の特性を判別するように構成されたシステムの一実施形態の概
略側面図である。
【図27】
試料の複数の表面の欠陥の特性を判別するように構成されたシステムの一実施形態の概
略側面図である。
Claims (20)
- 試料の表面と裏面それぞれの上の欠陥の少なくとも1つの特性を判別するように構成されたシステムであって、
前記試料を支持するように構成され、さらに移動するように構成されているステージと、
プロセッサと、
ステージに結合された測定具と、
を備え、
前記測定具は、
前記ステージの移動している間にエネルギーを前記試料の表面と裏面のそれぞれに向けるように構成された照明システムと、
前記照明システムに結合され、前記ステージの移動している間に前記試料の表面と裏面から伝搬するエネルギーを検出するように構成されている検出システムと、を備え、
前記ステージは、前記試料の中心に近い試料の裏面に接触し、前記ステージは、真空チャックまたは静電チャックを備え、
前記測定具は、前記試料の表面から検出されたエネルギーに対応する第1の明視野光出力信号、および第1の暗視野光出力信号を発生し、前記試料の裏面から検出されたエネルギーに対応する第2の暗視野光出力信号を発生するように構成され、
前記プロセッサは前記測定具に結合され、前記第1の明視野光出力信号および前記第1の暗視野光出力信号から前記試料の表面上の欠陥の第1の特性を判別するように、および前記第2の暗視野光出力信号から前記試料の裏面上の欠陥の第2の特性を判別するように構成される、システム。
- 前記ステージがさらに、横方向に移動するように構成されている請求項1に記載のシステム。
- 前記ステージがさらに、回転移動するように構成されている請求項1に記載のシステム。
- 前記ステージがさらに、水平移動と回転移動をするように構成されている請求項1に記載のシステム。
- 前記照明システムが単一エネルギー源を備える請求項1に記載のシステム。
- 前記照明システムが複数のエネルギー源を備える請求項1に記載のシステム。
- 前記検出システムが単一エネルギー感知デバイスを備える請求項1に記載のシステム。
- 前記検出システムが複数のエネルギー感知デバイスを備える請求項1に記載のシステム。
- 前記測定具がさらに非結像スキャタロメータを備える請求項1に記載のシステム。
- 前記測定具がさらにスキャタロメータを備える請求項1に記載のシステム。
- 前記測定具がさらに分光スキャタロメータを備える請求項1に記載のシステム。
- 前記測定具がさらに反射率計を備える請求項1に記載のシステム。
- 前記測定具がさらに分光反射率計を備える請求項1に記載のシステム。
- 前記測定具がさらに偏光解析器を備える請求項1に記載のシステム。
- 前記測定具がさらに分光偏光解析器を備える請求項1に記載のシステム。
- 前記測定具がさらに明視野撮像デバイスを備える請求項1に記載のシステム。
- 前記測定具がさらに暗視野撮像デバイスを備える請求項1に記載のシステム。
- 前記測定具がさらに明視野暗視野撮像デバイスを備える請求項1に記載のシステム。
- 前記測定具がさらに明視野非結像デバイスを備える請求項1に記載のシステム。
- 前記測定具がさらに暗視野非結像デバイスを備える請求項1に記載のシステム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US23432300P | 2000-09-20 | 2000-09-20 | |
US60/234,323 | 2000-09-20 | ||
PCT/US2001/042251 WO2002025708A2 (en) | 2000-09-20 | 2001-09-20 | Methods and systems for semiconductor fabrication processes |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014046769A Division JP5980828B2 (ja) | 2000-09-20 | 2014-03-10 | 半導体製造プロセスのための方法とシステム |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2004513509A JP2004513509A (ja) | 2004-04-30 |
JP5789353B2 true JP5789353B2 (ja) | 2015-10-07 |
Family
ID=22880893
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002529821A Expired - Lifetime JP5789353B2 (ja) | 2000-09-20 | 2001-09-20 | 半導体製造プロセスのための方法とシステム |
JP2014046769A Expired - Lifetime JP5980828B2 (ja) | 2000-09-20 | 2014-03-10 | 半導体製造プロセスのための方法とシステム |
JP2016038880A Pending JP2016122860A (ja) | 2000-09-20 | 2016-03-01 | 半導体製造プロセスのための方法とシステム |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2014046769A Expired - Lifetime JP5980828B2 (ja) | 2000-09-20 | 2014-03-10 | 半導体製造プロセスのための方法とシステム |
JP2016038880A Pending JP2016122860A (ja) | 2000-09-20 | 2016-03-01 | 半導体製造プロセスのための方法とシステム |
Country Status (5)
Country | Link |
---|---|
US (14) | US7196782B2 (ja) |
EP (1) | EP1319244A1 (ja) |
JP (3) | JP5789353B2 (ja) |
AU (1) | AU2001295060A1 (ja) |
WO (1) | WO2002025708A2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101922973B1 (ko) | 2017-01-25 | 2018-11-28 | (주)엘립소테크놀러지 | 4-반사경을 적용한 마이크로 스폿 분광 타원계 |
Families Citing this family (639)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
GB9618897D0 (en) | 1996-09-10 | 1996-10-23 | Bio Rad Micromeasurements Ltd | Micro defects in silicon wafers |
US7630086B2 (en) * | 1997-09-22 | 2009-12-08 | Kla-Tencor Corporation | Surface finish roughness measurement |
US7688435B2 (en) * | 1997-09-22 | 2010-03-30 | Kla-Tencor Corporation | Detecting and classifying surface features or defects by controlling the angle of the illumination plane of incidence with respect to the feature or defect |
US7083327B1 (en) * | 1999-04-06 | 2006-08-01 | Thermal Wave Imaging, Inc. | Method and apparatus for detecting kissing unbond defects |
JP2001143982A (ja) * | 1999-06-29 | 2001-05-25 | Applied Materials Inc | 半導体デバイス製造のための統合臨界寸法制御 |
US8531678B2 (en) | 1999-07-09 | 2013-09-10 | Nova Measuring Instruments, Ltd. | Method and system for measuring patterned structures |
IL130874A (en) * | 1999-07-09 | 2002-12-01 | Nova Measuring Instr Ltd | System and method for measuring pattern structures |
WO2001024252A1 (en) * | 1999-09-28 | 2001-04-05 | Matsushita Electric Industrial Co., Ltd. | Electronic device and method of manufacture thereof |
FR2810108B1 (fr) * | 2000-06-09 | 2004-04-02 | France Telecom | Ellipsometre spectroscopique a faible bruit |
US7317531B2 (en) * | 2002-12-05 | 2008-01-08 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US7541201B2 (en) | 2000-08-30 | 2009-06-02 | Kla-Tencor Technologies Corporation | Apparatus and methods for determining overlay of structures having rotational or mirror symmetry |
IL138552A (en) * | 2000-09-19 | 2006-08-01 | Nova Measuring Instr Ltd | Measurement of transverse displacement by optical method |
US7196782B2 (en) * | 2000-09-20 | 2007-03-27 | Kla-Tencor Technologies Corp. | Methods and systems for determining a thin film characteristic and an electrical property of a specimen |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
US7130029B2 (en) * | 2000-09-20 | 2006-10-31 | Kla-Tencor Technologies Corp. | Methods and systems for determining an adhesion characteristic and a thickness of a specimen |
US6625497B2 (en) * | 2000-11-20 | 2003-09-23 | Applied Materials Inc. | Semiconductor processing module with integrated feedback/feed forward metrology |
US6365422B1 (en) * | 2001-01-22 | 2002-04-02 | Advanced Micro Devices, Inc. | Automated variation of stepper exposure dose based upon across wafer variations in device characteristics, and system for accomplishing same |
KR100403862B1 (ko) * | 2001-01-26 | 2003-11-01 | 어플라이드비전텍(주) | 반도체 웨이퍼 검사 장치 및 그 방법 |
US6597446B2 (en) * | 2001-03-22 | 2003-07-22 | Sentec Corporation | Holographic scatterometer for detection and analysis of wafer surface deposits |
US20030002043A1 (en) * | 2001-04-10 | 2003-01-02 | Kla-Tencor Corporation | Periodic patterns and technique to control misalignment |
JP4348412B2 (ja) * | 2001-04-26 | 2009-10-21 | 東京エレクトロン株式会社 | 計測システムクラスター |
US7089075B2 (en) * | 2001-05-04 | 2006-08-08 | Tokyo Electron Limited | Systems and methods for metrology recipe and model generation |
DE10124609B4 (de) * | 2001-05-17 | 2012-12-27 | Aixtron Se | Verfahren zum Abscheiden aktiver Schichten auf Substraten |
JP4518789B2 (ja) * | 2001-06-08 | 2010-08-04 | ユニバーシティ・オブ・メイン | 広帯域変調および統計的推定手法を用いる分光計 |
US7006221B2 (en) * | 2001-07-13 | 2006-02-28 | Rudolph Technologies, Inc. | Metrology system with spectroscopic ellipsometer and photoacoustic measurements |
US6847464B1 (en) * | 2001-08-02 | 2005-01-25 | Zygo Corporation | Measurement of photolithographic features |
US6900888B2 (en) * | 2001-09-13 | 2005-05-31 | Hitachi High-Technologies Corporation | Method and apparatus for inspecting a pattern formed on a substrate |
US6940592B2 (en) * | 2001-10-09 | 2005-09-06 | Applied Materials, Inc. | Calibration as well as measurement on the same workpiece during fabrication |
EP1744348A3 (en) * | 2001-11-02 | 2007-06-20 | Ebara Corporation | A semiconductor manufacturing apparatus having a built-in inspection apparatus and method therefor |
US6856384B1 (en) | 2001-12-13 | 2005-02-15 | Nanometrics Incorporated | Optical metrology system with combined interferometer and ellipsometer |
US7035877B2 (en) * | 2001-12-28 | 2006-04-25 | Kimberly-Clark Worldwide, Inc. | Quality management and intelligent manufacturing with labels and smart tags in event-based product manufacturing |
US7032816B2 (en) | 2001-12-28 | 2006-04-25 | Kimberly-Clark Worldwide, Inc. | Communication between machines and feed-forward control in event-based product manufacturing |
US8799113B2 (en) * | 2001-12-28 | 2014-08-05 | Binforma Group Limited Liability Company | Quality management by validating a bill of materials in event-based product manufacturing |
US20040032581A1 (en) * | 2002-01-15 | 2004-02-19 | Mehrdad Nikoonahad | Systems and methods for inspection of specimen surfaces |
US7236847B2 (en) * | 2002-01-16 | 2007-06-26 | Kla-Tencor Technologies Corp. | Systems and methods for closed loop defect reduction |
US6847443B1 (en) * | 2002-01-17 | 2005-01-25 | Rudolph Technologies, Inc. | System and method for multi-wavelength, narrow-bandwidth detection of surface defects |
US7175503B2 (en) * | 2002-02-04 | 2007-02-13 | Kla-Tencor Technologies Corp. | Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device |
US6721939B2 (en) * | 2002-02-19 | 2004-04-13 | Taiwan Semiconductor Manufacturing Co., Ltd | Electron beam shot linearity monitoring |
US7049586B2 (en) * | 2002-02-21 | 2006-05-23 | Applied Material Israel, Ltd. | Multi beam scanning with bright/dark field imaging |
US7169685B2 (en) * | 2002-02-25 | 2007-01-30 | Micron Technology, Inc. | Wafer back side coating to balance stress from passivation layer on front of wafer and be used as die attach adhesive |
JP4030787B2 (ja) * | 2002-03-04 | 2008-01-09 | 東京エレクトロン株式会社 | 基板加熱方法、基板加熱装置及び塗布、現像装置 |
TWI252516B (en) * | 2002-03-12 | 2006-04-01 | Toshiba Corp | Determination method of process parameter and method for determining at least one of process parameter and design rule |
US6886423B2 (en) * | 2002-03-27 | 2005-05-03 | Rudolph Technologies, Inc. | Scalable, automated metrology system and method of making the system |
US6792328B2 (en) * | 2002-03-29 | 2004-09-14 | Timbre Technologies, Inc. | Metrology diffraction signal adaptation for tool-to-tool matching |
TW531822B (en) * | 2002-03-29 | 2003-05-11 | Taiwan Semiconductor Mfg | Method for controlling inter-field critical dimensions of wafer |
JP4106948B2 (ja) * | 2002-03-29 | 2008-06-25 | 東京エレクトロン株式会社 | 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法 |
US7322250B1 (en) * | 2002-04-09 | 2008-01-29 | Rockwell Automation Technologies, Inc. | System and method for sensing torque on a rotating shaft |
TWI273217B (en) * | 2002-04-17 | 2007-02-11 | Accent Optical Tech Inc | Scatterometric measurement of undercut multi-layer diffracting structures |
KR101010492B1 (ko) * | 2002-04-18 | 2011-01-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 전자기 방사선의 스캐닝에 의한 열 플럭스 프로세싱 |
US20070258085A1 (en) * | 2006-05-02 | 2007-11-08 | Robbins Michael D | Substrate illumination and inspection system |
US6978189B1 (en) * | 2002-05-28 | 2005-12-20 | Advanced Micro Devices, Inc. | Matching data related to multiple metrology tools |
US6775015B2 (en) * | 2002-06-18 | 2004-08-10 | Timbre Technologies, Inc. | Optical metrology of single features |
US6819844B2 (en) * | 2002-06-20 | 2004-11-16 | The Boeing Company | Fiber-optic based surface spectroscopy |
JP4995419B2 (ja) * | 2002-06-28 | 2012-08-08 | 東京エレクトロン株式会社 | 材料プロセスツール及びパフォーマンスデータを用いてプロセスを制御する方法及びシステム |
US7012438B1 (en) | 2002-07-10 | 2006-03-14 | Kla-Tencor Technologies Corp. | Methods and systems for determining a property of an insulating film |
US6826451B2 (en) * | 2002-07-29 | 2004-11-30 | Asml Holding N.V. | Lithography tool having a vacuum reticle library coupled to a vacuum chamber |
SG102718A1 (en) * | 2002-07-29 | 2004-03-26 | Asml Holding Nv | Lithography tool having a vacuum reticle library coupled to a vacuum chamber |
US6908774B2 (en) | 2002-08-12 | 2005-06-21 | S.O. I. Tec Silicon On Insulator Technologies S.A. | Method and apparatus for adjusting the thickness of a thin layer of semiconductor material |
FR2843487B1 (fr) * | 2002-08-12 | 2005-10-14 | Procede d'elaboration de couche mince comprenant une etape de correction d'epaisseur par oxydation sacrificielle, et machine associee | |
US20040087042A1 (en) * | 2002-08-12 | 2004-05-06 | Bruno Ghyselen | Method and apparatus for adjusting the thickness of a layer of semiconductor material |
FR2843486B1 (fr) * | 2002-08-12 | 2005-09-23 | Soitec Silicon On Insulator | Procede d'elaboration de couches minces de semi-conducteur comprenant une etape de finition |
WO2004015759A2 (en) * | 2002-08-12 | 2004-02-19 | S.O.I.Tec Silicon On Insulator Technologies | A method of preparing a thin layer, the method including a step of correcting thickness by sacrificial oxidation, and an associated machine |
DE10239548A1 (de) * | 2002-08-23 | 2004-03-04 | Leica Microsystems Semiconductor Gmbh | Vorrichtung und Verfahren zur Inspektion eines Objekts |
JP2004146782A (ja) * | 2002-08-29 | 2004-05-20 | Advanced Lcd Technologies Development Center Co Ltd | 結晶化状態のin−situモニタリング方法 |
US7139081B2 (en) | 2002-09-09 | 2006-11-21 | Zygo Corporation | Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures |
US7869057B2 (en) | 2002-09-09 | 2011-01-11 | Zygo Corporation | Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis |
US6963393B2 (en) * | 2002-09-23 | 2005-11-08 | Applied Materials, Inc. | Measurement of lateral diffusion of diffused layers |
US20040207836A1 (en) * | 2002-09-27 | 2004-10-21 | Rajeshwar Chhibber | High dynamic range optical inspection system and method |
US6934032B1 (en) * | 2002-09-30 | 2005-08-23 | Advanced Micro Devices, Inc. | Copper oxide monitoring by scatterometry/ellipsometry during nitride or BLOK removal in damascene process |
US6954678B1 (en) * | 2002-09-30 | 2005-10-11 | Advanced Micro Devices, Inc. | Artificial intelligence system for track defect problem solving |
US6724476B1 (en) * | 2002-10-01 | 2004-04-20 | Advanced Micro Devices, Inc. | Low defect metrology approach on clean track using integrated metrology |
US7968354B1 (en) * | 2002-10-04 | 2011-06-28 | Kla-Tencor Technologies Corp. | Methods for correlating backside and frontside defects detected on a specimen and classification of backside defects |
TW564513B (en) * | 2002-10-21 | 2003-12-01 | Au Optronics Corp | Testing apparatus for polysilicon thin film crystal quality, test and control method thereof |
TW577135B (en) * | 2002-10-21 | 2004-02-21 | Au Optronics Corp | Die size control for polysilicon film and the inspection method thereof |
KR100492159B1 (ko) * | 2002-10-30 | 2005-06-02 | 삼성전자주식회사 | 기판 검사 장치 |
US6875622B1 (en) * | 2002-11-01 | 2005-04-05 | Advanced Micro Devices, Inc. | Method and apparatus for determining electromagnetic properties of a process layer using scatterometry measurements |
US7248062B1 (en) * | 2002-11-04 | 2007-07-24 | Kla-Tencor Technologies Corp. | Contactless charge measurement of product wafers and control of corona generation and deposition |
KR100540865B1 (ko) * | 2002-11-06 | 2006-01-11 | 삼성전자주식회사 | 농도 측정방법 및 이를 이용한 반도체 소자의 불순물 농도측정방법 |
JP3878107B2 (ja) * | 2002-11-06 | 2007-02-07 | 株式会社日立ハイテクノロジーズ | 欠陥検査方法及びその装置 |
US7931028B2 (en) | 2003-08-26 | 2011-04-26 | Jay Harvey H | Skin injury or damage prevention method using optical radiation |
US20040090629A1 (en) * | 2002-11-08 | 2004-05-13 | Emmanuel Drege | Diffraction order selection for optical metrology simulation |
US20040164461A1 (en) * | 2002-11-11 | 2004-08-26 | Ahmad Syed Sajid | Programmed material consolidation systems including multiple fabrication sites and associated methods |
WO2004046655A2 (en) * | 2002-11-20 | 2004-06-03 | Mehrdad Nikoohahad | System and method for characterizing three-dimensional structures |
JP4746987B2 (ja) | 2002-12-05 | 2011-08-10 | ケーエルエー−テンカー コーポレイション | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
US7440105B2 (en) * | 2002-12-05 | 2008-10-21 | Kla-Tencor Technologies Corporation | Continuously varying offset mark and methods of determining overlay |
US7112961B2 (en) * | 2002-12-13 | 2006-09-26 | Applied Materials, Inc. | Method and apparatus for dynamically measuring the thickness of an object |
US6895360B2 (en) * | 2002-12-17 | 2005-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination |
US6800852B2 (en) * | 2002-12-27 | 2004-10-05 | Revera Incorporated | Nondestructive characterization of thin films using measured basis spectra |
US7006224B2 (en) | 2002-12-30 | 2006-02-28 | Applied Materials, Israel, Ltd. | Method and system for optical inspection of an object |
JP3867048B2 (ja) * | 2003-01-08 | 2007-01-10 | 株式会社日立ハイテクノロジーズ | モノクロメータ及びそれを用いた走査電子顕微鏡 |
US6950190B2 (en) * | 2003-01-09 | 2005-09-27 | Therma-Wave, Inc. | Scatterometry for junction metrology |
US8564780B2 (en) | 2003-01-16 | 2013-10-22 | Jordan Valley Semiconductors Ltd. | Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces |
US7126131B2 (en) | 2003-01-16 | 2006-10-24 | Metrosol, Inc. | Broad band referencing reflectometer |
US20080246951A1 (en) * | 2007-04-09 | 2008-10-09 | Phillip Walsh | Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces |
US7116429B1 (en) * | 2003-01-18 | 2006-10-03 | Walecki Wojciech J | Determining thickness of slabs of materials by inventors |
JP4652667B2 (ja) * | 2003-02-13 | 2011-03-16 | キヤノン株式会社 | 面位置計測方法及び走査型露光装置 |
EP1601939A2 (en) * | 2003-02-26 | 2005-12-07 | Raymond J. Castonguay | Spherical light-scatter and far-field phase measurement |
KR100538092B1 (ko) * | 2003-02-27 | 2005-12-21 | 삼성전자주식회사 | 불순물 농도의 수직 분포 모니터링 방법 및 장치 |
US7081369B2 (en) * | 2003-02-28 | 2006-07-25 | Intel Corporation | Forming a semiconductor device feature using acquired parameters |
US7106454B2 (en) | 2003-03-06 | 2006-09-12 | Zygo Corporation | Profiling complex surface structures using scanning interferometry |
US7324214B2 (en) | 2003-03-06 | 2008-01-29 | Zygo Corporation | Interferometer and method for measuring characteristics of optically unresolved surface features |
DE10311855B4 (de) * | 2003-03-17 | 2005-04-28 | Infineon Technologies Ag | Anordnung zum Übertragen von Informationen/Strukturen auf Wafer unter Verwendung eines Stempels |
WO2004095113A2 (en) * | 2003-03-26 | 2004-11-04 | Cargill, Incorporated | An automated polarized light microscope combined with a spectroscopy/spectral imaging apparatus |
JP4220287B2 (ja) * | 2003-03-31 | 2009-02-04 | 株式会社東芝 | パターン欠陥検査装置 |
US7916308B2 (en) * | 2003-04-01 | 2011-03-29 | Seagate Technology Llc | Method and optical profiler |
US7352456B2 (en) * | 2003-04-08 | 2008-04-01 | Kla-Tencor Technologies Corp. | Method and apparatus for inspecting a substrate using a plurality of inspection wavelength regimes |
GB0308182D0 (en) * | 2003-04-09 | 2003-05-14 | Aoti Operating Co Inc | Detection method and apparatus |
JP4408025B2 (ja) * | 2003-04-24 | 2010-02-03 | 株式会社リコー | 位置ずれパターン検出装置、光書込み装置、画像形成装置及び複写機 |
US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
TW200506375A (en) * | 2003-05-16 | 2005-02-16 | Tokyo Electron Ltd | Inspection apparatus |
US20060141157A1 (en) * | 2003-05-27 | 2006-06-29 | Masahiko Sekimoto | Plating apparatus and plating method |
TWI283817B (en) * | 2003-05-30 | 2007-07-11 | Tokyo Electron Ltd | Method of operating a process control system and method of operating an advanced process control system |
US7365834B2 (en) * | 2003-06-24 | 2008-04-29 | Kla-Tencor Technologies Corporation | Optical system for detecting anomalies and/or features of surfaces |
JP2005015885A (ja) * | 2003-06-27 | 2005-01-20 | Ebara Corp | 基板処理方法及び装置 |
US6822472B1 (en) | 2003-06-27 | 2004-11-23 | International Business Machines Corporation | Detection of hard mask remaining on a surface of an insulating layer |
US7608468B1 (en) * | 2003-07-02 | 2009-10-27 | Kla-Tencor Technologies, Corp. | Apparatus and methods for determining overlay and uses of same |
US7280200B2 (en) * | 2003-07-18 | 2007-10-09 | Ade Corporation | Detection of a wafer edge using collimated light |
US7106434B1 (en) | 2003-07-28 | 2006-09-12 | Kla-Tencor Technologies, Inc. | Inspection tool |
US7153185B1 (en) * | 2003-08-18 | 2006-12-26 | Applied Materials, Inc. | Substrate edge detection |
US6829056B1 (en) | 2003-08-21 | 2004-12-07 | Michael Barnes | Monitoring dimensions of features at different locations in the processing of substrates |
DE10339227B4 (de) * | 2003-08-26 | 2014-05-28 | Byk Gardner Gmbh | Verfahren und Vorrichtung zur Charakterisierung von Oberflächen |
JP4607517B2 (ja) * | 2003-09-03 | 2011-01-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7430898B1 (en) * | 2003-09-04 | 2008-10-07 | Kla-Tencor Technologies Corp. | Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique |
EP1697729B1 (en) * | 2003-09-04 | 2010-11-10 | KLA-Tencor Corporation | Methods for inspection of a specimen using different inspection parameters |
JP4761431B2 (ja) * | 2003-09-09 | 2011-08-31 | セイコーインスツル株式会社 | 半導体装置の製造方法 |
JP4880889B2 (ja) * | 2003-09-09 | 2012-02-22 | セイコーインスツル株式会社 | 半導体装置の製造方法 |
JP4880888B2 (ja) * | 2003-09-09 | 2012-02-22 | セイコーインスツル株式会社 | 半導体装置の製造方法 |
EP1664931B1 (en) | 2003-09-15 | 2009-01-21 | Zygo Corporation | Surface triangulation and profiling |
CN100465788C (zh) * | 2003-10-27 | 2009-03-04 | 三星电子株式会社 | 光刻装置 |
US7304310B1 (en) * | 2003-11-21 | 2007-12-04 | Kla-Tencor Technologies Corp. | Methods and systems for inspecting a specimen using light scattered in different wavelength ranges |
EP1754178A4 (en) | 2004-01-07 | 2009-07-29 | Identification International I | FINGERPRINT RECORDING SYSTEMS WITH LOW POWER CONSUMPTION, DEVICE AND METHOD |
US20050157308A1 (en) * | 2004-01-15 | 2005-07-21 | Andrei Brunfeld | Apparatus and method for measuring thickness variation of wax film |
KR100568254B1 (ko) * | 2004-02-06 | 2006-04-07 | 삼성전자주식회사 | 문턱 전압 조절이 가능한 전자 소자의 제조 방법과 이에사용되는 이온 주입기 조절기 및 이온 주입 시스템 |
US7019835B2 (en) * | 2004-02-19 | 2006-03-28 | Molecular Imprints, Inc. | Method and system to measure characteristics of a film disposed on a substrate |
US7415317B2 (en) * | 2004-02-25 | 2008-08-19 | Micron Technology, Inc. | Method and system for correlating and combining production and non-production data for analysis |
US7395130B2 (en) * | 2004-02-27 | 2008-07-01 | Micron Technology, Inc. | Method and system for aggregating and combining manufacturing data for analysis |
US7523076B2 (en) * | 2004-03-01 | 2009-04-21 | Tokyo Electron Limited | Selecting a profile model for use in optical metrology using a machine learning system |
US7078712B2 (en) * | 2004-03-18 | 2006-07-18 | Axcelis Technologies, Inc. | In-situ monitoring on an ion implanter |
DE112005000660T5 (de) * | 2004-03-22 | 2007-02-08 | Kla-Tencor Technologies Corp., Milpitas | Methoden und Systeme zum Messen einer Eigenschaften eines Substrats oder zur Vorbereitung eines Substrats zur Analyse |
US7388677B2 (en) * | 2004-03-22 | 2008-06-17 | Timbre Technologies, Inc. | Optical metrology optimization for repetitive structures |
US20050211896A1 (en) * | 2004-03-23 | 2005-09-29 | International Business Machines Corporation | Pt coating initiated by indirect electron beam for resist contact hole metrology |
TWI249615B (en) * | 2004-03-26 | 2006-02-21 | Optimax Tech Corp | Method and device for testing polarization sheet |
DE102004015326A1 (de) * | 2004-03-30 | 2005-10-20 | Leica Microsystems | Vorrichtung und Verfahren zur Inspektion eines Halbleiterbauteils |
US20050220984A1 (en) * | 2004-04-02 | 2005-10-06 | Applied Materials Inc., A Delaware Corporation | Method and system for control of processing conditions in plasma processing systems |
TW200540939A (en) * | 2004-04-22 | 2005-12-16 | Olympus Corp | Defect inspection device and substrate manufacturing system using the same |
US7076320B1 (en) * | 2004-05-04 | 2006-07-11 | Advanced Micro Devices, Inc. | Scatterometry monitor in cluster process tool environment for advanced process control (APC) |
US7301149B2 (en) * | 2004-05-06 | 2007-11-27 | The Board Of Trustees Of The University Of Illinois | Apparatus and method for determining a thickness of a deposited material |
JP4357355B2 (ja) * | 2004-05-07 | 2009-11-04 | 株式会社日立ハイテクノロジーズ | パターン検査方法及びその装置 |
US7254290B1 (en) * | 2004-05-10 | 2007-08-07 | Lockheed Martin Corporation | Enhanced waveguide metrology gauge collimator |
JP4842927B2 (ja) * | 2004-05-10 | 2011-12-21 | パナソニック株式会社 | 超高速レーザパターニングに用いる複合シート材料選択方法 |
JP4714427B2 (ja) * | 2004-05-14 | 2011-06-29 | 株式会社荏原製作所 | 基板上に形成された薄膜の研磨方法 |
US7067819B2 (en) * | 2004-05-14 | 2006-06-27 | Kla-Tencor Technologies Corp. | Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light |
ATE518122T1 (de) * | 2004-05-14 | 2011-08-15 | Kla Tencor Tech Corp | Systemn zum messen oder analysieren von proben mit vuv-licht |
US7349079B2 (en) * | 2004-05-14 | 2008-03-25 | Kla-Tencor Technologies Corp. | Methods for measurement or analysis of a nitrogen concentration of a specimen |
US7359052B2 (en) * | 2004-05-14 | 2008-04-15 | Kla-Tencor Technologies Corp. | Systems and methods for measurement of a specimen with vacuum ultraviolet light |
US7564552B2 (en) * | 2004-05-14 | 2009-07-21 | Kla-Tencor Technologies Corp. | Systems and methods for measurement of a specimen with vacuum ultraviolet light |
TW200604695A (en) * | 2004-05-18 | 2006-02-01 | Zygo Corp | Methods and systems for determining optical properties using low-coherence interference signals |
KR100541820B1 (ko) * | 2004-05-28 | 2006-01-11 | 삼성전자주식회사 | 반도체 소자 제조를 위한 파티클 검출장치 |
IL162290A (en) * | 2004-06-01 | 2013-06-27 | Nova Measuring Instr Ltd | Optical measurement system |
US7397596B2 (en) * | 2004-07-28 | 2008-07-08 | Ler Technologies, Inc. | Surface and subsurface detection sensor |
US7327448B2 (en) * | 2004-07-29 | 2008-02-05 | Optech Ventures Llc | Laser-ultrasonic detection of flip chip attachment defects |
US7239389B2 (en) * | 2004-07-29 | 2007-07-03 | Applied Materials, Israel, Ltd. | Determination of irradiation parameters for inspection of a surface |
KR100568703B1 (ko) * | 2004-08-10 | 2006-04-07 | 삼성전자주식회사 | 금속막의 두께 측정 방법 및 이를 수행하기 위한 장치 |
US7663097B2 (en) * | 2004-08-11 | 2010-02-16 | Metrosol, Inc. | Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement |
US7399975B2 (en) * | 2004-08-11 | 2008-07-15 | Metrosol, Inc. | Method and apparatus for performing highly accurate thin film measurements |
US7282703B2 (en) * | 2004-08-11 | 2007-10-16 | Metrosol, Inc. | Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement |
US7511265B2 (en) * | 2004-08-11 | 2009-03-31 | Metrosol, Inc. | Method and apparatus for accurate calibration of a reflectometer by using a relative reflectance measurement |
US7804059B2 (en) | 2004-08-11 | 2010-09-28 | Jordan Valley Semiconductors Ltd. | Method and apparatus for accurate calibration of VUV reflectometer |
US7052921B1 (en) * | 2004-09-03 | 2006-05-30 | Advanced Micro Devices, Inc. | System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process |
JP2006093634A (ja) * | 2004-09-27 | 2006-04-06 | Advanced Lcd Technologies Development Center Co Ltd | 半導体装置、レーザ光の光強度分布測定方法レーザアニール装置および結晶化方法 |
US20060077403A1 (en) * | 2004-10-13 | 2006-04-13 | Zaidi Shoaib H | Optical system and method for measuring small dimensions |
JP4537834B2 (ja) * | 2004-11-16 | 2010-09-08 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US8084260B2 (en) * | 2004-11-24 | 2011-12-27 | Applied Biosystems, Llc | Spectral calibration method and system for multiple instruments |
US20060114478A1 (en) * | 2004-11-26 | 2006-06-01 | Applied Materials, Inc. | Evaluating effects of tilt angle in ion implantation |
US7280229B2 (en) * | 2004-12-03 | 2007-10-09 | Timbre Technologies, Inc. | Examining a structure formed on a semiconductor wafer using machine learning systems |
US20070091325A1 (en) * | 2005-01-07 | 2007-04-26 | Mehrdad Nikoonahad | Multi-channel optical metrology |
KR101006422B1 (ko) | 2005-01-20 | 2011-01-06 | 지고 코포레이션 | 객체 표면의 특성을 결정하기 위한 간섭계 |
US7884947B2 (en) | 2005-01-20 | 2011-02-08 | Zygo Corporation | Interferometry for determining characteristics of an object surface, with spatially coherent illumination |
US7593100B2 (en) * | 2005-01-24 | 2009-09-22 | Nikon Corporation | Measuring method, measuring system, inspecting method, inspecting system, exposure method and exposure system, in which information as to the degree of the flatness of an object is pre-obtained |
US20060164649A1 (en) * | 2005-01-24 | 2006-07-27 | Eliezer Rosengaus | Multi-spectral techniques for defocus detection |
JP5278719B2 (ja) | 2005-01-24 | 2013-09-04 | 株式会社ニコン | 計測方法及び露光方法 |
US7478019B2 (en) * | 2005-01-26 | 2009-01-13 | Kla-Tencor Corporation | Multiple tool and structure analysis |
US6955931B1 (en) * | 2005-02-10 | 2005-10-18 | Advanced Micro Devices, Inc. | Method for detecting silicide encroachment of a gate electrode in a semiconductor arrangement |
US7408641B1 (en) * | 2005-02-14 | 2008-08-05 | Kla-Tencor Technologies Corp. | Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system |
US7179665B1 (en) | 2005-02-17 | 2007-02-20 | Midwest Research Institute | Optical method for determining the doping depth profile in silicon |
US20060186406A1 (en) * | 2005-02-18 | 2006-08-24 | Texas Instruments Inc. | Method and system for qualifying a semiconductor etch process |
US20060187466A1 (en) * | 2005-02-18 | 2006-08-24 | Timbre Technologies, Inc. | Selecting unit cell configuration for repeating structures in optical metrology |
US20060196527A1 (en) * | 2005-02-23 | 2006-09-07 | Tokyo Electron Limited | Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods |
US8547522B2 (en) * | 2005-03-03 | 2013-10-01 | Asml Netherlands B.V. | Dedicated metrology stage for lithography applications |
US20060219947A1 (en) * | 2005-03-03 | 2006-10-05 | Asml Netherlands B.V. | Dedicated metrology stage for lithography applications |
US7918293B1 (en) | 2005-03-09 | 2011-04-05 | Us Synthetic Corporation | Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume |
US7148073B1 (en) | 2005-03-15 | 2006-12-12 | Kla-Tencor Technologies Corp. | Methods and systems for preparing a copper containing substrate for analysis |
JP4672010B2 (ja) * | 2005-03-29 | 2011-04-20 | 株式会社日立国際電気 | 半導体製造装置、半導体装置の製造方法および基板の判別方法 |
US7421414B2 (en) * | 2005-03-31 | 2008-09-02 | Timbre Technologies, Inc. | Split machine learning systems |
US7351980B2 (en) * | 2005-03-31 | 2008-04-01 | Kla-Tencor Technologies Corp. | All-reflective optical systems for broadband wafer inspection |
US7115866B1 (en) * | 2005-04-28 | 2006-10-03 | Kla-Tencor Technologies, Inc. | Site stepping for electron beam micro analysis |
US7420163B2 (en) * | 2005-04-29 | 2008-09-02 | Revera Incorporated | Determining layer thickness using photoelectron spectroscopy |
US7161669B2 (en) | 2005-05-06 | 2007-01-09 | Kla- Tencor Technologies Corporation | Wafer edge inspection |
JP5059297B2 (ja) * | 2005-05-09 | 2012-10-24 | 株式会社日立ハイテクノロジーズ | 電子線式観察装置 |
US7315384B2 (en) * | 2005-05-10 | 2008-01-01 | Asml Netherlands B.V. | Inspection apparatus and method of inspection |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
DE102005025291B4 (de) * | 2005-06-02 | 2019-07-25 | Byk-Gardner Gmbh | Verfahren und Vorrichtung zur Bestimmung von Oberflächeneigenschaften |
US7358199B2 (en) * | 2005-06-09 | 2008-04-15 | United Microelectronics Corp. | Method of fabricating semiconductor integrated circuits |
US7279657B2 (en) * | 2005-06-13 | 2007-10-09 | Applied Materials, Inc. | Scanned rapid thermal processing with feed forward control |
US7433034B1 (en) | 2005-06-17 | 2008-10-07 | Nanometrics Incorporated | Darkfield defect inspection with spectral contents |
US20070009010A1 (en) * | 2005-06-23 | 2007-01-11 | Koji Shio | Wafer temperature measuring method and apparatus |
US20070000434A1 (en) * | 2005-06-30 | 2007-01-04 | Accent Optical Technologies, Inc. | Apparatuses and methods for detecting defects in semiconductor workpieces |
TWI439684B (zh) * | 2005-07-06 | 2014-06-01 | Nanometrics Inc | 具自晶圓或其他工件特定材料層所發射光致發光信號優先偵測之光致發光成像 |
TWI391645B (zh) * | 2005-07-06 | 2013-04-01 | Nanometrics Inc | 晶圓或其他工作表面下污染物及缺陷非接觸測量之差分波長光致發光 |
US20070008526A1 (en) * | 2005-07-08 | 2007-01-11 | Andrzej Buczkowski | Apparatus and method for non-contact assessment of a constituent in semiconductor workpieces |
US7433056B1 (en) * | 2005-07-15 | 2008-10-07 | Kla-Tencor Technologies Corporation | Scatterometry metrology using inelastic scattering |
JP2007027478A (ja) * | 2005-07-19 | 2007-02-01 | Sharp Corp | エッチング方法およびエッチング装置 |
US7394067B1 (en) | 2005-07-20 | 2008-07-01 | Kla-Tencor Technologies Corp. | Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems |
DE102005038034B3 (de) * | 2005-08-09 | 2007-05-10 | Leica Microsystems Semiconductor Gmbh | Vorrichtung und Verfahren zur Inspektion der Oberfläche eines Wafers |
US7763845B2 (en) * | 2005-08-15 | 2010-07-27 | Baker Hughes Incorporated | Downhole navigation and detection system |
US8039792B2 (en) * | 2005-08-15 | 2011-10-18 | Baker Hughes Incorporated | Wide band gap semiconductor photodetector based gamma ray detectors for well logging applications |
WO2007022538A2 (en) * | 2005-08-19 | 2007-02-22 | Kla-Tencor Technologies Corporation | Test pads for measuring properties of a wafer |
US7410815B2 (en) * | 2005-08-25 | 2008-08-12 | Nanometrics Incorporated | Apparatus and method for non-contact assessment of a constituent in semiconductor substrates |
US7538371B2 (en) * | 2005-09-01 | 2009-05-26 | United Microelectronics Corp. | CMOS image sensor integrated with 1-T SRAM and fabrication method thereof |
DE602005012163D1 (de) * | 2005-09-09 | 2009-02-12 | Sacmi | Verfahren und vorrichtung zur optischen inspektion eines gegenstands |
US20070059849A1 (en) * | 2005-09-12 | 2007-03-15 | Interuniversitair Microelktronica Centrum (Imec) | Method and system for BARC optimization for high numerical aperture applications |
US7781349B2 (en) * | 2005-09-12 | 2010-08-24 | Imec | Method and system for optimizing a BARC stack |
US7289200B1 (en) | 2005-10-04 | 2007-10-30 | Itt Manufacturing Enterprises, Inc. | Confocal reflectommeter/ellipsometer to inspect low-temperature fusion seals |
TWI289091B (en) * | 2005-10-06 | 2007-11-01 | Ind Tech Res Inst | Apparatus for endpoint detection during polishing |
US7636168B2 (en) | 2005-10-11 | 2009-12-22 | Zygo Corporation | Interferometry method and system including spectral decomposition |
US7864919B1 (en) | 2005-10-18 | 2011-01-04 | Smith International, Inc. | Nondestructive method of measuring a region within an ultra-hard polycrystalline construction |
US7397553B1 (en) | 2005-10-24 | 2008-07-08 | Kla-Tencor Technologies Corporation | Surface scanning |
US7277819B2 (en) * | 2005-10-31 | 2007-10-02 | Eastman Kodak Company | Measuring layer thickness or composition changes |
EP2278269B1 (en) * | 2005-11-07 | 2016-07-27 | Cardinal CG Company | Method for identifying photocatalytic coatings |
US7371590B2 (en) * | 2005-11-21 | 2008-05-13 | General Electric Company | Integrated inspection system and defect correction method |
US20070114693A1 (en) * | 2005-11-21 | 2007-05-24 | Buckley Paul W | Methods for improving mold quality for use in the manufacture of liquid crystal display components |
US20070115464A1 (en) * | 2005-11-21 | 2007-05-24 | Harding Kevin G | System and method for inspection of films |
US20070116350A1 (en) * | 2005-11-21 | 2007-05-24 | Cheverton Mark A | Method for detecting the alignment of films for automated defect detection |
US20070122920A1 (en) * | 2005-11-29 | 2007-05-31 | Bornstein William B | Method for improved control of critical dimensions of etched structures on semiconductor wafers |
JP4762702B2 (ja) * | 2005-12-08 | 2011-08-31 | 富士フイルム株式会社 | メッキ厚モニタ装置およびメッキ停止装置 |
US7522263B2 (en) * | 2005-12-27 | 2009-04-21 | Asml Netherlands B.V. | Lithographic apparatus and method |
KR100650888B1 (ko) * | 2005-12-29 | 2006-11-28 | 동부일렉트로닉스 주식회사 | 구리 금속 배선의 에지 비드 제거 공정 모니터링 방법 |
KR100735613B1 (ko) * | 2006-01-11 | 2007-07-04 | 삼성전자주식회사 | 이온주입설비의 디스크 어셈블리 |
US20070164205A1 (en) * | 2006-01-17 | 2007-07-19 | Truche Jean L | Method and apparatus for mass spectrometer diagnostics |
US8148900B1 (en) | 2006-01-17 | 2012-04-03 | Kla-Tencor Technologies Corp. | Methods and systems for providing illumination of a specimen for inspection |
US20070176119A1 (en) * | 2006-01-30 | 2007-08-02 | Accent Optical Technologies, Inc. | Apparatuses and methods for analyzing semiconductor workpieces |
JP2009525571A (ja) * | 2006-02-03 | 2009-07-09 | カール・ツァイス・エヌティーエス・ゲーエムベーハー | 粒子光学式走査顕微鏡のための焦点合わせおよび位置決め補助装置 |
SG170805A1 (en) | 2006-02-09 | 2011-05-30 | Kla Tencor Tech Corp | Methods and systems for determining a characteristic of a wafer |
JP2007240432A (ja) * | 2006-03-10 | 2007-09-20 | Omron Corp | 欠陥検査装置および欠陥検査方法 |
US9068917B1 (en) * | 2006-03-14 | 2015-06-30 | Kla-Tencor Technologies Corp. | Systems and methods for inspection of a specimen |
JP5185506B2 (ja) * | 2006-03-23 | 2013-04-17 | 株式会社日立ハイテクノロジーズ | 荷電粒子線パターン測定装置 |
US7324193B2 (en) * | 2006-03-30 | 2008-01-29 | Tokyo Electron Limited | Measuring a damaged structure formed on a wafer using optical metrology |
US7576851B2 (en) * | 2006-03-30 | 2009-08-18 | Tokyo Electron Limited | Creating a library for measuring a damaged structure formed on a wafer using optical metrology |
US7623978B2 (en) * | 2006-03-30 | 2009-11-24 | Tokyo Electron Limited | Damage assessment of a wafer using optical metrology |
US7619731B2 (en) * | 2006-03-30 | 2009-11-17 | Tokyo Electron Limited | Measuring a damaged structure formed on a wafer using optical metrology |
US7436505B2 (en) * | 2006-04-04 | 2008-10-14 | Kla-Tencor Technologies Corp. | Computer-implemented methods and systems for determining a configuration for a light scattering inspection system |
US7999949B2 (en) * | 2006-04-24 | 2011-08-16 | Raintree Scientific Instruments (Shanghai) Corporation | Spectroscopic ellipsometers |
US20090116727A1 (en) * | 2006-05-02 | 2009-05-07 | Accretech Usa, Inc. | Apparatus and Method for Wafer Edge Defects Detection |
US7508504B2 (en) * | 2006-05-02 | 2009-03-24 | Accretech Usa, Inc. | Automatic wafer edge inspection and review system |
US20090122304A1 (en) * | 2006-05-02 | 2009-05-14 | Accretech Usa, Inc. | Apparatus and Method for Wafer Edge Exclusion Measurement |
US7616734B1 (en) * | 2006-05-09 | 2009-11-10 | Smith International, Inc. | Multi-step method of nondestructively measuring a region within an ultra-hard polycrystalline construction |
US7558369B1 (en) | 2006-05-09 | 2009-07-07 | Smith International, Inc. | Nondestructive method of measuring a region within an ultra-hard polycrystalline construction |
US7567344B2 (en) * | 2006-05-12 | 2009-07-28 | Corning Incorporated | Apparatus and method for characterizing defects in a transparent substrate |
WO2007137261A2 (en) * | 2006-05-22 | 2007-11-29 | Kla-Tencor Technologies Corporation | Methods and systems for detecting pinholes in a film formed on a wafer or for monitoring a thermal process tool |
US7469164B2 (en) * | 2006-06-26 | 2008-12-23 | Nanometrics Incorporated | Method and apparatus for process control with in-die metrology |
US8280649B2 (en) * | 2006-06-27 | 2012-10-02 | Nec Corporation | Board or electronic component warp analyzing method, board or electronic component warp analyzing system and board or electronic component warp analyzing program |
US7705331B1 (en) | 2006-06-29 | 2010-04-27 | Kla-Tencor Technologies Corp. | Methods and systems for providing illumination of a specimen for a process performed on the specimen |
US7525673B2 (en) * | 2006-07-10 | 2009-04-28 | Tokyo Electron Limited | Optimizing selected variables of an optical metrology system |
US7526354B2 (en) | 2006-07-10 | 2009-04-28 | Tokyo Electron Limited | Managing and using metrology data for process and equipment control |
US20080013107A1 (en) * | 2006-07-11 | 2008-01-17 | Tokyo Electron Limited | Generating a profile model to characterize a structure to be examined using optical metrology |
US7522288B2 (en) | 2006-07-21 | 2009-04-21 | Zygo Corporation | Compensation of systematic effects in low coherence interferometry |
JP4307470B2 (ja) * | 2006-08-08 | 2009-08-05 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置、試料加工方法及び半導体検査装置 |
US7935942B2 (en) * | 2006-08-15 | 2011-05-03 | Varian Semiconductor Equipment Associates, Inc. | Technique for low-temperature ion implantation |
US7667835B2 (en) * | 2006-08-28 | 2010-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for preventing copper peeling in ECP |
US7856939B2 (en) | 2006-08-28 | 2010-12-28 | Transitions Optical, Inc. | Recirculation spin coater with optical controls |
US20080055597A1 (en) * | 2006-08-29 | 2008-03-06 | Jie-Wei Sun | Method for characterizing line width roughness (lwr) of printed features |
WO2008039758A2 (en) * | 2006-09-25 | 2008-04-03 | Cambridge Research & Instrumentation, Inc. | Sample imaging and classification |
JP4981410B2 (ja) * | 2006-10-31 | 2012-07-18 | 株式会社日立ハイテクノロジーズ | 走査型電子顕微鏡、走査型電子顕微鏡を用いたパターンの複合検査方法、および走査型電子顕微鏡の制御装置 |
US7417750B2 (en) * | 2006-11-07 | 2008-08-26 | Tokyo Electron Limited | Consecutive measurement of structures formed on a semiconductor wafer using an angle-resolved spectroscopic scatterometer |
US8184288B2 (en) * | 2006-11-29 | 2012-05-22 | Macronix International Co., Ltd. | Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine |
US8520194B2 (en) * | 2006-11-29 | 2013-08-27 | Macronix International Co., Ltd. | Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process |
US20080129986A1 (en) | 2006-11-30 | 2008-06-05 | Phillip Walsh | Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations |
WO2008070635A2 (en) * | 2006-12-01 | 2008-06-12 | Coherix, Inc. | Method and system for determining a critical dimension of an object |
US7728969B2 (en) * | 2006-12-05 | 2010-06-01 | Kla-Tencor Technologies Corp. | Methods and systems for identifying defect types on a wafer |
US7904845B2 (en) * | 2006-12-06 | 2011-03-08 | Kla-Tencor Corp. | Determining locations on a wafer to be reviewed during defect review |
US20080135774A1 (en) * | 2006-12-08 | 2008-06-12 | Asml Netherlands B.V. | Scatterometer, a lithographic apparatus and a focus analysis method |
US8034718B2 (en) * | 2006-12-12 | 2011-10-11 | International Business Machines Corporation | Method to recover patterned semiconductor wafers for rework |
US7700488B2 (en) * | 2007-01-16 | 2010-04-20 | International Business Machines Corporation | Recycling of ion implantation monitor wafers |
JP5502491B2 (ja) | 2006-12-22 | 2014-05-28 | ザイゴ コーポレーション | 表面特徴の特性測定のための装置および方法 |
JP2008171911A (ja) * | 2007-01-10 | 2008-07-24 | Tokyo Electron Ltd | ラフネス評価方法及びシステム |
US7554654B2 (en) * | 2007-01-26 | 2009-06-30 | Kla-Tencor Corporation | Surface characteristic analysis |
US7889355B2 (en) | 2007-01-31 | 2011-02-15 | Zygo Corporation | Interferometry for lateral metrology |
TWI429002B (zh) * | 2007-02-23 | 2014-03-01 | Rudolph Technologies Inc | 包含邊緣球狀物移除處理的晶圓製造監視系統與方法 |
US7764387B2 (en) * | 2007-03-02 | 2010-07-27 | Applied Kinetics, Inc. | Apparatus and method for measuring suspension and head assemblies in a stack |
US7724375B1 (en) * | 2007-03-15 | 2010-05-25 | Kla-Tencor Corporation | Method and apparatus for increasing metrology or inspection tool throughput |
US7639351B2 (en) * | 2007-03-20 | 2009-12-29 | Tokyo Electron Limited | Automated process control using optical metrology with a photonic nanojet |
US7567353B2 (en) * | 2007-03-28 | 2009-07-28 | Tokyo Electron Limited | Automated process control using optical metrology and photoresist parameters |
US7949618B2 (en) * | 2007-03-28 | 2011-05-24 | Tokyo Electron Limited | Training a machine learning system to determine photoresist parameters |
US7772016B2 (en) * | 2007-04-04 | 2010-08-10 | International Business Machines Corporation | Method for composition control of a metal compound film |
US7812936B2 (en) * | 2007-04-09 | 2010-10-12 | Identification International, Inc. | Fingerprint imaging system |
US7511835B2 (en) * | 2007-04-12 | 2009-03-31 | Tokyo Electron Limited | Optical metrology using a support vector machine with simulated diffraction signal inputs |
US7372583B1 (en) * | 2007-04-12 | 2008-05-13 | Tokyo Electron Limited | Controlling a fabrication tool using support vector machine |
US7483809B2 (en) * | 2007-04-12 | 2009-01-27 | Tokyo Electron Limited | Optical metrology using support vector machine with profile parameter inputs |
US7671978B2 (en) | 2007-04-24 | 2010-03-02 | Xyratex Technology Limited | Scatterometer-interferometer and method for detecting and distinguishing characteristics of surface artifacts |
DE102007020624A1 (de) * | 2007-04-30 | 2008-11-06 | Surface Systems + Technology Gmbh + Co. Kg | Vorrichtung zur Bestimmung einer mechanischen Eigenschaft einer zu untersuchenden Probe |
US7920676B2 (en) * | 2007-05-04 | 2011-04-05 | Xradia, Inc. | CD-GISAXS system and method |
US20110073982A1 (en) * | 2007-05-25 | 2011-03-31 | Armstrong J Joseph | Inspection system using back side illuminated linear sensor |
US20080318345A1 (en) * | 2007-06-22 | 2008-12-25 | Persing Harold M | Plasma ion implantation process control using reflectometry |
US8213021B2 (en) * | 2007-06-29 | 2012-07-03 | Veeco Metrology, Inc. | Interferometric measurement of non-homogeneous multi-material surfaces |
US7683299B2 (en) * | 2007-07-09 | 2010-03-23 | Bio-Rad Laboratories, Inc. | Extended dynamic range system design using a photomultiplier tube and solid state detector |
US7635843B1 (en) * | 2007-07-13 | 2009-12-22 | Xilinx, Inc. | In-line reliability test using E-beam scan |
US7619746B2 (en) | 2007-07-19 | 2009-11-17 | Zygo Corporation | Generating model signals for interferometry |
US8611639B2 (en) * | 2007-07-30 | 2013-12-17 | Kla-Tencor Technologies Corp | Semiconductor device property extraction, generation, visualization, and monitoring methods |
DE102007035833B3 (de) * | 2007-07-31 | 2009-03-12 | Advanced Micro Devices, Inc., Sunnyvale | Fortgeschrittene automatische Abscheideprofilzielsteuerung und Kontrolle durch Anwendung von fortgeschrittener Polierendpunktsystemrückkopplung |
US7915570B2 (en) | 2007-08-03 | 2011-03-29 | National Instruments Corporation | Smart camera with an integrated lighting controller |
DE102007036811B3 (de) * | 2007-08-03 | 2008-12-18 | Vistec Semiconductor Systems Gmbh | Vorrichtung und Verfahren zum Erfassen der gesamten Oberfläche eines Wafers |
JP2009065146A (ja) * | 2007-08-15 | 2009-03-26 | Sony Corp | 半導体薄膜の形成方法および半導体薄膜の検査装置 |
WO2009024978A2 (en) * | 2007-08-21 | 2009-02-26 | Camtek Ltd. | Method and system for low cost inspection |
US7567885B2 (en) * | 2007-08-23 | 2009-07-28 | Sokudo Co., Ltd. | Method and system for determining object height |
JP4950813B2 (ja) * | 2007-08-30 | 2012-06-13 | 大日本スクリーン製造株式会社 | 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法 |
US7782452B2 (en) | 2007-08-31 | 2010-08-24 | Kla-Tencor Technologies Corp. | Systems and method for simultaneously inspecting a specimen with two distinct channels |
US8337278B2 (en) * | 2007-09-24 | 2012-12-25 | Applied Materials, Inc. | Wafer edge characterization by successive radius measurements |
US8072611B2 (en) | 2007-10-12 | 2011-12-06 | Zygo Corporation | Interferometric analysis of under-resolved features |
JP5102580B2 (ja) * | 2007-10-18 | 2012-12-19 | 株式会社日立ハイテクノロジーズ | 荷電粒子線応用装置 |
JP5222954B2 (ja) | 2007-11-13 | 2013-06-26 | ザイゴ コーポレーション | 偏光スキャンを利用した干渉計 |
US8264693B2 (en) | 2007-12-06 | 2012-09-11 | The Regents Of The University Of Michigan | Method and system for measuring at least one property including a magnetic property of a material using pulsed laser sources |
US8126677B2 (en) | 2007-12-14 | 2012-02-28 | Zygo Corporation | Analyzing surface structure using scanning interferometry |
US7678588B2 (en) * | 2008-01-22 | 2010-03-16 | United Microelectronics Corp. | Method for constructing module for optical critical dimension (OCD) and measuring method of module for optical critical dimension using the module |
US7732303B2 (en) | 2008-01-31 | 2010-06-08 | International Business Machines Corporation | Method for recycling of ion implantation monitor wafers |
FR2927175B1 (fr) * | 2008-02-05 | 2011-02-18 | Altatech Semiconductor | Dispositif d'inspection de plaquettes semi-conductrices |
US20090219537A1 (en) | 2008-02-28 | 2009-09-03 | Phillip Walsh | Method and apparatus for using multiple relative reflectance measurements to determine properties of a sample using vacuum ultra violet wavelengths |
US8134698B1 (en) | 2008-03-14 | 2012-03-13 | Kla-Tencor Corporation | Dynamic range extension in surface inspection systems |
US8097474B2 (en) * | 2008-03-24 | 2012-01-17 | International Business Machines Corporation | Integrated circuit chip design flow methodology including insertion of on-chip or scribe line wireless process monitoring and feedback circuitry |
US8239811B2 (en) * | 2008-03-24 | 2012-08-07 | International Business Machines Corporation | System and method for wireless and dynamic intra-process measurement of integrated circuit parameters |
DE102008001812B4 (de) * | 2008-05-15 | 2013-05-29 | Carl Zeiss Microscopy Gmbh | Positioniereinrichtung für ein Teilchenstrahlgerät |
US7912658B2 (en) * | 2008-05-28 | 2011-03-22 | Kla-Tencor Corp. | Systems and methods for determining two or more characteristics of a wafer |
US8094926B2 (en) * | 2008-06-06 | 2012-01-10 | Kabushiki Kaisha Toshiba | Ultrafine pattern discrimination using transmitted/reflected workpiece images for use in lithography inspection system |
US9710903B2 (en) | 2008-06-11 | 2017-07-18 | Kla-Tencor Corp. | System and method for detecting design and process defects on a wafer using process monitoring features |
KR101647010B1 (ko) * | 2008-06-19 | 2016-08-10 | 케이엘에이-텐코어 코오포레이션 | 웨이퍼의 하나 이상의 특성들을 결정하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들 |
KR101443058B1 (ko) * | 2008-06-25 | 2014-09-24 | 삼성전자주식회사 | 막질 디멘젼 분석에서의 반도체 제조설비 및 그의 제조방법 |
JP2010027743A (ja) * | 2008-07-16 | 2010-02-04 | Ebara Corp | インプリント用ガラス基板、レジストパターン形成方法、インプリント用ガラス基板の検査方法及び検査装置 |
JP5352144B2 (ja) * | 2008-07-22 | 2013-11-27 | 株式会社荏原製作所 | 荷電粒子ビーム検査方法及び装置 |
US8269960B2 (en) * | 2008-07-24 | 2012-09-18 | Kla-Tencor Corp. | Computer-implemented methods for inspecting and/or classifying a wafer |
US20100041220A1 (en) * | 2008-08-12 | 2010-02-18 | Advanced Micro Devices, Inc. | Methods for uniformly optically annealing regions of a semiconductor substrate |
CN101666626B (zh) * | 2008-09-03 | 2012-02-29 | 睿励科学仪器(上海)有限公司 | 一种椭偏测量的方法及其装置 |
US8495919B1 (en) | 2008-09-19 | 2013-07-30 | Fort Wayne Metals Research Products Corporation | Test apparatus and method for determining at least one characteristic of a plurality of test specimens |
JP5233012B2 (ja) * | 2008-10-03 | 2013-07-10 | 日新イオン機器株式会社 | イオン注入装置 |
US7972552B1 (en) * | 2008-11-12 | 2011-07-05 | Hrl Laboratories, Llc | Method to locate and eliminate manufacturing defects in a quartz resonator gyro |
JP5289006B2 (ja) * | 2008-11-19 | 2013-09-11 | 株式会社東芝 | パターン形成方法およびプログラム |
US8004688B2 (en) | 2008-11-26 | 2011-08-23 | Zygo Corporation | Scan error correction in low coherence scanning interferometry |
JP5492405B2 (ja) * | 2008-12-02 | 2014-05-14 | 株式会社日立ハイテクノロジーズ | 荷電粒子線装置 |
DE102008044375A1 (de) * | 2008-12-05 | 2010-06-10 | Robert Bosch Gmbh | Optisches Messgerät |
US8060330B2 (en) * | 2008-12-12 | 2011-11-15 | Lam Research Corporation | Method and system for centering wafer on chuck |
US8983787B1 (en) * | 2008-12-12 | 2015-03-17 | Martin M. Liphardt | Method of evaluating data quality |
US8600703B1 (en) * | 2008-12-12 | 2013-12-03 | J.A. Woollam Co., Inc | Method of evaluating data quality |
DE112009003724B4 (de) * | 2008-12-16 | 2017-07-13 | Hitachi High-Technologies Corporation | Verwendung eines Elektronenstrahlgeräts |
US8809779B2 (en) * | 2008-12-19 | 2014-08-19 | Hermes Microvision, Inc. | Method and system for heating substrate in vacuum environment and method and system for identifying defects on substrate |
SG164293A1 (en) * | 2009-01-13 | 2010-09-29 | Semiconductor Technologies & Instruments Pte | System and method for inspecting a wafer |
SG163442A1 (en) * | 2009-01-13 | 2010-08-30 | Semiconductor Technologies & Instruments | System and method for inspecting a wafer |
SG164292A1 (en) | 2009-01-13 | 2010-09-29 | Semiconductor Technologies & Instruments Pte | System and method for inspecting a wafer |
US8918198B2 (en) * | 2009-01-21 | 2014-12-23 | George Atanasoff | Methods and systems for control of a surface modification process |
US8135560B2 (en) * | 2009-01-30 | 2012-03-13 | Applied Materials, Inc. | Sensor system for semiconductor manufacturing apparatus |
DE102009008063A1 (de) | 2009-02-09 | 2010-08-19 | Carl Zeiss Nts Gmbh | Teilchenstrahlsystem |
KR101493048B1 (ko) * | 2009-02-27 | 2015-02-13 | 삼성전자주식회사 | 반도체 소자 측정 장치 및 이를 사용한 반도체 소자 측정 방법 |
US20100279435A1 (en) * | 2009-04-30 | 2010-11-04 | Applied Materials, Inc. | Temperature control of chemical mechanical polishing |
US20100279438A1 (en) * | 2009-05-01 | 2010-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method of in-situ identification for contamination control in semiconductor fabrication |
US8288646B2 (en) * | 2009-05-06 | 2012-10-16 | UltraSolar Technology, Inc. | Pyroelectric solar technology apparatus and method |
US8269980B1 (en) | 2009-05-11 | 2012-09-18 | Engineering Synthesis Design, Inc. | White light scanning interferometer with simultaneous phase-shifting module |
EP3222749A1 (en) | 2009-05-13 | 2017-09-27 | SiO2 Medical Products, Inc. | Outgassing method for inspecting a coated surface |
US7985188B2 (en) | 2009-05-13 | 2011-07-26 | Cv Holdings Llc | Vessel, coating, inspection and processing apparatus |
WO2013170052A1 (en) | 2012-05-09 | 2013-11-14 | Sio2 Medical Products, Inc. | Saccharide protective coating for pharmaceutical package |
US8153987B2 (en) * | 2009-05-22 | 2012-04-10 | Jordan Valley Semiconductors Ltd. | Automated calibration methodology for VUV metrology system |
US10768611B2 (en) * | 2009-06-16 | 2020-09-08 | Applied Materials, Inc. | Counter and timer constraints |
JP5486219B2 (ja) * | 2009-06-18 | 2014-05-07 | パナソニック液晶ディスプレイ株式会社 | フォトレジストのパターニング検査方法 |
US9458536B2 (en) | 2009-07-02 | 2016-10-04 | Sio2 Medical Products, Inc. | PECVD coating methods for capped syringes, cartridges and other articles |
FR2948192B1 (fr) * | 2009-07-20 | 2011-07-22 | Commissariat Energie Atomique | Procede de caracterisation optique |
US8712571B2 (en) * | 2009-08-07 | 2014-04-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for wireless transmission of diagnostic information |
US8441639B2 (en) * | 2009-09-03 | 2013-05-14 | Kla-Tencor Corp. | Metrology systems and methods |
EP2293051A1 (de) * | 2009-09-05 | 2011-03-09 | Umicore AG & Co. KG | Verfahren und Vorrichtung zur Identifizierung von Autokatalysatoren |
CN102549374B (zh) * | 2009-09-30 | 2015-05-13 | Og技术公司 | 具有自行校准的便携式成像测量的方法及装置 |
US20110195636A1 (en) * | 2010-02-11 | 2011-08-11 | United Microelectronics Corporation | Method for Controlling Polishing Wafer |
US8334986B2 (en) * | 2010-02-25 | 2012-12-18 | Corning Incorporated | Methods and apparatus for the measurement of film thickness |
DE102010003112A1 (de) * | 2010-03-22 | 2011-09-22 | Osram Opto Semiconductors Gmbh | Verfahren zur Kontrolle einer zwischen einer Metallschicht und einer Halbleiterschicht ausgebildeten Grenzfläche |
US8108805B2 (en) * | 2010-03-26 | 2012-01-31 | Tokyo Electron Limited | Simplified micro-bridging and roughness analysis |
JP2011209090A (ja) * | 2010-03-30 | 2011-10-20 | Hitachi Ltd | 平滑面検査装置 |
US11624115B2 (en) | 2010-05-12 | 2023-04-11 | Sio2 Medical Products, Inc. | Syringe with PECVD lubrication |
WO2011151530A1 (fr) * | 2010-05-31 | 2011-12-08 | Arcelormittal Investigacion Y Desarrollo, S.L. | Procede et dispositif de mesure de l'epaisseur d'une couche de revetement sur une bande en defilement |
DE102010031227A1 (de) * | 2010-07-12 | 2012-01-12 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung zur Prüfung von Mikrostrukturierungsqualität |
WO2012012795A1 (en) | 2010-07-23 | 2012-01-26 | First Solar, Inc | In-line metrology system and method |
WO2012016233A1 (en) * | 2010-07-30 | 2012-02-02 | First Solar, Inc. | Photoluminescence measurement tool and associated method |
US8873028B2 (en) * | 2010-08-26 | 2014-10-28 | Apple Inc. | Non-destructive stress profile determination in chemically tempered glass |
JP5725781B2 (ja) * | 2010-09-28 | 2015-05-27 | キヤノン株式会社 | 被検体情報取得装置 |
US8175452B1 (en) | 2010-10-26 | 2012-05-08 | Complete Genomics, Inc. | Method and system for imaging high density biochemical arrays with sub-pixel alignment |
US9878101B2 (en) | 2010-11-12 | 2018-01-30 | Sio2 Medical Products, Inc. | Cyclic olefin polymer vessels and vessel coating methods |
US20120323506A1 (en) * | 2010-11-23 | 2012-12-20 | Andrew Payshin King | Semiconductor Defect Signal Capturing and Statistical System and Method |
US8867041B2 (en) | 2011-01-18 | 2014-10-21 | Jordan Valley Semiconductor Ltd | Optical vacuum ultra-violet wavelength nanoimprint metrology |
US8565379B2 (en) | 2011-03-14 | 2013-10-22 | Jordan Valley Semiconductors Ltd. | Combining X-ray and VUV analysis of thin film layers |
JP5752454B2 (ja) * | 2011-03-23 | 2015-07-22 | 東京エレクトロン株式会社 | プラズマ処理装置及び温度測定方法 |
US9272095B2 (en) | 2011-04-01 | 2016-03-01 | Sio2 Medical Products, Inc. | Vessels, contact surfaces, and coating and inspection apparatus and methods |
US8384405B2 (en) * | 2011-04-20 | 2013-02-26 | Tdk Corporation | Method for performing burn-in test |
CN103608484B (zh) * | 2011-04-20 | 2016-06-22 | Oled工厂有限责任公司 | 用于气相沉积应用的测量设备和方法 |
US8942842B2 (en) * | 2011-04-28 | 2015-01-27 | Applied Materials, Inc. | Varying optical coefficients to generate spectra for polishing control |
CA2778083A1 (en) | 2011-05-24 | 2012-11-24 | Discovery Metals, Llc | Ambient reflectivity absorption system for identifying precious or semi-precious materials and associated methods |
DE102011077567B4 (de) * | 2011-06-15 | 2013-05-29 | Leibniz-Institut Für Polymerforschung Dresden E.V. | Verfahren und vorrichtung zur ermittlung der oberflächentopografie von beschichteten, reflektierenden oberflächen |
US20130017762A1 (en) * | 2011-07-15 | 2013-01-17 | Infineon Technologies Ag | Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine |
JP2013042114A (ja) * | 2011-07-19 | 2013-02-28 | Canon Inc | 描画装置、及び、物品の製造方法 |
JP5834584B2 (ja) * | 2011-07-25 | 2015-12-24 | ソニー株式会社 | 情報処理装置、情報処理方法、プログラム及び蛍光スペクトルの強度補正方法 |
JP2014526148A (ja) * | 2011-07-25 | 2014-10-02 | エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド | 対象物を特徴付けて製造プロセスをモニタリングするための方法及び装置 |
EP2745360A4 (en) | 2011-08-01 | 2015-07-08 | Univ Columbia | CONJUGATES OF NANODIAMANT AND MAGNETIC OR METALLIC PARTICLES |
KR20140056329A (ko) * | 2011-08-11 | 2014-05-09 | 케이엘에이-텐코 코포레이션 | 고속 스피닝 척에 의한 시스템에서의 기류 제어 방법 |
JP5721586B2 (ja) * | 2011-08-12 | 2015-05-20 | 大塚電子株式会社 | 光学特性測定装置および光学特性測定方法 |
WO2013023241A1 (en) * | 2011-08-12 | 2013-02-21 | Bt Imaging Pty Ltd | Photoluminescence imaging of doping variations in semiconductor wafers |
JP2013061185A (ja) * | 2011-09-12 | 2013-04-04 | Toshiba Corp | パターン検査装置およびパターン検査方法 |
WO2013040446A1 (en) * | 2011-09-16 | 2013-03-21 | The Trustees Of Columbia University In The City Of New York | High-precision ghz clock generation using spin states in diamond |
US8982362B2 (en) * | 2011-10-04 | 2015-03-17 | First Solar, Inc. | System and method for measuring layer thickness and depositing semiconductor layers |
US9117149B2 (en) | 2011-10-07 | 2015-08-25 | Industrial Technology Research Institute | Optical registration carrier |
US9632045B2 (en) | 2011-10-19 | 2017-04-25 | The Trustees Of Columbia University In The City Of New York | Systems and methods for deterministic emitter switch microscopy |
US9090854B2 (en) | 2011-10-25 | 2015-07-28 | Lam Research Ag | Method and apparatus for processing wafer-shaped articles |
JP5933222B2 (ja) * | 2011-11-08 | 2016-06-08 | 東京エレクトロン株式会社 | 温度制御方法、制御装置及びプラズマ処理装置 |
GB201119352D0 (en) | 2011-11-09 | 2011-12-21 | Advanced Sensors Ltd | Apparatus and method for determining the amounts of two or more substances present in a liquid |
US9554968B2 (en) | 2013-03-11 | 2017-01-31 | Sio2 Medical Products, Inc. | Trilayer coated pharmaceutical packaging |
US11116695B2 (en) | 2011-11-11 | 2021-09-14 | Sio2 Medical Products, Inc. | Blood sample collection tube |
EP2776603B1 (en) | 2011-11-11 | 2019-03-06 | SiO2 Medical Products, Inc. | PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS |
US9534883B1 (en) | 2011-11-22 | 2017-01-03 | Engineering Synthesis Design, Inc. | Methods for determining error in an interferometry system |
US8969833B1 (en) | 2011-12-16 | 2015-03-03 | Us Synthetic Corporation | Method and system for perceiving a boundary between a first region and a second region of a superabrasive volume |
WO2013096734A1 (en) * | 2011-12-22 | 2013-06-27 | University Of Pittsburgh - Of The Commonwealth System Of Higher Education | Method and apparatus to enhance light illuminating intensity and diffusivity |
KR101829676B1 (ko) * | 2011-12-29 | 2018-02-20 | 삼성전자주식회사 | 웨이퍼 열 처리 방법 |
US20130171350A1 (en) * | 2011-12-29 | 2013-07-04 | Intermolecular Inc. | High Throughput Processing Using Metal Organic Chemical Vapor Deposition |
US20130214768A1 (en) * | 2012-02-21 | 2013-08-22 | Varel International Ind., L.P. | Use of Eddy Currents to Analyze Polycrystalline Diamond |
US9377428B2 (en) | 2012-02-21 | 2016-06-28 | Varel International Ind., L.P. | Non-destructive leaching depth measurement using capacitance spectroscopy |
US9423436B2 (en) | 2012-02-21 | 2016-08-23 | Varel International Ind., L.P. | Method and apparatus to assess the thermal damage caused to a PCD cutter using capacitance spectroscopy |
US9423370B2 (en) | 2012-02-21 | 2016-08-23 | Varel International Ind., L.P | Use of capacitance to analyze polycrystalline diamond |
US9128031B2 (en) | 2012-02-21 | 2015-09-08 | Varel International Ind., L.P. | Method to improve the leaching process |
JP6033890B2 (ja) | 2012-02-21 | 2016-11-30 | エーエスエムエル ネザーランズ ビー.ブイ. | 検査装置及び方法 |
US8879073B2 (en) * | 2012-02-24 | 2014-11-04 | Kla-Tencor Corporation | Optical metrology using targets with field enhancement elements |
US8848191B2 (en) | 2012-03-14 | 2014-09-30 | Honeywell International Inc. | Photoacoustic sensor with mirror |
US9410890B2 (en) * | 2012-03-19 | 2016-08-09 | Kla-Tencor Corporation | Methods and apparatus for spectral luminescence measurement |
US10801975B2 (en) | 2012-05-08 | 2020-10-13 | Kla-Tencor Corporation | Metrology tool with combined X-ray and optical scatterometers |
CN103453395A (zh) * | 2012-05-30 | 2013-12-18 | 财团法人工业技术研究院 | 光源装置 |
DE102012104874B4 (de) * | 2012-06-05 | 2016-05-19 | Technische Universität München | Optisches Messsystem mit Polarisationskompensation, sowie entsprechendes Verfahren |
DE102012104844B4 (de) * | 2012-06-05 | 2017-06-29 | Heliatek Gmbh | Optisches System und Verfahren zur Überwachung und Kontrolle fotoaktiver Bauelemente im Produktionsprozess |
US9628676B2 (en) | 2012-06-07 | 2017-04-18 | Complete Genomics, Inc. | Imaging systems with movable scan mirrors |
US9488823B2 (en) | 2012-06-07 | 2016-11-08 | Complete Genomics, Inc. | Techniques for scanned illumination |
WO2013188602A1 (en) * | 2012-06-13 | 2013-12-19 | Kla-Tencor Corporation | Optical surface scanning systems and methods |
KR102330743B1 (ko) | 2012-06-26 | 2021-11-23 | 케이엘에이 코포레이션 | 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거 |
US10013518B2 (en) * | 2012-07-10 | 2018-07-03 | Kla-Tencor Corporation | Model building and analysis engine for combined X-ray and optical metrology |
NL2009367C2 (en) * | 2012-08-27 | 2014-03-03 | Stichting Vu Vumc | Microscopic imaging apparatus and method to detect a microscopic image. |
US8860937B1 (en) * | 2012-10-24 | 2014-10-14 | Kla-Tencor Corp. | Metrology systems and methods for high aspect ratio and large lateral dimension structures |
KR101241007B1 (ko) * | 2012-10-26 | 2013-03-11 | 나노씨엠에스(주) | 엑스선을 이용한 박막층의 두께 측정 방법 및 장치 |
CA2890066C (en) | 2012-11-01 | 2021-11-09 | Sio2 Medical Products, Inc. | Coating inspection method |
US8830464B2 (en) * | 2012-11-06 | 2014-09-09 | Kla-Tencor Corporation | Film thickness, refractive index, and extinction coefficient determination for film curve creation and defect sizing in real time |
US9244028B2 (en) | 2012-11-07 | 2016-01-26 | Tribogenics, Inc. | Electron excited x-ray fluorescence device |
EP2920567B1 (en) | 2012-11-16 | 2020-08-19 | SiO2 Medical Products, Inc. | Method and apparatus for detecting rapid barrier coating integrity characteristics |
KR101336946B1 (ko) | 2012-11-27 | 2013-12-04 | 한국기초과학지원연구원 | 발열 분포 측정을 이용한 불량 분석 장치 및 방법 |
US9764093B2 (en) | 2012-11-30 | 2017-09-19 | Sio2 Medical Products, Inc. | Controlling the uniformity of PECVD deposition |
CN105705676B (zh) | 2012-11-30 | 2018-09-07 | Sio2医药产品公司 | 控制在医用注射器、药筒等上的pecvd沉积的均匀性 |
US9718164B2 (en) * | 2012-12-06 | 2017-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Polishing system and polishing method |
US9354185B2 (en) * | 2012-12-21 | 2016-05-31 | Advanced Micro Devices, Inc. | 3D imaging with multiple irradiation frequencies |
US9630927B2 (en) * | 2014-01-17 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company Limited | Method and manufacturing system |
US11885738B1 (en) * | 2013-01-22 | 2024-01-30 | J.A. Woollam Co., Inc. | Reflectometer, spectrophotometer, ellipsometer or polarimeter system including sample imaging system that simultaneously meet the scheimpflug condition and overcomes keystone error |
US20140242880A1 (en) * | 2013-02-26 | 2014-08-28 | Applied Materials, Inc. | Optical model with polarization direction effects for comparison to measured spectrum |
US20140242881A1 (en) * | 2013-02-27 | 2014-08-28 | Applied Materials, Inc. | Feed forward parameter values for use in theoretically generating spectra |
US20160015898A1 (en) | 2013-03-01 | 2016-01-21 | Sio2 Medical Products, Inc. | Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus |
US9305753B2 (en) * | 2013-03-06 | 2016-04-05 | Kla-Tencor Corporation | Thickness change monitor wafer for in situ film thickness monitoring |
US9937099B2 (en) | 2013-03-11 | 2018-04-10 | Sio2 Medical Products, Inc. | Trilayer coated pharmaceutical packaging with low oxygen transmission rate |
US9052190B2 (en) * | 2013-03-12 | 2015-06-09 | Kla-Tencor Corporation | Bright-field differential interference contrast system with scanning beams of round and elliptical cross-sections |
TWI683382B (zh) * | 2013-03-15 | 2020-01-21 | 應用材料股份有限公司 | 具有光學測量的旋轉氣體分配組件 |
US9863042B2 (en) | 2013-03-15 | 2018-01-09 | Sio2 Medical Products, Inc. | PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases |
DE102013207243B4 (de) * | 2013-04-22 | 2019-10-02 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und verfahren zur herstellung einer struktur aus aushärtbarem material durch abformung |
US9857291B2 (en) | 2013-05-16 | 2018-01-02 | Kla-Tencor Corporation | Metrology system calibration refinement |
US20140356986A1 (en) * | 2013-05-31 | 2014-12-04 | International Business Machines Corporation | Precision controlled collapse chip connection mapping |
US9995850B2 (en) | 2013-06-06 | 2018-06-12 | Kla-Tencor Corporation | System, method and apparatus for polarization control |
US9535018B2 (en) * | 2013-07-08 | 2017-01-03 | Kla-Tencor Corporation | Combined x-ray and optical metrology |
WO2015006233A1 (en) * | 2013-07-09 | 2015-01-15 | Kla-Tencor Corporation | Aperture alignment in scatterometry metrology systems |
US9778213B2 (en) | 2013-08-19 | 2017-10-03 | Kla-Tencor Corporation | Metrology tool with combined XRF and SAXS capabilities |
US9281253B2 (en) * | 2013-10-29 | 2016-03-08 | Applied Materials, Inc. | Determination of gain for eddy current sensor |
JP5680731B2 (ja) * | 2013-11-06 | 2015-03-04 | 株式会社Screenセミコンダクターソリューションズ | 基板処理装置および検査周辺露光システム |
US9293298B2 (en) * | 2013-12-23 | 2016-03-22 | Kla-Tencor Corp. | Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images |
TWI504886B (zh) * | 2013-12-26 | 2015-10-21 | Machvision Inc | 印刷電路板之防焊層裂縫現象及異色現象的檢測方法及檢測設備 |
KR20150085956A (ko) * | 2014-01-17 | 2015-07-27 | 삼성전자주식회사 | 반도체 소자의 계측 방법, 반도체 계측 시스템, 및 이들을 이용한 반도체 소자의 제조방법 |
US9588066B2 (en) | 2014-01-23 | 2017-03-07 | Revera, Incorporated | Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS) |
DE102014003145A1 (de) | 2014-03-04 | 2015-09-10 | Carl Zeiss Microscopy Gmbh | Verfahren zur Korrektur der sphärischen Aberration bei mikroskopischen Anwendungen |
EP3122917B1 (en) | 2014-03-28 | 2020-05-06 | SiO2 Medical Products, Inc. | Antistatic coatings for plastic vessels |
US20150316468A1 (en) * | 2014-04-30 | 2015-11-05 | Nova Measuring Instruments Ltd. | Method and system for optical characterization of patterned samples |
US9784690B2 (en) * | 2014-05-12 | 2017-10-10 | Kla-Tencor Corporation | Apparatus, techniques, and target designs for measuring semiconductor parameters |
US10012606B1 (en) | 2014-06-24 | 2018-07-03 | Kla-Tencor Corporation | X-ray based metrology with primary and secondary illumination sources |
KR101563165B1 (ko) * | 2014-06-26 | 2015-10-26 | 주식회사 이오테크닉스 | 웨이퍼 다이들의 마킹방법 |
WO2016003575A2 (en) * | 2014-07-02 | 2016-01-07 | Applied Materials, Inc. | Localized stress modulation for overlay and epe |
US10151986B2 (en) | 2014-07-07 | 2018-12-11 | Kla-Tencor Corporation | Signal response metrology based on measurements of proxy structures |
US10883924B2 (en) | 2014-09-08 | 2021-01-05 | The Research Foundation Of State University Of New York | Metallic gratings and measurement methods thereof |
JP6219251B2 (ja) * | 2014-09-17 | 2017-10-25 | 東芝メモリ株式会社 | 半導体製造装置 |
FR3026484B1 (fr) * | 2014-09-29 | 2018-06-15 | Altatech Semiconductor | Procede et systeme d'inspection de plaquettes transparentes pour l'electronique, l'optique ou l'optoelectronique |
KR101683548B1 (ko) * | 2014-10-14 | 2016-12-08 | (주)오로스 테크놀로지 | 전자 빔을 이용한 오버레이 측정장치와 이의 측정방법 |
US10210606B2 (en) | 2014-10-14 | 2019-02-19 | Kla-Tencor Corporation | Signal response metrology for image based and scatterometry overlay measurements |
US10215559B2 (en) | 2014-10-16 | 2019-02-26 | Kla-Tencor Corporation | Metrology of multiple patterning processes |
US10139352B2 (en) | 2014-10-18 | 2018-11-27 | Kla-Tenor Corporation | Measurement of small box size targets |
US9710728B2 (en) | 2014-10-28 | 2017-07-18 | Kla-Tencor Corporation | Image based signal response metrology |
US9739719B2 (en) | 2014-10-31 | 2017-08-22 | Kla-Tencor Corporation | Measurement systems having linked field and pupil signal detection |
US20160139032A1 (en) * | 2014-11-19 | 2016-05-19 | Kla-Tencor Corporation | Inspection system and method using an off-axis unobscured objective lens |
US10345095B1 (en) | 2014-11-20 | 2019-07-09 | Kla- Tencor Corporation | Model based measurement systems with improved electromagnetic solver performance |
US9543219B2 (en) | 2014-12-02 | 2017-01-10 | Globalfoundries Inc. | Void monitoring device for measurement of wafer temperature variations |
KR20160066448A (ko) | 2014-12-02 | 2016-06-10 | 삼성전자주식회사 | 표면 검사 방법 |
US10072921B2 (en) | 2014-12-05 | 2018-09-11 | Kla-Tencor Corporation | Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element |
JP2018503813A (ja) | 2014-12-19 | 2018-02-08 | ユニヴァーシティー オブ ユタ リサーチ ファウンデーション | 干渉計測システムと関連方法 |
US10324050B2 (en) | 2015-01-14 | 2019-06-18 | Kla-Tencor Corporation | Measurement system optimization for X-ray based metrology |
US9470639B1 (en) | 2015-02-03 | 2016-10-18 | Kla-Tencor Corporation | Optical metrology with reduced sensitivity to grating anomalies |
US9709437B2 (en) * | 2015-02-18 | 2017-07-18 | City University Of Hong Kong | System and method for detecting a defect in a structure member |
US10185303B2 (en) | 2015-02-21 | 2019-01-22 | Kla-Tencor Corporation | Optimizing computational efficiency by multiple truncation of spatial harmonics |
US9970863B2 (en) | 2015-02-22 | 2018-05-15 | Kla-Tencor Corporation | Optical metrology with reduced focus error sensitivity |
US10365225B1 (en) | 2015-03-04 | 2019-07-30 | Kla-Tencor Corporation | Multi-location metrology |
US10502549B2 (en) | 2015-03-24 | 2019-12-10 | Kla-Tencor Corporation | Model-based single parameter measurement |
KR20160121206A (ko) | 2015-04-10 | 2016-10-19 | 삼성전자주식회사 | 오버레이 에러의 검출 방법 및 이를 이용한 반도체 장치의 제조 방법 |
EP3081901A1 (en) | 2015-04-17 | 2016-10-19 | Hennecke Systems GmbH | Inspection method and device for inspecting a surface pattern |
KR102512180B1 (ko) | 2015-04-28 | 2023-03-20 | 케이엘에이 코포레이션 | 계산 효율적인 x 선 기반의 오버레이 측정 |
US10030965B2 (en) | 2015-05-08 | 2018-07-24 | Kla-Tencor Corporation | Model-based hot spot monitoring |
JP6194922B2 (ja) * | 2015-05-13 | 2017-09-13 | トヨタ自動車株式会社 | 不透明積層体の層厚み測定方法 |
US10094774B2 (en) | 2015-08-12 | 2018-10-09 | Industrial Technology Research Institute | Scattering measurement system and method |
WO2017031354A2 (en) | 2015-08-18 | 2017-02-23 | Sio2 Medical Products, Inc. | Pharmaceutical and other packaging with low oxygen transmission rate |
US10380728B2 (en) * | 2015-08-31 | 2019-08-13 | Kla-Tencor Corporation | Model-based metrology using images |
DE102015217091B4 (de) * | 2015-09-07 | 2017-05-11 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V | Anordnung zur Bestimmung der erreichbaren Haftfestigkeit vor Ausbildung einer stoffschlüssigen Verbindung an einer Oberfläche eines Fügepartners |
US10101676B2 (en) | 2015-09-23 | 2018-10-16 | KLA—Tencor Corporation | Spectroscopic beam profile overlay metrology |
US10620420B2 (en) * | 2015-09-23 | 2020-04-14 | Filmetrics, Inc. | Optical system for use with microscope |
US10352695B2 (en) | 2015-12-11 | 2019-07-16 | Kla-Tencor Corporation | X-ray scatterometry metrology for high aspect ratio structures |
US9921152B2 (en) | 2016-01-15 | 2018-03-20 | Kla-Tencor Corporation | Systems and methods for extended infrared spectroscopic ellipsometry |
US9679822B1 (en) | 2016-02-22 | 2017-06-13 | Alpha And Omega Semiconductor Incorporated | Method for monitoring epitaxial growth geometry shift |
TWI579396B (zh) * | 2016-03-18 | 2017-04-21 | 萬國半導體股份有限公司 | 監控外延層幾何形狀發生漂移的方法 |
US10504759B2 (en) | 2016-04-04 | 2019-12-10 | Kla-Tencor Corporation | Semiconductor metrology with information from multiple processing steps |
US10451412B2 (en) | 2016-04-22 | 2019-10-22 | Kla-Tencor Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US10145674B2 (en) * | 2016-05-02 | 2018-12-04 | Kla-Tencor Corporation | Measurement of semiconductor structures with capillary condensation |
US10041873B2 (en) | 2016-05-02 | 2018-08-07 | Kla-Tencor Corporation | Porosity measurement of semiconductor structures |
US10281263B2 (en) | 2016-05-02 | 2019-05-07 | Kla-Tencor Corporation | Critical dimension measurements with gaseous adsorption |
US11313809B1 (en) | 2016-05-04 | 2022-04-26 | Kla-Tencor Corporation | Process control metrology |
US9728470B1 (en) * | 2016-05-10 | 2017-08-08 | Infineon Technologies Austria Ag | Semiconductor structure and methods |
JP6279013B2 (ja) * | 2016-05-26 | 2018-02-14 | Ckd株式会社 | 三次元計測装置 |
JP6678253B2 (ja) * | 2016-06-03 | 2020-04-08 | エーエスエムエル ホールディング エヌ.ブイ. | アライメントシステムウェーハスタックビーム分析器 |
US9921104B2 (en) | 2016-06-11 | 2018-03-20 | Kla-Tencor Corporation | Simultaneous multi-angle spectroscopy |
JP6547695B2 (ja) | 2016-06-21 | 2019-07-24 | 株式会社デンソー | 冷凍サイクル装置 |
EP3475649A4 (en) | 2016-06-23 | 2020-04-22 | University of Utah Research Foundation | INTERFEROMETRY SYSTEM AND RELATED METHODS |
US11162781B2 (en) | 2016-06-23 | 2021-11-02 | University Of Utah Research Foundation | Interferometry systems and methods |
KR102595300B1 (ko) * | 2016-07-04 | 2023-10-31 | 삼성전자주식회사 | 검사 방법 및 시스템, 및 이를 이용한 반도체 패키지의 제조 방법 |
KR101806114B1 (ko) | 2016-08-01 | 2017-12-07 | 주식회사 트윔 | 초고속 패널 얼라인 시스템 |
GB201613988D0 (en) | 2016-08-16 | 2016-09-28 | Micromass Uk Ltd And Leco Corp | Mass analyser having extended flight path |
US10438825B2 (en) | 2016-08-29 | 2019-10-08 | Kla-Tencor Corporation | Spectral reflectometry for in-situ process monitoring and control |
US10458912B2 (en) | 2016-08-31 | 2019-10-29 | Kla-Tencor Corporation | Model based optical measurements of semiconductor structures with anisotropic dielectric permittivity |
JP7164289B2 (ja) * | 2016-09-05 | 2022-11-01 | 東京エレクトロン株式会社 | 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング |
US10215693B2 (en) | 2016-09-29 | 2019-02-26 | Kla-Tencor Corporation | Infrared spectroscopic reflectometer for measurement of high aspect ratio structures |
WO2018071716A1 (en) | 2016-10-13 | 2018-04-19 | Kla-Tencor Corporation | Metrology systems and methods for process control |
US10712145B2 (en) | 2016-10-20 | 2020-07-14 | Kla-Tencor Corporation | Hybrid metrology for patterned wafer characterization |
US10332810B2 (en) * | 2016-10-24 | 2019-06-25 | Kla-Tencor Corp. | Process modules integrated into a metrology and/or inspection tool |
KR102260941B1 (ko) | 2016-12-19 | 2021-06-04 | 에이에스엠엘 네델란즈 비.브이. | 계측 센서, 리소그래피 장치 및 디바이스 제조 방법 |
KR102489419B1 (ko) | 2017-01-13 | 2023-01-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 인-시튜 모니터링으로부터의 측정들의 비저항 기반 조정 |
CN106647147B (zh) * | 2017-02-15 | 2022-05-17 | 苏州德创测控科技有限公司 | 一种非共面的图像采集装置 |
US10690602B2 (en) | 2017-02-17 | 2020-06-23 | Kla-Tencor Corporation | Methods and systems for measurement of thick films and high aspect ratio structures |
US10732516B2 (en) | 2017-03-01 | 2020-08-04 | Kla Tencor Corporation | Process robust overlay metrology based on optical scatterometry |
US11022877B2 (en) * | 2017-03-13 | 2021-06-01 | Applied Materials, Inc. | Etch processing system having reflective endpoint detection |
GB2560951B (en) | 2017-03-29 | 2020-06-17 | Redlux Ltd | Inspection of components for imperfections |
US10042592B1 (en) | 2017-03-31 | 2018-08-07 | Konica Minolta Laboratory U.S.A., Inc. | Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer |
US9940074B1 (en) * | 2017-03-31 | 2018-04-10 | Konica Minolta Laboratory U.S.A., Inc. | Method to determine the best printing device amongst a group of printing devices using an in-line spectrophotometer |
US10444162B2 (en) | 2017-04-03 | 2019-10-15 | Samsung Electronics Co., Ltd. | Method of testing an object and apparatus for performing the same |
GB2567794B (en) | 2017-05-05 | 2023-03-08 | Micromass Ltd | Multi-reflecting time-of-flight mass spectrometers |
GB2563571B (en) | 2017-05-26 | 2023-05-24 | Micromass Ltd | Time of flight mass analyser with spatial focussing |
WO2019030475A1 (en) | 2017-08-06 | 2019-02-14 | Anatoly Verenchikov | MASS SPECTROMETER WITH MULTIPASSAGE |
US11049712B2 (en) | 2017-08-06 | 2021-06-29 | Micromass Uk Limited | Fields for multi-reflecting TOF MS |
EP3662501A1 (en) | 2017-08-06 | 2020-06-10 | Micromass UK Limited | Ion mirror for multi-reflecting mass spectrometers |
WO2019030476A1 (en) | 2017-08-06 | 2019-02-14 | Anatoly Verenchikov | INJECTION OF IONS IN MULTI-PASSAGE MASS SPECTROMETERS |
EP3662502A1 (en) | 2017-08-06 | 2020-06-10 | Micromass UK Limited | Printed circuit ion mirror with compensation |
WO2019030471A1 (en) | 2017-08-06 | 2019-02-14 | Anatoly Verenchikov | ION GUIDE INSIDE PULSED CONVERTERS |
WO2019030477A1 (en) | 2017-08-06 | 2019-02-14 | Anatoly Verenchikov | ACCELERATOR FOR MASS SPECTROMETERS WITH MULTIPASSES |
US10699969B2 (en) * | 2017-08-30 | 2020-06-30 | Kla-Tencor Corporation | Quick adjustment of metrology measurement parameters according to process variation |
US10898986B2 (en) | 2017-09-15 | 2021-01-26 | Applied Materials, Inc. | Chattering correction for accurate sensor position determination on wafer |
US10365211B2 (en) | 2017-09-26 | 2019-07-30 | Kla-Tencor Corporation | Systems and methods for metrology beam stabilization |
US10732515B2 (en) | 2017-09-27 | 2020-08-04 | Kla-Tencor Corporation | Detection and measurement of dimensions of asymmetric structures |
US10551166B2 (en) * | 2017-10-11 | 2020-02-04 | Kla-Tencor Corporation | Optical measurement of a highly absorbing film layer over highly reflective film stacks |
EP3474074A1 (en) | 2017-10-17 | 2019-04-24 | ASML Netherlands B.V. | Scatterometer and method of scatterometry using acoustic radiation |
KR102450776B1 (ko) * | 2017-10-27 | 2022-10-05 | 삼성전자주식회사 | 레이저 가공 방법, 기판 다이싱 방법 및 이를 수행하기 위한 기판 가공 장치 |
KR102369936B1 (ko) | 2017-12-08 | 2022-03-03 | 삼성전자주식회사 | 광학 측정 방법 |
JP7012538B2 (ja) * | 2018-01-11 | 2022-01-28 | 株式会社ディスコ | ウエーハの評価方法 |
CN111670445B (zh) * | 2018-01-31 | 2024-03-22 | Asml荷兰有限公司 | 基于过程参数的衬底标记方法 |
WO2019164452A1 (en) * | 2018-02-22 | 2019-08-29 | Agency For Science, Technology And Research | Methods and apparatus for fluorescence microscopy |
US11036898B2 (en) | 2018-03-15 | 2021-06-15 | Kla-Tencor Corporation | Measurement models of nanowire semiconductor structures based on re-useable sub-structures |
US11519869B2 (en) | 2018-03-20 | 2022-12-06 | Kla Tencor Corporation | Methods and systems for real time measurement control |
CN108461412A (zh) * | 2018-03-22 | 2018-08-28 | 北京北方华创微电子装备有限公司 | 在线监测系统及半导体加工设备 |
US11056366B2 (en) * | 2018-03-23 | 2021-07-06 | Kla Corporation | Sample transport device with integrated metrology |
TWI825075B (zh) | 2018-04-03 | 2023-12-11 | 美商應用材料股份有限公司 | 針對墊子厚度使用機器學習及補償的拋光裝置、拋光系統、方法及電腦儲存媒體 |
GB201806507D0 (en) | 2018-04-20 | 2018-06-06 | Verenchikov Anatoly | Gridless ion mirrors with smooth fields |
US11441893B2 (en) | 2018-04-27 | 2022-09-13 | Kla Corporation | Multi-spot analysis system with multiple optical probes |
GB201807626D0 (en) | 2018-05-10 | 2018-06-27 | Micromass Ltd | Multi-reflecting time of flight mass analyser |
GB201807605D0 (en) | 2018-05-10 | 2018-06-27 | Micromass Ltd | Multi-reflecting time of flight mass analyser |
GB201808530D0 (en) | 2018-05-24 | 2018-07-11 | Verenchikov Anatoly | TOF MS detection system with improved dynamic range |
US11342211B2 (en) * | 2018-05-30 | 2022-05-24 | Hitachi High-Tech Corporation | Wafer inspection apparatus and wafer inspection method |
KR20190139652A (ko) | 2018-06-08 | 2019-12-18 | 삼성전자주식회사 | 검사 계측 장치 그리고 그의 검사 계측 방법 |
US11069583B2 (en) | 2018-06-20 | 2021-07-20 | Veeco Instruments Inc. | Apparatus and method for the minimization of undercut during a UBM etch process |
TW202000993A (zh) | 2018-06-20 | 2020-01-01 | 美商維克精密表面處理股份有限公司 | 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法 |
WO2019246478A1 (en) * | 2018-06-22 | 2019-12-26 | Northwestern University | Systems and methods for interferometric multifocus microscopy |
US20200001426A1 (en) | 2018-06-27 | 2020-01-02 | Hari Soundararajan | Temperature Control of Chemical Mechanical Polishing |
GB201810573D0 (en) | 2018-06-28 | 2018-08-15 | Verenchikov Anatoly | Multi-pass mass spectrometer with improved duty cycle |
US10761398B2 (en) * | 2018-06-29 | 2020-09-01 | Mitutoyo Corporation | Imaging ellipsometer system utilizing a tunable acoustic gradient lens |
KR102606686B1 (ko) * | 2018-11-12 | 2023-11-29 | 주식회사 히타치하이테크 | 화상 형성 방법 및 화상 형성 시스템 |
US10942135B2 (en) | 2018-11-14 | 2021-03-09 | Kla Corporation | Radial polarizer for particle detection |
US11060846B2 (en) | 2018-12-19 | 2021-07-13 | Kla Corporation | Scatterometry based methods and systems for measurement of strain in semiconductor structures |
WO2020128593A1 (en) * | 2018-12-20 | 2020-06-25 | Arcelormittal | Measure of the degree of crystallinity of a polymer coating on a metal substrate |
US10801953B2 (en) | 2019-01-11 | 2020-10-13 | Kla-Tencor Corporation | Semiconductor metrology based on hyperspectral imaging |
US10804167B2 (en) | 2019-01-24 | 2020-10-13 | Kla-Tencor Corporation | Methods and systems for co-located metrology |
US11137350B2 (en) | 2019-01-28 | 2021-10-05 | Kla Corporation | Mid-infrared spectroscopy for measurement of high aspect ratio structures |
GB201901411D0 (en) | 2019-02-01 | 2019-03-20 | Micromass Ltd | Electrode assembly for mass spectrometer |
US11635344B2 (en) * | 2019-02-01 | 2023-04-25 | Optikos Corporation | Portable optic metrology thermal chamber module and method therefor |
US10948423B2 (en) | 2019-02-17 | 2021-03-16 | Kla Corporation | Sensitive particle detection with spatially-varying polarization rotator and polarizer |
WO2020169419A1 (en) * | 2019-02-19 | 2020-08-27 | Asml Holding N.V. | Metrology system, lithographic apparatus, and method |
US11016024B2 (en) * | 2019-02-19 | 2021-05-25 | Kla Corporation | Air scattering standard for light scattering based optical instruments and tools |
US11060982B2 (en) | 2019-03-17 | 2021-07-13 | Kla Corporation | Multi-dimensional model of optical dispersion |
JP7299728B2 (ja) * | 2019-03-22 | 2023-06-28 | ファスフォードテクノロジ株式会社 | 半導体製造装置および半導体装置の製造方法 |
KR102180113B1 (ko) * | 2019-04-30 | 2020-11-18 | 한양대학교 산학협력단 | 두께 측정 장치 |
US11633833B2 (en) | 2019-05-29 | 2023-04-25 | Applied Materials, Inc. | Use of steam for pre-heating of CMP components |
US11628478B2 (en) | 2019-05-29 | 2023-04-18 | Applied Materials, Inc. | Steam cleaning of CMP components |
TW202110575A (zh) | 2019-05-29 | 2021-03-16 | 美商應用材料股份有限公司 | 用於化學機械研磨系統的蒸氣處置站 |
TW202113331A (zh) * | 2019-06-10 | 2021-04-01 | 日商東京威力科創股份有限公司 | 基板處理裝置、基板檢查方法及記錄媒體 |
EP3994523A1 (en) | 2019-07-02 | 2022-05-11 | ASML Netherlands B.V. | Metrology method and associated metrology and lithographic apparatuses |
US11897079B2 (en) | 2019-08-13 | 2024-02-13 | Applied Materials, Inc. | Low-temperature metal CMP for minimizing dishing and corrosion, and improving pad asperity |
CN110473798B (zh) | 2019-08-19 | 2021-10-19 | 上海华力微电子有限公司 | 一种晶圆表面超小尺寸缺陷检测方法 |
US11460418B2 (en) | 2019-08-26 | 2022-10-04 | Kla Corporation | Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry |
CN110567985B (zh) * | 2019-10-14 | 2021-10-08 | 重庆大学 | 一种基于深度学习的自适应齿轮点蚀定量评估与检测装置 |
US10895727B1 (en) | 2019-10-19 | 2021-01-19 | SequLITE Genomics US, Inc. | Microscope for locating structures on the inner surface of a fluidic channel |
KR102623888B1 (ko) * | 2019-10-30 | 2024-01-10 | 양쯔 메모리 테크놀로지스 씨오., 엘티디. | 반도체 제조공정에 적용되는 입자빔의 수직도 보정 방법 및 시스템 |
CN110927170B (zh) * | 2019-12-04 | 2022-03-08 | 中国工程物理研究院激光聚变研究中心 | 缺陷确定方法、装置及系统 |
TWI721720B (zh) * | 2019-12-19 | 2021-03-11 | 由田新技股份有限公司 | 光源裝置及光學檢測系統 |
US11698251B2 (en) | 2020-01-07 | 2023-07-11 | Kla Corporation | Methods and systems for overlay measurement based on soft X-ray Scatterometry |
CN111398175B (zh) * | 2020-03-05 | 2021-09-07 | 南京大学 | 一种声速自适应的光声-超声双模态显微镜成像方法 |
WO2021180473A1 (en) * | 2020-03-13 | 2021-09-16 | Asml Netherlands B.V. | Leveling sensor in multiple charged-particle beam inspection |
CN115135450A (zh) | 2020-05-14 | 2022-09-30 | 应用材料公司 | 训练神经网络用于抛光期间的原位监测的技术和抛光系统 |
MX2022014805A (es) * | 2020-05-26 | 2023-01-18 | Saint Gobain | Metodo para estimar una funcion de calidad de un sustrato transparente de mono o multiples capas. |
CN117900999A (zh) | 2020-06-24 | 2024-04-19 | 应用材料公司 | 使用研磨垫磨损补偿的基板层厚度确定 |
CN115103738A (zh) | 2020-06-29 | 2022-09-23 | 应用材料公司 | Cmp中的温度和浆体流动速率控制 |
JP2023518650A (ja) | 2020-06-29 | 2023-05-08 | アプライド マテリアルズ インコーポレイテッド | 化学機械研磨のための蒸気発生の制御 |
CN115461193A (zh) | 2020-06-30 | 2022-12-09 | 应用材料公司 | 用于cmp温度控制的设备和方法 |
US11577358B2 (en) | 2020-06-30 | 2023-02-14 | Applied Materials, Inc. | Gas entrainment during jetting of fluid for temperature control in chemical mechanical polishing |
EP3970898A1 (en) * | 2020-09-18 | 2022-03-23 | Laser Systems & Solutions of Europe | System and method to minimize irradiation non uniformity |
KR20230041754A (ko) | 2020-09-18 | 2023-03-24 | 주식회사 히타치하이테크 | 검사 시스템 |
KR20230035126A (ko) | 2020-09-29 | 2023-03-10 | 주식회사 히타치하이테크 | 반도체 검사 장치 및 반도체 시료의 검사 방법 |
TWI759913B (zh) * | 2020-10-16 | 2022-04-01 | 天虹科技股份有限公司 | 原子層沉積薄膜厚度的檢測系統及檢測方法 |
US11487848B2 (en) | 2021-01-29 | 2022-11-01 | Applied Materials, Inc. | Process abnormality identification using measurement violation analysis |
DE102021206564A1 (de) * | 2021-06-24 | 2022-12-29 | Carl Zeiss Smt Gmbh | Endpunktbestimmung durch induzierte desorption von gasen und analyse der wiederbedeckung |
CN113777048B (zh) * | 2021-08-11 | 2023-07-25 | 华中科技大学 | 一种共轴超快光谱椭偏仪及测量方法 |
US11747269B2 (en) | 2021-11-09 | 2023-09-05 | Warsaw Orthopedic, Inc. | Systems and methods for identifying a coating on an implant |
US20230195060A1 (en) * | 2021-12-21 | 2023-06-22 | Applied Materials, Inc. | Substrate support characterization to build a digital twin |
Family Cites Families (372)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US192577A (en) * | 1877-07-03 | Improvement in wringer-rolls | ||
US149782A (en) * | 1874-04-14 | Improvement in furniture-casters | ||
US18217A (en) * | 1857-09-15 | Improvement in ball-cartridges | ||
US158193A (en) * | 1874-12-29 | Improvement in buckets for chain-pumps | ||
US3946484A (en) | 1973-02-05 | 1976-03-30 | International Business Machines Corporation | Continuous processing system |
US3957376A (en) | 1974-01-25 | 1976-05-18 | International Business Machines Corporation | Measuring method and system using a diffraction pattern |
US4015366A (en) | 1975-04-11 | 1977-04-05 | Advanced Decision Handling, Inc. | Highly automated agricultural production system |
DE2627609A1 (de) | 1976-06-19 | 1977-12-29 | Ibm Deutschland | Interferometrisches verfahren |
US4147435A (en) * | 1977-06-30 | 1979-04-03 | International Business Machines Corporation | Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces |
US4247203A (en) * | 1978-04-03 | 1981-01-27 | Kla Instrument Corporation | Automatic photomask inspection system and apparatus |
US4347001A (en) | 1978-04-03 | 1982-08-31 | Kla Instruments Corporation | Automatic photomask inspection system and apparatus |
US4255971A (en) * | 1978-11-01 | 1981-03-17 | Allan Rosencwaig | Thermoacoustic microscopy |
US4232063A (en) | 1978-11-14 | 1980-11-04 | Applied Materials, Inc. | Chemical vapor deposition reactor and process |
US4468120A (en) * | 1981-02-04 | 1984-08-28 | Nippon Kogaku K.K. | Foreign substance inspecting apparatus |
US4378159A (en) * | 1981-03-30 | 1983-03-29 | Tencor Instruments | Scanning contaminant and defect detector |
US4448532A (en) | 1981-03-31 | 1984-05-15 | Kla Instruments Corporation | Automatic photomask inspection method and system |
JPS58120155A (ja) * | 1982-01-12 | 1983-07-16 | Hitachi Ltd | レチクル異物検出装置 |
JPS58131557A (ja) | 1982-01-12 | 1983-08-05 | Nippon Steel Corp | 超音波の非接触測定法 |
US4571685A (en) * | 1982-06-23 | 1986-02-18 | Nec Corporation | Production system for manufacturing semiconductor devices |
US4559450A (en) | 1982-08-06 | 1985-12-17 | Unisearch Limited | Quantitative compositional analyser for use with scanning electron microscopes |
US4926489A (en) * | 1983-03-11 | 1990-05-15 | Kla Instruments Corporation | Reticle inspection system |
US4511800A (en) | 1983-03-28 | 1985-04-16 | Rca Corporation | Optical reflectance method for determining the surface roughness of materials in semiconductor processing |
US4579455A (en) | 1983-05-09 | 1986-04-01 | Kla Instruments Corporation | Photomask inspection apparatus and method with improved defect detection |
US4532650A (en) | 1983-05-12 | 1985-07-30 | Kla Instruments Corporation | Photomask inspection apparatus and method using corner comparator defect detection algorithm |
US4538909A (en) * | 1983-05-24 | 1985-09-03 | Automation Engineering, Inc. | Circuit board inspection apparatus and method |
US4555798A (en) | 1983-06-20 | 1985-11-26 | Kla Instruments Corporation | Automatic system and method for inspecting hole quality |
US4578589A (en) * | 1983-08-15 | 1986-03-25 | Applied Materials, Inc. | Apparatus and methods for ion implantation |
US4601576A (en) | 1983-12-09 | 1986-07-22 | Tencor Instruments | Light collector for optical contaminant and flaw detector |
US4599558A (en) | 1983-12-14 | 1986-07-08 | Ibm | Photovoltaic imaging for large area semiconductors |
US4631416A (en) | 1983-12-19 | 1986-12-23 | Hewlett-Packard Company | Wafer/mask alignment system using diffraction gratings |
US4595289A (en) * | 1984-01-25 | 1986-06-17 | At&T Bell Laboratories | Inspection system utilizing dark-field illumination |
DE3403254A1 (de) | 1984-01-31 | 1985-08-01 | Siemens AG, 1000 Berlin und 8000 München | Verfahren und vorrichtung zur kompensation von aufladungen bei der sekundaerionen-massenspektrometrie (sims) elektrisch schlecht leitender proben |
US4556317A (en) | 1984-02-22 | 1985-12-03 | Kla Instruments Corporation | X-Y Stage for a patterned wafer automatic inspection system |
US4618938A (en) | 1984-02-22 | 1986-10-21 | Kla Instruments Corporation | Method and apparatus for automatic wafer inspection |
US4644172A (en) | 1984-02-22 | 1987-02-17 | Kla Instruments Corporation | Electronic control of an automatic wafer inspection system |
US4649261A (en) * | 1984-02-28 | 1987-03-10 | Tamarack Scientific Co., Inc. | Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc. |
US6086737A (en) | 1984-03-29 | 2000-07-11 | Li-Cor, Inc. | Sequencing near infrared and infrared fluorescence labeled DNA for detecting using laser diodes and suitable labels therefor |
US4579463A (en) | 1984-05-21 | 1986-04-01 | Therma-Wave Partners | Detecting thermal waves to evaluate thermal parameters |
US4633504A (en) | 1984-06-28 | 1986-12-30 | Kla Instruments Corporation | Automatic photomask inspection system having image enhancement means |
US4587432A (en) | 1984-08-03 | 1986-05-06 | Applied Materials, Inc. | Apparatus for ion implantation |
US4733091A (en) | 1984-09-19 | 1988-03-22 | Applied Materials, Inc. | Systems and methods for ion implantation of semiconductor wafers |
EP0200301A1 (en) | 1985-03-01 | 1986-11-05 | Therma-Wave Inc. | Method and apparatus for evaluating surface and subsurface features in a semiconductor |
US4656358A (en) | 1985-03-12 | 1987-04-07 | Optoscan Corporation | Laser-based wafer measuring system |
KR910000794B1 (ko) * | 1985-03-28 | 1991-02-08 | 가부시끼가이샤 도오시바 | 기판의 표면검사방법 및 장치 |
US4710030A (en) | 1985-05-17 | 1987-12-01 | Bw Brown University Research Foundation | Optical generator and detector of stress pulses |
US4743767A (en) * | 1985-09-09 | 1988-05-10 | Applied Materials, Inc. | Systems and methods for ion implantation |
US4641967A (en) | 1985-10-11 | 1987-02-10 | Tencor Instruments | Particle position correlator and correlation method for a surface scanner |
US4886975A (en) | 1986-02-14 | 1989-12-12 | Canon Kabushiki Kaisha | Surface examining apparatus for detecting the presence of foreign particles on two or more surfaces |
US4750822A (en) | 1986-03-28 | 1988-06-14 | Therma-Wave, Inc. | Method and apparatus for optically detecting surface states in materials |
US4818110A (en) * | 1986-05-06 | 1989-04-04 | Kla Instruments Corporation | Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like |
US4929083A (en) * | 1986-06-19 | 1990-05-29 | Xerox Corporation | Focus and overlay characterization and optimization for photolithographic exposure |
US4922308A (en) * | 1986-06-27 | 1990-05-01 | Hitachi, Ltd. | Method of and apparatus for detecting foreign substance |
US4805123B1 (en) | 1986-07-14 | 1998-10-13 | Kla Instr Corp | Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems |
JPS6367549A (ja) * | 1986-09-10 | 1988-03-26 | Pioneer Electronic Corp | 光ディスク用レジスト原盤の欠陥検査及び膜厚測定装置 |
US4770536A (en) | 1986-12-04 | 1988-09-13 | Moshe Golberstein | Reflective photometry instrument |
US4842683A (en) | 1986-12-19 | 1989-06-27 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5215619A (en) | 1986-12-19 | 1993-06-01 | Applied Materials, Inc. | Magnetic field-enhanced plasma etch reactor |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US4889998A (en) * | 1987-01-29 | 1989-12-26 | Nikon Corporation | Apparatus with four light detectors for checking surface of mask with pellicle |
US4898471A (en) | 1987-06-18 | 1990-02-06 | Tencor Instruments | Particle detection on patterned wafers and the like |
US4766324A (en) | 1987-08-07 | 1988-08-23 | Tencor Instruments | Particle detection method including comparison between sequential scans |
US4812756A (en) | 1987-08-26 | 1989-03-14 | International Business Machines Corporation | Contactless technique for semicondutor wafer testing |
JPS6477853A (en) | 1987-09-18 | 1989-03-23 | Jeol Ltd | Mapping type ion microanalyzer |
US4905170A (en) | 1987-11-12 | 1990-02-27 | Forouhi Abdul R | Method and apparatus of determining optical constants of amorphous semiconductors and dielectrics |
US4807994A (en) | 1987-11-19 | 1989-02-28 | Varian Associates, Inc. | Method of mapping ion implant dose uniformity |
US4845558A (en) | 1987-12-03 | 1989-07-04 | Kla Instruments Corporation | Method and apparatus for detecting defects in repeated microminiature patterns |
JPH01185455A (ja) * | 1988-01-20 | 1989-07-25 | Nec Home Electron Ltd | 機能検査システム |
US5340992A (en) | 1988-02-16 | 1994-08-23 | Canon Kabushiki Kaisha | Apparatus and method of detecting positional relationship using a weighted coefficient |
US5327221A (en) | 1988-02-16 | 1994-07-05 | Canon Kabushiki Kaisha | Device for detecting positional relationship between two objects |
US4877326A (en) | 1988-02-19 | 1989-10-31 | Kla Instruments Corporation | Method and apparatus for optical inspection of substrates |
US4875780A (en) | 1988-02-25 | 1989-10-24 | Eastman Kodak Company | Method and apparatus for inspecting reticles |
US4899055A (en) * | 1988-05-12 | 1990-02-06 | Tencor Instruments | Thin film thickness measuring method |
US5393624A (en) | 1988-07-29 | 1995-02-28 | Tokyo Electron Limited | Method and apparatus for manufacturing a semiconductor device |
US5189494A (en) | 1988-11-07 | 1993-02-23 | Masato Muraki | Position detecting method and apparatus |
FR2640040B1 (fr) | 1988-12-05 | 1994-10-28 | Micro Controle | Procede et dispositif de mesure optique |
JPH02192114A (ja) * | 1989-01-20 | 1990-07-27 | Canon Inc | 位置合わせ装置 |
US4999014A (en) | 1989-05-04 | 1991-03-12 | Therma-Wave, Inc. | Method and apparatus for measuring thickness of thin films |
US5042951A (en) | 1989-09-19 | 1991-08-27 | Therma-Wave, Inc. | High resolution ellipsometric apparatus |
JP2704002B2 (ja) | 1989-07-18 | 1998-01-26 | キヤノン株式会社 | 位置検出方法 |
US5074669A (en) | 1989-12-12 | 1991-12-24 | Therma-Wave, Inc. | Method and apparatus for evaluating ion implant dosage levels in semiconductors |
US5053704A (en) * | 1990-01-11 | 1991-10-01 | Pri Instrumentation, Inc. | Flow imager for conductive materials |
US5155336A (en) | 1990-01-19 | 1992-10-13 | Applied Materials, Inc. | Rapid thermal heating apparatus and method |
US5023424A (en) | 1990-01-22 | 1991-06-11 | Tencor Instruments | Shock wave particle removal method and apparatus |
US5123743A (en) * | 1990-02-28 | 1992-06-23 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Lithography mask inspection |
US5112129A (en) | 1990-03-02 | 1992-05-12 | Kla Instruments Corporation | Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology |
US5485082A (en) * | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5182610A (en) | 1990-04-19 | 1993-01-26 | Sortec Corporation | Position detecting method and device therefor as well as aligning device |
US5047648A (en) | 1990-04-20 | 1991-09-10 | Applied Materials, Inc. | Method and apparatus for detecting particles in ion implantation machines |
US5043589A (en) | 1990-05-18 | 1991-08-27 | Trigon/Adcotech | Semiconductor device inspection apparatus using a plurality of reflective elements |
US5076692A (en) | 1990-05-31 | 1991-12-31 | Tencor Instruments | Particle detection on a patterned or bare wafer surface |
US5131752A (en) * | 1990-06-28 | 1992-07-21 | Tamarack Scientific Co., Inc. | Method for film thickness endpoint control |
US5216257A (en) | 1990-07-09 | 1993-06-01 | Brueck Steven R J | Method and apparatus for alignment and overlay of submicron lithographic features |
IL99823A0 (en) * | 1990-11-16 | 1992-08-18 | Orbot Instr Ltd | Optical inspection method and apparatus |
US5293216A (en) * | 1990-12-31 | 1994-03-08 | Texas Instruments Incorporated | Sensor for semiconductor device manufacturing process control |
US5226118A (en) * | 1991-01-29 | 1993-07-06 | Prometrix Corporation | Data analysis system and method for industrial process control systems |
US5317380A (en) * | 1991-02-19 | 1994-05-31 | Inspex, Inc. | Particle detection method and apparatus |
JP2933736B2 (ja) | 1991-02-28 | 1999-08-16 | キヤノン株式会社 | 表面状態検査装置 |
JP3336436B2 (ja) * | 1991-04-02 | 2002-10-21 | 株式会社ニコン | リソグラフィシステム、情報収集装置、露光装置、及び半導体デバイス製造方法 |
US5262652A (en) * | 1991-05-14 | 1993-11-16 | Applied Materials, Inc. | Ion implantation apparatus having increased source lifetime |
US5377006A (en) * | 1991-05-20 | 1994-12-27 | Hitachi, Ltd. | Method and apparatus for detecting photoacoustic signal |
US5216487A (en) * | 1991-05-22 | 1993-06-01 | Site Services, Inc. | Transmissive system for characterizing materials containing photoreactive constituents |
US5477975A (en) * | 1993-10-15 | 1995-12-26 | Applied Materials Inc | Plasma etch apparatus with heated scavenging surfaces |
US5189481A (en) * | 1991-07-26 | 1993-02-23 | Tencor Instruments | Particle detector for rough surfaces |
US5563702A (en) | 1991-08-22 | 1996-10-08 | Kla Instruments Corporation | Automated photomask inspection apparatus and method |
DE69208413T2 (de) * | 1991-08-22 | 1996-11-14 | Kla Instr Corp | Gerät zur automatischen Prüfung von Photomaske |
US5124640A (en) * | 1991-09-05 | 1992-06-23 | The United States Of Americas As Represented By The Administrator Of The National Aeronautics & Space Administration | Method for advanced material characterization by laser induced eddy current imaging |
US5298975A (en) * | 1991-09-27 | 1994-03-29 | International Business Machines Corporation | Combined scanning force microscope and optical metrology tool |
US5451859A (en) | 1991-09-30 | 1995-09-19 | Sgs-Thomson Microelectronics, Inc. | Linear transconductors |
US5849136A (en) | 1991-10-11 | 1998-12-15 | Applied Materials, Inc. | High frequency semiconductor wafer processing apparatus and method |
US5181080A (en) | 1991-12-23 | 1993-01-19 | Therma-Wave, Inc. | Method and apparatus for evaluating the thickness of thin films |
JP2888001B2 (ja) | 1992-01-09 | 1999-05-10 | 日本電気株式会社 | 金属メッキ装置 |
US5264912A (en) | 1992-02-07 | 1993-11-23 | Tencor Instruments | Speckle reduction track filter apparatus for optical inspection of patterned substrates |
US5283141A (en) | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5264826A (en) | 1992-04-01 | 1993-11-23 | Steven Henderson | Motorcycle signaller |
US5747813A (en) | 1992-06-16 | 1998-05-05 | Kla-Tencop. Corporation | Broadband microspectro-reflectometer |
US5812261A (en) * | 1992-07-08 | 1998-09-22 | Active Impulse Systems, Inc. | Method and device for measuring the thickness of opaque and transparent films |
JP2906006B2 (ja) | 1992-10-15 | 1999-06-14 | 東京エレクトロン株式会社 | 処理方法及びその装置 |
JPH06174428A (ja) * | 1992-12-10 | 1994-06-24 | Nikon Corp | 寸法測定装置 |
US6153886A (en) | 1993-02-19 | 2000-11-28 | Nikon Corporation | Alignment apparatus in projection exposure apparatus |
US5438413A (en) * | 1993-03-03 | 1995-08-01 | Kla Instruments Corporation | Process for measuring overlay misregistration during semiconductor wafer fabrication |
US5316984A (en) | 1993-03-25 | 1994-05-31 | Vlsi Technology, Inc. | Bright field wafer target |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5695568A (en) | 1993-04-05 | 1997-12-09 | Applied Materials, Inc. | Chemical vapor deposition chamber |
JP3082516B2 (ja) | 1993-05-31 | 2000-08-28 | キヤノン株式会社 | 光学式変位センサおよび該光学式変位センサを用いた駆動システム |
US5414514A (en) | 1993-06-01 | 1995-05-09 | Massachusetts Institute Of Technology | On-axis interferometric alignment of plates using the spatial phase of interference patterns |
JP3253177B2 (ja) * | 1993-06-15 | 2002-02-04 | キヤノン株式会社 | 表面状態検査装置 |
US5479252A (en) * | 1993-06-17 | 1995-12-26 | Ultrapointe Corporation | Laser imaging system for inspection and analysis of sub-micron particles |
US5412473A (en) | 1993-07-16 | 1995-05-02 | Therma-Wave, Inc. | Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices |
US5355212A (en) | 1993-07-19 | 1994-10-11 | Tencor Instruments | Process for inspecting patterned wafers |
US5658183A (en) * | 1993-08-25 | 1997-08-19 | Micron Technology, Inc. | System for real-time control of semiconductor wafer polishing including optical monitoring |
US5537669A (en) | 1993-09-30 | 1996-07-16 | Kla Instruments Corporation | Inspection method and apparatus for the inspection of either random or repeating patterns |
IL107549A (en) | 1993-11-09 | 1996-01-31 | Nova Measuring Instr Ltd | Device for measuring the thickness of thin films |
US5764365A (en) | 1993-11-09 | 1998-06-09 | Nova Measuring Instruments, Ltd. | Two-dimensional beam deflector |
JP2856666B2 (ja) * | 1993-12-28 | 1999-02-10 | 大日本スクリーン製造株式会社 | 半導体ウェハの絶縁膜厚測定方法 |
EP0665577A1 (en) | 1994-01-28 | 1995-08-02 | Applied Materials, Inc. | Method and apparatus for monitoring the deposition rate of films during physical vapour deposition |
US5516608A (en) | 1994-02-28 | 1996-05-14 | International Business Machines Corporation | Method for controlling a line dimension arising in photolithographic processes |
TW299559B (ja) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
JP3258821B2 (ja) * | 1994-06-02 | 2002-02-18 | 三菱電機株式会社 | 微小異物の位置決め方法、分析方法、これに用いる分析装置およびこれを用いた半導体素子もしくは液晶表示素子の製法 |
US5883710A (en) * | 1994-12-08 | 1999-03-16 | Kla-Tencor Corporation | Scanning system for inspecting anomalies on surfaces |
US5864394A (en) | 1994-06-20 | 1999-01-26 | Kla-Tencor Corporation | Surface inspection system |
US5529671A (en) | 1994-07-27 | 1996-06-25 | Litton Systems, Inc. | Apparatus and method for ion beam polishing and for in-situ ellipsometric deposition of ion beam films |
JPH0879529A (ja) | 1994-09-07 | 1996-03-22 | Rohm Co Ltd | 画像処理装置 |
IL111229A (en) | 1994-10-10 | 1998-06-15 | Nova Measuring Instr Ltd | Autofocusing microscope |
WO1996012941A1 (en) * | 1994-10-21 | 1996-05-02 | Therma-Wave, Inc. | Spectroscopic ellipsometer |
US5565979A (en) | 1994-11-04 | 1996-10-15 | Tencor Instruments | Surface scanning apparatus and method using crossed-cylinder optical elements |
US5699156A (en) | 1994-11-23 | 1997-12-16 | Carver; David R. | Spectrophotometer apparatus with dual light sources and optical paths, fiber optic pick-up and sample cell therefor |
JPH08162383A (ja) * | 1994-11-30 | 1996-06-21 | Sony Corp | 重ね合わせ精度評価パターンおよびこれを用いた評価方法 |
US5520769A (en) | 1994-12-07 | 1996-05-28 | Advanced Micro Devices, Inc. | Method for measuring concentration of dopant within a semiconductor substrate |
US5633714A (en) * | 1994-12-19 | 1997-05-27 | International Business Machines Corporation | Preprocessing of image amplitude and phase data for CD and OL measurement |
US5633747A (en) | 1994-12-21 | 1997-05-27 | Tencor Instruments | Variable spot-size scanning apparatus |
US5948972A (en) * | 1994-12-22 | 1999-09-07 | Kla-Tencor Corporation | Dual stage instrument for scanning a specimen |
JPH08233555A (ja) | 1994-12-28 | 1996-09-13 | Matsushita Electric Ind Co Ltd | レジストパターンの測定方法及びレジストパターンの測定装置 |
US5976310A (en) | 1995-01-03 | 1999-11-02 | Applied Materials, Inc. | Plasma etch system |
US5867590A (en) * | 1995-01-11 | 1999-02-02 | Nova Measuring Instruments, Ltd. | Method and apparatus for determining a location on a surface of an object |
IL112313A (en) | 1995-01-11 | 1999-08-17 | Nova Measuring Instr Ltd | Method and apparatus for determining a location on a surface of an object |
US5608526A (en) | 1995-01-19 | 1997-03-04 | Tencor Instruments | Focused beam spectroscopic ellipsometry method and system |
US6734967B1 (en) * | 1995-01-19 | 2004-05-11 | Kla-Tencor Technologies Corporation | Focused beam spectroscopic ellipsometry method and system |
US6118525A (en) * | 1995-03-06 | 2000-09-12 | Ade Optical Systems Corporation | Wafer inspection system for distinguishing pits and particles |
US5614060A (en) * | 1995-03-23 | 1997-03-25 | Applied Materials, Inc. | Process and apparatus for etching metal in integrated circuit structure with high selectivity to photoresist and good metal etch residue removal |
US5964643A (en) | 1995-03-28 | 1999-10-12 | Applied Materials, Inc. | Apparatus and method for in-situ monitoring of chemical mechanical polishing operations |
US5604585A (en) | 1995-03-31 | 1997-02-18 | Tencor Instruments | Particle detection system employing a subsystem for collecting scattered light from the particles |
US5991699A (en) * | 1995-05-04 | 1999-11-23 | Kla Instruments Corporation | Detecting groups of defects in semiconductor feature space |
TW341664B (en) | 1995-05-12 | 1998-10-01 | Ibm | Photovoltaic oxide charge measurement probe technique |
US5485091A (en) | 1995-05-12 | 1996-01-16 | International Business Machines Corporation | Contactless electrical thin oxide measurements |
US5644223A (en) | 1995-05-12 | 1997-07-01 | International Business Machines Corporation | Uniform density charge deposit source |
US5574278A (en) | 1995-05-23 | 1996-11-12 | The United States Of America As Represented By The Secretary Of Commerce | Atomic force microscope using piezoelectric detection |
IL113829A (en) * | 1995-05-23 | 2000-12-06 | Nova Measuring Instr Ltd | Apparatus for optical inspection of wafers during polishing |
US5581350A (en) | 1995-06-06 | 1996-12-03 | Tencor Instruments | Method and system for calibrating an ellipsometer |
WO1996039619A1 (en) | 1995-06-06 | 1996-12-12 | Kla Instruments Corporation | Optical inspection of a specimen using multi-channel responses from the specimen |
US6288780B1 (en) * | 1995-06-06 | 2001-09-11 | Kla-Tencor Technologies Corp. | High throughput brightfield/darkfield wafer inspection system using advanced optical techniques |
US5649169A (en) | 1995-06-20 | 1997-07-15 | Advanced Micro Devices, Inc. | Method and system for declustering semiconductor defect data |
US5594247A (en) * | 1995-07-07 | 1997-01-14 | Keithley Instruments, Inc. | Apparatus and method for depositing charge on a semiconductor wafer |
US6039848A (en) * | 1995-07-10 | 2000-03-21 | Cvc Products, Inc. | Ultra-high vacuum apparatus and method for high productivity physical vapor deposition. |
GB9515090D0 (en) | 1995-07-21 | 1995-09-20 | Applied Materials Inc | An ion beam apparatus |
US5703692A (en) * | 1995-08-03 | 1997-12-30 | Bio-Rad Laboratories, Inc. | Lens scatterometer system employing source light beam scanning means |
US5619548A (en) | 1995-08-11 | 1997-04-08 | Oryx Instruments And Materials Corp. | X-ray thickness gauge |
US5605760A (en) * | 1995-08-21 | 1997-02-25 | Rodel, Inc. | Polishing pads |
US5716856A (en) | 1995-08-22 | 1998-02-10 | Advanced Micro Devices, Inc. | Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs |
US6008906A (en) | 1995-08-25 | 1999-12-28 | Brown University Research Foundation | Optical method for the characterization of the electrical properties of semiconductors and insulating films |
US5825482A (en) | 1995-09-29 | 1998-10-20 | Kla-Tencor Corporation | Surface inspection system with misregistration error correction and adaptive illumination |
US5790247A (en) * | 1995-10-06 | 1998-08-04 | Photon Dynamics, Inc. | Technique for determining defect positions in three dimensions in a transparent structure |
US5739909A (en) * | 1995-10-10 | 1998-04-14 | Lucent Technologies Inc. | Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry |
US5654903A (en) * | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5907764A (en) | 1995-11-13 | 1999-05-25 | Advanced Micro Devices, Inc. | In-line detection and assessment of net charge in PECVD silicon dioxide (oxide) layers |
US5712707A (en) | 1995-11-20 | 1998-01-27 | International Business Machines Corporation | Edge overlay measurement target for sub-0.5 micron ground rules |
US5757507A (en) * | 1995-11-20 | 1998-05-26 | International Business Machines Corporation | Method of measuring bias and edge overlay error for sub-0.5 micron ground rules |
US6040198A (en) * | 1995-11-30 | 2000-03-21 | Fujitsu Limited | Element concentration measuring method and apparatus, and semiconductor device fabrication method and apparatus |
US5740226A (en) * | 1995-11-30 | 1998-04-14 | Fujitsu Limited | Film thickness measuring and film forming method |
US5719796A (en) | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5748318A (en) | 1996-01-23 | 1998-05-05 | Brown University Research Foundation | Optical stress generator and detector |
US6175416B1 (en) | 1996-08-06 | 2001-01-16 | Brown University Research Foundation | Optical stress generator and detector |
US5872632A (en) * | 1996-02-02 | 1999-02-16 | Moore Epitaxial, Inc. | Cluster tool layer thickness measurement apparatus |
US5801390A (en) * | 1996-02-09 | 1998-09-01 | Nikon Corporation | Position-detection method and apparatus with a grating mark |
US5798829A (en) | 1996-03-05 | 1998-08-25 | Kla-Tencor Corporation | Single laser bright field and dark field system for detecting anomalies of a sample |
US5641969A (en) | 1996-03-28 | 1997-06-24 | Applied Materials, Inc. | Ion implantation apparatus |
US5844684A (en) | 1997-02-28 | 1998-12-01 | Brown University Research Foundation | Optical method for determining the mechanical properties of a material |
US5805290A (en) | 1996-05-02 | 1998-09-08 | International Business Machines Corporation | Method of optical metrology of unresolved pattern arrays |
US6012966A (en) | 1996-05-10 | 2000-01-11 | Canon Kabushiki Kaisha | Precision polishing apparatus with detecting means |
JP3329685B2 (ja) * | 1996-05-16 | 2002-09-30 | 株式会社東芝 | 計測装置および計測方法 |
US5798529A (en) * | 1996-05-28 | 1998-08-25 | International Business Machines Corporation | Focused ion beam metrology |
US5975740A (en) | 1996-05-28 | 1999-11-02 | Applied Materials, Inc. | Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme |
US6081325A (en) | 1996-06-04 | 2000-06-27 | Kla-Tencor Corporation | Optical scanning system for surface inspection |
US5912732A (en) * | 1996-07-05 | 1999-06-15 | Kabushiki Kaisha Topcon | Surface detecting apparatus |
US6023338A (en) | 1996-07-12 | 2000-02-08 | Bareket; Noah | Overlay alignment measurement of wafers |
US6064517A (en) * | 1996-07-22 | 2000-05-16 | Kla-Tencor Corporation | High NA system for multiple mode imaging |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
US5877859A (en) | 1996-07-24 | 1999-03-02 | Therma-Wave, Inc. | Broadband spectroscopic rotating compensator ellipsometer |
US5872633A (en) | 1996-07-26 | 1999-02-16 | Speedfam Corporation | Methods and apparatus for detecting removal of thin film layers during planarization |
US5700732A (en) * | 1996-08-02 | 1997-12-23 | Micron Technology, Inc. | Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns |
US5652654A (en) | 1996-08-12 | 1997-07-29 | Asimopoulos; George | Dual beam spectrophotometer |
US5767693A (en) | 1996-09-04 | 1998-06-16 | Smithley Instruments, Inc. | Method and apparatus for measurement of mobile charges with a corona screen gun |
US5923423A (en) | 1996-09-12 | 1999-07-13 | Sentec Corporation | Heterodyne scatterometer for detecting and analyzing wafer surface defects |
US6025962A (en) * | 1996-09-12 | 2000-02-15 | Nikon Corporation | Zoom lens with an anti-vibration function |
DE19641981C2 (de) | 1996-10-11 | 2000-12-07 | A Benninghoven | Verfahren zur Bestimmung von Tiefenprofilen im Dünnschichtbereich |
US5928389A (en) * | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5859964A (en) * | 1996-10-25 | 1999-01-12 | Advanced Micro Devices, Inc. | System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes |
US6061180A (en) * | 1996-10-29 | 2000-05-09 | Canon Kabushiki Kaisha | Zoom lens |
US5917588A (en) | 1996-11-04 | 1999-06-29 | Kla-Tencor Corporation | Automated specimen inspection system for and method of distinguishing features or anomalies under either bright field or dark field illumination |
US6075883A (en) * | 1996-11-12 | 2000-06-13 | Robotic Vision Systems, Inc. | Method and system for imaging an object or pattern |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5764353A (en) * | 1996-11-29 | 1998-06-09 | Seh America, Inc. | Back side damage monitoring system |
JPH10172792A (ja) | 1996-12-05 | 1998-06-26 | Tokyo Electron Ltd | プラズマ処理装置 |
US5955661A (en) | 1997-01-06 | 1999-09-21 | Kla-Tencor Corporation | Optical profilometer combined with stylus probe measurement device |
US5771094A (en) * | 1997-01-29 | 1998-06-23 | Kla-Tencor Corporation | Film measurement system with improved calibration |
JP3500264B2 (ja) | 1997-01-29 | 2004-02-23 | 株式会社日立製作所 | 試料分析装置 |
TW389949B (en) | 1997-01-30 | 2000-05-11 | Tokyo Electron Ltd | Method and apparatus for coating and development of the photo-resist solution |
JPH10223574A (ja) | 1997-02-12 | 1998-08-21 | Hitachi Ltd | 加工観察装置 |
US6097205A (en) * | 1997-02-14 | 2000-08-01 | Semitest, Inc. | Method and apparatus for characterizing a specimen of semiconductor material |
US5889593A (en) * | 1997-02-26 | 1999-03-30 | Kla Instruments Corporation | Optical system and method for angle-dependent reflection or transmission measurement |
US5896294A (en) * | 1997-03-11 | 1999-04-20 | Advanced Micro Devices, Inc. | Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring |
US5883374A (en) * | 1997-03-27 | 1999-03-16 | Advanced Micro Devices, Inc. | Scanning system for identifying wafers in semiconductor process tool chambers |
US6172349B1 (en) * | 1997-03-31 | 2001-01-09 | Kla-Tencor Corporation | Autofocusing apparatus and method for high resolution microscope system |
US5909276A (en) * | 1997-03-31 | 1999-06-01 | Microtherm, Llc | Optical inspection module and method for detecting particles and defects on substrates in integrated process tools |
US5859424A (en) | 1997-04-08 | 1999-01-12 | Kla-Tencor Corporation | Apodizing filter system useful for reducing spot size in optical measurements and other applications |
US5910011A (en) * | 1997-05-12 | 1999-06-08 | Applied Materials, Inc. | Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system |
GB2325561B (en) | 1997-05-20 | 2001-10-17 | Applied Materials Inc | Apparatus for and methods of implanting desired chemical species in semiconductor substrates |
US5926690A (en) | 1997-05-28 | 1999-07-20 | Advanced Micro Devices, Inc. | Run-to-run control process for controlling critical dimensions |
US6201999B1 (en) * | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
DE19724265A1 (de) | 1997-06-09 | 1998-12-10 | Atomika Instr Gmbh | Sekundärionen-Massenspektrometer mit Lochmaske |
US5882465A (en) * | 1997-06-18 | 1999-03-16 | Caliper Technologies Corp. | Method of manufacturing microfluidic devices |
US6356097B1 (en) | 1997-06-20 | 2002-03-12 | Applied Materials, Inc. | Capacitive probe for in situ measurement of wafer DC bias voltage |
US6052185A (en) * | 1997-06-30 | 2000-04-18 | Active Impulse Systems Inc. | Method and apparatus for measuring the concentration of ions implanted in semiconductor materials |
US6016202A (en) * | 1997-06-30 | 2000-01-18 | U.S. Philips Corporation | Method and apparatus for measuring material properties using transient-grating spectroscopy |
US5954940A (en) * | 1997-06-30 | 1999-09-21 | American Air Liquide Inc. | Method for measuring coating quality |
US6083363A (en) | 1997-07-02 | 2000-07-04 | Tokyo Electron Limited | Apparatus and method for uniform, low-damage anisotropic plasma processing |
US5978074A (en) | 1997-07-03 | 1999-11-02 | Therma-Wave, Inc. | Apparatus for evaluating metalized layers on semiconductors |
US5798837A (en) | 1997-07-11 | 1998-08-25 | Therma-Wave, Inc. | Thin film optical measurement system and method with calibrating ellipsometer |
JP2985838B2 (ja) * | 1997-07-18 | 1999-12-06 | 日本電気株式会社 | 薄膜トランジスタアレイ基板の製造方法 |
US5959812A (en) | 1997-07-25 | 1999-09-28 | Imation Corp. | Fringe field compensation system for multi-track servo recording head |
US6072320A (en) | 1997-07-30 | 2000-06-06 | Verkuil; Roger L. | Product wafer junction leakage measurement using light and eddy current |
US5982482A (en) | 1997-07-31 | 1999-11-09 | Massachusetts Institute Of Technology | Determining the presence of defects in thin film structures |
US6191605B1 (en) | 1997-08-18 | 2001-02-20 | Tom G. Miller | Contactless method for measuring total charge of an insulating layer on a substrate using corona charge |
US6201601B1 (en) | 1997-09-19 | 2001-03-13 | Kla-Tencor Corporation | Sample inspection system |
US6134011A (en) * | 1997-09-22 | 2000-10-17 | Hdi Instrumentation | Optical measurement system using polarized light |
JPH11102851A (ja) * | 1997-09-26 | 1999-04-13 | Mitsubishi Electric Corp | アライメント補正方法及び半導体装置の製造方法 |
US5914784A (en) | 1997-09-30 | 1999-06-22 | International Business Machines Corporation | Measurement method for linewidth metrology |
US5973864A (en) | 1997-10-21 | 1999-10-26 | Trustees Of Princeton University | High-finesse optical resonator for cavity ring-down spectroscopy based upon Brewster's angle prism retroreflectors |
US5963329A (en) * | 1997-10-31 | 1999-10-05 | International Business Machines Corporation | Method and apparatus for measuring the profile of small repeating lines |
US6060715A (en) | 1997-10-31 | 2000-05-09 | Applied Materials, Inc. | Method and apparatus for ion beam scanning in an ion implanter |
US5973323A (en) | 1997-11-05 | 1999-10-26 | Kla-Tencor Corporation | Apparatus and method for secondary electron emission microscope |
US6301011B1 (en) * | 1997-11-07 | 2001-10-09 | Xerox Corporation | Dynamic plug and play interface for output device |
JP3274396B2 (ja) * | 1997-11-07 | 2002-04-15 | 株式会社東芝 | パターン測定方法 |
US5877861A (en) * | 1997-11-14 | 1999-03-02 | International Business Machines Corporation | Method for overlay control system |
US6256092B1 (en) * | 1997-11-28 | 2001-07-03 | Hitachi, Ltd. | Defect inspection apparatus for silicon wafer |
JPH11241908A (ja) * | 1997-12-03 | 1999-09-07 | Canon Inc | 位置検出装置及びそれを用いたデバイスの製造方法 |
US5866437A (en) * | 1997-12-05 | 1999-02-02 | Advanced Micro Devices, Inc. | Dynamic process window control using simulated wet data from current and previous layer data |
US5936734A (en) * | 1997-12-23 | 1999-08-10 | J.A. Woollam Co. Inc. | Analysis of partially polarized electromagnetic radiation in ellipsometer and polarimeter systems |
US6175645B1 (en) * | 1998-01-22 | 2001-01-16 | Applied Materials, Inc. | Optical inspection method and apparatus |
JP3744176B2 (ja) * | 1998-01-30 | 2006-02-08 | 株式会社Sumco | 半導体ウェーハの検査方法およびその装置 |
US5985497A (en) | 1998-02-03 | 1999-11-16 | Advanced Micro Devices, Inc. | Method for reducing defects in a semiconductor lithographic process |
US6112697A (en) | 1998-02-19 | 2000-09-05 | Micron Technology, Inc. | RF powered plasma enhanced chemical vapor deposition reactor and methods |
US6108087A (en) | 1998-02-24 | 2000-08-22 | Kla-Tencor Corporation | Non-contact system for measuring film thickness |
IL123575A (en) | 1998-03-05 | 2001-08-26 | Nova Measuring Instr Ltd | Method and apparatus for alignment of a wafer |
US6483580B1 (en) * | 1998-03-06 | 2002-11-19 | Kla-Tencor Technologies Corporation | Spectroscopic scatterometer system |
FR2776375B1 (fr) * | 1998-03-18 | 2000-05-12 | Sgs Thomson Microelectronics | Methode de caracterisation d'un processus d'implantation ionique |
US6476920B1 (en) | 1998-03-18 | 2002-11-05 | Nova Measuring Instruments, Ltd. | Method and apparatus for measurements of patterned structures |
US6208751B1 (en) | 1998-03-24 | 2001-03-27 | Applied Materials, Inc. | Cluster tool |
US6199157B1 (en) | 1998-03-30 | 2001-03-06 | Applied Materials, Inc. | System, method and medium for managing information |
US5917594A (en) | 1998-04-08 | 1999-06-29 | Kla-Tencor Corporation | Spectroscopic measurement system using an off-axis spherical mirror and refractive elements |
US6077756A (en) * | 1998-04-24 | 2000-06-20 | Vanguard International Semiconductor | Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing |
US5935397A (en) | 1998-04-30 | 1999-08-10 | Rockwell Semiconductor Systems, Inc. | Physical vapor deposition chamber |
US6020957A (en) | 1998-04-30 | 2000-02-01 | Kla-Tencor Corporation | System and method for inspecting semiconductor wafers |
US6248988B1 (en) * | 1998-05-05 | 2001-06-19 | Kla-Tencor Corporation | Conventional and confocal multi-spot scanning optical microscope |
US6080287A (en) | 1998-05-06 | 2000-06-27 | Tokyo Electron Limited | Method and apparatus for ionized physical vapor deposition |
US6101971A (en) | 1998-05-13 | 2000-08-15 | Axcelis Technologies, Inc. | Ion implantation control using charge collection, optical emission spectroscopy and mass analysis |
US6049220A (en) * | 1998-06-10 | 2000-04-11 | Boxer Cross Incorporated | Apparatus and method for evaluating a wafer of semiconductor material |
US6054868A (en) * | 1998-06-10 | 2000-04-25 | Boxer Cross Incorporated | Apparatus and method for measuring a property of a layer in a multilayered structure |
IL125338A0 (en) * | 1998-07-14 | 1999-03-12 | Nova Measuring Instr Ltd | Method and apparatus for monitoring and control of photolithography exposure and processing tools |
US6025918A (en) | 1998-07-07 | 2000-02-15 | Brown University Research Foundation | Apparatus and method for measurement of the mechanical properties and electromigration of thin films |
US6038026A (en) * | 1998-07-07 | 2000-03-14 | Brown University Research Foundation | Apparatus and method for the determination of grain size in thin films |
US6052188A (en) * | 1998-07-08 | 2000-04-18 | Verity Instruments, Inc. | Spectroscopic ellipsometer |
US5943122A (en) | 1998-07-10 | 1999-08-24 | Nanometrics Incorporated | Integrated optical measurement instruments |
IL125337A0 (en) * | 1998-07-14 | 1999-03-12 | Nova Measuring Instr Ltd | Method and apparatus for lithography monitoring and process control |
EP0973069A3 (en) * | 1998-07-14 | 2006-10-04 | Nova Measuring Instruments Limited | Monitoring apparatus and method particularly useful in photolithographically processing substrates |
US6193199B1 (en) * | 1998-07-15 | 2001-02-27 | Nanomotion, Inc. | Sample stage including a slider assembly |
US6128089A (en) * | 1998-07-28 | 2000-10-03 | International Business Machines Corporation | Combined segmented and nonsegmented bar-in-bar targets |
US6404048B2 (en) * | 1998-09-03 | 2002-06-11 | Micron Technology, Inc. | Heat dissipating microelectronic package |
US6204917B1 (en) * | 1998-09-22 | 2001-03-20 | Kla-Tencor Corporation | Backside contamination inspection device |
US6194718B1 (en) | 1998-09-23 | 2001-02-27 | Applied Materials, Inc. | Method for reducing aliasing effects in scanning beam microscopy |
US6122046A (en) | 1998-10-02 | 2000-09-19 | Applied Materials, Inc. | Dual resolution combined laser spot scanning and area imaging inspection |
JP2002528895A (ja) | 1998-10-20 | 2002-09-03 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 表面に格子と少なくとも部分的に凹部形状のオキサイドパターンとを備えているシリコン基体に半導体装置を製造する方法 |
US6188478B1 (en) * | 1998-10-21 | 2001-02-13 | Philips Electronics North America Corporation | Method and apparatus for film-thickness measurements |
US6159073A (en) | 1998-11-02 | 2000-12-12 | Applied Materials, Inc. | Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing |
US6157032A (en) * | 1998-11-04 | 2000-12-05 | Schlumberger Technologies, Inc. | Sample shape determination by measurement of surface slope with a scanning electron microscope |
JP2000227326A (ja) * | 1998-12-02 | 2000-08-15 | Nikon Corp | 平坦度測定装置 |
US6031614A (en) * | 1998-12-02 | 2000-02-29 | Siemens Aktiengesellschaft | Measurement system and method for measuring critical dimensions using ellipsometry |
US6174743B1 (en) * | 1998-12-08 | 2001-01-16 | Advanced Micro Devices, Inc. | Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines |
DE19859877A1 (de) * | 1998-12-23 | 2000-06-29 | Robert Magerle | Nanotomographie |
US6124924A (en) | 1998-12-24 | 2000-09-26 | Applied Materials, Inc. | Focus error correction method and apparatus |
US6256097B1 (en) | 1999-01-08 | 2001-07-03 | Rudolph Technologies, Inc. | Ellipsometer and ellipsometry method |
US6190234B1 (en) | 1999-01-25 | 2001-02-20 | Applied Materials, Inc. | Endpoint detection with light beams of different wavelengths |
US6179709B1 (en) * | 1999-02-04 | 2001-01-30 | Applied Materials, Inc. | In-situ monitoring of linear substrate polishing operations |
US6184984B1 (en) * | 1999-02-09 | 2001-02-06 | Kla-Tencor Corporation | System for measuring polarimetric spectrum and other properties of a sample |
US6791310B2 (en) * | 1999-03-15 | 2004-09-14 | Therma-Wave, Inc. | Systems and methods for improved metrology using combined optical and electrical measurements |
US6084679A (en) | 1999-04-02 | 2000-07-04 | Advanced Micro Devices, Inc. | Universal alignment marks for semiconductor defect capture and analysis |
US6198529B1 (en) * | 1999-04-30 | 2001-03-06 | International Business Machines Corporation | Automated inspection system for metallic surfaces |
US6268916B1 (en) * | 1999-05-11 | 2001-07-31 | Kla-Tencor Corporation | System for non-destructive measurement of samples |
US6407373B1 (en) | 1999-06-15 | 2002-06-18 | Applied Materials, Inc. | Apparatus and method for reviewing defects on an object |
US6922482B1 (en) | 1999-06-15 | 2005-07-26 | Applied Materials, Inc. | Hybrid invariant adaptive automatic defect classification |
JP2001143982A (ja) * | 1999-06-29 | 2001-05-25 | Applied Materials Inc | 半導体デバイス製造のための統合臨界寸法制御 |
US6381009B1 (en) * | 1999-06-29 | 2002-04-30 | Nanometrics Incorporated | Elemental concentration measuring methods and instruments |
US6258610B1 (en) * | 1999-07-02 | 2001-07-10 | Agere Systems Guardian Corp. | Method analyzing a semiconductor surface using line width metrology with auto-correlation operation |
US6776692B1 (en) | 1999-07-09 | 2004-08-17 | Applied Materials Inc. | Closed-loop control of wafer polishing in a chemical mechanical polishing system |
US6466895B1 (en) | 1999-07-16 | 2002-10-15 | Applied Materials, Inc. | Defect reference system automatic pattern classification |
US6250143B1 (en) * | 1999-07-16 | 2001-06-26 | Agere Systems Guardian Corp. | Method of mapping a surface using a probe for stylus nanoprofilometry having a non-circular cross-section |
US6763130B1 (en) | 1999-07-21 | 2004-07-13 | Applied Materials, Inc. | Real time defect source identification |
US7069101B1 (en) | 1999-07-29 | 2006-06-27 | Applied Materials, Inc. | Computer integrated manufacturing techniques |
US6212691B1 (en) | 1999-08-04 | 2001-04-10 | Michael S. Heberer | Portable urinal for tree stand or other elevated platform |
US6478931B1 (en) * | 1999-08-06 | 2002-11-12 | University Of Virginia Patent Foundation | Apparatus and method for intra-layer modulation of the material deposition and assist beam and the multilayer structure produced therefrom |
US6266144B1 (en) * | 1999-08-26 | 2001-07-24 | Taiwan Semiconductor Manufacturing Company | Stepper and scanner new exposure sequence with intra-field correction |
US6521891B1 (en) | 1999-09-03 | 2003-02-18 | Applied Materials, Inc. | Focusing method and system |
US6545275B1 (en) | 1999-09-03 | 2003-04-08 | Applied Materials, Inc. | Beam evaluation |
US6466315B1 (en) | 1999-09-03 | 2002-10-15 | Applied Materials, Inc. | Method and system for reticle inspection by photolithography simulation |
US6707544B1 (en) | 1999-09-07 | 2004-03-16 | Applied Materials, Inc. | Particle detection and embedded vision system to enhance substrate yield and throughput |
US6099705A (en) | 1999-09-08 | 2000-08-08 | United Microelectronics Corp. | Physical vapor deposition device for forming a uniform metal layer on a semiconductor wafer |
US6268093B1 (en) | 1999-10-13 | 2001-07-31 | Applied Materials, Inc. | Method for reticle inspection using aerial imaging |
AU1549301A (en) * | 1999-11-25 | 2001-06-04 | Olympus Optical Co., Ltd. | Defect inspection data processing system |
US6433541B1 (en) * | 1999-12-23 | 2002-08-13 | Kla-Tencor Corporation | In-situ metalization monitoring using eddy current measurements during the process for removing the film |
US6472238B1 (en) * | 2000-02-09 | 2002-10-29 | Therma-Wave, Inc. | Evaluation of etching processes in semiconductors |
US6262600B1 (en) * | 2000-02-14 | 2001-07-17 | Analog Devices, Inc. | Isolator for transmitting logic signals across an isolation barrier |
US6408048B2 (en) * | 2000-03-14 | 2002-06-18 | Therma-Wave, Inc. | Apparatus for analyzing samples using combined thermal wave and X-ray reflectance measurements |
JP2003532306A (ja) * | 2000-05-04 | 2003-10-28 | ケーエルエー・テンコール・テクノロジーズ・コーポレーション | リソグラフィ・プロセス制御のための方法およびシステム |
WO2001086698A2 (en) * | 2000-05-10 | 2001-11-15 | Kla-Tencor, Inc. | Method and system for detecting metal contamination on a semiconductor wafer |
US6462817B1 (en) * | 2000-05-12 | 2002-10-08 | Carlos Strocchia-Rivera | Method of monitoring ion implants by examination of an overlying masking material |
WO2001097245A2 (en) * | 2000-06-15 | 2001-12-20 | Kla-Tencor, Inc. | Sectored magnetic lens and method of use |
US6462818B1 (en) | 2000-06-22 | 2002-10-08 | Kla-Tencor Corporation | Overlay alignment mark design |
US6532070B1 (en) * | 2000-07-17 | 2003-03-11 | Therma-Wave, Inc. | Method for determining ion concentration and energy of shallow junction implants |
WO2002015238A2 (en) * | 2000-08-11 | 2002-02-21 | Sensys Instruments Corporation | Device and method for optical inspection of semiconductor wafer |
US6486954B1 (en) * | 2000-09-01 | 2002-11-26 | Kla-Tencor Technologies Corporation | Overlay alignment measurement mark |
US6673637B2 (en) * | 2000-09-20 | 2004-01-06 | Kla-Tencor Technologies | Methods and systems for determining a presence of macro defects and overlay of a specimen |
US6919957B2 (en) * | 2000-09-20 | 2005-07-19 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen |
US7196782B2 (en) * | 2000-09-20 | 2007-03-27 | Kla-Tencor Technologies Corp. | Methods and systems for determining a thin film characteristic and an electrical property of a specimen |
US6694284B1 (en) * | 2000-09-20 | 2004-02-17 | Kla-Tencor Technologies Corp. | Methods and systems for determining at least four properties of a specimen |
US6304999B1 (en) * | 2000-10-23 | 2001-10-16 | Advanced Micro Devices, Inc. | Method and apparatus for embedded process control framework in tool systems |
US7072034B2 (en) * | 2001-06-08 | 2006-07-04 | Kla-Tencor Corporation | Systems and methods for inspection of specimen surfaces |
US6819426B2 (en) * | 2001-02-12 | 2004-11-16 | Therma-Wave, Inc. | Overlay alignment metrology using diffraction gratings |
WO2002070985A1 (en) | 2001-03-02 | 2002-09-12 | Accent Optical Technologies, Inc. | Line profile asymmetry measurement using scatterometry |
US6650422B2 (en) * | 2001-03-26 | 2003-11-18 | Advanced Micro Devices, Inc. | Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith |
US6383824B1 (en) * | 2001-04-25 | 2002-05-07 | Advanced Micro Devices, Inc. | Method of using scatterometry measurements to control deposition processes |
DE10120701A1 (de) * | 2001-04-27 | 2002-10-31 | Infineon Technologies Ag | Verfahren zur Steuerung eines Prozeßgerätes zur sequentiellen Verarbeitung von Halbleiterwafern |
US20020192577A1 (en) | 2001-06-15 | 2002-12-19 | Bernard Fay | Automated overlay metrology system |
US6458605B1 (en) * | 2001-06-28 | 2002-10-01 | Advanced Micro Devices, Inc. | Method and apparatus for controlling photolithography overlay registration |
US6597447B1 (en) * | 2001-07-31 | 2003-07-22 | Advanced Micro Devices, Inc. | Method and apparatus for periodic correction of metrology data |
US6670808B2 (en) * | 2001-08-27 | 2003-12-30 | General Electric Company | Self reference eddy current probe, measurement system, and measurement method |
US6451621B1 (en) * | 2002-01-16 | 2002-09-17 | Advanced Micro Devices, Inc. | Using scatterometry to measure resist thickness and control implant |
US7236847B2 (en) * | 2002-01-16 | 2007-06-26 | Kla-Tencor Technologies Corp. | Systems and methods for closed loop defect reduction |
US7359045B2 (en) * | 2002-05-06 | 2008-04-15 | Applied Materials, Israel, Ltd. | High speed laser scanning inspection system |
US6985229B2 (en) * | 2002-05-30 | 2006-01-10 | Agere Systems, Inc. | Overlay metrology using scatterometry profiling |
US20040207836A1 (en) * | 2002-09-27 | 2004-10-21 | Rajeshwar Chhibber | High dynamic range optical inspection system and method |
US6950190B2 (en) * | 2003-01-09 | 2005-09-27 | Therma-Wave, Inc. | Scatterometry for junction metrology |
JP2005150124A (ja) * | 2003-11-11 | 2005-06-09 | Matsushita Electric Ind Co Ltd | 半導体製造装置 |
US7200498B2 (en) * | 2004-05-26 | 2007-04-03 | Texas Instruments Incorporated | System for remediating cross contamination in semiconductor manufacturing processes |
-
2001
- 2001-09-20 US US09/956,844 patent/US7196782B2/en not_active Expired - Fee Related
- 2001-09-20 JP JP2002529821A patent/JP5789353B2/ja not_active Expired - Lifetime
- 2001-09-20 AU AU2001295060A patent/AU2001295060A1/en not_active Abandoned
- 2001-09-20 US US09/956,852 patent/US6633831B2/en not_active Expired - Lifetime
- 2001-09-20 US US09/956,836 patent/US6891610B2/en not_active Expired - Fee Related
- 2001-09-20 US US09/956,841 patent/US6950196B2/en not_active Expired - Lifetime
- 2001-09-20 US US09/956,847 patent/US6917433B2/en not_active Expired - Fee Related
- 2001-09-20 US US09/956,835 patent/US7006235B2/en not_active Expired - Lifetime
- 2001-09-20 US US09/956,846 patent/US6806951B2/en not_active Expired - Lifetime
- 2001-09-20 US US09/956,840 patent/US6946394B2/en not_active Expired - Lifetime
- 2001-09-20 US US09/956,834 patent/US7139083B2/en not_active Expired - Fee Related
- 2001-09-20 US US09/956,843 patent/US20020190207A1/en not_active Abandoned
- 2001-09-20 US US09/956,837 patent/US6917419B2/en not_active Expired - Lifetime
- 2001-09-20 WO PCT/US2001/042251 patent/WO2002025708A2/en active Application Filing
- 2001-09-20 EP EP01975770A patent/EP1319244A1/en not_active Withdrawn
- 2001-09-20 US US09/957,450 patent/US6829559B2/en not_active Expired - Fee Related
-
2003
- 2003-09-24 US US10/670,183 patent/US20040073398A1/en not_active Abandoned
-
2004
- 2004-10-26 US US10/974,050 patent/US7460981B2/en not_active Expired - Fee Related
-
2014
- 2014-03-10 JP JP2014046769A patent/JP5980828B2/ja not_active Expired - Lifetime
-
2016
- 2016-03-01 JP JP2016038880A patent/JP2016122860A/ja active Pending
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101922973B1 (ko) | 2017-01-25 | 2018-11-28 | (주)엘립소테크놀러지 | 4-반사경을 적용한 마이크로 스폿 분광 타원계 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5980828B2 (ja) | 半導体製造プロセスのための方法とシステム | |
US6818459B2 (en) | Methods and systems for determining a presence of macro defects and overlay of a specimen | |
US6919957B2 (en) | Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen | |
US6694284B1 (en) | Methods and systems for determining at least four properties of a specimen | |
US6782337B2 (en) | Methods and systems for determining a critical dimension an a presence of defects on a specimen | |
US6891627B1 (en) | Methods and systems for determining a critical dimension and overlay of a specimen | |
US6812045B1 (en) | Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation | |
US7130029B2 (en) | Methods and systems for determining an adhesion characteristic and a thickness of a specimen | |
US7349090B2 (en) | Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080922 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120329 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120403 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120703 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20120731 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20131210 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20131213 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140110 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140116 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140210 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140214 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140310 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140310 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150105 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150130 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150302 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150330 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150803 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5789353 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |