JP2001298023A - 非常に低い誘電率プラズマ強化cvd膜 - Google Patents

非常に低い誘電率プラズマ強化cvd膜

Info

Publication number
JP2001298023A
JP2001298023A JP2001010570A JP2001010570A JP2001298023A JP 2001298023 A JP2001298023 A JP 2001298023A JP 2001010570 A JP2001010570 A JP 2001010570A JP 2001010570 A JP2001010570 A JP 2001010570A JP 2001298023 A JP2001298023 A JP 2001298023A
Authority
JP
Japan
Prior art keywords
bis
silicon
furan
layer
ether
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001010570A
Other languages
English (en)
Other versions
JP4918190B2 (ja
Inventor
Robert P Mandal
ピー. マンダル ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001298023A publication Critical patent/JP2001298023A/ja
Application granted granted Critical
Publication of JP4918190B2 publication Critical patent/JP4918190B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249967Inorganic matrix in void-containing component
    • Y10T428/249969Of silicon-containing material [e.g., glass, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249976Voids specified as closed
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249978Voids specified as micro
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249994Composite having a component wherein a constituent is liquid or is contained within preformed walls [e.g., impregnant-filled, previously void containing component, etc.]

Abstract

(57)【要約】 (修正有) 【課題】 気相プラズマ強化反応によって、ナノ多孔質
低誘電率膜を堆積するための方法を提供する。 【解決手段】 堆積された酸化シリコン系膜がアニーリ
ングされて、低密度構造を有するナノ多孔質酸化シリコ
ン系膜の中に保留する分散微細ボイドを形成する。ナノ
多孔質酸化シリコン系膜402は、ライナまたはキャッ
プ層の有無にもかかわらず、金属ライン間での層形成に
役立つ。ナノ多孔質酸化シリコン系膜は、デュアルダマ
シン構造を製造するための金属間誘電層として用いられ
てもよい。好ましいナノ多孔質酸化シリコン系膜は、メ
チルシリル-1,4-ジオキシニルエーテル或いはメチルシ
ロキサニルフラン及び2,4,6-トリシラオキサン或いはシ
クロ-1,3,5,7-テトラシリレン-2,6-ジオキシ-4,8-ジメ
チレンを、亜酸化窒素或いは酸素と反応させて、続い
て、徐々に上昇する温度でキュアリング/アニーリング
を行うことによって、製造される。

Description

【発明の詳細な説明】
【0001】(発明の分野)本発明は、集積回路の製造
に関する。本発明は、特に、基板上に誘電層を堆積する
ためのプロセスに関する。
【0002】(発明の背景)現代の半導体デバイスの製
造における主要なステップの1つは、ガスの化学反応に
よって、基板上に金属及び誘電膜を形成することであ
る。このような堆積プロセスは、化学気相堆積またはC
VDと呼ばれる。従来の熱CVDプロセスは、基板表面に
反応ガスを供給して、そこで、熱誘導化学反応が発生
し、所望の膜を生成する。幾つかの熱CVDプロセスが稼
動する高温は、予め基板上に形成された層を有するデバ
イス構造に損害を与えることができる。比較的低い温度
で、金属及び誘電膜を堆積する好ましいた方法は、アメ
リカ特許第.5,362,526号(発明の名称:「酸化シリコン
を堆積するためのTEOSを用いるプラズマ強化CVDプロセ
ス」)に記載されているようなプラズマ強化CVD(PEC
VD)技術であり、上記特許が参考としてここに取り込ま
れる。プラズマ強化CVD技術は、基板表面付近の反応ゾ
ーンに高周波(RF)エネルギーを印加することによっ
て、反応ガスの励起及び/或は解離を促進し、それによ
って、高い反応性種のプラズマを生成する。放出された
種の高い反応性は、化学反応の発生に必要なエネルギー
を減少し、よって、このようなPECVDプロセスの必要温
度を低下させる。
【0003】半導体デバイスの形状寸法は、数十年前に
そのようなデバイスが初めて導入された時から、そのサ
イズが劇的に減小していた。それ以来、集積回路はほ
ぼ、2年/ハーフサイズの法則(Moorの法則と呼ば
れることが多い)に従ってきたが、この意味は、チップ
上に嵌め込まれるデバイスの数が2年ごとに倍になると
いうことである。現在の製造プラントは、0.35μm、さ
らに0.25μmのフィーチャを有するデバイスを日常的に
製造しており、近い将来に、さらに小さな形状寸法を持
つデバイスを生産するだろう。
【0004】集積回路上のデバイスのサイズをさらに減
小するために、低抵抗率を有する導電材料及び低k(誘
電率<2.5)を有する絶縁体を用いて、隣接する金属ラ
イン間の容量結合を減少することが必要となってきた。
1999年8月17日に公開された国際公開第WO99/414
23号に記載されているように、湿気のような副産物が導
電材料上に拡散するのを防ぐために、ライナ/バリヤ層
が導電材料と絶縁体との間に用いられていた。例えば、
低k絶縁体の形成中に生成されることができる湿気は、
導電金属の表面に拡散しやすく、導電金属表面の抵抗率
を増大させる。有機シリコン或は窒化オルガノシラン材
料から形成されるバリヤ/ライナ層は、副産物の拡散を
ブロックすることができる。しかし、バリヤ/ライナ層
は、典型的に、約2.5よりも大きな誘電率を有し、この
高い誘電率によって、誘電率が有意義に減少されていな
い組合せ絶縁体を結果としてもたらす。
【0005】Fig.1A-1Eは、国際公開第WO 99/41423号で
記載されているような、酸化されたオルガノシラン或は
オルガノシロキサンポリマーのPECVDライニング層2を堆
積するための三層堆積PECVDプロセスを図示する。ライ
ニング層2は、次の層7と下にある基板表面6及び基板表
面上に形成された金属層8、9、10との間の絶縁層として
作用する。層7は、酸化オルガノシラン或はオルガノシ
ロキサンポリマーのPECVDキャッピング層12によって、
キャッピングされる。PECVDプロセスは、多組成(multi-
component)誘電層を堆積し、そこで、二酸化シリコン
(SiO2)を含む炭素が、まず、基板表面6上に形成され
た金属ライン8、9、10を有するパターン化された金属層
の上に堆積される。
【0006】図1Aに参照すると、PECVDライニング層2
は、アルゴンのような不活性ガスの存在下で、約-20℃
から40℃の温度で、メチルシラン(CH3SiH3)のような
オルガノシラン或はオルガノシロキサン化合物と、N2O
のような酸化用ガスとのプラズマ強化反応によって、堆
積される。その後、酸化されたオルガノシラン或はオル
ガノシロキサン層がキュアリングされる。(約2000Å/
分で)堆積されたPECVDライニング層は、図1Bに示され
る次の層7の堆積に対して、改善されたバリヤ特性を有
する。メチルシランから得られるライニング層は、疎水
性であるのに十分なC-H結合を有し、優れた防湿バリヤ
である。低K誘電層7は、その後、層7の堆積中に、200
℃以下の温度、約0.2から約0.5Torrの圧力で、シラン化
合物と過酸化水素(H2O2)との反応によって、ライナ層
2の上に堆積される。層7は、図1Cに示されるように、部
分的にキュアリングされて、図1Dに示されるようなカッ
プ層12の堆積の前に、水のような溶剤を除去してもよ
い。キュアリングは、10Torr下の不活性ガス雰囲気で反
応をポンピングダウン(pumping down)することによっ
て、行われる。
【0007】窒化シリコン(SiN))のような従来のラ
イナ層は、酸化シリコンより高い誘電率を有し、高k誘
電体ライナ層と低k誘電層との組み合せは、スタック全
体の誘電率及び容量結合に対して、僅かな改良しか或は
改良を提供していない。図1Dを参照すると、層7の堆積
の後、オプショナルキャッピング層12が、オルガノシラ
ン或はオルガノシロキサン化合物とN2Oのような酸化用
ガスとのプラズマ強化反応によって、低k誘電層7の上に
堆積されてもよい。図1Eを参照すると、キャッピング層
(もしあれば)の堆積の後、堆積された層が、炉或は他
のチャンバの中でキュアリングされて、残留の溶剤或は
水を除去する。キャッピング層12は、また、よいバリヤ
特性を有し且つ約3.0の誘電特性を有する酸化オルガノ
シラン或はオルガノシロキサン膜である。ライナ層2及
びキャップ層12が、両者とも3.0よりも大きい誘電率を
有し、高誘電率層が、低k誘電層7の利益を実質的にそこ
なう。
【0008】デバイスがより小さくなるにつれ、比較的
高誘電率を有するライナ層及びキャップ層が、多組成誘
電層の全体誘電率により多く寄与する。さらに、より小
さいなデバイス形状寸法は、デバイス間の寄生キャパシ
タンスにおける増加を結果としてもたらす。回路におけ
る同一の或は隣接する層の上にある金属相互接続(inter
connect)の間の寄生キャパシタンスが、金属ライン或は
配線間のクロストーク、及び/或はレジスタンスキャパ
シタンス(RC)遅延を引き起こし、それによって、デバ
イスの応答時間を減小し、デバイスの全体性能を低下さ
せる。現在技術の回路が4から5レベルの相互配線を使
用しており、次世代のデバイスが6、7またはおそらく8
レベルの相互配線を必要とする可能性があるので、回路
における同一の或は隣接する層の上にある金属相互配線
間の寄生キャパシタンスの影響は、特に、心配事であ
る。
【0009】誘電体材料によって分離される金属相互配
線の間の寄生キャパシタンスを低下させることは、誘電
体材料の厚さを増加すること、或は誘電体材料の誘電率
を低下させることのいずれかによって、完成されること
ができる。しかし、誘電体材料の厚さを増加すること
は、同じメタライゼッド層或は平面内の寄生キャパシタ
ンスに影響を与えない。結果として、同じ或は隣接する
層における金属相互配線間の寄生キャパシタンスを減小
するために、金属ライン或は相互配線間に用いられる材
料を、現在に用いられる材料の誘電率(即ち、k≒3.0)
よりも低い誘電率を有する材料に変えなければならな
い。
【0010】従って、よい付着特性を有し、約2.5以下
の誘電率を持つ誘電層に対する必要が残されている。
【0011】(発明の概要)本発明は、低誘電率を持つ
ナノ多孔質((nano-porous)酸化シリコンを堆積するため
の方法を提供する。ナノ多孔質酸化シリコン層が、さら
に熱的不安定な有機基を含むシリコン/酸素含有材料を
堆積して、堆積されたシリコン/酸素含有材料に制御さ
れたアニーリングを行って、酸化シリコン層の中に均一
に分散する微細なガスポケット或はボイドを形成するこ
とによって、生産される。酸化シリコン層に対する微細
なガスポケットの相対体積が、低誘電率を提供するクロ
ーズドセルフォーム(closed cell foam)構造を維持する
ように、制御される。シリコン/酸素材料が、少なくと
も1つの酸化可能なシリコン含有成分と、少なくとも1
つの熱不安定基を有する非シリコン含有成分とを含む1
つ以上の化合物のプラズマ強化化学気相堆積によって、
堆積される。反応性化合物或は混合物における不安定基
は、堆積された酸化シリコン層がアニーリングされる
時、膜から放出しボイドを残す気体生成物に変換するの
に、充分の酸素を含む。
【0012】酸化可能なシリコン含有成分が、同じ分子
における熱不安定基を有する非シリコン含有成分から分
離されることができる。制御アニーリング下で、ナノ多
孔質酸化シリコン系層を形成する成分の好ましいシリコ
ン−酸素リガンドは、メチルシロキシ(CH3-SiH2-O-)
或はジメチルシロキシ(CH3)2-SiH-O-)基を含む。シリ
コン成分と共に化合物を形成する非シリコン含有成分
が、好ましく、ジオキシニル(-(-CH=CH-O-CH=CH-O
-))、フリル(-(-CH=CH-CH=CH-O-)、フルベニル(-(-C
H=CH-CH=CH-C(CH2)-)-)、或はそれらのフッ素化炭素誘
導体基を含む多重不飽和シクロアルケン成分である。こ
れらの成分から形成された好ましい化合物は、メチルシ
リル-1,4-ジオキシニルエーテル或はメチルシロキサニ
ルフランを含む。2,4,6-トリシラオキサン及びシクロ-
1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレンを
用いるボイドの形成は、それらの非平面リング構造によ
って、強化される。
【0013】このような化合物は、酸化用ガスと反応し
て、約50℃以下の温度で不安定な有機基の多くを保持す
るシリコン/酸素含有材料を形成する。反応化合物を、
ビニル-1,4-ジオキシニルエーテル、ビニルフリルエー
テル、ビニル-1,4-ジオキシン、ビニルフラン、メチル
フロエテ、フリルホルマート、フリルアセテート、フル
アルデヒド、ジフリルケトン、ジフリルエーテル、ジフ
ルフリルエーテル、フラン、1,4-ジオキシン、これらの
フッ素化誘導体、及びそれらの組み合せのような1つ以
上の不安定基を含む非シリコン含有成分と混合すること
によって、不安定基の量を増加させることができる。非
シリコン含有成分は、代りに、メチルシラン、ジメチル
シラン、1,1,3,3-テトラメチルジシロキサン、1,1,5,5-
テトラメチルトリシロキサン、ジシラノメタン、及びそ
れらのフッ素化炭素誘導体のような熱的に不安定な有機
基を含まない反応性シリコン含有材料と混合することが
できる。
【0014】シリコン/酸素含有材料は、好ましく、N2
O、O2、O3、CO2及びそれらの組み合せからなる群から選
ばれる酸化用ガスにおいて、10から250WからのRFパワー
レベルでプラズマを撃ち出すことによって、堆積され
る。堆積されたシリコン/酸素含有材料は、その後、徐
々に上昇する温度プロファイルでアニーリングされて、
不安定な有機基を、好ましくクローズドセルフォーム構
造に起因すると考えられる低誘電率を有するナノ多孔質
酸化シリコン層の中に分散するガスポケットに変換す
る。アニーリングは、好ましく、堆積された材料の温度
を約350℃から約400℃に上昇する。
【0015】好ましい実施例では、1つ以上の反応性シ
リコン含有化合物のプラズマ補助(plasma assisted)反
応によって、パターン化された金属層の上に堆積された
PECVD酸化シリコン、窒化シリコン、酸窒化シリコン、
或は水素化された炭化シリコンバリヤ層の上に、本発明
のナノ多孔質酸化シリコン層が堆積される。ナノ多孔質
酸化シリコン層が、その後、同じチャンバの中で、或は
付近のクラスタツールプロセスチャンバの中で堆積され
る。上記のようなアニーリングの後に、ナノ多孔質酸化
シリコンが、同じチャンバの中で或は付近のクラスタツ
ールプロセスチャンバの中で、PECVD酸化シリコン、窒
化シリコン、酸窒化シリコン或は水素化された炭化シリ
コンによって、キャッピングされる。ライナ及びキャッ
プ層は、ナノ多孔質酸化シリコン層を保護するバリヤの
役目を果たす。
【0016】このように、上記の本発明の特徴、利点及
び目的が達成されて、且つ詳細に理解されことができる
が、上記のように簡単に説明された本発明の更なる詳細
な記載が、添付図面に示される実施例を参照して、与え
られるだろう。
【0017】しかし、添付図面は、本発明の典型的な実
施例のみを示し、本発明がこれに限定されなく、他の同
等の有効な実施例を認めることを注意すべき。
【0018】本発明の更なる理解のために、以下の詳細
な記載を参照すべき。
【0019】(好ましい実施例の記載)本発明は、低誘
電率を有するナノ多孔質酸化シリコンを堆積するための
方法を提供する。ナノ多孔質酸化シリコン層が、熱的に
不安定な有機基を選択的に含むシリコン/酸素含有材料
のプラズマ強化(PECVD)或はマイクロ波強化化学気相
堆積、及び酸化シリコン層に均一に分散する微細なガス
ポケットを形成するために行われる堆積されたシリコン
/酸素含有材料の制御アニーリングによって、生成され
る。酸化シリコン層に対する微細なガスポケットの相対
体積が、アニーリング後に、低誘電率を提供するクロー
ズドセルフォーム構造を好ましく維持するように、制御
される。ナノ多孔質酸化シリコン層は、約2.5未満、好
ましくは約2.0未満の誘電率を有する。
【0020】酸化可能なシリコン含有成分と、熱的不安
定な基を有する不飽和の非シリコン含有成分とを含む化
合物或は混合物を、酸化用ガスと反応させることによっ
て、シリコン/酸素材料が化学気相体積される。酸化用
ガスは、酸素(O2)、或は亜酸化窒素(N2O)、オゾン(O
3)及び二酸化炭素(CO2)のような酸素含有化合物であ
り、好ましくは、N2OまたはO2である。
【0021】堆積される膜において所望の炭素含有量を
達成する必要がある場合は、酸素及び酸素含有化合物
が、好ましく、分解されて反応性を増加する。酸化用化
合物の分解を増進ために、RFパワーを堆積チャンバに接
続することができる。また、シリコン含有化合物の過度
分離を減少するために、酸化用化合物が、堆積チャンバ
に進入する前に、マイクロ波チャンバの中で分離される
てもよい。酸化シリコン層の堆積は、連続或は不連続で
あることができる。堆積は、好ましく、単一の堆積チャ
ンバで発生するが、2つ以上の堆積チャンバ内に順番に
層を堆積するもできる。さらに、基板の加熱を減少し、
堆積される膜のより大きい有孔率を促進するために、RF
パワーがサイクル或はパルス化されることができる。
【0022】酸化可能なシリコン含有化合物或は混合物
の酸化可能なシリコン成分は、一般に下記の構造を含む
オルガノシラン或はオルガノシロキサン化合物を含む: 式中、各Siが少なくとも1つの水素原子に結合されて、
1つ或は2つの炭素原子に結合されてもよい。Cは、有
機基の中に、好ましくは、-CH3、-CH2-CH3、-CH2-或は-
CH2-CH2-のようなアルキルまたはアルケニル基、或はそ
れらのフッ素化誘導体の中に含まれている。オルガノシ
ラン或はオルガノシロキサン化合物は2つ以上のSi原子
を含む場合、各Siは、-O-、-C-或は-C-C-によって、他
のSiから分離されて、ここで、各ブリッジングCは、有
機基の中に、好ましくは、-CH2-、-CH2-CH2-、-CH(CH3)
-、-C(CH3)2-のようなアルキルまたはアルケニル基、或
はそれらのフッ素化誘導体の中に含まれている。好まし
いオルガノシラン及びオルガシロキサン化合物は、室温
の近くで、ガスまたは液体であって、約10Torr以上で揮
発することができる。適切なシリコン含有化合物は: メチルシラン、 CH3-SiH3 ジメチルシラン、(CH3)2-SiH2 ジシラノメタン、SiH3-CH2-SiH3 ビス(メチルシラノ)メタン、CH3-SiH2-CH2-SiH2-CH3 2,4,6-トリシラオキサン、-(-SiH2-CH2-SiH2-CH2-SiH2-
O-)-(環状) シクロ-1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメ
チレン、-(-SiH2-CH2-SiH2-O-)2-(環状)) 1,3,5-トリシラシクロヘキサン、-(-SiH2-CH2-)3-(環
状) 1,3-ジメチルジシロキサン、CH3-SiH2-O-SiH2-CH3 1,1,3,3-テトラメチルジシロキサン、(CH3)2-SiH-O-SiH
-(CH3)2 1,1,5,5-テトラメチルトリシロキサン、(CH3)2-SiH-O-S
iH2-O-SiH-(CH3)2 1,1,3,5,5-ペンタメチルトリシロキサン、(CH3)2-SiH-
O-SiH(CH3)-O-SiH-(CH3)2 及びそれらのフッ素化炭素誘導体(例えば、1,2-ジシラ
ノテトラフルオロエタン)を含む。オルガノシラン及び
オルガノシロキサンにおける炭化水素基は、部分的に或
は完全にフッ素化されて、C-H結合をC-F結合に変換して
もよい。好ましいオルガノシラン及びオルガノシロキサ
ン化合物の多くは市販されている。2つ以上のオルガノ
シラン或はオルガノシロキサンの組み合せを利用して、
誘電率、酸化物含有量、疎水性、膜応力及びプラズマエ
ッチング特徴などの所望の性質のブレンドを提供するこ
とができる。
【0023】酸化可能なシリコン成分は、熱的不安定な
基を有する不飽和の非シリコン含有(non-silicon beari
ng)成分ととも化合物を形成する場合、オルガノシラン
或はオルガノシロキサン化合物は、シリコン酸素結合と
シリコン-水素結合との両方を所有する官能基である。
結合の必要条件を有する好ましい官能基は、以下を含
む: メチルシロキシ、(CH3-SiH2-O-) ジメチルシロキシ、((CH3)2-SiH-O-)
【0024】熱的不安定な基を有する不飽和の非シリコ
ン含有成分は、プラズマ持続(plasma-sustained)酸化環
境と反応して、熱的不安定な分子を形成する特性を有
し、上記熱的不安定な分子は堆積し、その後高温にさら
される場合、熱的に分解して低沸点を有する揮発性種(s
pecies)を形成する。堆積された膜から熱的不安定な基
の揮発性種の分解及び展開は、構造にボイドを残し、構
造の密度を減小する。熱プロセスによって、堆積された
膜内に埋め込まれた化学的反応された固体材料を選択的
に除去することは、低誘電率を有する低密度膜をもたら
す。2,4,6-トリシラオキサン(2,4,6-トリシラテトラヒ
ドロピラン)及びシクロ-1,3,5,7-テトラシラノ-2,6-ジ
オキシ-4,8-ジメチレンのような幾つかの化合物を用い
るボイドの形成は、非平面リング構造: 1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレン、
-(-SiH2-CH2-SiH2-O-)2-(環状) 2,4,6-トリシラテトラヒドロピラン、 -SiH2-CH2-SiH
2-CH2-SiH2-O-(環状)) による不安定基の追加がなしで、アニーリング中に達成
される。酸化シリコン層がアニーリングされるとき、気
体生成物を形成するために、熱的不安定な有機基は十分
な酸素を含む。
【0025】酸化可能なシリコン成分が、熱的不安定な
基を有する不飽和の非シリコン含有成分とともに、化合
物を形成する場合、好ましい熱的不安定な基は、分子構
造に酸素或は窒素が組み込まれているヘテロシクロジア
ルケンを含む(2つ以上の炭素−炭素二重結合を有す
る)多重不飽和の非シリコン含有シクロアルカンであ
り、一般に、プラズマ環境の中で有利に実行する傾向が
ある。好ましい不安定な基は、以下を含む: ジオキシン、C4H4O2、-(-CH=CH-O-CH=CH-O-)−(環状) フラン、C4H4O、-(-CH=CH-CH=CH-O-)−(環状) フルベン、C6H6、-(-CH=CH-CH=CH-C(CH2)-)−(環状)
【0026】酸化可能なシリコン成分と熱的不安定な基
を含む酸化可能なシリコン含有成分は、 メチルシリル-1,4-ジオキシニルエーテル、CH3-SiH2-O-
(C4H3O2) 2-メチルシロキサニルフラン、 -(-CH=CH-CH=C(O-SiH2-
CH3)-O-)-(環状) 3-メチルシロキサニルフラン、-(-CH=CH-C(O-SiH2-CH3)
=CH-O-)-(環状) 2,5-ビス(メチルシロキシ)-1,4-ジオキシン、-(-CH=C(O
-SiH2-CH3)-O-CH=C(O-SiH2-CH3)-O-)-(環状) 3,4-ビス(メチルシロキサニル)フラン、-(-CH=C(O-SiH2
-CH3)-C(O-SiH2-CH3)=CH-O-)-(環状) 2,3-ビス(メチルシロキサニル)フラン、-(-CH=CH-C(O-S
iH2-CH3)=C(O-SiH2-CH3)-O-)-(環状) 2,4-ビス(メチルシロキサニル)フラン、-(-CH=C(O-SiH2
-CH3)-CH=C(O-SiH2-CH3)-O-)-(環状) 2,5-ビス(メチルシロキサニル)フラン、-(-C(O-SiH2-CH
3)=CH-CH=C(O-SiH2-CH3)-O-)- (環状) 1-メチルシロキサニルフルベン、-(-CH=CH-CH=CH-C(CH
(O-SiH2-CH3))-)- (環状) 2-メチルシロキサニルフルベン、-(-CH=CH-CH=CH-C(C
H2)(O-SiH2-CH3)-)- (環状) 6-メチルシロキサニルフルベン、-(-C(O-SiH2-CH3)=CH-
CH=CH-C=CH-)-(環状) ビス(メチルシロキサニル)フルベン、(C6H4)(O-SiH2-CH
3)2 (環状) ジメチルシリル-1,4-ジオキシニルエーテル、(CH3)2-Si
H-O-(C4H3O2) (環状) 2-メチルシロキサニルフラン、-(-CH=CH-CH=C(O-SiH-(C
H3)2)-O-)- (環状) 3-ジメチルシロキサニルフラン、-(-CH=CH-C(O-SiH-(CH
3)2)=CH-O-)- (環状) 2,5-ビス(ジメチルシロキシ)-1,4-ジオキシン、-(-CH=C
(O-SiH-(CH3)2)-O-CH=C(O-SiH-(CH3)2)-O-)- (環状) 3,4-ビス(ジメチルシロキサニル)フラン、-(-CH=C(O-Si
H-(CH3)2)-C(O-SiH-(CH3)2)=CH-O-)-(環状) 2,3-ビス(ジメチルシロキサニル)フラン、-(-CH=CH-C(O
-SiH-(CH3)2)=C(O-SiH-(CH3)2)-O-)- (環状) 2,4-ビス(ジメチルシロキサニル)フラン、 -(-CH=C(O-SiH-(CH3)2)-CH=C(O-SiH-(CH3)2)-O-)-(環
状)2,5-ビス(ジメチルシロキサニル)フラン、-(-C(O-S
iH-(CH3)2)=CH-CH=C(O-SiH-(CH3)2)-O-)-(環状) 1-ジメチルシロキサニルフルベン、-(-CH=CH-CH=CH-C(C
H(O-SiH-(CH3)2))-)- (環状) 2-ジメチルシロキサニルフルベン、 -(-CH=CH-CH=CH-C(CH2)(O-SiH-(CH3)2)-)-(環状)6-ジ
メチルシロキサニルフルベン、-(-C(O-SiH-(CH3)2)=CH-
CH=CH-C=CH-)- (環状) ビス(ジメチルシロキサニル)フルベン、(C6H4)(O-SiH-
(CH3)2)2 (環状) 及びそれらのフッ素化炭素誘導体を含む。化合物は、好
ましく、室温で液体であって、10Torr以上の圧力の近く
で揮発することができる。そのような化合物は、酸化用
ガスと反応して、約50℃以下の温度で不安定な有機基の
多くを保持するようなゲル状シリコン/酸素含有材料を
形成する。
【0027】堆積されたシリコン//酸素含有材料の中
に保持されている不安定な有機基の量は、反応性化合物
に、1以上の不安定な有機基を含む非シリコン含有成分
を混合させることによって、増加されることができる。
不安定な有機基は、シリコン含有反応化合物及び他の酸
素含有有機基について記載されたジオキサン、フラン及
びフルベン誘導体化学物質を含む。不安定な有機基は、
1,4-ジオキシン及びフランのようなメチルシロキサニル
基を有しない化学物質以外にも、好ましく、同じ分子内
に組み込まれるシリコン含有及び非シリコン含有成分で
あり、但し、メチルシリル或はメチルシロキサニル基が
ビニル基によって置換され、或いはメチルシロキサニル
基エステル基によって置換され、或はメチルシロキサニ
ル基が他の非シリコン含有有機基によって置換される。
(少なくとも2つ以上の炭素-炭素二重結合を持つ)好ま
しい非シリコン含有多重不飽和シクロアルカンは: ビニル-1,4-ジオキシンエーテル、CH2=CH2-O-(C4H3O2)
(環状) ビニルフリルエーテル、CH2=CH2-O-(C4H3O)(環状) ビニル-1,4-ジオキシン、CH2=CH2-(C4H3O2)(環状) ビニルフラン、CH2=CH2-O-(C4H3O)(環状) メチルフロアテ、CH3C(O)-O-(C4H3O)(環状) フリルホルマート、(C4H3O)-COOH(環状) フリルアセテート、(C4H3O)-CH2COOH(環状) フルアルデヒド、CH(O)-(C4H3O)(環状) ジフリルケトン、(C4H3O)2C(O)(環状) ジフリルエーテル、(C4H3O)-O-(C4H3O)(環状) ジフルフリルエーテル、(C4H3O)C(O)-O-C(O)(C4H3O)
(環状) フラン、C4H4O(環状) 1,4-ジオキシン、C4H4O2(環状) 及びそれらのフッ素化炭素誘導体を含む。
【0028】非シリコン含有成分を、代りに、 メチルシラン、CH3-SiH3 ジメチルシラン、(CH3)2-SiH2 ジシラノメタン、SiH3-CH2-SiH3 ビス(メチルシラノ)メタン、CH3-SiH2-CH2-SiH2-CH3 2,4,6-トリシラオキサン、-(-SiH2-CH2-SiH2-CH2-SiH2-
O-)-(環状) 1,3,5-トリシラシクロヘキサン、-(-SiH2CH2-)3(環
状) シクロ-1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメ
チレン、-(-SiH2-CH2-SiH2-O-)2-(環状) 1,3-ジメチルジシロキサン、CH3-SiH2-O-SiH2-CH3 1,1,3,3-テトラメチルジシロキサン、(CH3)2-SiH-O-Si
H-(CH3)2 1,1,5,5-テトラメチルトリシロキサン、(CH3)2-SiH-O-S
iH2-O-SiH-(CH3)2 1,1,3,5,5-ペンタメチルトリシロキサン、(CH3)2-SiH-O
-SiH(CH3)-O-SiH-(CH3)2 及びそれらのフッ素化炭素誘導体のような不安定有機基
を含まない反応性シリコン含有材料と混合させることが
できる。
【0029】熱的不安定を与える化合物と非熱的不安定
を与える化合物との組み合わせが、膜性質に合せて調整
するために、共同堆積されることができる。共同堆積化
合物の好ましい実施例は、メチルシリル-1,4-ジオキシ
ニルエーテル或は2-メチルシロキサニルフランのいずれ
かから選ばれる熱的不安定を与える化合物と、2,4,6-ト
リシラオキサン(2,4,6-トリシラテトラヒドロピラン)
或はシクロ-1,3,5,7-テトラシラノ-2,6-ジオキシ-4,8-
ジメチレンのいずれかから選ばれる非熱的不安定を与え
る化合物とを含む。
【0030】有利に用いられることができる共同堆積さ
れる非熱的不安定を与えるヘテロ脂環式分子は、軽微な
環ひずみを有し、ランダムな配向で堆積する非平面環状
分子である。2,4,6-トリシラオキサン及びシクロ-1,3,
5,7-テトラシラノ-2,6-ジオキシ-4,8-ジメチレンについ
て、メチレン基へのシリル官能基の二重結合が、結果と
して得られる膜の改善された熱安定性及びよりよい機械
的性質を提供することができる。非平面分子は、堆積記
された膜内に比較的減小されたスタック密度を提供し、
それによって、低誘電性膜を生産する。
【0031】シリコン/酸素含有材料が膜として堆積さ
れた後、その膜が、好ましく、徐々に上昇する温度でア
ニーリングされて、不安定な基を、クロズドセルフォー
ム構造に帰因するとされる低誘電率を有するナノ多孔質
酸化シリコン層の中に分散するガスポケットに変換させ
る。
【0032】好ましい実施例では、本発明のナノ多孔質
酸化シリコン層が、1つ以上の反応性シリコン含有化合
物のプラズマ補助反応によって、パターン化された金属
層の上に堆積されたPECVD酸化シリコン、窒化シリコ
ン、酸窒化シリコン、或は水素化された炭化シリコン
(例えば、サンタクララ(カリフォルニア)にあるアプ
ライド・マテリアルズ・インコポラテッドから提供され
るBLOk(商標名))バリヤ層の上に、堆積される。その
後、ナノ多孔質酸化シリコン層は、RFパワー或はリモー
トマイクロ波パワーを印可しながら、同一のマルチチャ
ンバクラスタCVDシステムの中で堆積されて、次に、上
昇する温度プロフィルを用いて、選択的に、約350℃か
ら約400℃の間に加熱される。ナノ多孔質酸化シリコン
層が、選択的に、同じチャンにおいて、或は近くのクラ
スタツールプロセスチャンバにおいて、例えば、水素化
された炭化シリコン(BLOk(商標名))によって、キャッ
ピングされる。ライナとキャップ層は、ナノ多孔質酸化
シリコン層を保護するバリヤとしての役目を果たす。
【0033】高温でのキュアリング中或はその後に、疎
水性を与える化学物質による多孔質酸化シリコン層の処
理は、堆積された膜の耐湿性をを改善する。用いられる
化学物質は、好ましく、ヘキサメチルジシラザン、トリ
メチルシリルジエチルアミン、フェニルジメチルシリル
ジメチルアミン、トリメトキシシリルジメチルアミン、
トリス(トリフルオロメチル)シリルジメチルアミン、ビ
ス(トリメチル-シリル)ヒドラジン、1-フェニルジメチ
ルシリル-2-メチル-ヒドラジン、1-トリメトキシシリル
-2-メチル-ヒドラジン、1-トリス(トリフルオロメチル
シリル-2-メチル-ヒドラジン、トリメチルクロロシラ
ン、トリメチルブロムシラン、トリメチルシラン、或は
それらの組み合せからなる群から選ばれる。
【0034】ライナとキャップ層は、酸化シリコン、窒
化シリコン、酸窒化シリコン或は水素化された炭化シリ
コン(BLOk(商標名))のプラズマ補助化学気相堆積(CV
D)によって、堆積されることができる。
【0035】発明の更なる記述は、本発明のナノ多孔質
酸化シリコン層を堆積するための特定の装置に向けられ
る。
【0036】(例示的なCVDプラズマリアクタ)本発
明の方法が実行されることができる1つの適当なCVD
プラズマリアクタは、サンタクララ(カリフォルニア)
にあるアプライド・マテリアルズ・インコーポレイテッ
ドから提供される「DLK」チャンバであって、高真空領
域115を有する平行プレート化学気相堆積リアクタ110の
縦断面図である図2に示されている。リアクタ110は、
ガス分配マニホールド111 を含み、プロセスガスが、上
記マニホールドに穿孔された孔を通して、リフトモータ
ー114によって昇降される基板支持プレート或はサセプ
タ112の上に載せている基板或は基板(図示せず)に、
分散させる。又、液体反応物を噴射するために、典型的
にはTEOSの液体噴射に用いられるような液体噴射システ
ム(図示せず)を設けてもよい。好ましい液体噴射シス
テムは、AMATガス精密液体噴射システム(AMAT Gas Prec
ision Liquid Injection System(GPLIS))及びAMAT拡
張精密液体噴射システム(AMAT Precision Liquid Inje
ction System(EPLIS))を含み、両者ともアプライド
・マテリアルズ・インコーポレテッドから提供される。
【0037】リアクタ110は、抵抗性加熱コイル(図示せ
ず)或は外部ランプ(図示せず)によるような、プロセ
スガス及び基板の加熱を含む。図2を参照すると、サセ
プタ112(及びサセプタ112の上部表面に支持されている
基板)が、下部ローディング/オフローディング位置
と、マニホールド111に密接に隣接する上部プロセス位
置との間に制御可能に移動することができるように、サ
セプタ112が支持ステム113の上に取り付けられている。
【0038】サセプタ112及び基板がプロセス位置114に
あるとき、それらは絶縁体117によって囲まれていて、
プロセスガスがマニホールド124に排出される。プロセ
ス中に、マニホールド111へのガスインレットは、基板
表面にわたって半径方向に均一に分配される。スロット
ルバルブを有する真空ポンプ132は、チャンバからのガ
スの排出速度を制御する。
【0039】マニホールド111に到着する前に、堆積及
びキャリヤガスが、ガスライン118を通して混合システ
ム119内に導入されて、そこで混合さられて、その後、
マニホールド11に送られる。(図3に示されているよう
に)、アプリケータチューブ120を有するオプショナル
なマイクロ波システム150は、リアクタ110への進入の前
に酸化用ガスのみを分離する付加のエネルギーを提供す
るために、酸化用ガスのインプットガスライン上に位置
してもよい。マイクロ波アプリケータは、約0から約600
0W間のパワーを提供する。一般に、各プロセスガスの
ためのプロセスガス供給ライン18は、(i)チャンバ内へ
のプロセスガス流を自動に或は手動に遮断するために用
いられることができる安全遮断弁(図示せず)、及び(i
i)ガス供給ラインを通るガス流を測定するマスフローコ
ントローラ(図示せず)を含む。有毒ガスがプロセスの
中で用いられる場合、従来構造において、幾つかの安全
遮断弁が各ガス供給ラインに設置されている。
【0040】リアクタ110の中で実行される堆積プロセ
スは、冷却された基板ペデスタル上の非プラズマプロセ
ス或はプラズマ強化プロセスのいずれかであることがで
きる。プラズマプロセスにおいて、制御されたプラズマ
は、典型的に、(サセプタ112が接地されている)RFパ
ワーサプライ125から分配マニホールド111に印加される
RFエネルギーによって、基板の近くに形成される。代わ
りに、RFパワーがサセプタ112に提供されることがで
き、或は、RFパワーが、異なる周波数で異なるコンポー
ネントに提供されることができる。高真空領域115に導
入される反応種の堆積を促進するために、RFパワーサプ
ライ125は、単一の或いは混成周波数のRFパワーを供給
することができる。混成周波数RFパワーサプライは、典
型的に、約13.56MHzの高RF周波数(RF1)でパワーを分
配マニホールド111に供給し、約360KHzの低RF周波数(R
F2)でパワーをサセプタ112に供給する。本発明の酸化
シリコン層は、最も好ましくは、低レベル或はパルス化
されたレベルの高周波数RFパワーを用いて、生成され
る。パルス化されたRFパワーは、好ましく、デューティ
サイクルの約10%から約30%の間に、約20から約30Wで、1
3.56MHzのパワーを提供する。下記の更なる詳細な記載
のように、非パルス化RFパワーは、好ましく、約10から
約150Wまでの13.56MHzRFパワーを提供する。低パワー堆
積は、好ましく、約‐20℃から約40℃までの温度範囲
で、発生する。好ましい温度範囲において、堆積される
膜が、堆積中に、部分的に重合されて、その後の膜のキ
ュアリング中に、重合が完成される。
【0041】酸化用ガスの付加解離が望まれる場合、オ
プショナルなマイクロ波チャンバを用いて、堆積チャン
バを入る前の酸化用ガスに約0から約3000Wのマイクロ波
パワーをインプットすることができる。マイクロ波パワ
ーの分離附加は、酸化用ガスと反応する前のシリコン化
合物の過度解離を避けるだろう。マイクロ波パワーを酸
化用ガスに加える場合、シリコン化合物と酸化用ガスの
ために別々の通路を持つガス分配プレートが好まれる。
【0042】典型的に、チャンバライニング、ガス入口
マニホールドフェースプレート、支持ステム113、及び
種々の他のリアクタハードウェアは、何れか或いは全て
が、アルミニウムまたは陽極処理されたアルミニウムの
ような材料から製造される。そのようなCVDリアクタ
の例は、Wangらに付与されて、本発明の譲受人でもある
アプライド・マテリアルズ・インコーポレイテッドに譲
渡されたアメリカ特許第 5,000,113号(発明の名称「熱
的CVD/PECVDリアクタ及び二酸化シリコンの熱的化学気
相堆積における用途及びインサイチュ(In-situ)マルチ
ステップ平坦化プロセス(Thermal CVD/PECVD Reactor
and Use for Thermal Chemical Vapor Deposition of S
ilicon Dioxide and In-situ Multi-step Planarized P
rocess)」に記載されている。
【0043】リフトモータ114は、サセプタ112を、プロ
セス位置と下部の基板ローディング位置との間に、昇降
させる。モータ、ガス混合システム119及びRFパワーサ
プライ125は、制御線136の上方のシステムコントローラ
134によって、制御される。リアクタは、マスフローコ
ントローラ(MFCs)及び標準或はパルス化されたRF発生
器のようなアナログアセンブリを含み、これらは、好ま
しい実施例においてハードディスクドライブであるメモ
リ210に記憶されているシステム制御ソフトウェアを実
行するシステムコントローラ134によって、制御され
る。モータ及び光センサは、真空ポンプ132のスロット
ルバルブ及びサセプタ112の位置決定用モータのような
可動な機械的アセンブリの位置を移動させ且つ決定する
ために、用いられる。
【0044】システムコントローラ134は、CVDリア
クタの全ての活動を制御し、コントローラ134の好まし
い実施例は、ハードディスクドライブ、フロッピー(登
録商標)ディスクドライブ及びカードラックを含む。カ
ードラックは、単一のボードコンピューター(SBC)、
アナログとデジタル入力/出力ボード、インターフェー
スボード及びステッパモータコントローラボード含む。
システムコントローラは、ボード、カード・ケージ及コ
ネクタの寸法とタイプを定義するバルサ・モジュール・
ヨーロッパ(Versa Modular Europeans(VME))標準に
一致する。VME標準は、また、16ビットデータバスと2
4-ビットアドレスバスを有するバス構造を定義する。
【0045】図3は、本発明の実施例に従って、DLKリア
クタ110を入れる前の水のようなプロセスガスを解離す
るための遠隔マイクロ波システム150の簡略図である。
遠隔マイクロ波システム150は、アプリケータチューブ1
20、紫外線(UV)ランプ154とUV電源155とを含むプラズ
マイグニションシステム、及び、接続部157で一緒に接
続されてもよい導波カップリング158と、様々な長さを
持つストレートな或は湾曲な導波セクション156と、出
力導波セクション160と、マグネトロン168とを含むマイ
クロ波導波システムを含む。アームベース166上に装着
されているてピボットアーム164への取付けのために、
導波セクション156は、さらに、それに形成されたアー
ム支持体162を有してもよい。ピボットアームは、アー
ムジョイント163に連結されているアームピース165を備
え、アームジョイント163は、アームピースのの垂直隔
離距離を提供し、アームジョイン163のまわりのアーム1
64の回転運動を可能にする。アームジョイント163は、
縦に配置されたシリンダーであり、アームジョイント16
3の底部で1つのアームピース165に連結され、アームピ
ースの頂部で第2のアームピース165に連結されてい
る。アームジョイント163の端部でのアームピース165の
取り付けは、アームピースの縦隔離及びアーム164の位
置可撓性を考慮に入れ、よって、プロセス・リアクタ11
0の操作及びメンテナンス中に、マイクロ波システム150
の位置可撓性を考慮に入れる。
【0046】マグネトロン168は、典型的に、約2.45ギ
ガヘルツ(GHz)周波数のマイクロ波の持続波(CW)或は
パルス出力のために、約0から3000ワッツ間で動作でき
るマグネトロンソースである。もちろん、他のマグネト
ロンを利用してもよい。サーキュレータ(図示せず)
は、アプリケータチューブ120の方へ向うマグネトロン1
68からの前進マイクロ波伝送のみを許す。スタブチュー
ナ或は他のチューニング素子を用いてもよいチューニン
グシステム170は、導波セクション160での負荷を導波の
特徴インピーダンスにマッチンさせる能力を、マイクロ
波システム150に与える。特定の実施例によれば、チュ
ーニングシステム170は、固定されたチューニング、手
動チューニング或は自動チューニングを提供してもよ
い。特定の実施例において、導波セクションが矩形の断
面を有するが、他のタイプの導波管を用いてもよい。
【0047】アプリケータチューブ120は、複合材或は
セラミック材料、好ましくは、アルミナ、或はラジカル
によるエッチングに対する抵抗性を持つ他の材料から製
造された円状の(或は他の断面の)チューブである。特
定の実施例において、アプリケータチューブ120は、約1
8から24インチの長さと約3から4インチの断面直径を有
する。アプリケータチューブ120は、一端がマイクロ波
を伝送するために開口されて、他の端で金属壁により成
端されている導波セクション160を通して、配置され
る。マイクロ波が、導波セクション160の開口端を通し
て、マクロ波に透過的であるアプリケータチューブ120
内のガスに伝送される。もちろん、サファイヤのような
他の材料も、アプリケータチューブ120の内部に用いら
れてもよい。他の実施例では、アプリケータチューブ12
0は、金属外部と、複合材或はセラミック材料から製造
された内部とを有してもよく、ここで、導波セクション
160におけるマイクロ波が、アプリケータチューブ120の
外部を貫通するウィンドを進入して、チューブ120の露
出された内部に至り、ガスを励磁する。
【0048】上記の方法は、図2に示されているコント
ローラ134のようなプロセッサー系コントローラによっ
て制御されるシステムにおいて実行されることができ
る。図4は、このようなキャパシティで利用されること
ができるこのようなシステムコントローラ134を有する
プロセスシステム或は図2に示されてるようなリアクタ1
10の構成図である。システムコントローラ134は、メモ
リ210、大容量記憶デバイス215、入力制御装置245及び
表示装置255と共に操作できるプログラム可能な中央処
理装置(CPU)220を含む。システムコントローラは、さ
らに、電源、クロック225、キャッシュ235、入力/出力
(I/O)回路240等のような周知のサポート回路214を
含み、DLKプロセスリアクタ110の種々のコンポーネント
に連結されて、堆積プロセスの制御を容易にする。コン
トローラ134は、また、チャンバ110内のセンサー(図示
せず)を通して基板処理を監視するためのハードウェア
を含む。そのようなセンサーは、基板温度、チャンバ気
圧等のシステムパラメータを測定する。上記全ての素子
が、コントロールシステムバス230に連結される。
【0049】上記のチャンバ制御を容易にするために、
CPU 220は、種々のチャンバ及びサブプロセサーを制御
するために産業設定中に用いられ得る汎用コンピュータ
ープロセッサーの任意の形式の1つであってもよい。メ
モリ210は、CPU 220に連結されており、システムバス23
0にアクセス可能である。メモリ210或はコンピューター
読取可能な媒体215は、1つ以上の、ランダムアクセス
メモリ(RAM)、読取り専用メモリ(ROM)、フロッピー
ディスクドライブ、ハードディスクのような容易に入手
できるメモリ、或はデジタル記憶、ローカル或はリモー
トの任意の他の形式であってもよい。サポート回路214
は、従来の方法でプロセサーをサポートするために、CP
U 220に連結されている。一般に、堆積プロセスが、典
型的には、ソフトウェア・ルーチンとしてメモリ210の
中に記憶されている。ソフトウェア・ルーチンは、ま
た、CPU 220によって制御されているハードウェアから
離れて位置する第2のCPU(図示せず)で記憶及び/或
は実行されてもよい。
【0050】メモリ210は、CPU 220が実行してプロセス
システム10の運転性能を容易にするインストラクション
を含む。メモリ210におけるインストラクションは、本
発明の方法を実行するプログラム200のようなプログラ
ムコードの形である。プログラムコードは、多数の異な
るプログラミング言語の任意の1つに従ってもよい。例
えば、プログラムコードはC、C++、BASIC、Pas
calまたは他の多数の言語で書かれることができる。
【0051】大容量記憶デバイス215は、データ及びイ
ンストラクションを記憶し、磁気ディスクまたは磁気テ
ープのようなプロセサー読取可能な記憶媒体からデータ
及びプログラムコードインストラクションを取ってく
る。例えば、大容量記憶装置215は、ハードディスクド
ライブ、フロッピーディスクドライブ、テープドライブ
或はは光ディスクドライブであることができる。大容量
記憶装置215は、CPU 220から受け取る指示に応じて、イ
ンストラクションを記憶し且つ検索する。大容量記憶装
置215によって記憶され且つ検索されるデータ及びプロ
グラムコードインストラクションは、処理システムを操
作するために、プロセッサユニット220によって使用さ
れる。データ及びプログラムコードインストラクション
は、まず、大容量記憶装置215によって媒体から取って
きて、その後、CPU 220による使用のために、メモリ210
へ伝送される。
【0052】入力制御装置245は、キーボード、マウス
或はライトペンのようなデータ入力装置を、システムバ
ス230を介して、プロセサ装置220に連結して、チャンバ
・オペレーターの入力の受信を提供する。表示装置255
は、CPU 220の制御下のグラフィック表示と英数字との
形で、情報をチャンバオペレーターに提供する。
【0053】制御システムバス230は、制御システムバ
ス230に連結されている全ての装置の間にデータと制御
信号の転送を提供する。制御システムバスは、CPU220に
おける装置と直接に接続している単一のバスとして表示
されるが、制御システムバス230は、また、複数のバス
の集合であることもできる。例えば、CPU 220及びメモ
リ210がローカルプロセッサバスに接続されているが、
表示装置225、(入力装置を有する)入力制御装置245及
び大容量記憶装置215は、入出力周辺バスに接続するこ
とができる。ローカルプロセッサバス及び入出力周辺バ
スが、一緒に接続されて、制御システムバス230を形成
する。
【0054】システムコントローラ134は、システムバ
ス230とI/O回路240とを通して、本発明の誘電体堆積
プロセスに用いられるプロセスシステム10の素子に接続
される。I/O回路240は、CPU 220とシステムバス230
を通してメモリ210に記憶されているプログラム200か
ら、インストラクションを受け取る。プログラム200
は、I/O回路240が、リアクタ110の、基板位置決め制
御250、プロセスガス制御260、圧力制御270、ヒータ制
御280及びプラズマ/マイクロ波制御290を講じることを
可能にするプログラム・サブルーチンを提供する。
【0055】CPU220は、図4の流れ図に示されている本
発明の方法の実施例のプログラム200のようなプログラ
ムを実行するとき、特定の目的コンピューターになる汎
用コンピューターを形成する。ここで、本発明は、ソフ
トウェアの中でインプリメントされて、汎用コンピュー
ターで実行されものとして記述されいるが、当業者は、
本発明が特定用途向け集積回路(ASIC)或いは他のハー
ドウェアサーキットリーのようなハードウェアを用いて
実行されることができたと理解する。よって、本発明
は、全体に或いは部分的に、ソフトウェア、ハードウェ
ア或いは両方において実行されることができると理解さ
れるべき。
【0056】上記のCVDシステム記載は主に実例的な
目的のためにであり、電極サイクロトロン共鳴(ECR)
プラズマCVD装置、誘導結合RF高密度プラズマCV
D装置等のような他のプラズマCVD装置は使用しても
よい。さらに、サセプタ設計、ヒータ設計、RFパワー接
続の位置などにおける変更のような上記システムの変更
も可能である。例えば、基板が、抵抗的に加熱されたサ
セプタによって支持且つ加熱されることができる。本発
明の前処理された層を形成するための前処理及び方法
は、特定の装置或いは特定のプラズマ励起法にも制限さ
れない。
【0057】(ナノ多孔質酸化シリコン層の堆積)本発
明のナノ多孔質酸化シリコン層は、図2のPECVD或いはマ
イクロ波チャンバを用いて、図5に示されている3層プ
ロセスで、堆積されることができる。図5を参照する
と、基板がリアクタ100内に置かれて(300)、反応性シリ
コン含有化合物を備えるプラズマから、PECVDプロセス
によって、バリヤ層が堆積される(305)。堆積ステップ3
05は、当該技術分野で知られている方法に従って、プロ
セスチャンバ15における容量結合プラズマ或いは誘導及
び容量結合プラズマの両者を含むことができる。ヘリウ
ムのような不活性ガスが、プラズマ生成を援助するため
に、PECVD堆積の中で一般に使われる。その後、本発明
のナノ多孔質層がライナ層の上に下記のように堆積され
る(310):即ち、さらに不安定な有機基を含むシリコン
/酸素含有材料を堆積して、堆積されたシリコン/酸素
含有材料に制御されているアニーリングを行って、層の
中に均一に分散する微細なガスポケットを形成する。次
に、その層の上に、好ましくはライニング層の堆積に用
いられるプロセスと類似したプロセスによって、キャッ
プ層を堆積する(315)。基板は、その後、リアクタ110か
ら取り除かれる(320)。
【0058】図6A-6Eに示されるように、3層プロセス
は、PECVDライニング層400を設ける。ライニング層400
は、次のナノ多孔質層402と下の基板表面404及び基板表
面上に形成される金属ライン406、408、410との間の隔
離層として作用する。ナノ多孔質層402は、シリコン含
有化合物のPECVDキャッピング層412によって覆われる。
このプロセスは、CVDリアクタ110用コンピュータコ
ントローラ134のメモリ220に記憶されているコンピュー
タープログラムを用いて、実行且つ制御される。
【0059】図6Aに示されるように、PECVDライニング
層400が、リアクタ110の中で、反応性シリコン含有化合
物及び酸化用ガスを導入することによって、堆積され
る。プロセスガスはプラズマ強化環境の中で反応して、
基板表面404及び金属ライン406、408、410の上にコンフ
ォーマル酸化シリコン層400を形成する。
【0060】図6Bに示されるように、ナノ多孔質層402
は、シリコン及び不安定基を含有する化合物と酸化用ガ
スとからなるプロセスガスから、堆積される。プロセス
ガス流は、シリコン及び不安定を含有する化合物が約20
から約1000sccmの範囲であり、酸化用ガスが約5から約4
000sccmの範囲である。好ましいガス流は、シリコン及
び不安定を含有する化合物が約50から約500sccmの範囲
であり、酸化用ガスが約5から約2000sccmの範囲であ
る。これらの流速は、約5.5から6.5リットルの容積を有
するチャンバのために与えられる。好ましくは、ナノ多
孔質層402の堆積中に、リアクタ110の圧力が、約0.2か
ら約5Torrまでに維持される。図6Dに示されるようなキ
ャップ層412の堆積の前に、揮発性成分を除去するため
に、ナノ多孔質層402が、Fig. 6Cに示されるように、キ
ュアリングされる。基板を次第により高い温度に加熱し
ながら、不活性ガス雰囲気でリアクタ110において、キ
ュアリングが行われることができる。
【0061】分散する微細なバブルとしてガス生成物を
保持し、且つ/或いはオプショナルな不安定有機基を、
好ましいクローズドセル構造のボイドとしてキュアリン
グされた酸化シリコン層の中で保持される分散する微細
なガスバブルに変換するために、ナノ多孔質層402が、
好ましく、徐々に上昇する温度でアニーリングされる。
好ましいアニーリングプロセスは、約5分間の加熱期間
を備え、約350℃から約400℃の間の最終温度までに、約
50℃/分で温度を徐々に上昇させることを含む。温度/
時間プロファイルを変化させ、堆積膜内の不安定有機基
の濃度を制御することによって、ガスバブルの分散を制
御することができる。
【0062】図6Dに示されるように、リアクタ110は、
好ましく、PECVDライナ層400の堆積に用いれる同様なプ
ロセスによって、同様な材料のキャッピング層412を堆
積する。図6Eに示されているように、キャッピング層41
2の堆積層の後に、堆積された層が、約200℃から約450
℃までの温度で炉或いは他のチャンバにおいて、さらに
アニーリングされて、水などの残留する発揮性生成物を
除去する。もちろん、プロセス条件は、堆積膜の所望の
特徴に従って変化する。
【0063】(デュアルダマシン構造の堆積)本発明に
従って製造される好ましいデュアルダマシン構造500は
図7に示されており、続いて、その構造を製造する方法
がFigs.8A-8Hに概略的に示されている。これらの図は、
基板上に本発明のステップが形成させられる基板の断面
である。
【0064】ナノ多孔質金属間(intermetal)誘電層510
を含むデュアルダマシン構造500は、Fig 7に示されてい
る。本発明に従って堆積された金属間誘電層510と514
は、3未満の極めて低い誘電率を有し、たびたび極めて
低k或いはELk誘電層と称される。好ましく本発明のナノ
多孔質酸化シリコン層からなる第1の誘電層51は、基板
502上に堆積される。基板は、コンタクトレベル基板材5
04の中に形成されたパターン化導電ライン506と、その
上に堆積された酸化シリコン、窒化シリコン、酸窒化シ
リコン、或いはアモルファスの水素化された炭化シリコ
ン(BLOk(商標名)、好ましくは、窒化シリコンからな
る第1の(或いは基板の)エッチングストップ508とを
備える。
【0065】酸化シリコン、窒化シリコン、酸窒化シリ
コン或いは水素化された炭化シリコン(BLOk(商標名))
からなる第2のエッチングストップ512は、第1の誘電
層510の上に堆積される。好ましくは、本発明のナノ多
孔質酸化シリコン層からなる第2の誘電層514は、第2
のエッチングストップ512の上に堆積されて、第3のエッ
チングストップ516が、第2の誘電層514の上に堆積され
る。堆積された層がエッチングされてバイアを形成す
る。その後、バイア520内にコンフォーマル的に堆積さ
れたバリヤ層522の上に、導電金属524、好ましく、銅で
バイアを充填する。その後、構造が平坦化されて、その
上に、窒化シリコン、酸化シリコン、酸窒化シリコン或
いは水素化された炭化シリコン素、好ましくは、窒化シ
リコンを含むキャッピング層518が堆積される。キャッ
ピン部層518は、また、基板エッチングストップとして
作用し、次のデュアルダマシンマルチレベル相互接続の
ための第1のエッチングストップ508に対応する。
【0066】図8Aに示されているように、酸化シリコ
ン、窒化シリコン、酸窒化シリコン或いはアモファース
な水素化された炭化シリコン、好ましくは、窒化シリコ
ンからなる第1の(或いは基板の)エッチングストップ
508は、基板502上に、約1000Åの厚さまでに堆積され
る。基板502は、コンタクトレベル基板材料504の中に形
成されたパターン化導電相互接続或いライン506を備え
る。第1のナノ多孔質誘電層510は、第1のエッチング
ストップ508の上に、本発明に従って、堆積される。第
1の誘電層510は、製造されるべき構造のサイズに従
い、約5,000Åから約10,000Åの厚さを有するが、好ま
しくは、約5,000Åの厚さを有する。その後、第1の誘
電層510が、約350℃から約400℃の温度でアニーリング
されて、層510から発揮性汚染物を除去する。酸窒化シ
リコンのような第2のエッチングストップ512は、誘電
層510の上に、約500Åの厚さに堆積される。その後、本
発明に従って、第2のナノ多孔質誘電層514が、第1の
エッチングストップ508の上に、約5,000Åから約10,000
Åの厚さ、好ましくは、約5,000Åの厚さまでに堆積さ
れて、その後、約350℃から約400℃の温度でアニーリン
グされる。
【0067】酸化シリコン、窒化シリコン、酸窒化シリ
コン或いはアモルファスな水素化された炭化シリコン(B
LOk(商標名))、好ましくは、窒素シリコンである第3
のエッチングストップ516が、第2の誘電層514の上に、
約500Åから約1000Å、好ましくは、約1000Åの厚さに
堆積される。約2000Åの厚さを有する酸化シリコン層51
7は、第3のエッチングストップ516の上に堆積されて、
ハードエッチングマスク及び化学機械研磨(CMP)ステ
ップにおける将来使用のためのものの両方として作用す
る。その後、反射防止コーティング(ARC)519及びフォ
トレジスト層521を含んでいるトレンチフォトマスク
は、それぞれ、酸化シリコン層517の上に堆積される。
その後、フォトレジスト層521は、この技術分野で知ら
れている従来のフォトリトグラフィー手段によって、パ
ターン化される。
【0068】その後、酸化シリコン層517は、この技術
分野で知られている従来手段によって、好ましくは、フ
ルオロカーボン化学物質を用いるエッチングプロセスに
よって、エッチングされて、図8Bに示されるように、第
3のエッチング516を露出させる。酸化シリコン層517の
最初のエッチングは、デュアルダマシン構造500の開口
(opening)幅或いはまたはトレンチ幅を確立する。酸化
シリコン層517に形成された開口幅は、第2のエッチン
グストップ514の上に形成されるデュアルダマシン構造5
00の水平相互接続を画成する。残留するフォトレジスト
521は、その後、バイア・アエッチングの準備のため
に、アッシング或いは乾燥除去される。デュアルダマシ
ン構造のコンタクトまたはバイア幅の形成のために、そ
の後、第2の反射防止コーティング519及びフォトレジ
スト層521は、それぞれ、薄い酸化シリコン層517の上に
堆積されて、その後、フォトリトグラフィーによってパ
ターン化されて、図8Cで示すように、バイア幅で、第3
のエッチング層516を露出させる。
【0069】図8Dを参照すると、第3のエッチングスト
ップ516及び第2の誘電層514が、トレンチを形成するよ
うにエッチングされて、第2のエッチングストップ512
を露出させる。その後、異方性エッチング技術を用い
て、第2のエッチングストップ512までに第2の誘電層5
14をバイアエッチングすることによって、酸化シリコン
層517により確立された幅で、メタライゼーション構造
(即ち、相互接続及びコンタクト/バイア)を画成し、
そして、第3のエッチング・ストップ516、第2の誘電51
4、及び第2のエッチングストップ512のエッチング中に
確立されたバイア幅で、第1のエッチングストップ508
までに、第1の誘電層510をエッチングすることによっ
て、図8Eに示されているようなバイア520が形成され
る。第2のエッチングストップ512或いは第2の誘電層5
14をパターン化するために用いられた任意なフォトレジ
スト或いはARC材料が、酸素ストリップ或いは他の適切
なプロセスによって、除去される。図8Fは、基板502を
保護する第1のエッチングストップ508をエッチングし
て、コンタクトレベル基板材料504における下のパター
ン化された金属ライン506を露出させることを示す。パ
ターン化された金属ライン506は、好ましく、銅のよう
な導電性金属を含む。その後、デュアルダマシン構造50
0は、次の層堆積の前に、この技術分野で知られている
従来の手段によって、プリクリーニングされる。
【0070】メタライゼーション構造は、その後、アル
ミニウム、銅、タングステン或いはそれらの組合せのよ
うな導電材料で形成される。現在、(アルミニウムの3.
1mW-cmと比較して、1.7mW-cmという)低い抵抗率を有す
る銅を用いて、より小さなフィーチャを形成する傾向が
ある。好ましくは、図8Gに示されているように、まず、
窒化タンタルのような適切なバリヤ層をメタライゼーシ
ョンパターン520にコンフォーマルに堆積して、周囲の
シリコン及び/或いは誘電材料への銅移動を防ぐ。その
後、銅層524が、化学気相堆積、物理気相堆積、電気め
っきのいずれかによって、好ましくは、電気めっきによ
って、堆積される。構造が、一旦、銅または他の金属に
よって充填されたら、表面が化学機械研磨によって平坦
化(planarized)され、そして、図8Hで示されるよう
な、好ましくは、窒化シリコンを含み約1000Åの厚さを
有するキャッピング層518でキャッピングされる。銅充
填材を再結晶させて、構造500の中に形成しえるボイド
を除去するために、表面を平坦化する前に、水素雰囲気
の中で金属をアニーリングをしてもよい。図示されてい
ないが、電気めっきプロセスによって、銅層524を堆積
する場合、銅層524の前に、銅シード層を堆積してもよ
い。その後、デュアルダマシン形成プロセスが繰り返さ
れて、更なる相互接続レベルを堆積してもよく、現代の
マイクロプロセッサー集積回路は5つ或いは6つの相互接
続レベルを有する。
【0071】(実施例)以下の実施例は、微細なガスボ
イドが分散しているナノ多孔質酸化シリコン系膜の堆積
を例示する。この例は、化学気相堆積チャンバ、特に、
カリフォルニアのサンタクララにあるアプライド・マテ
リアルズインコーボレイテッドにより製造販売されてい
るCENTURA「DLK」システムを用いて、行われる。
【0072】シリコンを含有し熱的不安定を与える成分
を有するシリコン化合物(仮定) ナノ多孔質酸化シリコン系膜が、1.0Torrのチャンバ圧
力と30℃の温度で、下記のような気化されてリアクタに
流入される反応性ガスから、堆積される: 150 sccmでメチルシリル-2-フリルエーテル、 1000sccmで亜酸化窒素(N2O) チャンバに入る前に、2000Wのマイクロ波エネルギーを
提供するマイクロ波アプリケーターにおいて、亜酸化窒
素が分離される。基板が、ガス分配シャワーヘッドから
600ミルのところで配置されて、反応性ガスが2分間導
入される。その後、基板が5分間にわたって加熱され
て、基板の温度を、50℃/分で約400℃の温度に上昇さ
せて、ナノ多孔質酸化シリコン系膜をキュアリング且つ
アニーリングする。
【0073】シリコン含有化合物と添加された熱的不安
定を与える化合物との混合物(仮定) ナノ多孔質酸化シリコン系膜は、1.0Torrのチャンバ圧
力と30℃の温度で、下記のような気化されてリアクタに
流入される反応性ガスから、堆積される: 100 sccmでシクロ-1,3,5,7-テトラシリレン-2,6-ジオキ
シ-4,8ジメチレン 50sccmでビニル-2-フリルエーテル 1000sccmで亜酸化窒素 チャンバに入る前に、2000Wのマイクロ波エネルギーを
提供するマイクロ波アプリケーターにおいて、亜酸化窒
素が分離される。基板が、ガス分配シャワーヘッドから
600ミルのところで配置されて、反応性ガスが2分間導
入される。その後、基板が5分間にわたって加熱され
て、基板の温度を、50℃/分で約400℃の温度に上昇さ
せて、ナノ多孔質酸化シリコン系膜をキュアリング且つ
アニーリングする。
【0074】シリコンを含有し熱的不安定を与える成分
を有するシリコン化合物及び添加されたシリコン含有化
合物(仮定) ナノ多孔質酸化シリコン系膜は、1.0Torrのチャンバ圧
力と30℃の温度で、下記のような気化されてリアクタに
流入される反応性ガスから、堆積される: 100sccmでメチルシリル-2-フリルエーテル 50sccmでシクロ-1,3,5,7-テトラシリレン-2,6-ジオキシ
-4,8ジメチレン 1000sccmで亜酸化窒素(N2O) チャンバに入る前に、2000Wのマイクロ波エネルギーを
提供するマイクロ波アプリケーターにおいて、亜酸化窒
素が分離される。基板が、ガス分配シャワーヘッドから
600ミルのところで配置されて、反応性ガスが2分間導
入される。その後、基板が5分間にわたって加熱され
て、基板の温度を、50℃/分で約400℃の温度に上昇さ
せて、ナノ多孔質酸化シリコン系膜をキュアリング且つ
アニーリングする。
【0075】以上の記述が本発明の好ましい実施例に向
けられているが、本発明の他の及びさらなる実施例が、
本発明の基礎範囲から逸脱せずに、考案されることがで
き、その範囲は、特許請求の範囲によって決定される。
【図面の簡単な説明】
【図1】 (A)から(E)は 該技術分野の既知のプロセスに
よって、基板上に堆積される誘電層の概略図である(従
来技術)。
【図2】 本発明の使用のために構成される例示的なC
VDリアクタの断面図である。
【図3】 図2のリアクタに進入する前に、プロセスガス
の分解のためのリモートマイクロウェーブチャンバの概
略図である。
【図4】 図2の例示的なCVDリアクタとともに用いら
れるプロセス・コントロール・コンピューター・プログ
ラム・プロダクトのフローチャートである。
【図5】 本発明の1つの実施例による堆積プロセスにお
いて、ライナ及びキャップ層を堆積する際に着手される
ステップを図示しているフローチャートである。
【図6】 (A)から(E)は 図5のプロセスによって、基板
上に堆積される層の概略図である。
【図7】 本発明の酸化シリコン層を備えるデュアルダ
マシン構造を示する断面図である。
【図8】 (A)から(H)は 本発明のデュアルダマシン堆積
シーケンスの1つの実施例を示す断面図である。

Claims (27)

    【特許請求の範囲】
  1. 【請求項1】 少なくとも1つの酸化可能なシリコン含
    有成分と、熱的不安定基を有する少なくとも1つの非シ
    リコン含有(non-silicon containing)分子成分とを含
    む1つ以上の化合物をプロセスチャンバ内に導入するス
    テップと、 コンフォーマル層の中に上記不安定基を保持する温度
    で、上記1つ以上の化合物を酸化用ガスと反応させるス
    テップと、 上記不安定基を分散するボイドに変換するために十分な
    温度で、上記コンフォーマル層をアニーリングするステ
    ップとを備える、低誘電率膜を堆積する方法。
  2. 【請求項2】 上記1つ以上の化合物が、メチルシロキ
    シ(CH3-SiH2-O-)或いはジメチルシロキシ(CH3)2-SiH
    -O-)成分を含む、請求項1に記載の方法。
  3. 【請求項3】 上記1つ以上の化合物が、多重(multipl
    y)不飽和シクロアルケン成分を含む、請求項1に記載の
    方法。
  4. 【請求項4】 上記多重不飽和シクロアルケン成分が、
    ジオキシニル-(-CH=CH-O-CH=CH-O-) -)、フラニル(-(-
    CH=CH-CH=CH-O-)-)、フルベニル(-(-CH=CH-CH=CH-C(CH
    2)-)-)、及びそれらのフッ素化炭素(fluorinated carbo
    n)誘導体基からなる群から選ばれる、請求項3に記載の
    方法。
  5. 【請求項5】 上記1つ以上の化合物が、メチルシリル
    -1,4-ジオキシニルエーテル、2-メチルシロキサニルフ
    ラン、3-メチルシロキサニルフラン、2,5-ビス(メチル
    シロキシ)-1,4-ジオキシン、3,4-ビス(メチルシロキサ
    ニル)フラン、2,3-ビス(メチルシロキサニル)フラン、
    2,4-ビス(メチルシロキサニル)フラン、2,5-ビス(メチ
    ルシロキサニル)フラン、1-メチルシロキサニルフルベ
    ン、2-メチルシロキサニルフルベン、6-メチルシロキサ
    ニルフルベン、ビス(メチルシロキサニル)フルベン、ジ
    メチルシリル-1,4-ジオキシニルエーテル、2-ジメチル
    シロキサニルフラン、3-ジメチルシロキサニルフラン、
    2,5-ビス(ジメチルシロキシ)-1,4-ジオキシン、3,4-ビ
    ス(ジメチルシロキサニル)フラン、2,3-ビス(ジメチル
    シロキサニル)フラン、2,4-ビス(ジメチルシロキサニ
    ル)フラン、2,5-ビス(ジメチルシロキサニル)フラン、1
    -ジメチルシロキサニルフルベン、2-ジメチルシロキサ
    ニルフルベン、6-ジメチルシロキサニルフルベン、ビス
    (ジメチルシロキサニル)フルベン、それらのフッ素化炭
    素誘導体、及びそれらの組み合わせからなる群から選ば
    れる、請求項1に記載の方法。
  6. 【請求項6】 上記1つ以上の化合物は、さらに、2,4,
    6-トリシラオキサン及びシクロ-1,3,5,7-テトラシリレ
    ン-2,6-ジオキシ-4,8-ジメチレンからなる群から選ばれ
    る非平面リング構造を有する非熱的不安定を与える(non
    -thermally-labile-imparting)化合物を含む、請求項1
    に記載の方法。
  7. 【請求項7】 上記1つ以上の化合物は、さらに、ビニ
    ル-1,4-ジオキシニルエーテル、ビニルフリルエーテ
    ル、ビニル-1,4-ジオキシン、ビニルフラン、メチルフ
    ロアテ、フリルホルマート、フリルアセテート、フルア
    ルデヒド、ジフリルケトン、ジフリルエーテル、ジフル
    フリルエーテル、フラン、1,4-ジオキシン、それらのフ
    ッ素化誘導体、及びそれらの組み合せからなる群から選
    ばれる非シリコン含有熱的不安定を与える化合物を含
    む、請求項1に記載の方法。
  8. 【請求項8】 上記1つ以上の化合物は、さらに、メチ
    ルシラン、ジメチルシラン、ジシラノメタン、ビス(メ
    チルシラノ)メタン、2,4,6-トリシラオキサン、1,3,5-
    トリシラシクロヘキサン、シクロ-1,3,5,7-テトラシラ
    ノ-2,6-ジオキシ-4,8-ジメチレン、1,3-ジメチルジシロ
    キサン、1,1,3,3-テトラメチルジシロキサン、1,1,5,5-
    テトラメチルトリシラオキサン、1,1,3,5,5-ペンタメチ
    ルトリシラオキサン、及びそれらのフッ素化炭素誘導体
    からなる群から選ばれるシリコン含有化合物を含む、請
    求項1に記載の方法。
  9. 【請求項9】 上記1つ以上の化合物は、2,4,6-トリシ
    ラオキサン及びシクロ-1,3,5,7-テトラシリレン-2,6-ジ
    オキシ-4,8-ジメチレンからなる群から選ばれる非熱的
    不安定を与える化学成分と、及びメチルシリル-1,4-ジ
    オキシニルエーテル及びメチルシロキサニルフランから
    なる群から選ばれる熱的不安定を与える化学成分とを含
    む、請求項1に記載の方法。
  10. 【請求項10】 上記1つ以上の化合物は、 メチルシラン、ジメチルシラン、ジシラノメタン、ビス
    (メチルシラノ)メタン、2,4,6-トリシラオキサン、1,3,
    5-トリシラシクロヘキサン、シクロ-1,3,5,7-テトラシ
    ラノ-2,6-ジオキシ-4,8-ジメチレン、1,3-ジメチル-ジ
    シロキサン、1,1,3,3-テトラメチルジシロキサン、1,1,
    5,5-テトラメチルトリシラロキサン、1,1,3,5,5-ペンタ
    メチルトリシラロキサン、及びそれらのフッ素化炭素誘
    導体からなる群から選ばれる酸化可能なシリコン含有化
    合物と、 ビニル-1,4-ジオキシニルエーテル、ビニルフリルエー
    テル、ビニル-1,4-ジオキシン、ビニルフラン、メチル
    フロアテ、フリルホルマート、フリルアセテート、フル
    アルデヒド、ジフリルケトン、ジフリルエーテル、ジフ
    ルフリルエーテル、フラン、1,4-ジオキシン、それらの
    フッ素化誘導体、及びそれらの組み合せからなる群から
    選ばれる熱的不安定基を有する非シリコン含有化合物と
    を含む、請求項1に記載の方法。
  11. 【請求項11】 約350℃から約400℃の間の最終温度ま
    でに徐々に上昇する温度プロフィルを用いて、基板をア
    ニーリングすることによって、上記の分散するボイドが
    形成される、請求項1に記載の方法。
  12. 【請求項12】 1つ以上の反応性シリコン含有化合物
    と酸化用ガスとを含むプロセスガスから、パターン化さ
    れた金属層を有する基板の上に、コンフォーマルライニ
    ング層を堆積するステップと、 少なくとも1つの酸化可能なシリコン含有成分と、熱的
    不安定基を有する少なくとも1つの非シリコン含有成分
    とを含む1つ以上の化合物をプロセスチャンバ内に導入
    するステップと、 上記不安定基をコンフォーマル層の中に保持する温度
    で、上記1つ以上の化合物を酸化用ガスと反応させるス
    テップと、 上記不安定基を分散するボイドに変換するために十分な
    温度で、上記コンフォーマル層をアニーリングするステ
    ップとを備える、低誘電率膜を堆積する方法。
  13. 【請求項13】 上記1つ以上の化合物は、メチルシリ
    ル-1,4-ジオキシニルエーテル、2-メチルシロキサニル
    フラン、3-メチルシロキサニルフラン、2,5-ビス(メチ
    ルシロキシ)-1,4-ジオキシン、3,4-ビス(メチルシロキ
    サニル)フラン、2,3-ビス(メチルシロキサニル)フラ
    ン、2,4-ビス(メチルシロキサニル)フラン、2,5-ビス
    (メチルシロキサニル)フラン、1-メチルシロキサニルフ
    ルベン、2-メチルシロキサニルフルベン、6-メチルシロ
    キサニルフルベン、ビス(メチルシロキサニル)フルベ
    ン、ジメチルシリル-1,4-ジオキシニルエーテル、2-ジ
    メチルシロキサニルフラン、3-ジメチルシロキサニルフ
    ラン、2,5-ビス(ジメチルシロキシ)-1,4-ジオキシン、
    3,4-ビス(ジメチルシロキサニル)フラン、2,3-ビス(ジ
    メチルシロキサニル)フラン、2,4-ビス(ジメチルシロキ
    サニル)フラン、2,5-ビス(ジメチルシロキサニル)フラ
    ン、1-ジメチルシロキサニルフルベン、2-ジメチルシロ
    キサニルフルベン、6-ジメチルシロキサニルフルベン、
    ビス(ジメチルシロキサニル)フルベン、それらのフッ素
    化炭素誘導体、及びそれらの組み合わせからなる群から
    選ばれる、請求項12に記載の方法。
  14. 【請求項14】 上記1つ以上の化合物は、さらに、2,
    4,6-トリシラオキサン及びシクロ-1,3,5,7-テトラシリ
    レン-2,6-ジオキシ-4,8-ジメチレンからなる群から選ば
    れる非平面リング構造を有する非熱的不安定を与える化
    合物を含む、請求項12に記載の方法。
  15. 【請求項15】 上記1つ以上の化合物は、さらに、ビ
    ニル-1,4-ジオキシニルエーテル、ビニルフリルエーテ
    ル、ビニル-1,4-ジオキシン、ビニルフラン、メチルフ
    ロアテ、フリルホルマート、フリルアセテート、フルア
    ルデヒド、ジフリルケトン、ジフリルエーテル、ジフル
    フリルエーテル、フラン、1,4-ジオキシン、それらのフ
    ッ素化誘導体、及びそれらの組み合せからなる群から選
    ばれる非シリコン含有熱的不安定を与える化合物を含
    む、請求項12に記載の方法。
  16. 【請求項16】 上記1つ以上の化合物は、さらに、メ
    チルシラン、ジメチルシラン、ジシラノメタン、ビス
    (メチルシラノ)メタン、2,4,6-トリシラオキサン、1,3,
    5-トリシラシクロヘキサン、シクロ-1,3,5,7-テトラシ
    ラノ-2,6-ジオキシ-4,8-ジメチレン、1,3-ジメチルジシ
    ロキサン、1,1,3,3-テトラメチルジシロキサン、1,1,5,
    5-テトラメチルトリシラオキサン、1,1,3,5,5-ペンタメ
    チルトリシラオキサン、及びそれらのフッ素化炭素誘導
    体からなる群から選ばれるシリコン含有化合物を含む、
    請求項12に記載の方法。
  17. 【請求項17】 上記1つ以上の化合物は、2,4,6-トリ
    シラオキサン及びシクロ-1,3,5,7-テトラシリレン-2,6-
    ジオキシ-4,8-ジメチレンからなる群から選ばれる非熱
    的不安定を与える化学成分と、メチルシリル-1,4-ジオ
    キシニルエーテル及びメチルシロキサニルフランからな
    る群から選ばれる熱的不安定を与える化学成分とを含
    む、請求項12に記載の方法。
  18. 【請求項18】 上記1つ以上の化合物は、 メチルシラン、ジメチルシラン、ジシラノメタン、ビス
    (メチルシラノ)メタン、2,4,6-トリシラオキサン、1,3,
    5-トリシラシクロヘキサン、シクロ-1,3,5,7-テトラシ
    ラノ-2,6-ジオキシ-4,8-ジメチレン、1,3-ジメチル-ジ
    シロキサン、1,1,3,3-テトラメチルジシロキサン、1,1,
    5,5-テトラメチルトリシラオキサン、1,1,3,5,5-ペンタ
    メチルトリシラオキサン、及びそれらのフッ素化炭素誘
    導体からなる群から選ばれる酸化可能なシリコン含有化
    合物と、 ビニル-1,4-ジオキシニルエーテル、ビニルフリルエー
    テル、ビニル-1,4-ジオキシン、ビニルフラン、メチル
    フロアテ、フリルホルマート、フリルアセテート、フル
    アルデヒド、ジフリルケトン、ジフリルエーテル、ジフ
    ルフリルエーテル、フラン、1,4-ジオキシン、それらの
    フッ素化誘導体、及びそれらの組み合せからなる群から
    選ばれる熱的不安定基を有する非シリコン含有化合物と
    を含む、請求項12に記載の方法。
  19. 【請求項19】 さらに、低k誘電膜の上にキャッピン
    グ層を堆積するステップを含み、上記キャッピング層材
    料は、窒化シリコン、酸化シリコン、酸窒化シリコン、
    水素化された炭化シリコン、及びそれらの組み合せから
    なる群から選ばれる、請求項12に記載の方法。
  20. 【請求項20】 約350℃から約400℃の間の最終温度ま
    でに徐々に上昇する温度プロフィルを用いて、基板をア
    ニーリングすることによって、上記の分散ボイドが形成
    される、請求項12に記載の方法。
  21. 【請求項21】 基板上に第1のエッチングストップを
    堆積するステップと、 第1のエッチングストップの上に第1のナノ多孔質酸化
    シリコン系層を堆積するステップと、 第1の酸化シリコン系層の上に第2のエッチングストッ
    プを堆積するステップと、 第2のエッチングストップの上に第2のナノ多孔質酸化
    シリコン系層を堆積するステップと、 第2の酸化シリコン系層の上に第3のエッチングストッ
    プを堆積するステップと、 第3のエッチングストップ及び第2のナノ多孔質酸化シ
    リコン系層をエッチングして、垂直の相互接続開口(ver
    tical interconnect opening)を画成するステップと、 上記垂直の相互接続開口を通して、第2のエッチングス
    トップ、第1のナノ多孔質酸化シリコン系層及び第1の
    エッチングストップとをエッチングして、さらに 垂直
    の相互接続を画成し、それにより、基板を露出させ、第
    3のエッチングストップと第2のナノ多孔質酸化シリコ
    ン系膜をエッチングして、水平の相互接続を画成して、
    デュアルダマシンフィーチャを形成するステップとを備
    える、デュアルダマシン構造の形成方法。
  22. 【請求項22】 上記第1及び上記第2の酸化シリコン
    系層は、約350℃から約400℃の間の最終温度までに徐々
    に上昇する温度プロフィルを用いて、基板をアニーリン
    グすることによって形成された分散微細ボイドを含む、
    請求項21に記載の方法。
  23. 【請求項23】 上記第3のエッチングストップ及び第
    2のナノ多孔質酸化シリコン系層をエッチングする前
    に、第3のエッチングストップの上に、パターン化され
    た酸化物層を堆積することによって、水平の相互接続開
    口が画成される、請求項21に記載の方法。
  24. 【請求項24】 上記第3のエッチングストップ及び第
    2のナノ多孔質酸化シリコン系層をエッチングする前
    に、上記パターン化された酸化物層の上に、パターン化
    されたハードマスク膜を堆積することによって、垂直の
    相互接続開口が画成される、請求項23に記載の方法。
  25. 【請求項25】 さらに、画成された相互接続にコンフ
    ォーマルバリア層を堆積するステップを含む、請求項2
    1に記載の方法。
  26. 【請求項26】 さらに、上記コンフォーマルバリヤ層
    の上に、銅層を堆積するステップを含む、請求項25に
    記載の方法。
  27. 【請求項27】 さらに、上記銅層の上に、キャッピン
    グ層を堆積するステップを含む、請求項26に記載の方
    法。
JP2001010570A 2000-01-18 2001-01-18 非常に低い誘電率プラズマ強化cvd膜 Expired - Lifetime JP4918190B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/484689 2000-01-18
US09/484,689 US6541367B1 (en) 2000-01-18 2000-01-18 Very low dielectric constant plasma-enhanced CVD films

Publications (2)

Publication Number Publication Date
JP2001298023A true JP2001298023A (ja) 2001-10-26
JP4918190B2 JP4918190B2 (ja) 2012-04-18

Family

ID=23925181

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001010570A Expired - Lifetime JP4918190B2 (ja) 2000-01-18 2001-01-18 非常に低い誘電率プラズマ強化cvd膜

Country Status (6)

Country Link
US (10) US6541367B1 (ja)
EP (1) EP1119035A3 (ja)
JP (1) JP4918190B2 (ja)
KR (1) KR100730844B1 (ja)
SG (1) SG102601A1 (ja)
TW (1) TW472322B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514855B1 (en) 2000-02-07 2003-02-04 Canon Sales Co., Inc. Semiconductor device manufacturing method having a porous insulating film
WO2006109686A1 (ja) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation 絶縁膜用材料およびその成膜方法
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
JP2007221165A (ja) * 2001-08-30 2007-08-30 Tokyo Electron Ltd プラズマcvd成膜方法及びプラズマcvd成膜装置
JP2007527628A (ja) * 2004-03-05 2007-09-27 アプライド マテリアルズ インコーポレイテッド 傾斜した堆積を低減するためのハードウェア開発
WO2007116770A1 (ja) 2006-04-03 2007-10-18 Jsr Corporation 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
JP2010114452A (ja) * 2004-09-28 2010-05-20 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
EP2256123A2 (en) 2005-01-31 2010-12-01 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
JP2011014925A (ja) * 2002-04-17 2011-01-20 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体及び低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8506359B2 (en) 2008-02-06 2013-08-13 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing method
US8513448B2 (en) 2005-01-31 2013-08-20 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants

Families Citing this family (525)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502614B1 (en) * 1999-05-25 2003-01-07 Silverbrook Research Pty Ltd Printed media transverse binder
WO2000079586A1 (fr) * 1999-06-24 2000-12-28 Hitachi, Ltd. Procede de production de dispositif a circuit integre semi-conducteur et dispositif a circuit integre semi-conducteur
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
WO2002075801A2 (en) * 2000-11-07 2002-09-26 Tokyo Electron Limited Method of fabricating oxides with low defect densities
US6818545B2 (en) * 2001-03-05 2004-11-16 Megic Corporation Low fabrication cost, fine pitch and high reliability solder bump
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
ES2186530B1 (es) * 2001-04-19 2005-05-01 Consejo Superior De Investigaciones Cientificas Procedimiento para la preparacion de capas finas porosas de oxidos inorganicos.
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
TW527646B (en) * 2001-07-24 2003-04-11 United Microelectronics Corp Method for pre-cleaning residual polymer
KR100389929B1 (ko) * 2001-07-28 2003-07-04 삼성전자주식회사 트렌치 소자분리막을 구비하는 soi 소자 및 그 제조 방법
US7001823B1 (en) * 2001-11-14 2006-02-21 Lsi Logic Corporation Method of manufacturing a shallow trench isolation structure with low trench parasitic capacitance
KR100903484B1 (ko) * 2002-01-15 2009-06-18 도쿄엘렉트론가부시키가이샤 실리콘 함유 절연막을 형성하는 cvd 방법 및 장치
US6713310B2 (en) * 2002-03-08 2004-03-30 Samsung Electronics Co., Ltd. Ferroelectric memory device using via etch-stop layer and method for manufacturing the same
US6806203B2 (en) * 2002-03-18 2004-10-19 Applied Materials Inc. Method of forming a dual damascene structure using an amorphous silicon hard mask
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6602779B1 (en) * 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
JP2004014841A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US7186640B2 (en) 2002-06-20 2007-03-06 Chartered Semiconductor Manufacturing Ltd. Silicon-rich oxide for copper damascene interconnect incorporating low dielectric constant dielectrics
US7112615B2 (en) 2002-07-22 2006-09-26 Massachusetts Institute Of Technology Porous material formation by chemical vapor deposition onto colloidal crystal templates
US7049034B2 (en) * 2003-09-09 2006-05-23 Photronics, Inc. Photomask having an internal substantially transparent etch stop layer
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
AU2003282988A1 (en) * 2002-10-21 2004-05-13 Massachusetts Institute Of Technology Pecvd of organosilicate thin films
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
KR100481181B1 (ko) * 2002-11-08 2005-04-07 삼성전자주식회사 반도체소자의 다공성 물질막을 형성하는 방법
US6833318B2 (en) * 2002-11-20 2004-12-21 United Microelectronics Corp. Gap-filling process
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
TWI240959B (en) 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6696327B1 (en) * 2003-03-18 2004-02-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
GB2425837B (en) * 2003-06-06 2008-05-21 Waters Investments Ltd Methods, compositions and devices for performing ionization desorption on silicon derivatives
US20080073512A1 (en) * 2003-06-06 2008-03-27 Waters Investments Limited Methods, compositions and devices for performing ionization desorption on silicon derivatives
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US6825561B1 (en) * 2003-06-19 2004-11-30 International Business Machines Corporation Structure and method for eliminating time dependent dielectric breakdown failure of low-k material
KR100510915B1 (ko) * 2003-07-18 2005-08-26 매그나칩 반도체 유한회사 반도체 소자의 절연막 형성 방법
US7148154B2 (en) * 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US7915085B2 (en) * 2003-09-18 2011-03-29 Cree, Inc. Molded chip fabrication method
CN1883038A (zh) * 2003-09-19 2006-12-20 皇家飞利浦电子股份有限公司 低介电常数介质层的制作方法
CN102928584B (zh) * 2003-09-25 2017-06-13 富山县政府 微孔阵列芯片及其制造方法
US6878616B1 (en) * 2003-11-21 2005-04-12 International Business Machines Corporation Low-k dielectric material system for IC application
JP3993172B2 (ja) * 2004-01-14 2007-10-17 松下電器産業株式会社 高周波受動素子
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050224722A1 (en) * 2004-03-30 2005-10-13 Applied Materials, Inc. Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7557035B1 (en) * 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US7271093B2 (en) 2004-05-24 2007-09-18 Asm Japan K.K. Low-carbon-doped silicon oxide film and damascene structure using same
US7049200B2 (en) * 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7217651B2 (en) * 2004-07-28 2007-05-15 Intel Corporation Interconnects with interlocks
US7259381B2 (en) * 2004-08-03 2007-08-21 Applied Materials, Inc. Methodology for determining electron beam penetration depth
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20060220251A1 (en) * 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
TWI411663B (zh) * 2005-09-12 2013-10-11 Fujifilm Electronic Materials 防止環烯烴衍生物降解之添加劑(二)
JP2009507834A (ja) * 2005-09-12 2009-02-26 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド 環状アルケン誘導体の分解を防止する添加剤
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
JP2008021800A (ja) * 2006-07-12 2008-01-31 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20080087965A1 (en) * 2006-10-11 2008-04-17 International Business Machines Corporation Structure and method of forming transistor density based stress layers in cmos devices
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
CN100499071C (zh) * 2006-11-30 2009-06-10 中芯国际集成电路制造(上海)有限公司 使用蚀刻阻挡区的干法蚀刻系统的系统和方法
US7951707B2 (en) * 2007-03-21 2011-05-31 Macronix International Co., Ltd. Etching method for semiconductor element
JP2008258488A (ja) * 2007-04-06 2008-10-23 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7998536B2 (en) 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7723851B2 (en) * 2007-09-11 2010-05-25 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US7704869B2 (en) * 2007-09-11 2010-04-27 International Business Machines Corporation Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
KR100898491B1 (ko) 2007-10-10 2009-05-19 주식회사한국야쿠르트 사람의 구강 충치균에 저해능이 있는 스트렙토코커스써머필러스 에이취와이9012 및 이를 이용한 식품
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7626245B2 (en) * 2008-01-02 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme low-k dielectric film scheme for advanced interconnect
WO2009104517A1 (ja) * 2008-02-18 2009-08-27 Jsr株式会社 化学機械研磨用水系分散体および化学機械研磨方法
WO2009114016A1 (en) * 2008-03-13 2009-09-17 Semiconductor Components Industries, Llc Method and circuit for bi-directional over-voltage protection
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8173213B2 (en) 2008-05-28 2012-05-08 Air Products And Chemicals, Inc. Process stability of NBDE using substituted phenol stabilizers
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
DE102008026134A1 (de) * 2008-05-30 2009-12-17 Advanced Micro Devices, Inc., Sunnyvale Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
CN102089405B (zh) 2008-07-08 2013-10-16 富士胶片电子材料美国有限公司 防止环烯烃衍生物降解的添加剂
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
CN102341431B (zh) * 2009-03-04 2014-04-30 思研(Sri)国际顾问与咨询公司 用于有机电装置的封装方法和介电层
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011106218A2 (en) * 2010-02-25 2011-09-01 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012064713A (ja) * 2010-09-15 2012-03-29 Toshiba Corp 半導体装置の製造方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
FR2976577B1 (fr) * 2011-06-17 2014-03-28 Saint Gobain Procede de fabrication d'un vitrage comprenant une couche poreuse
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104126220B (zh) 2011-12-20 2017-06-20 英特尔公司 保形低温密闭性电介质扩散屏障
US8629559B2 (en) 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9922818B2 (en) 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107257867B (zh) 2014-10-24 2021-03-16 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2016209296A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Bottom-up selective dielectric cross-linking to prevent via landing shorts
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
WO2017112732A1 (en) * 2015-12-21 2017-06-29 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102624608B1 (ko) 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190134663A1 (en) 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US10770395B2 (en) 2018-11-01 2020-09-08 International Business Machines Corporation Silicon carbide and silicon nitride interconnects
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20220301862A1 (en) 2019-09-13 2022-09-22 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335592A (ja) * 1997-06-05 1998-12-18 Sony Corp 半導体装置の製造方法
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JPH11330069A (ja) * 1998-05-08 1999-11-30 Matsushita Electric Ind Co Ltd 多孔質膜の形成方法及びその形成装置
WO1999063587A1 (en) * 1998-06-05 1999-12-09 Georgia Tech Research Corporation Porous insulating compounds and method for making same

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US111712A (en) * 1871-02-07 Improvement in treating smoking-tobacco
US104689A (en) * 1870-06-28 Improvement in hay and cotton presses
US137359A (en) * 1873-04-01 Improvement in propelling vessels
US57414A (en) * 1866-08-21 Improved spice-holder
US64154A (en) * 1867-04-23 Improvement in machine-knitted hosiery
US4610859A (en) * 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US4933430A (en) * 1987-03-05 1990-06-12 S. C. Johnson & Son, Inc. Process for producing polymers useful in thermoset coatings and polymer so produced
US5010166A (en) * 1987-03-05 1991-04-23 S. C. Johnson & Son, Inc. Process and apparatus for producing polyol polymers and polyol polymers so produced
US5028566A (en) 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
JPH0821494B2 (ja) * 1988-08-04 1996-03-04 日鉱金属株式会社 積層磁心及び積層磁心の製造方法
EP0519079B1 (en) * 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5246887A (en) * 1991-07-10 1993-09-21 At&T Bell Laboratories Dielectric deposition
IT1255257B (it) * 1991-07-22 1995-10-20 Dow Corning Rivestimenti con pellicole sottili realizzati mediante il deposito di vapore migliorato con plasma di silossani ciclici fluorati
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
US5541022A (en) * 1992-08-06 1996-07-30 Hitachi, Ltd. Composite anode for nonaqueous secondary battery and method for producing the same
FR2704857B1 (fr) * 1993-05-07 1995-06-23 Adir Nouvelles benzodioxines substituées, leur procédé de préparation et les compositions pharmaceutiques les contenant.
US6146724A (en) * 1994-06-06 2000-11-14 The University Of Tennessee Research Corporation One atmosphere uniform glow discharge plasma coating with gas barrier properties
US5540132A (en) 1994-06-16 1996-07-30 Hale; Beverly M. Method and apparatus for teaching musical notation to young children
EP0703611B1 (en) * 1994-08-31 2007-05-02 Texas Instruments Incorporated Method for insulating metal leads using a low dielectric constant material, and structures formed therewith
US5508234A (en) * 1994-10-31 1996-04-16 International Business Machines Corporation Microcavity structures, fabrication processes, and applications thereof
JP3281209B2 (ja) 1995-01-30 2002-05-13 株式会社東芝 半導体装置の製造方法
US5638251A (en) 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
IT1277690B1 (it) * 1995-12-22 1997-11-11 Bieffe Medital Spa Sistema di sostegno ed attuazione a vertebre in particolare per strumenti chirurgici e diagnostici
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5849644A (en) 1996-08-13 1998-12-15 Micron Technology, Inc. Semiconductor processing methods of chemical vapor depositing SiO2 on a substrate
KR100212465B1 (ko) * 1996-08-14 1999-08-02 정선종 객체지향 칠을 칠로 변환시 모레타 모드에 대한 그랜트/시즈 처 리 방법
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6127428A (en) * 1996-11-26 2000-10-03 The Research Foundation Of State University Of New York Method for enhancing transport of gases to tissues
JP3435325B2 (ja) * 1997-02-13 2003-08-11 株式会社東芝 低誘電率珪素酸化膜の形成方法
US6127285A (en) * 1997-02-28 2000-10-03 Dallas Instruments Incorporated Interlevel dielectrics with reduced dielectric constant
ATE214269T1 (de) * 1997-06-27 2002-03-15 Akzo Nobel Nv Oral anzuwendende flüssige arzneilösung
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
GB2328765B (en) 1997-08-29 2003-03-26 Motorola Gmbh Memory addressing method and system
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
JP4565678B2 (ja) * 1998-05-28 2010-10-20 株式会社ハイニックスセミコンダクター 半導体素子の多層配線構造の製造方法
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6001747A (en) 1998-07-22 1999-12-14 Vlsi Technology, Inc. Process to improve adhesion of cap layers in integrated circuits
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6530340B2 (en) * 1998-11-12 2003-03-11 Advanced Micro Devices, Inc. Apparatus for manufacturing planar spin-on films
KR100283485B1 (ko) * 1998-12-29 2001-11-02 한신혁 반도체 소자의 평탄화 방법
JP3236576B2 (ja) * 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US6312793B1 (en) 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
DE10027166B4 (de) 1999-05-31 2007-03-08 Pentax Corp. Stereoskopmikroskop
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1352107A2 (en) 2000-10-25 2003-10-15 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6451712B1 (en) 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020172766A1 (en) * 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
JP2002368144A (ja) 2001-06-13 2002-12-20 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
WO2003015129A2 (en) 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
JP3749162B2 (ja) 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
US7456488B2 (en) * 2002-11-21 2008-11-25 Advanced Technology Materials, Inc. Porogen material
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7196422B2 (en) 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
WO2003075802A1 (de) * 2002-03-08 2003-09-18 Waldemar Link Gmbh & Co. Kg Sprunggelenk-endoprothese
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US20050025892A1 (en) * 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6825130B2 (en) * 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335592A (ja) * 1997-06-05 1998-12-18 Sony Corp 半導体装置の製造方法
WO1999041423A2 (en) * 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JPH11330069A (ja) * 1998-05-08 1999-11-30 Matsushita Electric Ind Co Ltd 多孔質膜の形成方法及びその形成装置
WO1999063587A1 (en) * 1998-06-05 1999-12-09 Georgia Tech Research Corporation Porous insulating compounds and method for making same

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514855B1 (en) 2000-02-07 2003-02-04 Canon Sales Co., Inc. Semiconductor device manufacturing method having a porous insulating film
JP2007221165A (ja) * 2001-08-30 2007-08-30 Tokyo Electron Ltd プラズマcvd成膜方法及びプラズマcvd成膜装置
JP2012144738A (ja) * 2002-04-17 2012-08-02 Air Products & Chemicals Inc 組成物
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
JP2007204850A (ja) * 2002-04-17 2007-08-16 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体および低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP2011014925A (ja) * 2002-04-17 2011-01-20 Air Products & Chemicals Inc ポロゲン、ポロゲン化された前駆体及び低誘電率をもつ多孔質有機シリカガラス膜を得るためにそれらを使用する方法
US7943195B2 (en) 2002-04-17 2011-05-17 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
JP4790699B2 (ja) * 2004-03-05 2011-10-12 アプライド マテリアルズ インコーポレイテッド 基板上に材料を化学気相堆積する装置
JP2007527628A (ja) * 2004-03-05 2007-09-27 アプライド マテリアルズ インコーポレイテッド 傾斜した堆積を低減するためのハードウェア開発
JP2010114452A (ja) * 2004-09-28 2010-05-20 Air Products & Chemicals Inc 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
EP2256123A2 (en) 2005-01-31 2010-12-01 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
US8513448B2 (en) 2005-01-31 2013-08-20 Tosoh Corporation Cyclic siloxane compound, a material for forming Si-containing film, and its use
WO2006109686A1 (ja) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation 絶縁膜用材料およびその成膜方法
JP4997435B2 (ja) * 2005-04-08 2012-08-08 大陽日酸株式会社 絶縁膜用材料およびその成膜方法
JP2007142415A (ja) * 2005-11-16 2007-06-07 Asm Internatl Nv Cvd又はaldによる膜の堆積のための方法
WO2007116770A1 (ja) 2006-04-03 2007-10-18 Jsr Corporation 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
US8506359B2 (en) 2008-02-06 2013-08-13 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing method

Also Published As

Publication number Publication date
US6541367B1 (en) 2003-04-01
US20050153574A1 (en) 2005-07-14
TW472322B (en) 2002-01-11
US7399697B2 (en) 2008-07-15
US7205224B2 (en) 2007-04-17
KR20010076345A (ko) 2001-08-11
US7633163B2 (en) 2009-12-15
US20040235291A1 (en) 2004-11-25
US7012030B2 (en) 2006-03-14
US20100081291A1 (en) 2010-04-01
JP4918190B2 (ja) 2012-04-18
US7601631B2 (en) 2009-10-13
US7825042B2 (en) 2010-11-02
KR100730844B1 (ko) 2007-06-20
US6890639B2 (en) 2005-05-10
US20020142585A1 (en) 2002-10-03
US20050136240A1 (en) 2005-06-23
US6596627B2 (en) 2003-07-22
US20060240652A1 (en) 2006-10-26
EP1119035A3 (en) 2005-06-08
US7094710B2 (en) 2006-08-22
EP1119035A2 (en) 2001-07-25
US20030211728A1 (en) 2003-11-13
US20060226548A1 (en) 2006-10-12
US20020197849A1 (en) 2002-12-26
SG102601A1 (en) 2004-03-26

Similar Documents

Publication Publication Date Title
JP4918190B2 (ja) 非常に低い誘電率プラズマ強化cvd膜
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7611996B2 (en) Multi-stage curing of low K nano-porous films
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
US20080105978A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
JP2002528893A (ja) Cvdナノ多孔性シリカの低誘電率膜
JP2001148382A (ja) 有機珪素化合物とヒドロキシル形成化合物との反応による液状シリカ層の形成
US7501354B2 (en) Formation of low K material utilizing process having readily cleaned by-products

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101026

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101029

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110705

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110927

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120130

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150203

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4918190

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term