HK1182185A1 - 測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法 - Google Patents

測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法

Info

Publication number
HK1182185A1
HK1182185A1 HK13108455.6A HK13108455A HK1182185A1 HK 1182185 A1 HK1182185 A1 HK 1182185A1 HK 13108455 A HK13108455 A HK 13108455A HK 1182185 A1 HK1182185 A1 HK 1182185A1
Authority
HK
Hong Kong
Prior art keywords
exposure
loading
device production
measuring
exposure apparatus
Prior art date
Application number
HK13108455.6A
Other languages
English (en)
Inventor
安田雅彥
杉原太郎
Original Assignee
尼康股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 尼康股份有限公司 filed Critical 尼康股份有限公司
Publication of HK1182185A1 publication Critical patent/HK1182185A1/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
HK13108455.6A 2004-11-18 2013-07-18 測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法 HK1182185A1 (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004335050 2004-11-18

Publications (1)

Publication Number Publication Date
HK1182185A1 true HK1182185A1 (zh) 2013-11-22

Family

ID=36407218

Family Applications (7)

Application Number Title Priority Date Filing Date
HK14111240A HK1198210A1 (zh) 2004-11-18 2007-08-27 在曝光裝置中定位及裝載基板
HK14111241A HK1198211A1 (zh) 2004-11-18 2007-08-27 在曝光裝置中定位及裝載基板
HK13108034.6A HK1182459A1 (zh) 2004-11-18 2013-07-09 曝光裝置、及元件製造方法
HK13108043.5A HK1182460A1 (zh) 2004-11-18 2013-07-09 位置測量方法、位置控制方法、曝光方法及曝光裝置、及元件製造方法
HK13108455.6A HK1182185A1 (zh) 2004-11-18 2013-07-18 測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
HK15107385.1A HK1206822A1 (zh) 2004-11-18 2015-08-01 位置控制方法、曝光方法及曝光裝置、及元件製造方法
HK18111281.5A HK1251949A1 (zh) 2004-11-18 2018-09-03 曝光方法和曝光裝置、及半導體元件製造方法

Family Applications Before (4)

Application Number Title Priority Date Filing Date
HK14111240A HK1198210A1 (zh) 2004-11-18 2007-08-27 在曝光裝置中定位及裝載基板
HK14111241A HK1198211A1 (zh) 2004-11-18 2007-08-27 在曝光裝置中定位及裝載基板
HK13108034.6A HK1182459A1 (zh) 2004-11-18 2013-07-09 曝光裝置、及元件製造方法
HK13108043.5A HK1182460A1 (zh) 2004-11-18 2013-07-09 位置測量方法、位置控制方法、曝光方法及曝光裝置、及元件製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
HK15107385.1A HK1206822A1 (zh) 2004-11-18 2015-08-01 位置控制方法、曝光方法及曝光裝置、及元件製造方法
HK18111281.5A HK1251949A1 (zh) 2004-11-18 2018-09-03 曝光方法和曝光裝置、及半導體元件製造方法

Country Status (10)

Country Link
US (12) US8059260B2 (zh)
EP (4) EP3346486A1 (zh)
JP (14) JP4877653B2 (zh)
KR (10) KR101861949B1 (zh)
CN (5) CN104360582B (zh)
HK (7) HK1198210A1 (zh)
IL (3) IL183280A0 (zh)
SG (4) SG10201505315UA (zh)
TW (8) TWI649790B (zh)
WO (1) WO2006054682A1 (zh)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041786B2 (en) * 2001-03-29 2006-05-09 Callisto Pharmaceuticals Guanylate cyclase receptor agonists for the treatment of tissue inflammation and carcinogenesis
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TWI649790B (zh) 2004-11-18 2019-02-01 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI422981B (zh) * 2006-08-31 2014-01-11 尼康股份有限公司 Mobile body driving method and moving body driving system, pattern forming method and apparatus, exposure method and apparatus, and component manufacturing method
TWI653511B (zh) * 2006-08-31 2019-03-11 日商尼康股份有限公司 Exposure apparatus, exposure method, and component manufacturing method
CN101405837B (zh) * 2006-09-01 2012-08-29 株式会社尼康 移动体驱动方法、图案形成方法、曝光方法以及组件制造方法
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
CN101772513B (zh) * 2007-06-04 2013-11-13 协同医药品公司 有效用于胃肠功能紊乱、炎症、癌症和其他疾病治疗的鸟苷酸环化酶激动剂
US8969514B2 (en) 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
KR101614666B1 (ko) * 2007-07-18 2016-04-21 가부시키가이샤 니콘 계측 방법, 스테이지 장치, 및 노광 장치
JP5262070B2 (ja) * 2007-11-05 2013-08-14 大同特殊鋼株式会社 被検査物の真円度測定方法
TW201907243A (zh) * 2007-12-28 2019-02-16 日商尼康股份有限公司 曝光裝置、曝光方法、以及元件製造方法
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
WO2009149278A1 (en) 2008-06-04 2009-12-10 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
ES2522968T3 (es) * 2008-06-04 2014-11-19 Synergy Pharmaceuticals Inc. Agonistas de guanilato ciclasa útiles para el tratamiento de trastornos gastrointestinales, inflamación, cáncer y otros trastornos
JP2011528375A (ja) 2008-07-16 2011-11-17 シナジー ファーマシューティカルズ インコーポレイテッド 胃腸障害、炎症、癌、およびその他の障害の治療のために有用なグアニル酸シクラーゼのアゴニスト
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
EP2373296B1 (en) 2008-12-03 2016-08-03 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase c agonists and methods of use
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
AU2011302006A1 (en) 2010-09-15 2013-03-07 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase C agonists and methods of use
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5657039B2 (ja) * 2013-01-28 2015-01-21 株式会社日立ハイテクノロジーズ 試料搭載装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2016514671A (ja) 2013-03-15 2016-05-23 シナジー ファーマシューティカルズ インコーポレイテッド グアニル酸シクラーゼのアゴニストおよびその使用
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR20160060067A (ko) 2013-09-04 2016-05-27 씨케이디 가부시키 가이샤 전자 액추에이터용 전기자 코일, 전자 액추에이터, 노광 장치, 및 디바이스 제조 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6475756B2 (ja) * 2015-02-04 2019-02-27 川崎重工業株式会社 ロボットのぶれ自動調整装置及びロボットのぶれ自動調整方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6649636B2 (ja) 2015-02-23 2020-02-19 株式会社ニコン 計測装置、リソグラフィシステム及び露光装置、並びにデバイス製造方法
TW202343158A (zh) 2015-02-23 2023-11-01 日商尼康股份有限公司 測量裝置、曝光裝置、微影系統、測量方法以及曝光方法
TWI768409B (zh) * 2015-02-23 2022-06-21 日商尼康股份有限公司 基板處理系統及基板處理方法、以及元件製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
TWI619145B (zh) 2015-04-30 2018-03-21 佳能股份有限公司 壓印裝置,基板運送裝置,壓印方法以及製造物件的方法
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) * 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102222149B1 (ko) 2016-07-26 2021-03-03 에이에스엠엘 네델란즈 비.브이. 레벨 센서 장치, 기판에 걸친 토포그래피 변동을 측정하는 방법, 리소그래피 프로세스에 관련된 물리적 파라미터의 변동을 측정하는 방법, 및 리소그래피 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
JP3387075B2 (ja) * 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH0961111A (ja) * 1995-08-28 1997-03-07 Nikon Corp パターン座標測定方法および装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
WO1999049504A1 (fr) 1998-03-26 1999-09-30 Nikon Corporation Procede et systeme d'exposition par projection
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
JP4505989B2 (ja) 1998-05-19 2010-07-21 株式会社ニコン 収差測定装置並びに測定方法及び該装置を備える投影露光装置並びに該方法を用いるデバイス製造方法、露光方法
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
KR20020036951A (ko) * 1999-05-28 2002-05-17 시마무라 테루오 노광방법 및 장치
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) * 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
SG124257A1 (en) 2000-02-25 2006-08-30 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001332490A (ja) * 2000-03-14 2001-11-30 Nikon Corp 位置合わせ方法、露光方法、露光装置、及びデバイス製造方法
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
JPWO2003065427A1 (ja) 2002-01-29 2005-05-26 株式会社ニコン 露光装置及び露光方法
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
TWI242691B (en) * 2002-08-23 2005-11-01 Nikon Corp Projection optical system and method for photolithography and exposure apparatus and method using same
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN101713932B (zh) * 2002-11-12 2012-09-26 Asml荷兰有限公司 光刻装置和器件制造方法
EP1420298B1 (en) 2002-11-12 2013-02-20 ASML Netherlands B.V. Lithographic apparatus
DE60335595D1 (de) 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
CN101470360B (zh) * 2002-11-12 2013-07-24 Asml荷兰有限公司 光刻装置和器件制造方法
KR101139266B1 (ko) * 2002-12-03 2012-05-15 가부시키가이샤 니콘 오염 물질 제거 방법 및 장치, 그리고 노광 방법 및 장치
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
AU2003289237A1 (en) * 2002-12-10 2004-06-30 Nikon Corporation Exposure apparatus and method for manufacturing device
WO2004053953A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
JP4352874B2 (ja) * 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
WO2004059710A1 (ja) * 2002-12-24 2004-07-15 Nikon Corporation 収差計測方法、露光方法及び露光装置
KR101096478B1 (ko) * 2003-01-23 2011-12-20 가부시키가이샤 니콘 노광 장치
US6903338B2 (en) * 2003-01-30 2005-06-07 Kla-Tencor Technologies Corporation Method and apparatus for reducing substrate edge effects in electron lenses
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
JP4604452B2 (ja) 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
KR20180126102A (ko) * 2003-02-26 2018-11-26 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
WO2004090634A2 (en) * 2003-04-10 2004-10-21 Nikon Corporation Environmental system including vaccum scavange for an immersion lithography apparatus
EP2613193B1 (en) * 2003-04-11 2016-01-13 Nikon Corporation Apparatus and method for maintaining immersion fluid in the gap under the projection lens during wafer exchange in an immersion lithography machine
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) * 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
EP2853943B1 (en) 2003-07-08 2016-11-16 Nikon Corporation Wafer table for immersion lithography
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) * 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
CN100477083C (zh) 2004-10-13 2009-04-08 株式会社尼康 曝光装置、曝光方法及组件制造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI649790B (zh) 2004-11-18 2019-02-01 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Also Published As

Publication number Publication date
JP5967393B2 (ja) 2016-08-10
CN103149802B (zh) 2015-10-14
KR101689100B1 (ko) 2017-01-02
JP2012084927A (ja) 2012-04-26
JP2017142538A (ja) 2017-08-17
US10222708B2 (en) 2019-03-05
SG2014009153A (en) 2014-05-29
KR20130028798A (ko) 2013-03-19
US9223231B2 (en) 2015-12-29
US8072578B2 (en) 2011-12-06
IL221688A (en) 2013-11-28
JP2016173607A (ja) 2016-09-29
US9348238B2 (en) 2016-05-24
US20130329201A1 (en) 2013-12-12
IL221687A0 (en) 2012-10-31
CN104360582A (zh) 2015-02-18
KR20160148059A (ko) 2016-12-23
KR20180054934A (ko) 2018-05-24
IL221688A0 (en) 2012-10-31
KR20070085211A (ko) 2007-08-27
JP2019066887A (ja) 2019-04-25
KR20120065437A (ko) 2012-06-20
JP4877653B2 (ja) 2012-02-15
KR101452483B1 (ko) 2014-10-21
CN101057316B (zh) 2013-03-06
KR101493641B1 (ko) 2015-02-13
HK1182460A1 (zh) 2013-11-29
US8576379B2 (en) 2013-11-05
KR20140098194A (ko) 2014-08-07
SG157404A1 (en) 2009-12-29
US20080151214A1 (en) 2008-06-26
KR101578629B1 (ko) 2015-12-17
US8059260B2 (en) 2011-11-15
US20130329208A1 (en) 2013-12-12
CN103186057B (zh) 2015-12-23
US20080151267A1 (en) 2008-06-26
KR101670571B1 (ko) 2016-10-28
US20160018745A1 (en) 2016-01-21
JP4986187B2 (ja) 2012-07-25
JP2016040624A (ja) 2016-03-24
JP2016075955A (ja) 2016-05-12
IL183280A0 (en) 2007-09-20
KR20150112038A (ko) 2015-10-06
US20080151257A1 (en) 2008-06-26
CN104360582B (zh) 2017-06-16
EP3346486A1 (en) 2018-07-11
HK1182459A1 (zh) 2013-11-29
WO2006054682A1 (ja) 2006-05-26
JP6229766B2 (ja) 2017-11-15
CN103149803B (zh) 2016-03-30
EP2772803A1 (en) 2014-09-03
JP6555554B2 (ja) 2019-08-07
TW201324585A (zh) 2013-06-16
HK1198211A1 (zh) 2015-03-13
SG10201505315UA (en) 2015-08-28
TWI649790B (zh) 2019-02-01
TWI393170B (zh) 2013-04-11
KR101421850B1 (ko) 2014-07-24
HK1198210A1 (zh) 2015-03-13
US9857692B2 (en) 2018-01-02
TW201630047A (zh) 2016-08-16
JP6143135B2 (ja) 2017-06-07
JP2018067014A (ja) 2018-04-26
TWI536429B (zh) 2016-06-01
KR101421849B1 (ko) 2014-07-24
JP5721064B2 (ja) 2015-05-20
JP2012103269A (ja) 2012-05-31
JPWO2006054682A1 (ja) 2008-06-05
EP1821336A1 (en) 2007-08-22
EP1821336A4 (en) 2011-03-09
JP5900763B2 (ja) 2016-04-06
KR20150010775A (ko) 2015-01-28
TWI588872B (zh) 2017-06-21
JP5403296B2 (ja) 2014-01-29
TWI654661B (zh) 2019-03-21
SG2014009179A (en) 2014-04-28
TW200633009A (en) 2006-09-16
IL221687A (en) 2013-11-28
TW201730928A (zh) 2017-09-01
US9223230B2 (en) 2015-12-29
TW201334032A (zh) 2013-08-16
TW201835972A (zh) 2018-10-01
CN103186057A (zh) 2013-07-03
US9298108B2 (en) 2016-03-29
CN103149803A (zh) 2013-06-12
JP2010118684A (ja) 2010-05-27
TWI553703B (zh) 2016-10-11
HK1206822A1 (zh) 2016-01-15
US20070216893A1 (en) 2007-09-20
JP5447545B2 (ja) 2014-03-19
TW201338018A (zh) 2013-09-16
CN101057316A (zh) 2007-10-17
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
HK1251949A1 (zh) 2019-05-03
KR101437298B1 (ko) 2014-09-02
JP5392512B2 (ja) 2014-01-22
TWI538013B (zh) 2016-06-11
US20060158632A1 (en) 2006-07-20
JP2015111682A (ja) 2015-06-18
US8054465B2 (en) 2011-11-08
JP6399321B2 (ja) 2018-10-03
JP5630345B2 (ja) 2014-11-26
JP2011155285A (ja) 2011-08-11
KR101861949B1 (ko) 2018-07-02
KR20140018367A (ko) 2014-02-12
EP1821336B1 (en) 2019-04-17
JP2012094902A (ja) 2012-05-17
CN103149802A (zh) 2013-06-12
JP2014131082A (ja) 2014-07-10
KR20120065436A (ko) 2012-06-20
US20160161861A1 (en) 2016-06-09
US20130329200A1 (en) 2013-12-12
EP2772804A1 (en) 2014-09-03
TW201837984A (zh) 2018-10-16

Similar Documents

Publication Publication Date Title
HK1182185A1 (zh) 測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
HK1243226B (zh) 曝光裝置、曝光方法以及器件製造方法
HK1248027A1 (zh) 曝光裝置和器件製造方法
HK1215755A1 (zh) 曝光裝置及元件製造方法
HK1099962A1 (en) Exposure apparatus, exposure method, and method for manufacturing device
EP1821338A4 (en) EXPOSURE DEVICE, EXPOSURE METHOD AND MANUFACTURING METHOD FOR THE DEVICE
IL188276A0 (en) Exposure method and exposure apparatus, and device manufacturing method
EP1947683A4 (en) EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENT MANUFACTURING METHOD
EP1844490A4 (en) MEASURING DEVICE, EXPOSURE DEVICE AND METHOD AND METHOD OF MANUFACTURING THEREOF
IL179826A0 (en) Exposure apparatus, exposure method, and device producing method
HK1091596A1 (zh) 曝光裝置、曝光方法以及設備製造方法
EP1978546A4 (en) EXPOSURE DEVICE, EXPOSURE METHOD AND COMPONENT MANUFACTURING METHOD
EP1808884A4 (en) EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD
HK1225811A1 (zh) 曝光裝置、曝光方法以及器件製造方法
EP1863070A4 (en) EXPOSURE DEVICE AND METHOD FOR PRODUCING THE DEVICE
EP1876635A4 (en) EXPOSURE METHOD, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD
EP1942332A4 (en) DEVICE, APPARATUS AND METHOD FOR MEASURING
EP1965414A4 (en) EXPOSURE METHOD, EXPOSURE DEVICE AND METHOD FOR MANUFACTURING COMPONENTS
HK1173786A1 (zh) 位置檢測裝置、曝光裝置以及元件製造方法
EP1895570A4 (en) EXPOSURE METHOD AND APPARATUS, AND DEVICE MANUFACTURING METHOD
HK1100791A1 (en) Exposure apparatus and exposure method, and device producing method
IL183515A0 (en) Exposure apparatus, exposure method, and device manufacturing method
GB0715924D0 (en) Exposure apparatus and device manufacturing method
IL186919A0 (en) Exposure method, exposure apparatus, and device manufacturing method
EP1865539A4 (en) METHOD FOR DETERMINING EXPOSURE CONDITIONS, EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE PRODUCTION APPARATUS

Legal Events

Date Code Title Description
PC Patent ceased (i.e. patent has lapsed due to the failure to pay the renewal fee)

Effective date: 20191120