JP5967393B2 - 露光装置及び露光方法、並びにデバイス製造方法 - Google Patents

露光装置及び露光方法、並びにデバイス製造方法 Download PDF

Info

Publication number
JP5967393B2
JP5967393B2 JP2015225270A JP2015225270A JP5967393B2 JP 5967393 B2 JP5967393 B2 JP 5967393B2 JP 2015225270 A JP2015225270 A JP 2015225270A JP 2015225270 A JP2015225270 A JP 2015225270A JP 5967393 B2 JP5967393 B2 JP 5967393B2
Authority
JP
Japan
Prior art keywords
stage
wafer
measurement
exposure
projection optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2015225270A
Other languages
English (en)
Other versions
JP2016040624A (ja
Inventor
雅彦 安田
雅彦 安田
杉原 太郎
太郎 杉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2015225270A priority Critical patent/JP5967393B2/ja
Publication of JP2016040624A publication Critical patent/JP2016040624A/ja
Application granted granted Critical
Publication of JP5967393B2 publication Critical patent/JP5967393B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microscoopes, Condenser (AREA)

Description

本発明は、露光装置及び露光方法、並びにデバイス製造方法に係り、さらに詳しくは、半導体素子(集積回路等)又は液晶表示素子等の電子デバイスを製造するリソグラフィ工程で用いられる露光装置及び露光方法、並びに露光装置又は露光方法を用いるデバイス製造方法に関する。
従来、半導体素子(集積回路等)、液晶表示素子等の電子デバイスを製造するリソグラフィ工程では、マスク又はレチクル(以下、「レチクル」と総称する)のパターンの像を投影光学系を介して、レジスト(感光剤)が塗布されたウエハ又はガラスプレート等の感光性の物体(以下、「ウエハ」と呼ぶ)上の複数のショット領域の各々に転写するステップ・アンド・リピート方式の縮小投影露光装置(いわゆるステッパ)や、ステップ・アンド・スキャン方式の投影露光装置(いわゆるスキャニング・ステッパ(スキャナとも呼ばれる))などが、主として用いられている。
ところで、半導体素子の高集積化、回路パターンの微細化に伴い、投影露光装置が備える投影光学系の解像度向上を図るために、露光光の波長(露光波長)が次第に短波長化するとともに投影光学系の開口数(NA)が次第に増大している。一方、これら露光波長の短波長化及び投影光学系のNAの増大化(大NA化)によって、焦点深度が狭くなってきている。露光波長は将来的に更に短波長化することが確実視されており、このままでは焦点深度が狭くなり過ぎて、露光動作時のフォーカスマージンが不足するおそれが生じていた。
そこで、実質的に露光波長を短くして、かつ空気中に比べて焦点深度を大きく(広く)する方法として、液浸法を利用した露光装置が、最近注目されるようになってきた。この液浸法を利用した露光装置として、投影光学系の下面とウエハ表面との間を水又は有機溶媒等の液体で局所的に満たした状態で露光を行うものが知られている(例えば、特許文献1参照)。この特許文献1に記載の露光装置では、液体中での露光光の波長が、空気中の1/n倍(nは液体の屈折率で通常1.2〜1.6程度)になることを利用して解像度を向上すると共に、その解像度と同一の解像度が液浸法によらず得られる投影光学系(このような投影光学系の製造が可能であるとして)に比べて焦点深度をn倍に拡大する、すなわち空気中に比べて焦点深度を実質的にn倍に拡大することができる。
ところで、近時、露光装置のウエハステージにおいては、ウエハステージに保持されたウエハの周囲に、ウエハとほぼ面一の平坦部を形成する脱着可能なプレートを配置しようとの提案がなされている。このような脱着可能なプレートをウエハステージに用いる場合、プレートの位置を正確に知る必要がある。
また、ウエハステージにプレートを用いる場合、そのプレートの中央部にウエハを位置させるための開口(例えば半導体ウエハの場合は円形の開口)を形成する必要があるが、例えばプレートの円形開口の真円度が低く、歪な円形や楕円形になっている場合には、ウエハの外周面と開口の内周面との隙間が一様でなくなり、ウエハがプレートの開口の内壁面に接触する、あるいはプレートの開口内にウエハを挿入できないなどの不都合が生じるおそれがあった。
また、プレートの開口の内壁面とウエハとの間の隙間は非常に狭いので、ウエハのロードの際のウエハとプレートとの相対位置を正確に合わせなければ、円滑なウエハのロード動作が困難になる。
また、液浸法を用いる露光装置の場合には、プレートの開口の内周エッジとウエハの外周エッジとの隙間が広い部分に液体が侵入してしまうおそれもあった。
国際公開第99/49504号
本発明の第1の態様によれば、投影光学系と液体とを介して露光ビームで物体を露光する露光装置であって、前記投影光学系を保持する保持部材と、前記投影光学系から離れて前記保持部材に設けられる検出装置と、前記投影光学系の下方に配置されるベースと、前記ベース上に配置され、上面の一部に形成される凹部内で前記物体を保持可能なステージと、前記ベース上で非接触に支持される前記ステージを移動する駆動系と、前記投影光学系の下に液体で液浸領域を形成する局所液浸部材と、前記物体のプリアライメント装置を有し、前記プリアライメント装置によってプリアライメントが行われた物体を、前記投影光学系から離れた前記物体の交換位置に配置される前記ステージの上方に搬送する搬送系と、前記搬送系によって前記ステージの上方に搬送される物体が前記凹部内に載置されるように前記ステージに前記物体をロードするため、前記検出装置によって取得される前記凹部の位置情報に基づいて前記ステージと前記搬送系との少なくとも一方を制御する制御装置と、を備え、前記物体はその表面と前記ステージの上面との間に間隙が形成されるように前記凹部内に載置され、前記ステージは、前記投影光学系と前記物体の一部との間に形成される前記液浸領域に対して前記凹部内に載置される物体が相対移動し、かつ前記液浸領域が前記間隙を横切るように移動される露光装置が、提供される。
本発明の第2の態様によれば、投影光学系と液体とを介して露光ビームで物体を露光する露光方法であって、前記投影光学系の下方に配置されるベース上で非接触に支持され、上面の一部に形成される凹部内で前記物体を保持可能なステージを、前記投影光学系から離れた前記物体の交換位置に配置することと、前記物体を搬送する搬送系に設けられるプリアライメント装置によってプリアライメントが行なわれた物体を、前記搬送系によって、前記交換位置に配置される前記ステージの上方に搬送することと、前記ステージの上方に搬送される物体が前記凹部内に載置されるように前記物体を前記ステージにロードするために、前記投影光学系を保持する保持部材に設けられる検出装置によって取得される前記凹部の位置情報に基づいて、前記ステージと前記搬送系との少なくとも一方を制御することと、を含み、前記物体はその表面と前記ステージの上面との間に間隙が形成されるように前記凹部内に載置され、前記ステージは、前記投影光学系と前記物体の一部との間に液体で形成される液浸領域に対して前記凹部内に載置される物体が相対移動し、かつ前記液浸領域が前記間隙を横切るように移動される露光方法が、提供される。
本発明の第3の態様によれば、第1の態様に係る露光装置を用いるリソグラフィ工程を含むことを特徴とするデバイス製造方法が、提供される。
本発明の第4の態様によれば、第2の態様に係る露光方法を用いるリソグラフィ工程を含むことを特徴とするデバイス製造方法が、提供される。
一実施形態の露光装置の概略構成を示す図である。 図1のステージ装置を示す斜視図である。 図1の計測ステージを示す斜視図である。 ウエハテーブルを示す平面図である。 干渉計システムの構成を説明するための図である。 一実施形態の露光装置の制御系の主要な構成を示すブロック図である。 ウエハテーブルの基準状態への復帰動作の際の、主制御装置(内部のCPU)の処理アルゴリズムを示すフローチャートである。 図7のフローチャートで示される処理アルゴリズムの開始条件を説明するための図であって、その開始時のウエハテーブルWTBの位置の一例を示す図である。 図9(A)、図9(B)、図9(C)及び図9(D)は、撥液プレートの外周エッジの位置情報の取得に際し、第1番目、第2番目、第3番目、第4番目の計測点がアライメント系の撮像視野に位置決めされたときの様子を、それぞれ示す図である。 図10(A)は、撥液プレートの+Y側端部のエッジ上の複数箇所の計測点の位置情報を順次計測する際のウエハテーブルWTBの移動の様子を示す図、図10(B)は、撥液プレートの4辺のエッジの各々に3点の計測点を設定した場合の様子を示す図である。 ウエハテーブル上の撥液プレート交換から次の撥液プレート交換が行われるまでの間の、一連の処理の際の、主制御装置(内部のCPU)の処理アルゴリズムを示すフローチャート(その1)である。 ウエハテーブル上の撥液プレート交換から次の撥液プレート交換が行われるまでの間の、一連の処理の際の、主制御装置(内部のCPU)の処理アルゴリズムを示すフローチャート(その2)である。 ステップ222のサブルーチンを示すフローチャートである。 ステップ236のサブルーチンを示すフローチャートである。 図15(A)、図15(B)、図15(C)及び図15(D)は、撥液プレートの開口の内周エッジの位置情報の取得に際し、第1番目、第2番目、第3番目、第4番目の計測点がアライメント系の撮像視野に位置決めされたときの様子を、それぞれ示す図である。 図16(A)、図16(B)、図16(C)及び図16(D)は、撥液プレートの開口の内周エッジの位置情報の取得に際し、第5番目、第6番目、第7番目、第8番目の計測点がアライメント系の撮像視野に位置決めされたときの様子を、それぞれ示す図である。 図17(A)は、撥液プレートの開口の内周エッジ上の8箇所の撮像データが取得される状態を模式的に示す概念図、図17(B)は、工具用ウエハの外周エッジ上の8箇所の撮像データが取得される状態を模式的に示す概念図である。 撥液プレートの外周エッジ部近傍を拡大して示す側面図である。 図19(A)〜図19(D)は、変形例を説明するための図(その1)である。 図20(A)〜図20(C)は、変形例を説明するための図(その2)である。
以下、本発明の一実施形態を図1〜図17(B)に基づいて説明する。
図1には、本発明に係る位置計測方法、位置制御方法、計測方法、ロード方法及び露光方法の実施に好適な一実施形態の露光装置100の概略構成が示されている。この露光装置100は、ステップ・アンド・スキャン方式の投影露光装置、すなわちいわゆるスキャニング・ステッパ(スキャナとも呼ばれる)である。この露光装置100は、照明系10、レチクルRを保持するレチクルステージRST、投影ユニットPU、ウエハステージWST及び計測ステージMSTを有するステージ装置150、及びこれらの制御系等を備えている。ウエハステージWST上には、ウエハWが載置されるようになっている。
前記照明系10は、例えば特開2001−313250号公報及びこれに対応する米国特許出願公開第2003/0025890号明細書などに開示されるように、光源、オプティカルインテグレータ(フライアイレンズ、ロッドインテグレータ(内面反射型インテグレータ)あるいは回折光学素子など)等を含む照度均一化光学系、ビームスプリッタ、リレーレンズ、可変NDフィルタ、レチクルブラインド等(いずれも不図示)を含む。
この照明系10では、レチクルR上のレチクルブラインドで設定されたスリット状の照明領域部分を照明光(露光光)ILによりほぼ均一な照度で照明する。ここで、照明光ILとしては、一例としてArFエキシマレーザ光(波長193nm)が用いられている。
前記レチクルステージRST上には、回路パターン等がそのパターン面(図1における下面)に形成されたレチクルRが、例えば真空吸着により固定されている。レチクルステージRSTは、例えばリニアモータ等を含むレチクルステージ駆動系11(図1では図示せず図6参照)によって、照明系10の光軸(後述する投影光学系PLの光軸AXに一致)に垂直なXY平面内で微少駆動可能であるとともに、所定の走査方向(ここでは図1における紙面内左右方向であるY軸方向とする)に指定された走査速度で駆動可能となっている。
レチクルステージRSTのステージ移動面内の位置(Z軸回りの回転を含む)は、レチクルレーザ干渉計(以下、「レチクル干渉計」という)116によって、移動鏡15(実際には、Y軸方向に直交する反射面を有するY移動鏡とX軸方向に直交する反射面を有するX移動鏡とが設けられている)を介して、例えば0.5〜1nm程度の分解能で常時検出される。このレチクル干渉計116の計測値は、主制御装置20(図1では不図示、図6参照)に送られ、主制御装置20では、このレチクル干渉計116の計測値に基づいてレチクルステージRSTのX軸方向、Y軸方向及びθz方向(Z軸回りの回転方向)の位置を算出するとともに、この算出結果に基づいてレチクルステージ駆動系11を制御することで、レチクルステージRSTの位置(及び速度)を制御する。なお、移動鏡15に代えて、レチクルステージRSTの端面を鏡面加工して反射面(移動鏡15の反射面に相当)を形成することとしても良い。
レチクルRの上方には、投影光学系PLを介してレチクルR上の一対のレチクルアライメントマークとこれらに対応する計測ステージMST上の一対の基準マーク(以下、「第1基準マーク」と呼ぶ)とを同時に観察するための露光波長の光を用いたTTR(Through The Reticle)アライメント系から成る一対のレチクルアライメント検出系RAa,RAbがX軸方向に所定距離隔てて設けられている。これらのレチクルアライメント検出系RAa,RAbとしては、例えば特開平7−176468号公報及びこれに対応する米国特許第5,646,413号明細書などに開示されるものと同様の構成のものが用いられている。
前記投影ユニットPUは、レチクルステージRSTの図1における下方に配置されている。投影ユニットPUは、鏡筒40と、該鏡筒40内に所定の位置関係で保持された複数の光学素子から成る投影光学系PLとを含む。投影光学系PLとしては、例えばZ軸方向の共通の光軸AXを有する複数のレンズ(レンズエレメント)から成る屈折光学系が用いられている。この投影光学系PLは、例えば両側テレセントリックで所定の投影倍率(例えば1/4倍又は1/5倍)を有する。このため、照明系10からの照明光ILによってレチクルR上の照明領域が照明されると、このレチクルRを通過した照明光ILにより、投影光学系PL(投影ユニットPU)を介してその照明領域内のレチクルRの回路パターンの縮小像(回路パターンの一部の縮小像)が表面にレジスト(感光剤)が塗布されたウエハW上の前記照明領域に共役な領域(露光領域)に形成される。
なお、本実施形態の露光装置100では、液浸法を適用した露光が行われるため、開口数NAが実質的に増大することに伴いレチクル側の開口が大きくなる。このため、レンズのみで構成する屈折光学系においては、ペッツヴァルの条件を満足することが困難となり、投影光学系が大型化する傾向にある。かかる投影光学系の大型化を避けるために、ミラーとレンズとを含む反射屈折系(カタディ・オプトリック系)を用いても良い。
また、本実施形態の露光装置100では、液浸法を適用した露光を行うため、投影光学系PLの一部を構成する最も像面側に位置する(ウエハWに近い)レンズ(以下、「先端レンズ」ともいう)91の近傍には、液浸機構132の一部を構成する液体供給ノズル51Aと、液体回収ノズル51Bとが設けられている。
前記液体供給ノズル51Aには、その一端が液体供給装置88(図1では不図示、図6参照)に接続された不図示の供給管の他端が接続されており、前記液体回収ノズル51Bには、その一端が液体回収装置92(図1では不図示、図6参照)に接続された不図示の回収管の他端が接続されている。
前記液体供給装置88は、液体のタンク、加圧ポンプ、温度制御装置、並びに供給管に対する液体の供給・停止を制御するためのバルブ等を含む。バルブとしては、例えば液体の供給・停止のみならず、流量の調整も可能となるように、流量制御弁を用いることが望ましい。前記温度制御装置は、液体タンク内の液体の温度を、露光装置本体が収納されているチャンバ(不図示)内の温度と同程度の温度に調整する。
なお、液体を供給するためのタンク、加圧ポンプ、温度制御装置、バルブなどは、その全てを露光装置100で備えている必要はなく、少なくとも一部を露光装置100が設置される工場などの設備で代替することもできる。
前記液体回収装置92は、液体のタンク及び吸引ポンプ、並びに回収管を介した液体の回収・停止を制御するためのバルブ等を含む。バルブとしては、前述した液体供給装置88側のバルブに対応して流量制御弁を用いることが望ましい。
なお、液体を回収するためのタンク、吸引ポンプ、バルブなどは、その全てを露光装置100で備えている必要はなく、少なくとも一部を露光装置100が設置される工場などの設備で代替することもできる。
上記の液体としては、ここでは、ArFエキシマレーザ光(波長193nmの光)が透過する純水(以下、特に必要な場合を除いて、単に「水」と記述する)を用いるものとする。純水は、半導体製造工場等で容易に大量に入手できると共に、ウエハ上のフォトレジストや光学レンズ等に対する悪影響がない利点がある。
ArFエキシマレーザ光に対する水の屈折率nは、ほぼ1.44である。この水の中では、照明光ILの波長は、193nm×1/n=約134nmに短波長化される。
前記液体供給装置88及び液体回収装置92は、それぞれコントローラを具備しており、それぞれのコントローラは、主制御装置20によって制御されるようになっている(図6参照)。液体供給装置88のコントローラは、主制御装置20からの指示に応じ、供給管に接続されたバルブを所定開度で開き、液体供給ノズル51Aを介して先端レンズ91とウエハW(又は後述するプレート)との間に水を供給する。また、このとき、液体回収装置92のコントローラは、主制御装置20からの指示に応じ、回収管に接続されたバルブを所定開度で開き、液体回収ノズル51Bを介して先端レンズ91とウエハWとの間から液体回収装置92(液体のタンク)の内部に水を回収する。このとき、主制御装置20は、先端レンズ91とウエハWとの間に液体供給ノズル51Aから供給される水の量と、液体回収ノズル51Bを介して回収される水の量とが常に等しくなるように、液体供給装置88のコントローラ、液体回収装置92のコントローラに対して指令を与える。従って、先端レンズ91とウエハWとの間に、一定量の水Lq(図1参照)が保持される。この場合、先端レンズ91とウエハWとの間に保持された水Lqは、常に入れ替わっている。
上記の説明から明らかなように、本実施形態の液浸機構132は、上記液体供給装置88、液体回収装置92、供給管、回収管、液体供給ノズル51A及び液体回収ノズル51B等を含む局所液浸機構であり、ウエハWを露光する場合には、ウエハW上の一部に液浸領域が形成される。
なお、投影ユニットPU下方に計測ステージMSTが位置する場合にも、上記と同様に後述する計測テーブルMTBと先端レンズ91との間に水を満たすことが可能である。
なお、上記の説明では、その説明を簡単にするため、液体供給ノズルと液体回収ノズルとがそれぞれ1つずつ設けられているものとしたが、これに限らず、例えば、国際公開第99/49504号に開示されるように、ノズルを多数有する構成を採用することとしても良い。要は、投影光学系PLの最下端の光学部材(先端レンズ)91とウエハWとの間に液体を供給することができるのであれば、その構成はいかなるものであっても良い。例えば、国際公開第2004/053955号に開示されている液浸機構や、欧州特許出願公開第1420298号明細書に開示されている液浸機構も本実施形態の露光装置に適用することができる。
前記ステージ装置150は、フレームキャスタFCと、該フレームキャスタFC上に設けられたベース盤12と、該ベース盤12の上面の上方に配置されたウエハステージWST及び計測ステージMSTと、これらのステージWST、MSTの位置を計測する干渉計16、18を含む干渉計システム118(図6参照)と、ステージWST、MSTを駆動するステージ駆動系124(図6参照)と、を備えている。
前記フレームキャスタFCは、ステージ装置150を斜視図にて示す図2から分かるように、そのX側方向一側と他側の端部近傍にY軸方向を長手方向とし上方に突出した凸部FCa,FCbが一体的に形成された概略平板状の部材から成る。
前記ベース盤12は、定盤とも呼ばれる板状部材からなり、フレームキャスタFCの前記凸部FCa,FCbに挟まれた領域上に配置されている。ベース盤12の上面は平坦度が非常に高く仕上げられ、ウエハステージWST及び計測ステージMSTの移動の際のガイド面とされている。
前記ウエハステージWSTは、図2に示されるように、ベース盤12の上方に配置されたウエハステージ本体28と、該ウエハステージ本体28上に不図示のZ・チルト駆動機構を介して搭載されたウエハテーブルWTBとを備えている。Z・チルト駆動機構は、実際には、ウエハステージ本体28上でウエハテーブルWTBを3点で支持する3つのアクチュエータ(例えば、ボイスコイルモータ)等を含み、ウエハテーブルWTBをZ軸方向、θx方向(X軸回りの回転方向)、θy方向(Y軸回りの回転方向)の3自由度方向に微小駆動する。
前記ウエハステージ本体28は、断面矩形枠状でX軸方向に延びる中空部材によって構成されている。このウエハステージ本体28の下面には、複数、例えば4つの不図示の気体静圧軸受け、例えばエアベアリングが設けられ、これらのエアベアリングを介してウエハステージWSTが前述のガイド面の上方に数μm程度のクリアランスを介して非接触で支持されている。
前記フレームキャスタFCの凸部FCaの上方には、図2に示されるように、Y軸方向に延びるY軸用の固定子86が配置されている。同様に、フレームキャスタFCの凸部FCbの上方には、Y軸方向に延びるY軸用の固定子87が、配置されている。これらのY軸用の固定子86、87は、それぞれの下面に設けられた不図示の気体静圧軸受、例えばエアベアリングによって凸部FCa,FCbの上面に対して所定のクリアランスを介して浮上支持されている。Y軸用の固定子86,87は、本実施形態では、Y軸方向に沿って所定間隔で配置された複数の永久磁石を有する磁極ユニットによって構成されている。
前記ウエハステージ本体28の内部には、X軸方向に沿って所定間隔で配置された複数の永久磁石を有する断面U字状の磁極ユニットから成る可動子90が設けられている。
可動子90の内部空間には、X軸方向に延びるX軸用の固定子80が挿入されている。このX軸用の固定子80は、X軸方向に沿って所定間隔で配置された複数の電機子コイルを内蔵する電機子ユニットによって構成されている。この場合、磁極ユニットから成る可動子90と電機子ユニットから成るX軸用の固定子80とによって、ウエハステージWSTをX軸方向に駆動するムービングマグネット型のX軸リニアモータが構成されている。以下においては、適宜、上記X軸リニアモータを、その固定子(X軸用の固定子)80と同一の符号を用いて、X軸リニアモータ80と呼ぶものとする。なお、X軸リニアモータとして、ムービングマグネット型のリニアモータに代えて、ムービングコイル型のリニアモータを用いても良い。
前記X軸用の固定子80の長手方向の一側と他側の端部には、例えばY軸方向に沿って所定間隔で配置された複数の電機子コイルを内蔵する電機子ユニットから成る可動子82、83が、それぞれ固定されている。これらの可動子82、83のそれぞれは、前述したY軸用の固定子86、87にそれぞれ内側から挿入されている。すなわち、本実施形態では、電機子ユニットから成る可動子82、83と磁極ユニットから成るY軸用の固定子86,87とによって、ムービングコイル型の2つのY軸リニアモータが構成されている。以下においては、上記2つのY軸リニアモータのそれぞれを、それぞれの可動子82、83と同一の符号を用いて、適宜、Y軸リニアモータ82、Y軸リニアモータ83とも呼ぶものとする。なお、Y軸リニアモータ82,83として、ムービングマグネット型のリニアモータを用いても良い。
すなわち、ウエハステージWSTは、X軸リニアモータ80により、X軸方向に駆動されるとともに、一対のY軸リニアモータ82,83によってX軸リニアモータ80と一体でY軸方向に駆動される。また、ウエハステージWSTは、Y軸リニアモータ82,83が発生するY軸方向の駆動力を僅かに異ならせることにより、θz方向にも回転駆動される。
前記ウエハテーブルWTBは、図4の平面図に示されるように、平面視でほぼ正方形状を有しており、その上面には、ウエハWを保持するピッチャク方式のウエハホルダWH及びプレートホルダPHが設けられている。
ウエハホルダWHは、図4に示されるように、ウエハテーブルWTB上面の中央部の所定面積の円形領域内に所定の間隔で設けられた複数の第1ピン32,32,……、該第1ピン32,32,……が配置された円形領域を取り囲む円環状凸部から成る第1リム部30、及び前記円形領域の中心(ホルダセンタ)との距離が等しいほぼ正三角形の各頂点の位置にそれぞれ突設された3つの円筒状の第2リム部35A、35B、35C等を備えている。各第1ピン32の先端、並びに第1リム部30、第2リム部35A、35B、35Cの上端面は、ほぼ同一高さに設定されている。
前記第2リム部35A、35B、35Cの内周には、平面視円形の貫通孔39がそれぞれ形成され、貫通孔39それぞれの内部には、円柱形状を有し、上下方向(図4における紙面直交方向)に可動な上下動ピン(センタアップ)34a,34b,34cがそれぞれ設けられている。これら3つのセンタアップ34a〜34cは、ステージ駆動系124(図6参照)の一部を構成する不図示の上下動機構を介して、上下方向(図4における紙面直交方向であるZ軸方向)に同時に同一量だけ、昇降(上下動)される。ウエハロード、ウエハアンロード時には、センタアップ34a〜34cが上下動機構により駆動されることで、センタアップ34a〜34cによってウエハWを下方から支持したり、その状態でウエハWを上下動させたりすることができる。
ウエハテーブルWTB上面の前記第1リム部30で囲まれた円形領域には、図4に示されるように、複数の排気口36が、その円形領域の中心(ホルダセンタ)から放射状(ほぼ120°の中心角の間隔を有する3本の半径線の方向)に、所定間隔で形成されている。これら排気口36は、第1ピン32と干渉しない位置に形成されている。各排気口36は、それらの直下の配管をそれぞれ介してウエハテーブルWTB内部に形成された排気路38A,38B,38Cにそれぞれ接続され、これらの排気路38A,38B,38Cが真空排気管41a、41b、41cをそれぞれ介して第1の真空排気機構44(図6参照)に接続されている。
本実施形態では、ウエハWがウエハテーブルWTBのウエハホルダWH上にロードされ、主制御装置20によって第1の真空排気機構44を介して真空排気動作が開始されると、そのウエハWと第1リム部30と3つの第2リム部35A、35B、35Cとで囲まれる空間内部が負圧状態となり、そのウエハWが複数の第1ピン32と第1リム部30と3つの第2リム部35A、35B、35Cとに吸着保持される。
ウエハテーブルWTB上面の前記第1リム部30の外側には、該第1リム部30と同心の円環状の突部から成る第3リム部45が突設されている。この第3リム部45の外側には、その内側が第3リム部45で区画され、外側がウエハテーブルWTBの外部隔壁48で囲まれた凹部49が形成されている。この凹部49の内部底面には、その先端の高さが第3リム部45及び外部隔壁48とほぼ同一高さとされた、複数の第2ピン53が所定間隔で設けられている。この場合、第3リム部45及び外部隔壁48の上端面の高さは、第1リム部30より幾分低く設定されている。このようにして構成された、第3リム部45及び外部隔壁48並びに複数の第2ピン53の上に、中央部に円形の開口50aを有するほぼ正方形の撥液プレート(例えば撥水プレート)50が着脱可能に搭載されている。この撥液プレート50は、その周囲全体にわたって外周面が、ウエハテーブルWTBの外部隔壁48の外面より幾分外側に突出した状態でウエハテーブルWTB上に搭載されている。すなわち、ウエハテーブルWTB上面の第3リム部45及び外部隔壁48並びに複数の第2ピン53を含んで、撥液プレート50を保持するピンチャック方式のプレートホルダPHが構成されている。
ここで、このプレートホルダPHの一部を構成する、第3リム部45と外部隔壁48とで区画された複数の第2ピン53が設けられた領域にも、上述したウエハホルダWHと同様に複数の排気口(不図示)が、所定間隔で形成され、各排気口は、それらの直下の配管をそれぞれ介してウエハテーブルWTB内部に形成された不図示の排気路にそれぞれ接続され、これらの排気路が不図示の真空排気管をそれぞれ介して図6に示される第2の真空排気機構56に接続されている。
本実施形態では、主制御装置20によって上記の第2の真空排気機構56を介して、撥液プレート50と第3リム部45と外部隔壁48とで囲まれる空間(凹部49の内部空間)内部が真空吸引され、撥液プレート50がプレートホルダPHに吸着保持されている。ここで、例えば撥液プレート50の取り外しを容易にするなどのため、上記空間の内部に前述したセンタアップ34a〜34cと同様の上下動ピンを設け、該上下動ピンの駆動機構を、主制御装置20が制御するようにしても良い。
本実施形態では、上述のプレートホルダPHに吸着保持された撥液プレート50の上面と、ウエハホルダWHに吸着保持されたウエハWの表面とは、ほぼ面一になるように(図1参照)、ウエハホルダWH及びプレートホルダPHをそれぞれ構成する各部の高さが設定されている。また、プレートホルダPHに保持された状態では、撥液プレート50の開口50aの内周エッジは、第3リム部45の内周壁にほぼ一致している。すなわち、本実施形態では、第3リム部45と撥液プレート50の開口50aとの内壁面との内側にウエハWをロードするための凹部140が形成され、その凹部140内にウエハホルダWHが設けられている。また、ウエハWの外周エッジと撥液プレート50の開口50aの内周エッジとの間のクリアランスは、例えば0.1〜0.4mm程度の値になるように開口50aの形状及び大きさが設定されている。また、ウエハWがウエハホルダWHに保持された状態では、ウエハテーブルWTBの上面に見かけ上フルフラットな面が形成されるようになっている。
なお、ウエハテーブルWTBは、低熱膨張率の材料、例えばセラミックス等のある程度弾性を有する材料より形成されており、全体としてほぼ正方形状のセラミックス等の材料の表面をエッチングすることによって、第1リム部30、第2リム部35A,35B,35C、第3リム部45、及び複数の第1ピン32及び複数の第2ピン53等が一体的に形成されたものとなっている。
前記撥液プレート50の表面には、フッ素系の材料などを用いた撥液処理(ここでは撥水コートなどの撥水処理)が施され、撥液面(撥水面)が形成されている。撥液プレート50の撥液(撥水)面は、一般的に遠紫外域又は真空紫外域の光に弱く、露光光(照明光)ILの照射によって撥液(撥水)性能が劣化する。また、撥液プレート50の上面に液体の付着跡(ウォーターマークなど)が形成されるおそれもあるため、撥液プレート50は容易に着脱(交換)可能になっている。なお、撥液プレート50の保持は、真空吸着方式だけでなく、静電吸着方式などの他の方式で行なうこともできる。
また、ウエハWの表面には、レジスト(感光剤)が塗布されている。本実施形態では、一例として感光剤としてArFエキシマレーザ用の感光剤であって撥液性(撥水性、接触角80°〜85°)を有するものが用いられている。勿論、この感光剤の上層に撥液性(液体との接触角90〜120°)を有するトップコート層の形成材料を塗布しても良い。なお、ウエハWの表面は、必ずしも撥液性でなくても良く、液体との接触角が60°〜80°程度のレジストを用いることもできる。また、ウエハWの側面及び裏面の少なくとも一部に撥液処理を施しても良い。同様に、ウエハホルダWH、プレートホルダPHの少なくとも一部に撥液処理を施しても良い。
上述のようにして構成されたウエハテーブルWTBの位置は、干渉計システム118(図6参照)によって計測されるが、これについては後述する。
前記計測ステージMSTは、図2に示されるように、X軸方向を長手方向とするYステージ81などの複数の部材の組み合わせによって構成され、その最下面(ベース盤12に最も接近している部材の下面)に設けられた複数の気体静圧軸受け、例えばエアベアリングを介してベース盤12の上面(ガイド面)上方に数μm程度のクリアランスを介して非接触で支持されている。
計測ステージMSTは、図3の斜視図からも分かるように、X軸方向に細長い長方形の板状の計測ステージ本体81cと該計測ステージ本体81c上面のX軸方向の一側、他側にそれぞれ固定された一対の突出部81a、81bとを有するYステージ81と、前記計測ステージ本体81cの上面の上方に配置されたレベリングテーブル52と、該レベリングテーブル52上に設けられた計測テーブルMTBとを備えている。
前記Yステージ81の一部を構成する計測ステージ本体81cのX軸方向の一側と他側の端面には、Y軸方向に沿って所定間隔で配置された複数の電機子コイルを内蔵する電機子ユニットから成る可動子84、85が、それぞれ固定されている。これらの可動子84、85のそれぞれは、前述したY軸用の固定子86、87にそれぞれ内側から挿入されている。すなわち、本実施形態では、電機子ユニットから成る可動子84,85と、該可動子84,85それぞれが挿入された磁極ユニットから成るY軸用の固定子86,87とによって、2つのムービングコイル型のY軸リニアモータが構成されている。以下においては、上記2つのY軸リニアモータのそれぞれを、それぞれの可動子84、85と同一の符号を用いて、適宜、Y軸リニアモータ84、Y軸リニアモータ85とも呼ぶものとする。本実施形態では、これらのY軸リニアモータ84、85によって、計測ステージMSTの全体が、Y軸方向に駆動される。なお、このY軸リニアモータ84,85をムービングマグネット型のリニアモータとしても良い。
前記計測ステージ本体81cの底面には、前述の複数の気体静圧軸受けが設けられている。この計測ステージ本体81c上面のX軸方向の一側、他側の+Y側端部近傍に、前述の一対の突出部81a、81bが相互に対峙して固定されている。これらの突出部81a、81b相互間には、XY面内でX軸方向にそれぞれ延びる固定子61、固定子63が、Z軸方向(上下)に所定間隔を隔てて架設されている。
前記レベリングテーブル52の+X側の端面には、Xボイスコイルモータ54aの可動子が設けられ、該Xボイスコイルモータ54aの固定子は、計測ステージ本体81cの上面に固定されている。また、レベリングテーブル52の−Y側の端面には、Yボイスコイルモータ54b、54cの可動子がそれぞれ設けられ、これらのYボイスコイルモータ54b、54cの固定子は、計測ステージ本体81cの上面に固定されている。前記Xボイスコイルモータ54aは、例えば磁極ユニットから成る可動子と電機子ユニットから成る固定子とから構成され、これらの間の電磁相互作用により、X軸方向の駆動力を発生する。また、前記Yボイスコイルモータ54b,54cも同様に構成され、Y軸方向の駆動力を発生する。すなわち、レベリングテーブル52は、Xボイスコイルモータ54aによりYステージ81に対して、X軸方向に駆動され、Yボイスコイルモータ54b,54cによりYステージ81に対してY軸方向に駆動される。また、ボイスコイルモータ54b,54cが発生する駆動力を異ならせることにより、レベリングテーブル52をYステージ81に対してZ軸回りの回転方向(θz方向)へ駆動することができる。
前記レベリングテーブル52の内部には、Z軸方向の駆動力を発生する3つのZボイスコイルモータ(図示省略)が、それぞれ配置されている。
すなわち、レベリングテーブル52は、前述したXボイスコイルモータ54a、Yボイスコイルモータ54b,54c、及び内部に配置された不図示のZボイスコイルモータにより、6自由度方向(X,Y,Z,θx,θy,θz)に非接触で微小駆動可能とされている。
図3に戻り、前記計測テーブルMTBは、計測テーブル本体59と、該計測テーブル本体59の+Y側面に上下に並んで固定された、X軸方向を長手方向とする断面略U字状の可動子62、64とを備えている。
前記可動子62は、YZ断面略U字状の可動子ヨークと、該可動子ヨークの内面(上下面)にX軸方向に沿って所定間隔でかつ交互に配置されたN極永久磁石とS極永久磁石の複数の組から成る永久磁石群とを備え、前述の固定子61に係合状態とされている。可動子62の可動子ヨークの内部空間には、X軸方向に沿って交番磁界が形成されている。前記固定子61は、例えばX軸方向に沿って所定間隔で配置された複数の電機子コイルを内蔵する電機子ユニットから成る。すなわち、固定子61と可動子62とによって、計測テーブルMTBをX軸方向に駆動するムービングマグネット型のX軸リニアモータLXが構成されている。
前記可動子64は、YZ断面略U字状の可動子ヨークと、該可動子ヨークの内面(上下面)に1つずつ設けられたN極永久磁石とS極永久磁石とを備え、前述の固定子63に係合状態とされている。可動子64の可動子ヨークの内部空間には、+Z向き又は−Z向きの磁界が形成されている。前記固定子63は、その内部に、N極磁石とS極磁石とにより形成される磁界中でX軸方向にのみ電流が流れるような配置で配置された電機子コイルを備えている。すなわち、可動子64と固定子63とによって、計測テーブルMTBをY軸方向に駆動するムービングマグネット型のYボイスコイルモータVYが構成されている。
これまでの説明から明らかなように、本実施形態では、Y軸リニアモータ82〜85及びX軸リニアモータ80、ウエハテーブルWTBを駆動する不図示のZ・チルト駆動機構、計測ステージMST上の上述した各モータ(54a〜54c,LX,VY及び不図示のZボイスコイルモータ)により、図6に示されるステージ駆動系124が構成されている。このステージ駆動系124を構成する各種駆動機構が、図6に示される主制御装置20によって制御される。
前記計測テーブルMTBは、露光に関する各種計測を行うための計測器類を、さらに備えている。これをさらに詳述すると、計測テーブル本体59の上面には、図3に示されるように、例えばゼロデュア(ショット社の商品名)や石英ガラス等のガラス材料から成るプレート101が設けられている。このプレート101にはそのほぼ全面に渡ってクロムが塗布され、所々に計測器用の領域や、レチクル透過率の計測などのときに用いられる高低基準反射面領域や、特開平5−21314号公報及びこれに対応する米国特許第5,243,195号明細書や特開平10−050600号公報及びこれに対応する米国特許第6,243,158号明細書などに開示される複数の基準マークが形成された基準マーク領域FMが設けられている。この基準マーク領域が、計測部材を構成している。プレート101の表面は、フラットな面となっている。
前記計測器用の領域には、パターンニングが施され、各種計測用開口パターンが形成されている。この計測用開口パターンとしては、例えば空間像計測用開口パターン(例えばスリット状開口パターン)、照明むら計測用ピンホール開口パターン、照度計測用開口パターン、及び波面収差計測用開口パターンなどが形成されている。
前記空間像計測用開口パターンの下方の計測テーブル本体59の内部には、投影光学系PL及び水を介してプレート101に照射される露光光(照明光)ILを、前記空間像計測用開口パターンを介して受光する受光系が設けられており、これによって、例えば特開2002−14005号公報及びこれに対応する米国特許出願公開第2002/0041377号明細書などに開示される投影光学系PLにより投影されるパターンの空間像(投影像)の光強度を計測する空間像計測器が構成されている。
また、照明むら計測用ピンホール開口パターンの下方の計測テーブル本体59の内部には、受光素子を含む受光系が設けられており、これによって、特開昭57−117238号公報及びこれに対応する米国特許第4,465,368号明細書などに開示される投影光学系PLの像面上で照明光ILを受光するピンホール状の受光部を有する照度むら計測器が構成されている。
また、照度計測用開口パターンの下方の計測テーブル本体59の内部には、受光素子を含む受光系が設けられており、これによって、例えば特開平11−16816号公報及びこれに対応する米国特許出願公開第2002/0061469号明細書などに開示される投影光学系PLの像面上で水を介して照明光ILを受光する所定面積の受光部を有する照度モニタが構成されている。
また、波面収差計測用開口パターンの下方の計測テーブル本体59の内部には、例えばマイクロレンズアレイを含む受光系が設けられており、これによって例えば国際公開第99/60361号及びこれに対応する欧州特許第1,079,223号明細書などに開示される波面収差計測器が構成されている。
なお、図6では上記の空間像計測器、照度むら計測器、照度モニタ及び波面収差計測器が、計測器群43として示されている。
なお、本実施形態では、投影光学系PLと水とを介して露光光(照明光)ILによりウエハWを露光する液浸露光が行われるのに対応して、照明光ILを用いる計測に使用される上記の照度モニタ、照度むら計測器、空間像計測器、波面収差計測器などでは、投影光学系PL及び水を介して照明光ILを受光することとなる。このため、プレート101の表面に撥水コートを施しても良い。また、上記各計測器は、例えば光学系などの一部だけが計測ステージMSTに搭載されていても良いし、計測器全体を計測ステージMSTに配置するようにしても良い。また、上述の空間像計測器、照度ムラ計測器、照度モニタ及び波面収差計測器は、必ずしもその全てが備えられている必要はなく、必要に応じて一部のみを搭載するだけでも良い。
上述のようにして構成された計測ステージMST(計測テーブルMTB)の位置は、後述する干渉計システム118(図6参照)によって計測される。
また、本実施形態の露光装置100では、投影ユニットPUを保持する保持部材には、図1に示されるオフアクシス・アライメント系(以下、「アライメント系」と略述する)ALGが設けられている。このアライメント系ALGとしては、例えば特開2001−257157号公報及びこれに対応する米国特許出願公開第2001/0023918号明細書や特開平8−213306号公報及びこれに対応する米国特許第5,783,833号明細書などに開示される、ウエハ上のレジストを感光させないブロードバンドな検出光束を対象マークに照射し、その対象マークからの反射光により受光面に結像された対象マークの像と不図示の指標(アライメント系ALG内に設けられた指標板上の指標パターン)の像とを撮像素子(CCD等)を用いて撮像し、それらの撮像信号を出力する画像処理方式のFIA(Field Image Alignment)系のセンサが用いられている。アライメント系ALGからの撮像信号は、図6の主制御装置20に供給される。
なお、アライメント系ALGとしては、FIA系に限らず、コヒーレントな検出光を対象マークに照射し、その対象マークから発生する散乱光又は回折光を検出する、あるいはその対象マークから発生する2つの回折光(例えば同次数の回折光、あるいは同方向に回折する回折光)を干渉させて検出するアライメントセンサを単独であるいは適宜組み合わせて用いることは勿論可能である。
なお、アライメント系ALGの光学素子や光学素子を保持する保持部材など、ウエハテーブルWTBの移動面の近傍に配置され、液体の飛散により液体の付着が懸念される部材には撥水性のカバーを設けても良い。また、光学素子とその光学素子を保持する保持部材との隙間など、アライメント系ALG内部への液体の侵入が懸念される隙間にはOリングなどシール部材が配置されている。さらに、アライメント系ALGの終端(下端)の光学素子の表面やアライメント系ALGに固定された干渉計用のミラー表面など、ウエハテーブルWTBの移動面の近傍に配置された光学部材の表面は撥液性の材料で被膜されており、水の付着が防止されているばかりでなく、水が付着してもオペレータなどの作業者が容易に拭き取れるようになっている。
さらに、本実施形態の露光装置100では、図1では図示が省略されているが、照射系90a及び受光系90b(図6参照)を含む、例えば特開平6−283403号公報及びこれに対応する米国特許第5,448,332号明細書等に開示されるものと同様の斜入射方式の多点焦点位置検出系が設けられている。本実施形態では、一例として、照射系90aが投影ユニットPUの−X側にて投影ユニットPUを保持する保持部材に吊り下げ支持され、受光系90bが投影ユニットPUの+X側にて保持部材の下方に吊り下げ支持されている。すなわち、照射系90a及び受光系90bと、投影光学系PLとが、同一の部材に取り付けられており、両者の位置関係が一定に維持されている。
次に、干渉計システム118の構成及び作用について説明する。
前記ウエハテーブルWTBの−X側の端面及び−Y側の端面には、鏡面加工が施され、図2に示されるように、反射面17X,17Yがそれぞれ形成されている。また、前記計測テーブルMTBの−X側の端面、+Y側の端面及び−Y側の端面には、鏡面加工が施され、それぞれ反射面117X,117Y1、117Y2が形成されている。
干渉計システム118は、図5に示されるように、Y軸干渉計16、18、78と、X軸干渉計46、66、76とを含む。
Y軸干渉計16、18は、ともに投影光学系PLの投影中心(光軸AX)及びアライメント系ALGの検出中心を結ぶY軸に平行な測長軸を有している。これらのY軸干渉計16、18は、ともに、少なくとも3本の光軸を有する多軸干渉計であり、各光軸の出力値は独立に計測できるようになっている。また、X軸干渉計46は、Y軸干渉計16、18の測長軸と投影光学系PLの投影中心で垂直に交差する測長軸を有している。また、X軸干渉計66は、Y軸干渉計16、18の測長軸とアライメント系ALGの検出中心で垂直に交差する測長軸を有している。これらのX軸干渉計46、66は、ともに少なくとも2本の光軸を有する多軸干渉計であり、各光軸の出力値は独立に計測できるようになっている。上記4つの干渉計16、18、46、66の出力値(計測値)は、図6に示される主制御装置20に供給される。例えば、図5の状態では、Y軸干渉計16からの干渉計ビーム(測長ビーム)が計測テーブルMTBの反射面117Y1に投射され、Y軸干渉計18からの干渉計ビーム(測長ビーム)がウエハテーブルWTBの反射面17Yに投射され、X軸干渉計46からの干渉計ビーム(測長ビーム)が計測テーブルMTBの反射面117Xに投射され、X軸干渉計66からの干渉計ビーム(測長ビーム)がウエハテーブルWTBの反射面17Xに投射されている。干渉計16、18、46、66ではそれぞれの各光軸の測長ビームの前記各反射面からの反射光を受光することで、光軸毎に各反射面の基準位置(一般には投影ユニットPU側面や、オフアクシス・アライメント系ALG(図6,図5等参照)の側面に固定ミラーを配置し、そこを基準面とする)からの計測方向の変位を計測する。
図5の場合、主制御装置20ではY軸干渉計18からの出力値に基づいて、ウエハテーブルWTBのY軸方向の位置(Y位置)のみならず、X軸回りの回転量(ピッチング量)及びZ軸回りの回転量(ヨーイング量)をも計測する。また、主制御装置20では、Y軸干渉計16からの出力値に基づいて、計測テーブルMTBのY軸方向の位置(Y位置)のみならず、X軸回りの回転量(ピッチング量)及びZ軸回りの回転量(ヨーイング量)をも計測する。また、主制御装置20では、X軸干渉計66の出力値(計測値)に基づいて、ウエハテーブルWTBのX軸方向の位置(X位置)のみならず、Y軸回りの回転量(ローリング量)をも計測する。また、主制御装置20ではX軸干渉計46からの出力値に基づいて、計測テーブルMTBのX位置及びローリング量を計測する。
図5からわかるように、本実施形態では、Y軸干渉計18からの干渉計ビームは、ウエハステージWSTのアライメント時及び露光時の移動範囲の全域で常に反射面17Yに投射され、Y軸干渉計16からの干渉計ビームは、計測ステージMSTの移動範囲の全域で常に反射面117Y1に投射されるようになっている。従って、Y軸方向については、図5中に二点鎖線で示されるウエハ交換位置にウエハステージWSTが移動した場合などを除き、ステージWST、MSTのY位置は、主制御装置20によりY軸干渉計18、16の計測値に基づいて管理される。
この一方、図2及び図5からもわかるように、主制御装置20は、X軸干渉計46からの干渉計ビームのみが、反射面17Xに当たる範囲で、X軸干渉計46の出力値にもとづいてウエハテーブルWTB(ウエハステージWST)のX位置を管理するとともに、X軸干渉計46からの干渉計ビームのみが、反射面117Xに当たる範囲で、X軸干渉計46の出力値に基づいて計測テーブルMTB(計測ステージMST)のX位置を管理する。また、主制御装置20は、X軸干渉計66からの干渉計ビームのみが、反射面17Xに当たる範囲で、X軸干渉計66の出力値にもとづいてウエハテーブルWTB(ウエハステージWST)のX位置を管理するとともに、X軸干渉計66からの干渉計ビームのみが、反射面117Xに当たる範囲で、X軸干渉計66の出力値に基づいて計測テーブルMTB(計測ステージMST)のX位置を管理する。
また、主制御装置20は、X軸干渉計46及びX軸干渉計66からの干渉計ビームが同時に反射面17Xに当たっている範囲を含め、ウエハアライメントの際にはウエハテーブルWTB(ウエハステージWST)のX位置は、X軸干渉計66を用いて管理し、露光の際のウエハテーブルWTB(ウエハステージWST)のX位置は、X軸干渉計46を用いて管理する。これにより、ウエハアライメント時及び露光時のいずれにおいても、アッべ誤差なく、ウエハテーブルWTB(ウエハステージWST)のX位置を管理することができる。
残りのX軸干渉計76、Y軸干渉計78は、干渉計46、66、18で管理できないウエハ交換位置近傍にあるときのウエハステージWSTの位置を管理するための干渉計である。これらの干渉計76、78の計測値に基づいて、主制御装置20は、干渉計46,66、18の出力値に基づいてX位置を管理できない間の、ウエハテーブルWTB(ウエハステージWSTの位置を管理する。
また、計測ステージMSTが、図5の状態により更に+Y側の待機位置にあるときは、X軸干渉計66は勿論、X軸干渉計46からの干渉計ビームも反射面117Xに当たっていない。この状態から計測ステージMSTを−Y方向に移動する際、主制御装置20は、X軸干渉計46からの干渉計ビームが、反射面117Xに当たらない状態から反射面117Xに当たり始めた直後の時点で、それまで制御に用いられていなかったX軸干渉計46をリセットし、それ以後は、X軸干渉計46を用いて、計測テーブルMTB(計測ステージMST)のX位置を管理する。その他の干渉計は、隣接する干渉計の出力(計測値)を用いたリセット(つなぎリセット)動作を行うことができるようになっている。すなわち、各干渉計のリセッット直前の時点で、隣接する2つの干渉計からの測長ビームが反射面に同時に照射されるようになった時点で、その直前までウエハステージWST又は計測ステージMSTの位置制御に用いられていたX軸干渉計又はY軸干渉計の計測値をそのまま引き継いでリセット対象の干渉計をリセット(プリセット)することで、支障なくそのリセット後の干渉計を用いて、ウエハステージWST又は計測ステージMSTの位置を管理することができる。勿論、計測テーブルMTBが待機位置にあるときに、計測テーブルMTBのX軸方向の位置を計測する干渉計を追加しても良い。
さらに、本実施形態の露光装置100では、ウエハ交換位置(ローディングポジション)が、ウエハステージWSTの移動可能範囲の+X側端部近傍かつ−Y側端部近傍の位置に定められており、このウエハ交換位置にウエハステージWSTがあるときに、レチクルアライメント及びアライメント系ALGのベースライン計測が行われるようになっている。ウエハ交換位置にウエハステージWSTがあるときには、Y軸干渉計18からの干渉計ビーム(測長ビーム)が、計測テーブルMTBの反射面117Y2に当たっているので、これに先立って、主制御装置20は、そのY軸干渉計18の計測値をリセットしている。そして、主制御装置20は、そのリセット後のY軸干渉計18とX軸干渉計46とを用いて計測テーブルMTBの位置を管理しつつ、レチクルアライメント及びアライメント系ALGのベースライン計測の一連の動作を開始する。これは、ウエハアライメント時及び露光時のウエハテーブルWTB(ウエハステージWST)の位置計測に用いられるY軸干渉計18を用いて計測テーブルMTBの位置を管理しつつ計測テーブルMTB上の前述の基準マーク領域FMを用いてベースラインを計測し、その計測したベースラインを用いて露光の際のウエハテーブルWTBの位置制御を行うことで、制御に用いられる干渉計の相違に起因する位置誤差が生じないようにするためである。
本実施形態では、レチクルアライメントの際には、主制御装置20によって、液浸機構132の液体供給装置88及び液体回収装置92の各バルブの開閉制御が前述したようにして行われ、投影光学系PLの先端レンズ91と計測テーブルMTBの基準マーク領域FMとの間に水Lqが常時満たされる。そして、レチクルR上の少なくとも一対のレチクルアライメントマークと対応する基準マーク領域FM上の少なくとも一対の第1基準マークとの相対位置(第1の相対位置)が、主制御装置20によってレチクルアライメント検出系RAa、RAbを用いて検出され、その後計測テーブルMTBがベースラインの設計値に基づいて基準マーク領域FMがアライメント系ALGの直下に位置する位置まで移動され、基準マーク領域FM上に水Lqが存在しない状態で、基準マーク領域FM上の第2基準マークがアライメント系ALGを用いて検出され、そのアライメント系ALGの検出中心と第2基準マークとの相対位置(第2の相対位置)が、検出される。そして、主制御装置20では、上記第1の相対位置と第2の相対位置とベースラインの設計値と一対の第1基準マークと第2基準マークとの位置関係とに基づいて、アライメント系ALGのベースラインを算出する。
本実施形態では、3つのY軸干渉計16,18,78と、3つのX軸干渉計46,66,76とによって、図6の干渉計システム118が構成されているが、このような干渉計システムの構成は一例に過ぎず、本発明がこれに限定されないことは勿論である。
図1に戻り、露光装置100には、ウエハステージWSTにウエハを搬送する搬送アーム70が設けられている。この搬送アーム70は、ウエハの中心位置及び回転角を検出する不図示のプリアライメント装置とウエハ交換位置にあるウエハステージWSTとの間で、ウエハを搬送するものであれば良く、スライド方式のアームを用いても良いし、水平多関節型のロボットアームなどを用いても良い。本実施形態では、この搬送アーム70、不図示のプリアライメント装置及び該プリアライメント装置に対して外部からウエハを搬送する搬送部等を含んで、ウエハステージWSTにウエハを搬送する搬送系72(図6参照)が構成されている。
図6には、露光装置100の制御系の主要な構成が示されている。この制御系は、装置全体を統括的に制御するマイクロコンピュータ(又はワークステーション)から成る主制御装置20を中心として構成されている。
ところで、ウエハテーブルWTB、計測テーブルMTBのXY面内の位置は、上述した如く、干渉計システム118の各干渉計で0.5〜1nm程度の分解能で計測可能であるが、本実施形態の撥液プレート50には位置計測の基準となるマーク等が存在しないので、例えば、全てのY軸干渉計又は全てのX軸干渉計からの干渉計ビームがウエハテーブルWTBの反射面に当たらなくなった後に、少なくとも1つの干渉計をリセット後にウエハテーブルWTBを基準状態(又は最後の干渉計ビームが切れる前の状態)に復帰させることが困難である。また、本実施形態においては、撥液プレート50の周囲がウエハテーブルWTB(反射面)より外側に張り出しているため、撥液プレート50の外周エッジが他の部材に衝突しないようにウエハテーブルWTBの位置制御を行うことが困難である。特に、撥液プレート50が交換された直後などもウエハテーブルWTBの位置制御が困難になる。かかる点に鑑みて、本実施形態の露光装置100では、主制御装置20により、次のようにして撥液プレート50の位置が計測され、この計測結果に基づいて、ウエハテーブルWTBの位置管理が行われる。
図7には、一例として撥液プレート50の交換後に実行される、ウエハテーブルWTBの基準状態への復帰動作の際の、主制御装置20(内部のCPU)の処理アルゴリズムを示すフローチャートが示されている。この処理アルゴリズムが開始されるのは、干渉計18の計測値がリセットされた直後に図8に示される位置にウエハステージWSTが移動したときであるものとする。このとき、ウエハテーブルWTBの位置は、干渉計18、76の計測値に基づいて、主制御装置20によって管理されている。なお、ウエハテーブルWTBそのもののθz方向の回転誤差は無視できる程度に小さいものとする。また、前述の如く、ウエハテーブルWTB(ウエハステージWST)等が移動する際に、前述した干渉計の計測値のつなぎプリセットが実行されるが、以下の処理アルゴリズムの説明では、その説明の簡略化のために、干渉計の計測値のつなぎプリセットに関する説明などは省略するものとし、干渉計システム118の測長軸で定められるステージ座標系(X,Y)上でウエハステージWST(ウエハテーブルWTB)の位置が管理されるものとする。つなぎプリセットで隣接するX軸干渉計の計測値、Y軸干渉計の計測値を順次受け継いでいるので、このように仮定しても特に問題はないものと考えられる。
まず、図7のステップ202において、撥液プレート50の外周エッジの計測点の番号を示す第1カウンタのカウント値nを1に初期化する(n←1)。ここで、計測対象の領域としては、N個、ここでは4個の領域、すなわち撥液プレート50の上下左右の各エッジの中央の点が定められているものとする。
次のステップ204では、干渉計システム118を用いてウエハテーブルWTBの位置を計測しつつ、撥液プレート50の外周エッジ上の第n番目(ここでは第1番目)の計測点をアライメント系ALGの直下に位置決めすべくウエハステージWSTを移動する。
図9(A)には、ウエハテーブルWTB(ウエハステージWST)上の撥液プレート50の外周エッジ上の第1番目の計測点がアライメント系ALGの撮像視野に位置決めされたときの様子が示されている。なお、図9(A)〜図9(D)において、符号ALG’は、アライメント系ALGの撮像視野を示す。
図7に戻り、ステップ206では、その外周エッジ上の第n番目(ここでは、第1番目)の計測点をアライメント系ALGを用いて撮像し、その撮像データ(撮像信号)を取り込むとともに、そのときの干渉計システム118の計測値を取り込み、不図示のメモリ内に両者を対応付けて記憶する。
次のステップ208では、第1カウンタのカウント値nがN(ここではN=4)に達したか否かを判断する。このとき、n=1であるから、ここでの判断は否定され、ステップ210に移行して第1カウンタのカウント値nを1インクリメントした後、ステップ204に戻る。
以降、ステップ208における判断が肯定されるまで、ステップ204→206→208→210のループの処理を繰り返す。これにより、図9(A)の位置から、図9(B)、図9(C)、図9(D)にそれぞれ示される位置にウエハテーブルWTBが順次位置決めされ、各位置決め位置で撥液プレート50の外周エッジがアライメント系ALGを用いて撮像され、その撮像データと対応するウエハテーブルWTBの位置情報(干渉計システム118の計測値)がメモリ内に格納される。
そして、図9(D)に示される撥液プレート50の−X側のエッジの撮像データ等の取り込みが終了すると、ステップ208における判断が肯定され、ステップ212に進む。
ステップ212では、メモリ内に記憶されている、各エッジの撮像データ(撮像結果)と対応する干渉計システム118の計測結果とに基づいて、画像処理の手法により撥液プレート50の第1番目〜N番目(ここでは4番目)の外周エッジの計測点の位置情報を取得する。
次のステップ214では、得られたN箇所(ここでは4箇所)の外周エッジの位置情報に基づいて、撥液プレート50の位置情報、例えば撥液プレート50の所定の基準点(例えば中心点)のステージ座標系(X,Y)上における位置情報等を算出した後、必要に応じてステップ216の処理を行った後、図7のフローチャートで示される処理を終了する。
このようにして計測された撥液プレート50の外周エッジの位置情報又は撥液プレート50の位置情報に基づいて、その後のウエハテーブルWTBの位置管理が、主制御装置20によって行われる。例えば、主制御装置20は、ウエハテーブルWTBに搭載された撥液プレート50の外周エッジが計測ステージMSTと衝突しないように、撥液プレート50の外周エッジの位置情報又は撥液プレート50の位置情報に基づいて、ウエハテーブルWTB(ウエハステージWST)の位置と計測ステージMSTの位置との少なくとも一方を制御する。
ここで、例えば上記ステップ216の処理を行う場合には、ウエハホルダの一部の位置情報を前述の撥液プレート50の位置情報と同様にして取得するとともに、その位置情報と上記ステップ212又は214で取得した撥液プレート50の位置情報とに基づいて、ウエハホルダWH(ウエハテーブルWTB)と撥液プレートとの位置関係を算出する。
ここで、例えば、撥液プレート50のθz回転をも計測する場合には、撥液プレート50の外周エッジの計測点を、少なくとも1つのエッジ上に複数箇所(すなわち、合計で5箇所以上)予め設定して、前述の図7と同様のフローチャートに従って処理を行えば良い。図10(A)には、撥液プレート50の+Y側端部のエッジ上の複数箇所の計測点の位置情報を順次計測する際のウエハテーブルWTBの移動の様子が示されている。そして、この場合には、前述のステップ214において、撥液プレート50の位置情報として、上記基準点の位置情報に加えて、その複数箇所の計測対象の領域が設定されたエッジ上の少なくとも2点の位置情報に基づいてそのエッジのθz回転(すなわち、撥液プレート50のステージ座標系に対する回転角)をも算出することとすれば良い。
この場合において、撥液プレート50の4辺のエッジの各々に複数の計測点を設定し、各エッジのθz回転を求めても良い。例えば、例えば図10(B)に模式的に示されるように、4辺のエッジの各々に3点の計測点を設定し、得られた各エッジのθz回転の平均値を算出しても良い。なお、実際にはアライメント系ALGの撮像視野ALG’が固定でウエハテーブルWTBが移動するのであるが、図10(B)では便宜上固定のウエハテーブルWTBに対して撮像視野ALG’が移動するかのように図示されている。
なお、本実施形態においては、撥液プレート50のほぼ中心に対して対称な2箇所を含む複数箇所で撥液プレート50の外周エッジを撮像するようにしているが、撮像箇所はこれらに限定されず、撥液プレート50のほぼ中心に対して対称な2箇所でなくても良い。例えば、撥液プレート50の一辺の外周エッジの一箇所と、その一辺と相対する他辺の外周エッジの一箇所とを含む複数箇所で外周エッジを撮像するようにしても良い。この場合、少なくとも相対する2辺の外周エッジのほぼ対称な画像を取得することができるので、撥液プレート50の位置情報(例えば中心位置)を算出することができる。
次に、本実施形態の露光装置100で行われる、ウエハテーブルWTB上の撥液プレート交換から次に撥液プレートの交換が行われるまでの間の、一連の処理について、主制御装置20(内部のCPU)の処理アルゴリズムを示す図11、図12のフローチャートに基づいて、かつ適宜他の図面を参照しつつ説明する。以下の処理アルゴリズムの説明では、前述の干渉計の計測値のつなぎプリセットに関する説明などは省略するものとし、干渉計システム118の測長軸で定められるステージ座標系(X,Y)上でウエハステージWST(ウエハテーブルWTB)の位置が管理されるものとする。
まず、図11のステップ222において、撥液プレートの開口の内周エッジの位置情報を計測するサブルーチンの処理を実行する。
このステップ222のサブルーチンでは、まず、図13のステップ302において、撥液プレート50の開口50aの内周エッジの計測点の順番を示す第2カウンタのカウント値mを1に初期化する(m←1)。ここで、計測点としては、M個、ここでは8個、すなわち撥液プレート50の開口50aの中心から上下左右方向を含む中心角45°の8方向に放射状に伸びた8本のラインのそれぞれと内周エッジとの交点である8点が定められているものとする。
次のステップ304では、干渉計システム118を用いてウエハテーブルWTBの位置を計測しつつ、撥液プレート50の開口50aの内周エッジ上の第m番目(ここでは第1番目)の計測点をアライメント系ALGの撮像視野の直下に位置決めすべく、ウエハテーブルWTB(ウエハステージWST)を移動する。
図15(A)には、第1番目の計測点がアライメント系ALGの撮像視野に位置決めされたときの様子が示されている。なお、図15(A)〜図15(D)、図16(A)〜図16(D)において、符号ALG’は、アライメント系ALGの撮像視野を示す。
次のステップ306では、開口50aの内周エッジ上の第m番目(ここでは、第1番目)の計測点をアライメント系ALGを用いて撮像し、その撮像データ(撮像信号)を取り込むとともに、そのときの干渉計システム118の計測値を取り込み、不図示のメモリ内に両者を対応付けて記憶する。
次のステップ308では、第2カウンタのカウント値mがM(ここではM=8)に達したか否かを判断する。このとき、m=1であるから、ここでの判断は否定され、ステップ310に移行して第2カウンタのカウント値mを1インクリメントした後、ステップ304に戻る。
以降、ステップ308における判断が肯定されるまで、ステップ304→306→308→310のループの処理を繰り返す。これにより、図15(A)の位置から、図15(B)、図15(C)、図15(D)、図16(A)、図16(B)、図16(C)、図16(D)にそれぞれ示される位置にウエハテーブルWTBが順次位置決めされ、各位置決め位置で撥液プレート50の開口50aの内周エッジがアライメント系ALGを用いて撮像され、その撮像データと対応するウエハテーブルWTBの位置情報(干渉計システム118の計測値)がメモリ内に格納される。
そして、図16(D)に示される開口50aの内周エッジ上の第8番目の計測点の撮像データの取り込みが終了すると、ステップ308における判断が肯定され、ステップ314に進む。この時点で、図17(A)に模式的に示されるように、開口50aの内周エッジ上の8箇所の撮像データ及び対応するウエハテーブルWTBの位置情報のデータがメモリ内に格納されている。なお、実際にはアライメント系ALGの撮像視野ALG’が固定でウエハテーブルWTBが移動するのであるが、図17(A)では便宜上固定のウエハテーブルWTBに対して撮像視野ALG’が移動するかのように図示されている。
ステップ314では、メモリ内に記憶されている、開口50aの内周エッジ上のM箇所(ここでは8箇所)の撮像データ(撮像結果)と対応する干渉計システム118の計測結果とに基づいて、画像処理の手法により撥液プレート50の開口50aの内周エッジ上の第1番目〜第M番目(ここでは第8番目)の計測点の位置情報を取得した後、このサブルーチンの処理を終了して、メインルーチンのステップ224(図11参照)にリターンする。
ステップ224では、得られたM箇所(ここでは8箇所)の開口50aの内周エッジの位置情報に基づいて、例えば、最小二乗法などで、撥液プレート50の開口50aの位置情報、例えば開口50aの所定の基準点(例えば中心点)のステージ座標系(X,Y)上における位置情報を算出(すなわち内周エッジの位置情報に基づいて、干渉計システム118によって設定されるステージ座標系と開口50aとの位置関係を決定)した後、ステップ226に進む。
ステップ226では、上記のM箇所(ここでは8箇所)の開口50aの内周エッジの位置情報に基づいて、撥液プレート50の開口50aの形状情報(この形状情報には少なくとも開口50aの真円度が含まれる)を所定の演算により算出する。ここで、真円度とは、開口50aの理想的な真円からの偏差を示す評価量であって、開口50aの輪郭の開口50aの中心に対する最大半径と最小半径との差として定義できる。ここで、この真円度の基準となる円の中心は、次のa.〜d.のいずれの方法で算出した中心であっても良い。
a.最小領域中心法(MZC):開口の輪郭を2つの同心円ではさんだ時、同心円の半径差が最小になる中心、b.最小二乗中心法(LSC):最小二乗平均円(基準円からの偏差の二乗和が最小となる円)の中心、c.最小外接円中心法(MCC):開口の輪郭に最小で外接する円の中心、d.最大内接円中心法(MIC):開口の輪郭に最大で内接する円の中心。
次のステップ228では、上記ステップ226で算出した真円度が第1の閾値未満か否かを判断する。ここで、第1の閾値は、撥液プレートとして使用が許容される限界の値が定められている。従って、このステップ228における判断が否定された場合には、その撥液プレート50は、この露光装置では使用できない程度に真円度が不十分な開口が形成されたプレートであるため、図12のステップ264に移行して例えば不図示のディスプレイに「撥液プレート不良(交換要)」などを表示する等して、撥液プレートの不良をオペレータに通知した後、本ルーチンの処理を終了する。その後、この通知(表示)を確認することで、オペレータは、露光装置100の運転を停止して、撥液プレート50の交換をマニュアルにて実行する。なお、撥液プレート50の交換に用いられるロボットなどを備えている場合には、主制御装置20は、交換時期をディスプレイに表示するとともに、装置の運転を停止して、そのロボットなどを用いて、撥液プレートの交換を行うようにすることも可能である。
一方、上記ステップ228における判断が肯定された場合には、次のステップ230に進んで上記ステップ226で算出した真円度が第2の閾値未満であるか否かを判断する。そして、この判断が否定された場合には、ステップ234に移行して搬送系72の搬送アーム70と前述のセンタアップ34a〜34cとを用いて、撥液プレート50の開口50a内部のウエハホルダWH上に工具ウエハW1(図17(B)参照)をロードした後、ステップ236の開口内の物体の外周エッジの位置情報の計測を行うサブルーチンに移行する。ここで、工具ウエハW1は、デバイス製造に用いられる被処理物体であるウエハWに比べて一回り小さい直径(外径)を有する工具ウエハである。上記と反対に、ステップ230における判断が肯定された場合には、ステップ232に進んで搬送系72の搬送アーム70と前述のセンタアップ34a〜34cとを用いて、撥液プレート50の開口50a内部のウエハホルダWH上にそのウエハWをロードした後、上記ステップ236のサブルーチンに移行する。ここで、このロードの際には、先にステップ222で取得した開口50aの内周エッジの位置情報又はステップ224で取得した開口50aの位置情報に基づいて、ウエハテーブルWTB及び搬送アーム70の少なくとも一方の位置を制御する。
このように、第2の閾値は、工具ウエハW1、ウエハWのいずれを選択するかの切り分けのために定められている。開口50aの真円度が高い場合には、その開口50aと直径が僅かにしか異ならない、デバイス製造用のウエハWを開口50a内部のウエハホルダWH上に支障なくロードできるが、開口50aの真円度が低い場合には、その開口50aの内部のウエハホルダWH上にウエハWをロードすると、そのウエハWと開口50aの内周エッジとが接触する可能性が高く、ロードが困難になるおそれがある。そこで、後者の場合には、ウエハWより小径の工具ウエハW1をウエハホルダWH上にロードすることとしている。
ステップ236のサブルーチンでは、まず図14のステップ322において、開口50a内の物体(工具ウエハW1又はウエハW、以下では、適宜、代表的に工具ウエハW1であるものとする)の外周エッジの計測点の番号を示す第3カウンタのカウント値kを1に初期化する(k←1)。ここで、計測点としては、K個、ここでは8個、すなわち工具ウエハW1の中心から上下左右方向を含む中心角45°の8方向に放射状に伸びた8本のラインのそれぞれと工具ウエハW1の外周エッジとの交点である8点が定められているものとする。
次のステップ324では、干渉計システム118を用いてウエハテーブルWTBの位置を計測しつつ、撥液プレート50の開口50a内の工具ウエハW1の外周エッジ上の第k番目(ここでは第1番目)の計測点をアライメント系ALGの撮像視野の直下に位置決めすべく、ウエハテーブルWTB(ウエハステージWST)を移動する。
次のステップ326では、工具ウエハW1の外周エッジ上の第k番目(ここでは、第1番目)の計測点をアライメント系ALGを用いて撮像し、その撮像データ(撮像信号)を取り込むとともに、そのときの干渉計システム118の計測値を取り込み、不図示のメモリ内に両者を対応付けて記憶する。
次のステップ328では、第3カウンタのカウント値kがK(ここではK=8)に達したか否かを判断する。このとき、k=1であるから、ここでの判断は否定され、ステップ330に移行して第3カウンタのカウント値kを1インクリメントした後、ステップ324に戻る。
以降、ステップ328における判断が肯定されるまで、ステップ324→326→328→330のループの処理を繰り返す。これにより、図17(B)に示されるように、8個の計測点のそれぞれがアライメント系ALGの撮像視野ALG’内に位置する位置に、ウエハテーブルWTBが順次位置決めされ、各位置決め位置で工具ウエハW1の外周エッジがアライメント系ALGを用いて撮像され、その撮像データと対応するウエハテーブルWTBの位置情報(干渉計システム118の計測値)がメモリ内に格納される。
そして、外周エッジ上の第8番目の計測点の撮像データの取り込みが終了すると、ステップ328における判断が肯定され、ステップ332に進む。
ステップ332では、メモリ内に記憶されている、開口50a内の物体(工具ウエハW1(又はウエハW))の外周エッジ上のK箇所(ここでは8箇所)の撮像データ(撮像結果)と対応する干渉計システム118の計測結果とに基づいて、画像処理の手法により開口50a内の物体の外周エッジ上の第1番目〜第K番目(ここでは第8番目)の計測点の位置情報を取得した後、このサブルーチンの処理を終了して、メインルーチンのステップ240(図12参照)にリターンする。
ステップ240では、開口50aの内周エッジと開口50a内の物体との位置関係を取得する。具体的には、開口50a内の物体の外周エッジ上の上記K箇所(ここでは8箇所)の位置情報に基づいて、例えば最小二乗法などで算出した物体の位置情報(例えばその物体の中心のステージ座標系(X,Y)上における位置情報)と、前述のステップ224で得られた撥液プレート50の開口50aの位置情報(例えば開口50aの中心点のステージ座標系(X,Y)上における位置情報)とに基づいて、開口50aの内周エッジと開口50a内の物体との位置関係、例えば開口50a中心と物体(工具ウエハW1又はウエハW)の中心とのずれの情報を、演算により取得する。
次のステップ242では、ウエハステージWSTをウエハ交換位置に移動させ、搬送系72の搬送アーム70とセンタアップ34a〜34cとを用いてウエハホルダWH上から物体(工具ウエハW1又はウエハW)をアンロードする。
次のステップ244から1ロット(所定枚数のウエハ)の露光が開始される。
ステップ244では、搬送系72の一部を構成する不図示のプリアライメント装置でプリアライメント(中心出し及び回転調整)が行われた1枚目の被露光基板としてのウエハWを、搬送アーム70を用いて、ウエハ交換位置にあるウエハステージWST上方まで搬送し、上記ステップ240で取得した開口50aの内周エッジと開口50a内の物体との位置関係の情報、例えば前述のずれの情報を考慮して、搬送アーム70とウエハステージWSTとの位置関係を調整してウエハWを搬送アーム70からウエハテーブルWTB上に設けられたウエハホルダWH上にロードする。ここで、搬送アーム70とウエハステージWSTとの位置関係の調整は、搬送アーム70とウエハステージWSTとの両方又は一方の位置を調整することで、実現される。このように、ウエハWのロードの際の搬送アーム70とウエハステージWSTとの位置関係を調整した後、ウエハWをロードすることで、通常は、ウエハWの外周エッジと撥液プレート50の開口50aの内周エッジ(ウエハテーブルWTB上面の凹部140の内周エッジ)とが接触しないように、且つウエハWの外周エッジと開口50aの内周エッジとの間隔が所定値、例えば0.3mm程度より小さくなるように、ウエハWをウエハテーブルWTB上方の撥液プレート50の開口50aの内周エッジの内部(ウエハテーブルWTB上面の凹部の内部)のウエハホルダWH上にロードすることが可能になる。
次のステップ246では、ウエハステージWSTをアライメント系ALGの下方に移動する。
次のステップ248では、撥液プレート50の開口50aの内周エッジとウエハW(の外周エッジ)との間隔を、ウエハWの全周に渡って、アライメント系ALGを用いて、前述のウエハW等の外周エッジの位置情報の計測と同様の手順で実行する。このとき、特に、前述のウエハの外周エッジや開口の内周エッジを計測したときのウエハ中心からの8方向とは異なる方向の計測点を少なくとも複数組設定することが重要である。
そして、次のステップ250では、上記ステップ248の計測結果に基づいて、上記間隔がウエハの全周に渡って許容範囲内であるかを判断する。通常は、先に説明したように、ウエハWの外周エッジと撥液プレート50aの内周エッジ(ウエハテーブルWTB上面の凹部の内周エッジ)とが接触しないように、且つウエハWの外周エッジと開口50aの内周エッジとの間隔が例えば0.3mmより小さくなるように、ウエハWがウエハホルダWH上にロードされるので、このステップ250の判断は肯定され、次のステップ252に進む。
この一方、ウエハWの外径誤差などに起因してステップ248の計測結果に基づいてステップ250の判断を行った結果、この判断結果が否定的となる場合がある。従って、このステップ250の判断が否定された場合には、前述のステップ242に移行して、1枚目のウエハWをウエハホルダ上からアンロードする。そして、2枚目のウエハWについて前述と同様に、ステップ244、ステップ246、ステップ248、ステップ250の動作を実行する。この場合、ステップ244において、2枚目のウエハWをウエハステージ(ウエハホルダ)にロードするときに、1枚目のウエハWに関するステップ248の計測結果を考慮して、搬送アームとウエハステージとの位置関係が調整される。2枚目のウエハWに関するステップ250の判断が肯定された場合には、次のステップ252に進む。
ステップ252では、アライメント系ALGを用いてウエハW上のアライメントマークを検出し、その検出結果とその検出時の干渉計システム118の計測値に基づいて、前記アライメントマークの位置情報を検出することでウエハアライメント、例えばエンハンスト・グローバル・アライメント(EGA)などのウエハアライメントを行う。
次のステップ254では、上記のウエハアライメントの結果として得られたウエハW上の複数のショット領域の位置情報と、最新のアライメント系ALGのベースラインの計測結果等に基づいて、ウエハW上の各ショット領域の露光のための走査開始位置(加速開始位置)へウエハステージWSTが移動されるショット間移動動作と、各ショット領域に対するレチクルRに形成されたパターンを走査露光方式で転写する走査露光動作とを繰り返すことで、ウエハW上の複数のショット領域をステップ・アンド・スキャン方式で露光する。なお、この露光の際には、投影光学系PLの先端レンズ91の直下には常時水が満たされている。
次のステップ256では、1ロットの全てのウエハの露光が終了したか否かを判断する。そして、この判断が否定された場合には、ステップ262に移行してウエハテーブルWTB上のウエハホルダWHに保持されている露光済みのウエハWと新たなウエハとのウエハ交換を行った後、ステップ252に進み、以降ステップ256の判断が肯定されるまで、ステップ252→254→256→262のループの処理を繰り返す。
一方、上記ステップ256の判断が肯定された場合には、ステップ258に進む。
次のステップ258では、例えば照明光ILの照射履歴などを参照して撥液プレートの交換時期が到来したか否かを判断する。ここで、本実施形態では、予め実験により、撥液プレート50表面の撥水コートの劣化と撥液プレート50表面に照射される積算エネルギ量との関係が求められており、その関係と照明光ILの照射履歴に基づいて、撥水コートが劣化する直前に、撥液プレート50の交換時期が到来したものと判断するようになっている。
そして、交換時期が到来したと判断した場合には、前述のステップ264に移行し、交換時期は到来していないと判断した場合には、次のロットの処理へ移行する。
このようにして、撥液プレートの交換から次の交換までの間の一連の処理が実行される。
これまでの説明から明らかなように、本実施形態では、主制御装置20、より正確には該主制御装置20内部のCPUと、該CPUによって実行されるソフトウェアとによって、外周エッジ位置取得装置、内周エッジ位置取得装置、決定装置、形状算出装置、物体外周エッジ位置取得装置、間隔計測装置、ステージ制御装置及び制御装置などの少なくとも各一部が実現されている。しかしながら、これらソフトウェアによって実現される構成部分の少なくともに一部を、ハードウェアによって構成しても良いことは勿論である。
以上説明したように、本実施形態の露光装置100によると、主制御装置20により、撥液プレート50が着脱可能に搭載されたウエハテーブルWTB(ウエハステージWST)の位置を干渉計システム118を用いて計測しつつ、アライメント系ALGを用いて撥液プレート50の一部が検出されるとともに、その検出結果と対応する干渉計システム118の計測結果とに基づいて撥液プレート50の外周エッジの位置情報が取得される(ステップ204〜210)。このため、本実施形態のように、ウエハテーブルWTB(ウエハステージWST)上に位置計測用のマークなどが存在しなくても、撥液プレート50の外周エッジの位置情報に基づいて、撥液プレート50の位置、すなわちウエハテーブルWTB(ウエハステージWST)の位置を干渉計システムによって設定される移動座標系(ステージ座標系)上で管理することが可能になる。
また、本実施形態のように、撥液プレート50の外周がウエハテーブルWTBより外側に張り出している場合にも、撥液プレート50の外周エッジが他の部材(例えば、計測ステージMST)と衝突しないように、ウエハテーブルWTB(ウエハステージWST)の位置を制御することができる。
なお、ウエハテーブルWTB(ウエハステージWST)、あるいは撥液プレート50に位置計測用のマークが設けられている場合や、撥液プレート50の外周がウエハテーブルWTBより外側に張り出していない場合にも、上述のように撥液プレート50の外周エッジの位置情報を取得しても良いことは言うまでもない。
また、本実施形態の露光装置100によると、主制御装置20により、ウエハテーブルWTBの位置を干渉計システム118を用いて計測しつつ、アライメント系ALGを用いて撥液プレート50の一部が検出されるとともに、その検出結果と対応する干渉計システム118の計測結果とに基づいて撥液プレート50の開口50aの内周エッジの位置情報が取得される(ステップ222)。このため、この内周エッジの位置情報に基づいて、開口50aの位置や形状などを算出することが可能になる(ステップ224、226参照)。
また、本実施形態の露光装置100では、主制御装置20が、例えば真円度が第2の閾値未満である場合には、撥液プレート50の開口50aの内周エッジの位置情報に基づいて、ウエハWを搬送系72を介してウエハステージWST(ウエハテーブルWTB)上の撥液プレート50の開口50a内のウエハホルダWH上にロードする(ステップ232)。従って、撥液プレート50の開口50aの内周エッジに関する情報を考慮しない場合に比べて、ウエハWをウエハステージWST上の撥液プレート50の開口50a内にロードすることが容易になる。
また、本実施形態の露光装置100では、開口50aの内周エッジと開口50a内の物体(工具ウエハW1又はウエハW)との位置関係を取得した場合(ステップ240参照)、主制御装置20は、搬送系72によりウエハテーブルWTBにウエハWを搬送するときに、上記位置関係の情報を考慮してウエハテーブルWTBと搬送系72の搬送アーム70の少なくとも一方を制御して、搬送アーム70とウエハテーブルとの位置関係を調整してウエハをロードする(ステップ244参照)。従って、この取得された位置関係に基づいて、ウエハをウエハテーブルWTBの凹部140内に、すなわち撥液プレート50の開口50aの内周エッジの内部に、所望の位置関係でロードすることが可能となる。この場合、ウエハWの外周エッジと撥液プレート50aの内周エッジ(ウエハテーブルWTB上面の凹部の内周エッジ)とが接触しないように、且つウエハWの外周エッジと開口50aの内周エッジとの間隔が所定値、例えば0.3mmより小さくなるように、ウエハWをウエハテーブルWTB上方の撥液プレート50の開口50aの内周エッジの内部(ウエハテーブルWTB上面の凹部内)のウエハホルダWH上にロードすることが可能になる。
なお、図11及び図12で説明した動作においては、開口50aの形状(真円度)に対して第1の閾値と第2の閾値とを設けて、工具ウエハW1をウエハホルダに載せているが、一つの閾値だけを用いて、工具ウエハW1を載せるか否かを判断するようにしても良い。この場合、工具ウエハW1としては、被露光ウエハWよりも小径のウエハであっても良いし、被露光ウエハWとほぼ同径のウエハであっても良い。
また、図11及び図12で説明した動作においては、開口50aの形状情報を取得した後に、工具ウエハW1をウエハホルダ上に載せるようにしているが、形状情報の取得を省いても良い。この場合も、工具ウエハW1としては、被露光ウエハWよりも小径のウエハであっても良いし、被露光ウエハWとほぼ同径のウエハであっても良い。
また、図11及び図12で説明した動作においては、開口50aの位置情報と形状情報を求めた後に、工具ウエハW1をウエハホルダ上に載せているが、開口50aの位置情報と形状情報の取得を省いて、工具ウエハW1をウエハホルダに載せた後に、開口の位置情報、及び開口の内周エッジと工具ウエハW1の外周エッジとの位置関係(間隔含む)を取得することもできる。もちろん、必要に応じて開口50aの形状情報を取得することもできる。この場合、工具ウエハW1としては、被露光ウエハWよりも小径のウエハが望ましいが、被露光ウエハWとほぼ同径のウエハであっても良い。
また、図11及び図12で説明した動作においては、1枚目の被露光基板としてのウエハWがウエハホルダに載置されたときに、開口50aの内周エッジとウエハWとの位置関係(間隔)を計測しているが、工具ウエハW1を用いて得られた情報に基づいて、被露光基板としてのウエハWを開口50a内の所定位置にロードすることができる場合には、その計測動作(ステップ246、248、250)を省いても良い。
また、図11及び図12で説明した動作においては、ステップ258において、1つのロットの露光処理完了後に、撥液プレート50を交換するか否かを判断しているが、ステップ258を省略して、所定時間毎に判断するようにしても良いし、交換の要否を判断を行なわずに、所定時間が経過したら撥液プレートを交換するようにしても良い。
そして、露光装置100によると、上記のようにしてウエハテーブルWTB上方の撥液プレート50の開口50aの内周エッジの内部(ウエハテーブルWTB上面の凹部内)に載置されたウエハWに照明光ILを照射して露光が実行される(ステップ254)。従って、露光動作中にウエハWと撥液プレート50との間からの液体(水)Lqの漏れを抑制することができ、液浸露光により、高解像度かつ空気中と比べて大焦点深度の露光を行うことで、レチクルRのパターンを精度良くウエハ上に転写することができ、例えばArFエキシマレーザ光で、デバイスルールとして45〜100nm程度の微細パターンの転写を実現することができる。
本実施形態の露光装置100によると、ウエハステージWST(ウエハテーブルWTB)にはウエハの露光の際に必要となる必要最低限の構成部材、例えばウエハホルダなどのみを設ければ良いので、ウエハステージWSTの小型、軽量化を実現し、ウエハステージを駆動する駆動機構(モータ)の小型化及びモータからの発熱量を低減することができ、ウエハステージWSTの熱変形や露光精度の低下を極力抑制することができる。
なお、上記実施形態では、撥液プレート50の外周エッジ上に複数の計測点を設定し、この複数の計測点の位置情報を取得する場合について説明したが、これに限らず、例えば撥液プレート50上面の外周エッジの位置より内側の位置に、その外周エッジとの位置関係が既知の目印、例えば外周エッジより所定距離(Dとする)の位置に外周エッジに平行なライン状の目印を形成し、この目印上に少なくとも1つの計測点を設定し、その計測点の位置情報を計測し、その計測結果と上記距離Dとに基づいて外周エッジの位置を取得することとしても良い。撥液プレート50には、図18に示されるように、そのエッジ近傍に幅d、高さhの曲面(又は斜面)が存在する場合が多く、その高さhは0.1mm程度あるので、アライメント系ALGの焦点深度が浅い場合には、エッジの画像がぼける場合が考えられる。このような場合に、上記のライン状の目印を、D>dとなる位置に設け、このライン状の目印をアライメント系ALGで撮像するようにしても良い。勿論、目印は、上述のライン状に限られるものではなく、外周エッジとの位置関係が既知であれば、形状の如何を問わない。
同様に、撥液プレート50の開口50aの内周エッジについても、その内周エッジとの位置関係が既知の目印を予め形成し、その目印上の少なくとも1つの計測点の位置情報を取得しても良い。例えば、開口50aの内周エッジの所定距離外側に、開口50aと同心の円形のラインを目印として形成しても良い。
また、撥液プレート50の外周エッジ等の位置情報の検出に際して、アライメント系ALGが有する焦点検出系を用いるのが望ましいが、アライメント系ALGが有する焦点検出系の検出ビームが撥液プレート50から外れる場合には、その検出ビームが撥液プレート50表面に照射できる位置で一旦フォーカス合わせを行った後、そのフォーカス状態を維持したまま計測点をアライメント系ALGの撮像視野に位置決めするいわゆるシフトフォーカス動作を行うことが望ましい。
また、上記実施形態では、FIA系のセンサから成るアライメント系ALGを用いて、撥液プレート50の外周エッジ、開口50aの内周エッジ、工具ウエハW1又はウエハWの外周エッジを撮像し、その撮像結果を用いて画像処理の手法により、各計測点の位置情報を取得する場合について説明したが、検出装置としては、FIA系以外のセンサ、例えば反射光又は散乱光を検出する装置を用いても良い。また、FIA系を用いる場合に、落射照明により対象物からの反射光を検出する方式であっても勿論良いが、撥液プレート50のエッジを下方から照明し、その透過光を撥液プレート50の上方で検出する方式を採用することも可能である。
なお、上述の実施形態において、撥液プレート50の交換作業及び撥液プレート50の各種計測の少なくとも一方は、投影光学系PLの像面側に液体Lqがない状態で行なっても良いし、計測テーブルMTBと投影光学系PLとの間に液体Lqを保持した状態で行なっても良い。計測テーブルMTBと投影光学系PLとの間に液体Lqを保持し続ける場合には、投影光学系PLの先端面の濡れた状態を維持することができるので、ウォーターマークなどの発生を防止できるばかりでなく、液体Lqの全回収及び再供給の作業を省略することができる。
また、上記実施形態では、ウエハテーブルWTBが、その外周エッジの位置情報が検出されるプレートが着脱可能に搭載された第1ステージ(及び移動体)を構成し、計測ステージMSTが、第2ステージを構成する場合について説明したが、これに限らず、計測テーブルMTBが第1ステージ(及び移動体)を構成しても良い。すなわち、計測テーブルMTBに着脱可能に搭載されたプレートの外周エッジの位置情報を取得するようにしてもよい。この場合、その外周エッジの位置情報に基づいて計測テーブルMTBの移動を制御することができる。この場合、計測テーブルMTBのプレート交換作業及びプレートの各種計測の少なくとも一方は、投影光学系PLの像面側に液体Lqがない状態で行なっても良いし、ウエハテーブルWTBと投影光学系PLとの間に液体Lqを保持した状態で実行しても良い。
なお、ウエハテーブルWTBの撥液プレート50の交換動作や撥液プレート50の外周エッジ及び撥液プレート50の開口50aの内周エッジの計測動作を、計測テーブルMTBと投影光学系PLとの間に液体Lqを保持した状態で実行しても良い。
すなわち、ウエハテーブルWTB側で撥液プレート50の交換をする際には、図19(A)に示されるように、液体Lqが計測テーブルMTB上に位置するように計測テーブルMTBの位置を制御する。そして、撥液プレート50の交換終了後、図19(B)に示されるように、撥液プレート50の計測テーブルMTB(計測ステージMST)側(+Y側)の外周エッジをアライメント系ALGを用いて計測する。これにより、ウエハテーブルWTB(ウエハステージWST)を計測テーブルMTB(計測ステージMST)に近づけることが可能となる。
次いで、図19(C)、図19(D)に示されるように、撥液プレート50の−X側の外周エッジ、撥液プレート50の+X側の外周エッジを、アライメント系ALGを用いて順次計測する。
このようにして計測された撥液プレート50の外周エッジの3箇所の位置情報又はこれから求められる撥液プレート50の位置情報に基づいて、その後のウエハテーブルWTB(ウエハステージWST)の位置管理が、主制御装置20によって行われる。
上述の撥液プレート50の外周エッジの位置情報の計測に続き、例えば、ウエハテーブルWTB(の撥液プレート50)と計測テーブルMTBとを接触(又は近接)させた状態を維持して両ステージWST,MSTを一体的に移動し、図20(A)に示されるように、撥液プレート50の開口50aの+Y側の内周エッジをアライメント系ALGを用いて計測する。次いで、ウエハテーブルWTB(の撥液プレート50)と計測テーブルMTBとを接触(又は近接)させた状態を維持して両ステージWST,MSTを一体的に順次移動し、図20(B)、図20(C)に示されるように、撥液プレート50の開口50aの−X側の内周エッジ、+X側の内周エッジを、アライメント系ALGを用いて順次計測する。この場合、ウエハテーブルWTB上にはウエハが載置されていないため、ウエハが載置される部分に液体Lqを位置させることはできないが、図20(A)〜図20(C)に示されるように、内周エッジを計測することができるので、この計測結果に基づいて、上記実施形態と同様にウエハをウエハホルダWH上にロードすることが可能である。
上述のように、ウエハテーブルWTBの撥液プレート50の交換動作や撥液プレート50の外周エッジ及び撥液プレート50の開口50aの内周エッジの計測動作を、計測テーブルMTBと投影光学系PLとの間に液体Lqを保持した状態で実行することで、液体の回収動作及び供給動作が不要となり、それらの動作に要する時間が不要となり、その分露光工程のスループットの向上が可能となる。
なお、上述の如く、撥液プレート50の外周エッジ、開口50aの内周エッジを計測し、ウエハをウエハホルダWH上にロードした後には、そのウエハをロードしたウエハステージWST(ウエハテーブルWTB)の撥液プレート50と計測ステージMSTとを接触させた状態での移動範囲が拡がる。すなわち、ウエハテーブルWTBの全面に液体Lqを位置させることが可能となる。従って、上記実施形態で説明した図7、図11及び図12のフローチャートに沿った計測方法を用いて再度計測を行っても良い。これにより、より高精度な計測を行うことが可能となる。
また、上記実施形態では、撥液プレート50の外周エッジ、開口50aの内周エッジ、工具ウエハW1又はウエハWの外周エッジのそれぞれについて、中心に関して対称な複数対の箇所に位置情報の計測点が設定される場合について説明したが、これは、例えばそれぞれの中心点の位置を算出する際に、平均化効果による計測精度の向上を期待してこのようにしたものに過ぎず、本発明がこれに限定されるものでないことは勿論である。
また、上記実施形態では、撥液プレート50の形状がほぼ正方形で開口50aが円形である場合について説明したが、プレートの形状は円形、多角形その他の形状であっても良く、開口も被処理物体の形状に応じた形状であれば良い。例えば、液晶表示素子などを被処理物体とする場合には、被処理物体であるガラスプレートの形状に応じて開口は矩形にすれば良い。
また、上述の実施形態においては、プレート50がウエハテーブルWTBに着脱可能である場合について説明したが、プレート50がウエハテーブルWTBと一体的に形成されていてもよい。この場合も、例えばウエハテーブルWTBにウエハWを載置するために形成された凹部の内周エッジの位置情報を、図11や図13で示したように検出することができる。
また、上述の実施形態において、図7を用いて説明したプレートの外周エッジの位置情報の計測を含む一連の動作と、図11を用いて説明したプレートの開口の内周エッジの位置情報の計測を含む一連の動作は、必ずしも一緒に行なう必要はなく、どちらか一方だけを行なうこともできる。
なお、上記実施形態では、液浸露光装置に本発明が適用された場合について説明したが、本発明の適用範囲がこれに限定されるものではなく、液浸タイプではない通常のスキャニング・ステッパなどにも本発明は好適に適用できる。この場合、撥液プレートに代えて、その表面に撥液面が形成されていないプレートを用いることができる。
また、上記実施形態では、ステージ装置がウエハステージを1つ、計測ステージを1つ具備する場合について説明したが、これに限らず、計測ステージを具備せずに、ウエハを保持するウエハステージを少なくとも1つ設けることとしても良い。なお、ウエハステージを複数備えている場合には、一方のウエハステージでプレートの交換作業及びプレートの各種計測作業の少なくとも一方は、投影光学系PLの像面側に液体Lqがない状態で実行しても良いし、他方のウエハステージを投影光学系PLの下(像面側)に配置して、投影光学系と他方のウエハステージとの間に液体Lqを保持した状態で実行しても良い。
また、上記実施形態では、レベリングテーブル52が6自由度、計測テーブルMTBが3自由度有する構成を採用した場合について説明したが、これに限らず、レベリングテーブル52が3自由度、計測テーブルMTBが3自由度有する構成を採用しても良い。また、レベリングテーブル52を設けずに、計測テーブルMTBが6自由度有する構成を採用することとしても良い。
なお、上記実施形態では、液体として純水(水)を用いるものとしたが、本発明がこれに限定されないことは勿論である。液体としては、化学的に安定で、照明光ILの透過率が高く安全な液体、例えばフッ素系不活性液体を使用しても良い。このフッ素系不活性液体としては、例えばフロリナート(米国スリーエム社の商品名)が使用できる。このフッ素系不活性液体は冷却効果の点でも優れている。また、液体として、照明光ILに対する透過性があってできるだけ屈折率が高く、また、投影光学系やウエハ表面に塗布されているフォトレジストに対して安定なもの(例えばセダー油等)を使用することもできる。また、F2レーザを光源とする場合は、フォンブリンオイルを選択すれば良い。
また、上記実施形態で、回収された液体を再利用するようにしても良く、この場合は回収された液体から不純物を除去するフィルタを液体回収装置、又は回収管等に設けておくことが望ましい。
なお、上記実施形態では、投影光学系PLの最も像面側の光学素子が先端レンズ91であるものとしたが、その光学素子は、レンズに限られるものではなく、投影光学系PLの光学特性、例えば収差(球面収差、コマ収差等)の調整に用いる光学プレート(平行平面板等)であっても良いし、単なるカバーガラスであっても良い。投影光学系PLの最も像面側の光学素子(上記各実施形態では先端レンズ91)は、照明光ILの照射によってレジストから発生する飛散粒子又は液体中の不純物の付着等に起因して液体(上記各実施形態では水)に接触してその表面が汚れることがある。このため、その光学素子は、鏡筒40の最下部に着脱(交換)自在に固定することとし、定期的に交換することとしても良い。
このような場合、液体に接触する光学素子がレンズであると、その交換部品のコストが高く、かつ交換に要する時間が長くなってしまい、メンテナンスコスト(ランニングコスト)の上昇やスループットの低下を招く。そこで、液体と接触する光学素子を、例えばレンズ91よりも安価な平行平面板とするようにしても良い。
また、上記実施形態では、ステップ・アンド・スキャン方式等の走査型露光装置に本発明が適用された場合について説明したが、本発明の適用範囲がこれに限定されないことは勿論である。すなわちステップ・アンド・リピート方式の投影露光装置、さらに、ステップ・アンド・スティッチ方式の露光装置、又はプロキシミティ方式の露光装置などにも、本発明は適用できる。
露光装置の用途としては半導体製造用の露光装置に限定されることなく、例えば、角型のガラスプレートに液晶表示素子パターンを転写する液晶用の露光装置や、有機EL、薄膜磁気ヘッド、撮像素子(CCD等)、マイクロマシン及びDNAチップなどを製造するための露光装置にも広く適用できる。また、半導体素子などのマイクロデバイスだけでなく、光露光装置、EUV露光装置、X線露光装置、及び電子線露光装置などで使用されるレチクル又はマスクを製造するために、ガラス基板又はシリコンウエハなどに回路パターンを転写する露光装置にも本発明を適用できる。
なお、上記実施形態の露光装置の光源は、ArFエキシマレーザに限らず、KrFエキシマレーザ(出力波長248nm)、F2レーザ(出力波長157nm)、Ar2レーザ(出力波長126nm)、Kr2レーザ(出力波長146nm)などのパルスレーザ光源や、g線(波長436nm)、i線(波長365nm)などの輝線を発する超高圧水銀ランプなどを用いることも可能である。また、YAGレーザの高調波発生装置などを用いることもできる。この他、DFB半導体レーザ又はファイバーレーザから発振される赤外域、又は可視域の単一波長レーザ光を、例えばエルビウム(又はエルビウムとイッテルビウムの両方)がドープされたファイバーアンプで増幅し、非線形光学結晶を用いて紫外光に波長変換した高調波を用いても良い。また、投影光学系は縮小系のみならず等倍および拡大系のいずれでも良い。
また、上述の実施形態においては、光透過性の基板上に所定の遮光パターン(又は位相パターン・減光パターン)を形成した光透過型マスク(レチクル)を用いる露光装置について説明したが、このレチクルに代えて、例えば米国特許第6,778,257号公報に開示されているように、露光すべきパターンの電子データに基づいて、透過パターン又は反射パターン、あるいは発光パターンを形成する電子マスク(可変成形マスク)を用いる露光装置に、本発明を適用することも可能である。
また、国際公開第2001/035168号に開示されているように、干渉縞をウエハW上に形成することによって、ウエハW上にライン・アンド・スペースパターンを形成する露光装置(リソグラフィシステム)にも本発明を適用することもできる。
なお、上記実施形態では、本発明の位置計測方法、計測方法及びロード方法などが、露光装置に適用された場合について説明したが、これに限らず、本発明の位置計測方法は、所定形状のプレートが移動体上に着脱可能に搭載された移動体を備えた装置であれば適用が可能であり、本発明の計測方法及びロード方法などは、物体を載置するための開口が形成されたプレートが、着脱可能に搭載された移動体を備えた装置であれば適用が可能である。
なお、半導体デバイスは、デバイスの機能・性能設計を行うステップ、この設計ステップに基づいたレチクルを製作するステップ、シリコン材料からウエハを製作するステップ、上記実施形態の露光装置で、マスクに形成されたパターンを感光物体上に転写するリソグラフィステップ、デバイス組み立てステップ(ダイシング工程、ボンディング工程、パッケージ工程を含む)、検査ステップ等を経て製造される。この場合、リソグラフィステップで、上記実施形態の露光装置及びその露光方法が用いられるので、高精度な露光を長期に渡って実現することができる。従って、微細パターンが形成された高集積度のマイクロデバイスの生産性を向上することができる。
以上説明したように、本発明の露光装置及び露光方法、並びにデバイス製造方法は、半導体素子などのマイクロデバイスの製造に適している。
20…主制御装置、50…撥液プレート、50a…開口、70…搬送アーム、100…露光装置、101…プレート、118…干渉計システム、132…液浸機構、WST…ウエハステージ、MST…計測ステージ、ALG…アライメント系、W…ウエハ。

Claims (22)

  1. 投影光学系と液体とを介して露光ビームで物体を露光する露光装置であって、
    前記投影光学系を保持する保持部材と、
    前記投影光学系から離れて前記保持部材に設けられる検出装置と、
    前記投影光学系の下方に配置されるベースと、
    前記ベース上に配置され、上面の一部に形成される凹部内で前記物体を保持可能なステージと、
    前記ベース上で非接触に支持される前記ステージを移動する駆動系と、
    前記投影光学系の下に液体で液浸領域を形成する局所液浸部材と、
    前記物体のプリアライメント装置を有し、前記プリアライメント装置によってプリアライメントが行われた物体を、前記投影光学系から離れた前記物体の交換位置に配置される前記ステージの上方に搬送する搬送系と、
    前記搬送系によって前記ステージの上方に搬送される物体が前記凹部内に載置されるように前記ステージに前記物体をロードするため、前記検出装置によって取得される前記凹部の位置情報に基づいて前記ステージと前記搬送系との少なくとも一方を制御する制御装置と、を備え、
    前記物体はその表面と前記ステージの上面との間に間隙が形成されるように前記凹部内に載置され、
    前記ステージは、前記投影光学系と前記物体の一部との間に形成される前記液浸領域に対して前記凹部内に載置される物体が相対移動し、かつ前記液浸領域が前記間隙を横切るように移動される露光装置。
  2. 請求項1に記載の露光装置において、
    前記凹部内に載置される物体から外れる前記液浸領域の少なくとも一部は、前記ステージの上面によって前記投影光学系の下に維持される露光装置。
  3. 請求項1又は2に記載の露光装置において、
    前記ステージは、前記物体の表面が前記ステージの上面と実質的に同一面となるように前記凹部内で前記物体を保持する露光装置。
  4. 請求項1〜のいずれか一項に記載の露光装置において、
    前記ステージの上面は撥液性である露光装置。
  5. 請求項1〜4のいずれか一項に記載の露光装置において、
    前記ロードにおいて前記物体が前記ステージの上面と接触せず、前記間隙が所定値よりも小さくなるように、前記物体と前記ステージとの位置関係が設定される露光装置。
  6. 請求項1〜のいずれか一項に記載の露光装置において、
    前記物体は、前記間隙が0.3mm程度以下となる、あるいは、前記間隙が実質的に一様となるように前記凹部内に載置される露光装置。
  7. 請求項1〜6のいずれか一項に記載の露光装置において、
    前記ステージの位置情報を計測する位置計測系を、さらに備え、
    前記凹部の位置情報を取得するために、前記位置計測系によって前記ステージの位置情報を計測しつつ、前記検出装置によって前記ステージの一部が検出される露光装置。
  8. 請求項1〜のいずれか一項に記載の露光装置において、
    前記凹部の複数箇所で位置情報が取得され、前記凹部の中心位置又は形状に関する情報が取得される露光装置。
  9. 請求項1〜8のいずれか一項に記載の露光装置において、
    前記ステージと異なるステージを、さらに備え、
    前記ステージへの前記物体のロード動作中、前記異なるステージによって前記投影光学系の下に前記液浸領域が維持される露光装置。
  10. 請求項9に記載の露光装置において、
    前記異なるステージはその上面に、前記投影光学系と前記液浸領域の液体とを介して前記露光ビームが照射される計測部材を有し、
    前記異なるステージによって前記投影光学系の下に前記液浸領域が維持される間に前記計測部材を用いる計測が行われる露光装置。
  11. 投影光学系と液体とを介して露光ビームで物体を露光する露光方法であって、
    前記投影光学系の下方に配置されるベース上で非接触に支持され、上面の一部に形成される凹部内で前記物体を保持可能なステージを、前記投影光学系から離れた前記物体の交換位置に配置することと、
    前記物体を搬送する搬送系に設けられるプリアライメント装置によってプリアライメントが行なわれた物体を、前記搬送系によって、前記交換位置に配置される前記ステージの上方に搬送することと、
    前記ステージの上方に搬送される物体が前記凹部内に載置されるように前記物体を前記ステージにロードするために、前記投影光学系を保持する保持部材に設けられる検出装置によって取得される前記凹部の位置情報に基づいて、前記ステージと前記搬送系との少なくとも一方を制御することと、を含み、
    前記物体はその表面と前記ステージの上面との間に間隙が形成されるように前記凹部内に載置され、
    前記ステージは、前記投影光学系と前記物体の一部との間に液体で形成される液浸領域に対して前記凹部内に載置される物体が相対移動し、かつ前記液浸領域が前記間隙を横切るように移動される露光方法。
  12. 請求項11に記載の露光方法において、
    前記凹部内に載置される物体から外れる前記液浸領域の少なくとも一部は、前記ステージの上面によって前記投影光学系の下に維持される露光方法。
  13. 請求項11又は12に記載の露光方法において、
    前記物体はその表面が前記ステージの上面と実質的に同一面となるように前記凹部内で保持される露光方法。
  14. 請求項11〜13のいずれか一項に記載の露光方法において、
    前記ステージの上面は撥液性である露光方法。
  15. 請求項11〜14のいずれか一項に記載の露光方法において、
    前記ロードにおいて前記物体が前記ステージの上面と接触せず、前記間隙が所定値よりも小さくなるように、前記物体と前記ステージとの位置関係が設定される露光方法。
  16. 請求項11〜15のいずれか一項に記載の露光方法において、
    前記物体は、前記間隙が0.3mm程度以下となる、あるいは、前記間隙が実質的に一様となるように前記凹部内に載置される露光方法。
  17. 請求項11〜16のいずれか一項に記載の露光方法において、
    前記凹部の位置情報を取得するために、前記ステージの位置情報を位置計測系で計測しつつ、前記検出装置によって前記ステージの一部が検出される露光方法。
  18. 請求項11〜17のいずれか一項に記載の露光方法において、
    前記凹部の複数箇所で位置情報が取得され、前記凹部の中心位置又は形状に関する情報が取得される露光方法。
  19. 請求項11〜18のいずれか一項に記載の露光方法において、
    前記ステージへの前記物体のロード動作中、前記ステージと異なるステージによって、前記投影光学系の下に前記液浸領域が維持される露光方法。
  20. 請求項19に記載の露光方法において、
    前記異なるステージはその上面に、前記投影光学系と前記液浸領域の液体とを介して前記露光ビームが照射される計測部材を有し、
    前記異なるステージによって前記投影光学系の下に前記液浸領域が維持される間に前記計測部材を用いる計測が行われる露光方法。
  21. 請求項1〜10のいずれか一項に記載の露光装置を用いるリソグラフィ工程を含むことを特徴とするデバイス製造方法。
  22. 請求項11〜20のいずれか一項に記載の露光方法を用いるリソグラフィ工程を含むことを特徴とするデバイス製造方法。
JP2015225270A 2004-11-18 2015-11-18 露光装置及び露光方法、並びにデバイス製造方法 Expired - Fee Related JP5967393B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015225270A JP5967393B2 (ja) 2004-11-18 2015-11-18 露光装置及び露光方法、並びにデバイス製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004335050 2004-11-18
JP2004335050 2004-11-18
JP2015225270A JP5967393B2 (ja) 2004-11-18 2015-11-18 露光装置及び露光方法、並びにデバイス製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014258841A Division JP5900763B2 (ja) 2004-11-18 2014-12-22 露光装置及び露光方法、並びにデバイス製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016004009A Division JP6143135B2 (ja) 2004-11-18 2016-01-13 露光装置及び露光方法、並びにデバイス製造方法

Publications (2)

Publication Number Publication Date
JP2016040624A JP2016040624A (ja) 2016-03-24
JP5967393B2 true JP5967393B2 (ja) 2016-08-10

Family

ID=36407218

Family Applications (14)

Application Number Title Priority Date Filing Date
JP2006545156A Expired - Fee Related JP4877653B2 (ja) 2004-11-18 2005-11-18 露光装置及びデバイス製造方法
JP2010022152A Expired - Fee Related JP4986187B2 (ja) 2004-11-18 2010-02-03 計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2011060379A Expired - Fee Related JP5630345B2 (ja) 2004-11-18 2011-03-18 駆動方法、露光方法及びデバイス製造方法
JP2012016376A Expired - Fee Related JP5403296B2 (ja) 2004-11-18 2012-01-30 ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2012016375A Expired - Fee Related JP5447545B2 (ja) 2004-11-18 2012-01-30 ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2012016374A Active JP5392512B2 (ja) 2004-11-18 2012-01-30 露光方法及び露光装置、並びにデバイス製造方法
JP2014067492A Active JP5721064B2 (ja) 2004-11-18 2014-03-28 リソグラフィ投影装置、オフセットを決定するための方法、露光方法、並びにデバイス製造方法
JP2014258841A Expired - Fee Related JP5900763B2 (ja) 2004-11-18 2014-12-22 露光装置及び露光方法、並びにデバイス製造方法
JP2015225270A Expired - Fee Related JP5967393B2 (ja) 2004-11-18 2015-11-18 露光装置及び露光方法、並びにデバイス製造方法
JP2016004009A Expired - Fee Related JP6143135B2 (ja) 2004-11-18 2016-01-13 露光装置及び露光方法、並びにデバイス製造方法
JP2016123789A Expired - Fee Related JP6229766B2 (ja) 2004-11-18 2016-06-22 露光装置及び露光方法、並びにデバイス製造方法
JP2017096125A Expired - Fee Related JP6399321B2 (ja) 2004-11-18 2017-05-15 露光装置及び露光方法、並びにデバイス製造方法
JP2018005442A Expired - Fee Related JP6555554B2 (ja) 2004-11-18 2018-01-17 露光装置及び露光方法、並びに半導体デバイス製造方法
JP2019008324A Pending JP2019066887A (ja) 2004-11-18 2019-01-22 露光装置及び露光方法、並びに半導体デバイス製造方法

Family Applications Before (8)

Application Number Title Priority Date Filing Date
JP2006545156A Expired - Fee Related JP4877653B2 (ja) 2004-11-18 2005-11-18 露光装置及びデバイス製造方法
JP2010022152A Expired - Fee Related JP4986187B2 (ja) 2004-11-18 2010-02-03 計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2011060379A Expired - Fee Related JP5630345B2 (ja) 2004-11-18 2011-03-18 駆動方法、露光方法及びデバイス製造方法
JP2012016376A Expired - Fee Related JP5403296B2 (ja) 2004-11-18 2012-01-30 ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2012016375A Expired - Fee Related JP5447545B2 (ja) 2004-11-18 2012-01-30 ロード方法、露光方法及び露光装置、並びにデバイス製造方法
JP2012016374A Active JP5392512B2 (ja) 2004-11-18 2012-01-30 露光方法及び露光装置、並びにデバイス製造方法
JP2014067492A Active JP5721064B2 (ja) 2004-11-18 2014-03-28 リソグラフィ投影装置、オフセットを決定するための方法、露光方法、並びにデバイス製造方法
JP2014258841A Expired - Fee Related JP5900763B2 (ja) 2004-11-18 2014-12-22 露光装置及び露光方法、並びにデバイス製造方法

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2016004009A Expired - Fee Related JP6143135B2 (ja) 2004-11-18 2016-01-13 露光装置及び露光方法、並びにデバイス製造方法
JP2016123789A Expired - Fee Related JP6229766B2 (ja) 2004-11-18 2016-06-22 露光装置及び露光方法、並びにデバイス製造方法
JP2017096125A Expired - Fee Related JP6399321B2 (ja) 2004-11-18 2017-05-15 露光装置及び露光方法、並びにデバイス製造方法
JP2018005442A Expired - Fee Related JP6555554B2 (ja) 2004-11-18 2018-01-17 露光装置及び露光方法、並びに半導体デバイス製造方法
JP2019008324A Pending JP2019066887A (ja) 2004-11-18 2019-01-22 露光装置及び露光方法、並びに半導体デバイス製造方法

Country Status (10)

Country Link
US (12) US8059260B2 (ja)
EP (4) EP2772803A1 (ja)
JP (14) JP4877653B2 (ja)
KR (10) KR101670571B1 (ja)
CN (5) CN104360582B (ja)
HK (7) HK1198211A1 (ja)
IL (3) IL183280A0 (ja)
SG (4) SG10201505315UA (ja)
TW (8) TWI393170B (ja)
WO (1) WO2006054682A1 (ja)

Families Citing this family (398)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ES2622468T3 (es) * 2001-03-29 2017-07-06 Synergy Pharmaceuticals, Inc. Agonistas del receptor de guanilato ciclasa para el tratamiento de inflamación tisular y carcinogénesis
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TWI393170B (zh) * 2004-11-18 2013-04-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR101824374B1 (ko) * 2006-08-31 2018-01-31 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
TWI590005B (zh) * 2006-08-31 2017-07-01 尼康股份有限公司 Exposure method and exposure apparatus, and device manufacturing method
TW201610608A (zh) * 2006-09-01 2016-03-16 尼康股份有限公司 移動體驅動方法及移動體驅動系統、圖案形成方法及裝置、曝光方法及裝置、以及元件製造方法
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
US8969514B2 (en) * 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
ES2393885T7 (es) 2007-06-04 2014-01-30 Synergy Pharmaceuticals Inc. Agonistas de la guanilato ciclasa útiles para el tratamiento de trastornos gastrointestinales, inflamación, cáncer y otros trastornos
CN104111587B (zh) * 2007-07-18 2017-01-11 株式会社尼康 测量方法、载台装置、及曝光装置
JP5262070B2 (ja) * 2007-11-05 2013-08-14 大同特殊鋼株式会社 被検査物の真円度測定方法
TWI547769B (zh) * 2007-12-28 2016-09-01 尼康股份有限公司 An exposure apparatus, a moving body driving system, a pattern forming apparatus, and an exposure method, and an element manufacturing method
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
JP2011523662A (ja) 2008-06-04 2011-08-18 シナジー ファーマシューティカルズ インコーポレイテッド 胃腸障害、炎症、癌、およびその他の障害の治療のために有用なグアニル酸シクラーゼのアゴニスト
ES2522968T3 (es) 2008-06-04 2014-11-19 Synergy Pharmaceuticals Inc. Agonistas de guanilato ciclasa útiles para el tratamiento de trastornos gastrointestinales, inflamación, cáncer y otros trastornos
AU2009270833B2 (en) 2008-07-16 2015-02-19 Bausch Health Ireland Limited Agonists of guanylate cyclase useful for the treatment of gastrointestinal, inflammation, cancer and other disorders
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
US20100221329A1 (en) 2008-12-03 2010-09-02 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase c agonists and methods of use
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
EP2621509A4 (en) 2010-09-15 2016-08-03 Synergy Pharmaceuticals Inc PREPARATIONS OF GUANYLATE CYCLASE-C AGONISTS AND METHODS OF USE
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5657039B2 (ja) * 2013-01-28 2015-01-21 株式会社日立ハイテクノロジーズ 試料搭載装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2016514671A (ja) 2013-03-15 2016-05-23 シナジー ファーマシューティカルズ インコーポレイテッド グアニル酸シクラーゼのアゴニストおよびその使用
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
KR20160060067A (ko) 2013-09-04 2016-05-27 씨케이디 가부시키 가이샤 전자 액추에이터용 전기자 코일, 전자 액추에이터, 노광 장치, 및 디바이스 제조 방법
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN107206588B (zh) * 2015-02-04 2021-04-30 川崎重工业株式会社 机械手的偏移自动调整装置及机械手的偏移自动调整方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN107278279B (zh) * 2015-02-23 2020-07-03 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
CN111158220A (zh) 2015-02-23 2020-05-15 株式会社尼康 测量装置及方法、光刻系统、曝光装置及方法
KR20230107706A (ko) 2015-02-23 2023-07-17 가부시키가이샤 니콘 계측 장치, 리소그래피 시스템 및 노광 장치, 그리고디바이스 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
TWI619145B (zh) * 2015-04-30 2018-03-21 佳能股份有限公司 壓印裝置,基板運送裝置,壓印方法以及製造物件的方法
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) * 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102222149B1 (ko) * 2016-07-26 2021-03-03 에이에스엠엘 네델란즈 비.브이. 레벨 센서 장치, 기판에 걸친 토포그래피 변동을 측정하는 방법, 리소그래피 프로세스에 관련된 물리적 파라미터의 변동을 측정하는 방법, 및 리소그래피 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) * 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
JP3387075B2 (ja) 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH0961111A (ja) * 1995-08-28 1997-03-07 Nikon Corp パターン座標測定方法および装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
JP4505989B2 (ja) 1998-05-19 2010-07-21 株式会社ニコン 収差測定装置並びに測定方法及び該装置を備える投影露光装置並びに該方法を用いるデバイス製造方法、露光方法
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6961113B1 (en) * 1999-05-28 2005-11-01 Nikon Corporation Exposure method and apparatus
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
SG107560A1 (en) 2000-02-25 2004-12-29 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
JP2001332490A (ja) * 2000-03-14 2001-11-30 Nikon Corp 位置合わせ方法、露光方法、露光装置、及びデバイス製造方法
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
KR20040086313A (ko) 2002-01-29 2004-10-08 가부시키가이샤 니콘 노광장치 및 노광방법
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
CN100462844C (zh) * 2002-08-23 2009-02-18 株式会社尼康 投影光学系统、微影方法、曝光装置及使用此装置的方法
DE60335595D1 (de) * 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
CN101349876B (zh) * 2002-11-12 2010-12-01 Asml荷兰有限公司 光刻装置和器件制造方法
EP2495613B1 (en) 2002-11-12 2013-07-31 ASML Netherlands B.V. Lithographic apparatus
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
CN100568101C (zh) * 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
JP4423559B2 (ja) * 2002-12-03 2010-03-03 株式会社ニコン 汚染物質除去方法
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
WO2004053954A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
KR20120127755A (ko) 2002-12-10 2012-11-23 가부시키가이샤 니콘 노광장치 및 디바이스 제조방법
CN101424883B (zh) 2002-12-10 2013-05-15 株式会社尼康 曝光设备和器件制造法
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
JPWO2004059710A1 (ja) * 2002-12-24 2006-05-11 株式会社ニコン 収差計測方法、露光方法及び露光装置
JPWO2004066371A1 (ja) * 2003-01-23 2006-05-18 株式会社ニコン 露光装置
US6903338B2 (en) * 2003-01-30 2005-06-07 Kla-Tencor Technologies Corporation Method and apparatus for reducing substrate edge effects in electron lenses
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
KR101506408B1 (ko) * 2003-02-26 2015-03-26 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
JP4604452B2 (ja) 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
KR101364889B1 (ko) * 2003-04-10 2014-02-19 가부시키가이샤 니콘 액침 리소그래피 장치용 진공 배출을 포함하는 환경 시스템
KR101861493B1 (ko) * 2003-04-11 2018-05-28 가부시키가이샤 니콘 액침 리소그래피 머신에서 웨이퍼 교환동안 투영 렌즈 아래의 갭에서 액침 액체를 유지하는 장치 및 방법
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
WO2005010611A2 (en) 2003-07-08 2005-02-03 Nikon Corporation Wafer table for immersion lithography
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
CN101487981A (zh) 2004-10-13 2009-07-22 株式会社尼康 曝光装置、曝光方法及组件制造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI393170B (zh) * 2004-11-18 2013-04-11 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method, an exposure apparatus, and a device manufacturing method
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Also Published As

Publication number Publication date
JP2012094902A (ja) 2012-05-17
TW201338018A (zh) 2013-09-16
KR20160148059A (ko) 2016-12-23
US10222708B2 (en) 2019-03-05
US20130329201A1 (en) 2013-12-12
US8576379B2 (en) 2013-11-05
HK1182460A1 (en) 2013-11-29
JP6143135B2 (ja) 2017-06-07
US9223230B2 (en) 2015-12-29
HK1182185A1 (zh) 2013-11-22
JP6555554B2 (ja) 2019-08-07
TWI649790B (zh) 2019-02-01
SG2014009179A (en) 2014-04-28
CN104360582B (zh) 2017-06-16
EP1821336A4 (en) 2011-03-09
IL221687A0 (en) 2012-10-31
KR20150010775A (ko) 2015-01-28
HK1182459A1 (zh) 2013-11-29
JP2010118684A (ja) 2010-05-27
US20080151257A1 (en) 2008-06-26
TWI588872B (zh) 2017-06-21
US8072578B2 (en) 2011-12-06
TWI654661B (zh) 2019-03-21
US20060158632A1 (en) 2006-07-20
JP5630345B2 (ja) 2014-11-26
KR20130028798A (ko) 2013-03-19
US20130329208A1 (en) 2013-12-12
JP6229766B2 (ja) 2017-11-15
KR20150112038A (ko) 2015-10-06
JP2016040624A (ja) 2016-03-24
JPWO2006054682A1 (ja) 2008-06-05
IL221688A0 (en) 2012-10-31
US20080151214A1 (en) 2008-06-26
TW200633009A (en) 2006-09-16
US9857692B2 (en) 2018-01-02
CN103149803A (zh) 2013-06-12
KR20120065436A (ko) 2012-06-20
JP2014131082A (ja) 2014-07-10
EP3346486A1 (en) 2018-07-11
TW201837984A (zh) 2018-10-16
KR20140098194A (ko) 2014-08-07
KR101493641B1 (ko) 2015-02-13
KR101861949B1 (ko) 2018-07-02
HK1198210A1 (en) 2015-03-13
CN103186057B (zh) 2015-12-23
US9223231B2 (en) 2015-12-29
TW201324585A (zh) 2013-06-16
TWI553703B (zh) 2016-10-11
JP2012103269A (ja) 2012-05-31
JP5392512B2 (ja) 2014-01-22
JP2011155285A (ja) 2011-08-11
EP2772803A1 (en) 2014-09-03
US9298108B2 (en) 2016-03-29
EP1821336A1 (en) 2007-08-22
US20160161861A1 (en) 2016-06-09
IL221688A (en) 2013-11-28
JP6399321B2 (ja) 2018-10-03
US20080151267A1 (en) 2008-06-26
CN101057316B (zh) 2013-03-06
US20130329200A1 (en) 2013-12-12
JP4986187B2 (ja) 2012-07-25
SG157404A1 (en) 2009-12-29
JP4877653B2 (ja) 2012-02-15
KR101452483B1 (ko) 2014-10-21
TW201334032A (zh) 2013-08-16
HK1206822A1 (en) 2016-01-15
IL221687A (en) 2013-11-28
JP5447545B2 (ja) 2014-03-19
EP1821336B1 (en) 2019-04-17
JP5403296B2 (ja) 2014-01-29
KR101689100B1 (ko) 2017-01-02
US8054465B2 (en) 2011-11-08
KR101437298B1 (ko) 2014-09-02
TWI393170B (zh) 2013-04-11
CN103186057A (zh) 2013-07-03
TWI536429B (zh) 2016-06-01
TW201835972A (zh) 2018-10-01
JP5721064B2 (ja) 2015-05-20
TW201730928A (zh) 2017-09-01
KR20140018367A (ko) 2014-02-12
CN101057316A (zh) 2007-10-17
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
HK1198211A1 (en) 2015-03-13
KR101578629B1 (ko) 2015-12-17
KR20120065437A (ko) 2012-06-20
TW201630047A (zh) 2016-08-16
TWI538013B (zh) 2016-06-11
HK1251949A1 (zh) 2019-05-03
JP2017142538A (ja) 2017-08-17
CN103149802A (zh) 2013-06-12
JP5900763B2 (ja) 2016-04-06
CN103149802B (zh) 2015-10-14
EP2772804A1 (en) 2014-09-03
CN104360582A (zh) 2015-02-18
KR20070085211A (ko) 2007-08-27
SG2014009153A (en) 2014-05-29
KR101421849B1 (ko) 2014-07-24
JP2015111682A (ja) 2015-06-18
JP2016173607A (ja) 2016-09-29
JP2016075955A (ja) 2016-05-12
US8059260B2 (en) 2011-11-15
US9348238B2 (en) 2016-05-24
KR101421850B1 (ko) 2014-07-24
KR20180054934A (ko) 2018-05-24
JP2018067014A (ja) 2018-04-26
KR101670571B1 (ko) 2016-10-28
IL183280A0 (en) 2007-09-20
JP2019066887A (ja) 2019-04-25
WO2006054682A1 (ja) 2006-05-26
JP2012084927A (ja) 2012-04-26
US20070216893A1 (en) 2007-09-20
CN103149803B (zh) 2016-03-30
US20160018745A1 (en) 2016-01-21
SG10201505315UA (en) 2015-08-28

Similar Documents

Publication Publication Date Title
JP6555554B2 (ja) 露光装置及び露光方法、並びに半導体デバイス製造方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160608

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160621

R150 Certificate of patent or registration of utility model

Ref document number: 5967393

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees