KR20130028798A - 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법 - Google Patents

위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR20130028798A
KR20130028798A KR1020137004445A KR20137004445A KR20130028798A KR 20130028798 A KR20130028798 A KR 20130028798A KR 1020137004445 A KR1020137004445 A KR 1020137004445A KR 20137004445 A KR20137004445 A KR 20137004445A KR 20130028798 A KR20130028798 A KR 20130028798A
Authority
KR
South Korea
Prior art keywords
wafer
liquid
plate
measurement
opening
Prior art date
Application number
KR1020137004445A
Other languages
English (en)
Other versions
KR101437298B1 (ko
Inventor
마사히코 야스다
다로 스기하라
Original Assignee
가부시키가이샤 니콘
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 니콘 filed Critical 가부시키가이샤 니콘
Publication of KR20130028798A publication Critical patent/KR20130028798A/ko
Application granted granted Critical
Publication of KR101437298B1 publication Critical patent/KR101437298B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70975Assembly, maintenance, transport or storage of apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making

Abstract

소정 형상의 플레이트 (50) 가 착탈 가능하게 탑재된 이동체 (WST) 의 위치를 그 이동 좌표계를 규정하는 계측 장치 (18 등) 에 의해 계측하면서, 플레이트 (50) 의 일부를 얼라인먼트계 (ALG) 에 의해 검출함과 함께, 그 검출 결과와 대응하는 상기 계측 장치의 계측 결과에 기초하여 플레이트 (50) 의 외주 에지의 위치 정보를 취득한다. 이 때문에, 그 이동체 (WST) 상에 위치 계측용 마크 (기준 마크) 등이 존재하지 않더라도, 플레이트의 외주 에지의 위치 정보에 기초하여, 플레이트의 위치, 즉, 이동체의 위치를 상기 계측 장치에 의해 규정되는 이동 좌표계 상에서 관리할 수 있게 된다.

Description

위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법{POSITION MEASUREMENT METHOD, POSITION CONTROL METHOD, MEASUREMENT METHOD, LOADING METHOD, EXPOSURE METHOD, EXOPOSURE APPARATUS, AND DEVICE PRODUCTION METHOD}
본 발명은, 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법에 관한 것으로, 더욱 자세하게는, 이동체 상에 착탈 가능하게 탑재된 플레이트의 위치 정보를 계측하는 위치 계측 방법, 그 위치 계측 방법을 이용하는 위치 제어 방법, 이동체 상에 탑재되고, 물체를 탑재하기 위한 개구 (開口) 가 형성된 플레이트에 관한 정보를 계측하는 계측 방법, 그 계측 방법을 이용하는 물체의 로딩 방법, 그 로딩 방법을 이용하는 노광 방법 및 상기 각 방법을 실시하는 데에 바람직한 노광 장치, 그리고 그 노광 장치 또는 상기 노광 방법을 이용하는 디바이스 제조 방법에 관한 것이다.
종래부터, 반도체 소자 (집적 회로 등), 액정 표시 소자 등의 전자 디바이스를 제조하는 리소그래피 공정에서는, 마스크 또는 레티클 (이하, 「레티클」 이라고 총칭한다) 의 패턴 이미지를 투영 광학계를 통하여, 레지스트 (감광제) 가 도포된 웨이퍼 또는 유리 플레이트 등의 감광성의 물체 (이하, 「웨이퍼」 라고 부른다) 상의 복수의 쇼트 영역 각각에 전사하는 스텝ㆍ앤드ㆍ리피트 방식의 축소 투영 노광 장치 (이른바 스테퍼) 나, 스텝ㆍ앤드ㆍ스캔 방식의 투영 노광 장치 (이른바 스캐닝ㆍ스테퍼 (스캐너라고도 불리워진다)) 등이, 주로 사용되고 있다.
그런데, 반도체 소자의 고집적화, 회로 패턴의 미세화에 수반되어, 투영 노광 장치가 구비하는 투영 광학계의 해상도 향상을 도모하기 위하여, 노광광의 파장 (노광 파장) 이 점차 단파장화됨과 함께 투영 광학계의 개구수 (NA) 가 점차 증대되고 있다. 한편, 이들 노광 파장의 단파장화 및 투영 광학계의 NA 의 증대화 (대(大)NA화) 에 의해, 초점 심도가 좁아지고 있다. 장래에 노광 파장은 더욱 단파장화될 것이 확실시되고 있고, 이대로는 초점 심도가 너무 좁아져서, 노광 동작시의 포커스 마진이 부족해질 우려가 발생하였다.
그래서, 실질적으로 노광 파장을 짧게 하고, 또한 공기 중에 비하여 초점 심도를 크게 (넓게) 하는 방법으로서, 액침법을 이용한 노광 장치가 최근 주목을 받게 되었다. 이 액침법을 이용한 노광 장치로서, 투영 광학계의 하면 (下面) 과 웨이퍼 표면의 사이를 물 또는 유기 용매 등의 액체로 국소적으로 채운 상태에서 노광을 행하는 것이 알려져 있다 (예를 들어, 특허 문헌 1 참조). 이 특허 문헌 1 에 기재된 노광 장치에서는, 액체 중에서의 노광광의 파장이, 공기 중의 1/n 배 (n 은 액체의 굴절률로, 통상 1.2 ~ 1.6 정도) 가 되는 것을 이용하여 해상도를 향상킴과 함께, 그 해상도와 동일한 해상도가 액침법에 관계없이 얻어지는 투영 광학계 (이러한 투영 광학계의 제조가 가능할 경우) 에 비해 초점 심도를 n 배로 확대하는, 즉, 공기 중에 비하여 초점 심도를 실질적으로 n 배로 확대시킬 수 있다.
그런데, 최근, 노광 장치의 웨이퍼 스테이지에 있어서는, 웨이퍼 스테이지에 유지된 웨이퍼의 주위에, 웨이퍼와 거의 면일한 평탄부를 형성하는 탈착 가능한 플레이트를 배치하고자 하는 제안이 이루어져 있다. 이러한 탈착 가능한 플레이트를 웨이퍼 스테이지에 사용하는 경우, 플레이트의 위치를 정확하게 알아야 할 필요가 있다.
또, 웨이퍼 스테이지에 플레이트를 사용하는 경우, 그 플레이트의 중앙부에 웨이퍼를 위치시키기 위한 개구 (예를 들어, 반도체 웨이퍼의 경우에는 원형의 개구) 를 형성할 필요가 있지만, 예를 들어, 플레이트의 원형 개구의 진원도 (眞圓度) 가 낮고, 변형된 원형이나 타원형으로 되어 있는 경우에는, 웨이퍼의 외주면과 개구의 내주면의 간극이 일정하지 않게 되며, 웨이퍼가 플레이트의 개구의 내벽면에 접촉하거나, 또는 플레이트의 개구 내에 웨이퍼를 삽입할 수 없다는 등의 문제가 발생할 우려가 있었다.
또, 플레이트의 개구의 내벽면과 웨이퍼 사이의 간극은 매우 좁기 때문에, 웨이퍼를 로딩할 때의 웨이퍼와 플레이트의 상대 위치를 정확하게 맞추지 않으면, 원활한 웨이퍼의 로딩 동작이 어려워진다.
또, 액침법을 사용하는 노광 장치의 경우에는, 플레이트의 개구의 내주 에지와 웨이퍼의 외주 에지의 간극이 넓은 부분에 액체가 침입해 버릴 우려도 있었다.
특허 문헌 1: 국제공개공보 제99/49504호 팜플렛
본 발명은, 제 1 관점에서 보면, 이동체 상에 착탈 가능하게 탑재된 소정 형상의 플레이트의 위치 정보를 계측하는 위치 계측 방법으로서, 상기 이동체의 위치를 그 이동 좌표계를 규정하는 계측 장치에 의해 계측하면서, 상기 플레이트의 일부를 검출함과 함께, 그 검출 결과와 대응하는 상기 계측 장치의 계측 결과에 기초하여 상기 플레이트의 외주 에지의 위치 정보를 취득하는 외주 에지 위치 취득 공정을 포함하는 위치 계측 방법이다.
이것에 의하면, 소정 형상의 플레이트가 착탈 가능하게 탑재된 이동체의 위치를, 그 이동 좌표계를 규정하는 계측 장치에 의해 계측하면서, 상기 플레이트의 일부를 검출함과 함께, 그 검출 결과와 대응하는 상기 계측 장치의 계측 결과에 기초하여 상기 플레이트의 외주 에지의 위치 정보를 취득한다. 이 때문에, 플레이트의 외주 에지의 위치를 상기 계측 장치에 의해 규정되는 이동 좌표계 상에서 관리할 수 있게 된다.
본 발명은, 제 2 관점에서 보면, 플레이트가 착탈 가능하게 탑재된 이동체의 위치를 제어하는 위치 제어 방법으로서, 본 발명의 위치 계측 방법을 사용하여 계측된 상기 플레이트의 외주 에지의 위치 정보에 기초하여, 상기 이동체의 위치를 제어하는 것을 특징으로 하는 위치 제어 방법이다.
이것에 의하면, 본 발명의 위치 계측 방법을 사용하여 계측된 상기 플레이트의 외주 에지의 위치 정보에 기초하여, 상기 이동체의 위치를 제어하기 때문에, 플레이트의 외주 에지의 위치를 고려하여 이동체의 위치를 관리할 수 있게 된다.
본 발명의 위치 제어 방법은, 예를 들어, 노광 장치에 있어서, 피노광 물체가 탑재되는 이동체 등의 위치를 관리하는 데에 사용할 수 있다. 따라서, 본 발명은, 제 3 관점에서 보면, 본 발명의 위치 제어 방법을 사용하는 제 1 노광 장치라고도 말할 수 있다.
본 발명은, 제 4 관점에서 보면, 이동체 상에 착탈 가능하게 탑재되고, 물체를 탑재하기 위한 개구가 형성된 플레이트에 관한 정보를 계측하는 계측 방법으로서, 상기 플레이트의 일부를 검출하고, 그 검출 결과에 기초하여 상기 개구의 내주 에지의 위치 정보를 취득하는 내주 에지 위치 취득 공정을 포함하는 계측 방법이다.
이것에 의하면, 이동체 상에 착탈 가능하게 탑재되고, 물체를 탑재하기 위한 개구가 형성된 플레이트의 일부를 검출하고, 그 검출 결과에 기초하여 상기 개구의 내주 에지의 위치 정보를 취득한다. 이 때문에, 이 내주 에지의 위치 정보에 기초하여, 개구의 위치나 형상 등을 산출할 수 있게 된다.
본 발명은, 제 5 관점에서 보면, 물체를 탑재하기 위한 개구를 갖는 플레이트가 착탈 가능하게 탑재된 이동체 상에 물체를 로딩하는 로딩 방법에 있어서, 본 발명의 계측 방법을 사용하여 취득된 상기 플레이트의 개구의 내주 에지의 위치 정보에 기초하여, 상기 물체를 상기 이동체 상의 상기 플레이트의 개구 내에 로딩하는 것을 특징으로 하는 제 1 로딩 방법이다.
이것에 의하면, 본 발명의 계측 방법을 사용하여 취득된 플레이트의 개구의 내주 에지의 위치 정보에 기초하여, 물체를 상기 이동체 상의 상기 플레이트의 개구 내에 로딩한다. 따라서, 물체를 이동체 상의 플레이트의 개구 내에 로딩하는 것이 용이해진다.
본 발명은, 제 6 관점에서 보면, 물체를 노광하는 노광 방법으로서, 본 발명의 제 1 로딩 방법을 사용하여, 상기 이동체 상의 상기 플레이트의 개구 내에 물체를 로딩하는 공정과, 상기 이동체 상에 로딩된 상기 물체에 노광 빔을 조사하는 공정을 포함하는 제 1 노광 방법이다.
이것에 의하면, 본 발명의 제 1 로딩 방법을 사용하여, 이동체 상의 플레이트의 개구 내에 물체를 로딩하고, 그 이동체 상에 로딩된 물체에 노광 빔을 조사하여 노광이 행해진다.
본 발명은, 제 7 관점에서 보면, 이동체 상단부의 오목부 내에 피처리 물체를 로딩하는 로딩 방법으로서, 상기 이동체 상의 오목부 내에 물체를 탑재하는 공정과, 상기 오목부의 내주 에지와, 그 오목부 내에 탑재된 상기 물체와의 위치 관계의 정보를 취득하는 취득 공정을 포함하는 제 2 로딩 방법이다.
여기서, 「물체」 는, 피처리 물체도 포함하는 개념이다. 즉, 탑재하는 공정에서는, 이동체 상의 오목부 내에 피처리 물체를 탑재해도 되고, 그 밖의 물체, 예를 들어, 상기 위치 관계 취득을 목적으로 하는 전용 물체를 탑재해도 된다.
어쨌든, 취득 공정에서는, 오목부의 내주 에지와, 그 오목부 내에 탑재된 상기 물체의 위치 관계의 정보가 취득된다. 따라서, 이 취득된 위치 관계에 기초하여, 물체를 이동체의 오목부 내에 원하는 위치 관계로 로딩할 수 있게 된다.
본 발명은, 제 8 관점에서 보면, 피처리 물체를 노광하는 노광 방법으로서, 본 발명의 제 2 로딩 방법을 사용하여, 상기 이동체 상의 오목부 내에 상기 피처리 물체를 탑재하는 공정과, 상기 이동체의 상기 오목부 내에 탑재된 상기 피처리 물체에 노광 빔을 조사하는 공정을 포함하는 제 2 노광 방법이다.
이것에 의하면, 본 발명의 제 2 로딩 방법을 사용하여, 이동체 상의 오목부 내에 피처리 물체를 탑재하고, 그 이동체의 오목부 내에 탑재된 피처리 물체에 노광 빔을 조사하여 노광이 행해진다.
본 발명은, 제 9 관점에서 보면, 물체 상에 노광 빔을 조사하는 노광 장치로서, 소정 형상의 플레이트가 착탈 가능하게 탑재된 제 1 스테이지와, 상기 제 1 스테이지의 위치를 계측하는 위치 계측계와, 상기 제 1 스테이지의 일부를 검출 가능한 검출 장치와, 상기 제 1 스테이지의 위치를 상기 위치 계측계를 사용하여 계측하면서, 상기 검출 장치를 사용하여 상기 플레이트의 일부를 검출함과 함께, 그 검출 결과와 대응하는 상기 위치 계측계의 계측 결과에 기초하여 상기 플레이트의 외주 에지의 위치 정보를 취득하는 외주 에지의 위치 취득 장치를 구비하는 제 2 노광 장치이다.
이것에 의하면, 외주 에지 위치 취득 장치에 의해, 소정 형상의 플레이트가 착탈 가능하게 탑재된 제 1 스테이지의 위치를 위치 계측계를 사용하여 계측하면서, 검출 장치를 사용하여 상기 플레이트의 일부가 검출됨과 함께, 그 검출 결과와 대응하는 상기 위치 계측계의 계측 결과에 기초하여 상기 플레이트의 외주 에지의 위치 정보가 취득된다. 이 때문에, 제 1 스테이지에 탑재된 플레이트의 외주 에지의 위치를 상기 위치 계측계에 의해 규정되는 이동 좌표계 상에서 관리할 수 있게 된다.
본 발명은, 제 10 관점에서 보면, 물체 상에 노광 빔을 조사하는 노광 장치로서, 개구가 형성된 소정 형상의 플레이트가 탑재되고, 상기 개구 내에 물체가 탑재되는 노광 스테이지와, 상기 노광 스테이지의 위치를 계측하는 위치 계측계와, 상기 노광 스테이지의 일부를 검출 가능한 검출 장치와, 상기 노광 스테이지의 위치를 상기 위치 계측계를 사용하여 계측하면서, 상기 검출 장치를 사용하여 상기 플레이트의 일부를 검출함과 함께, 그 검출 결과와 대응하는 상기 위치 계측계의 계측 결과에 기초하여 상기 개구의 내주 에지의 위치 정보를 취득하는 내주 에지 위치 취득 장치를 구비하는 제 3 노광 장치이다.
이것에 의하면, 내주 에지 위치 취득 장치에 의해, 노광 스테이지의 위치를 위치 계측계를 사용하여 계측하면서, 검출 장치를 사용하여 플레이트의 일부가 검출됨과 함께, 그 검출 결과와 대응하는 상기 위치 계측계의 계측 결과에 기초하여 상기 개구의 내주 에지의 위치 정보가 취득된다. 이 때문에, 이 내주 에지의 위치 정보에 기초하여, 개구의 위치나 형상 등의 정보를 취득할 수 있게 된다.
리소그래피 공정에 있어서, 본 발명의 제 1 ~ 제 3 노광 장치를 사용함으로써, 물체 상에 패턴을 양호한 정밀도로 형성할 수 있고, 이로써 마이크로 디바이스를 양호한 수율로 제조할 수 있다. 마찬가지로, 리소그래피 공정에 있어서, 본 발명의 제 1, 제 2 노광 방법을 사용함으로써, 물체 상에 패턴을 양호한 정밀도로 형성할 수 있고, 이로써 마이크로 디바이스를 양호한 수율로 제조할 수 있다. 따라서, 본 발명은, 또한 다른 관점에서 보면, 본 발명의 제 1 ~ 제 3 노광 장치 중 어느 하나, 또는 제 1, 제 2 노광 방법 중 어느 하나를 사용하는 디바이스 제조 방법이라고도 할 수 있다.
도 1 은, 일 실시형태의 노광 장치의 개략 구성을 나타내는 도면이다.
도 2 는, 도 1 의 스테이지 장치를 나타내는 사시도이다.
도 3 은, 도 1 의 계측 스테이지를 나타내는 사시도이다.
도 4 는, 웨이퍼 테이블을 나타내는 평면도이다.
도 5 는, 간섭계 시스템의 구성을 설명하기 위한 도면이다.
도 6 은, 일 실시형태의 노광 장치의 제어계의 주요한 구성을 나타내는 블록도이다.
도 7 은, 웨이퍼 테이블의 기준 상태로의 복귀 동작시의, 주제어 장치 (내부의 CPU) 의 처리 알고리즘을 나타내는 플로우 차트이다.
도 8 은, 도 7 의 플로우 차트로 나타나는 처리 알고리즘의 개시 조건을 설명하기 위한 도면으로서, 그 개시시의 웨이퍼 테이블 (WTB) 의 위치의 일례를 나타내는 도면이다.
도 9(A), 도 9(B), 도 9(C) 및 도 9(D) 는, 발액 플레이트의 외주 에지의 위치 정보의 취득에 있어서, 제 1 번째, 제 2 번째, 제 3 번째, 제 4 번째의 계측점이 얼라인먼트계의 촬상 시야에 위치 결정되었을 때의 상태를, 각각 나타내는 도면이다.
도 10(A) 는, 발액 플레이트의 +Y 측 단부의 에지 상의 복수 지점의 계측점의 위치 정보를 순차 계측할 때의 웨이퍼 테이블 (WTB) 의 이동 상태를 나타내는 도면이고, 도 10(B) 는, 발액 플레이트의 4 변의 에지 각각에 3 점의 계측점을 설정한 경우의 상태를 나타내는 도면이다.
도 11 은, 웨이퍼 테이블 상의 발액 플레이트 교환으로부터 다음의 발액 플레이트 교환이 행해질 때까지의, 일련의 처리시의 주제어 장치 (내부의 CPU) 의 처리 알고리즘을 나타내는 제 1 플로우 차트이다.
도 12 는, 웨이퍼 테이블 상의 발액 플레이트 교환으로부터 다음의 발액 플레이트 교환이 행해질 때까지의, 일련의 처리시의, 주제어 장치 (내부의 CPU) 의 처리 알고리즘을 나타내는 제 2 플로우 차트이다.
도 13 은, 단계 222 의 서브 루틴을 나타내는 플로우 차트이다.
도 14 는, 단계 236 의 서브 루틴을 나타내는 플로우 차트이다.
도 15(A), 도 15(B), 도 15(C) 및 도 15(D) 는, 발액 플레이트의 개구의 내주 에지의 위치 정보의 취득에 있어서, 제 1 번째, 제 2 번째, 제 3 번째, 제 4 번째의 계측점이 얼라인먼트계의 촬상 시야에 위치 결정되었을 때의 상태를 각각 나타내는 도면이다.
도 16(A), 도 16(B), 도 16(C) 및 도 16(D) 는, 발액 플레이트의 개구의 내주 에지의 위치 정보의 취득에 있어서, 제 5 번째, 제 6 번째, 제 7 번째, 제 8 번째의 계측점이 얼라인먼트계의 촬상 시야에 위치 결정되었을 때의 상태를 각각 나타내는 도면이다.
도 17(A) 는, 발액 플레이트의 개구의 내주 에지 상의 8 지점의 촬상 데이터가 취득되는 상태를 모식적으로 나타내는 개념도, 도 17(B) 는, 공구용 웨이퍼의 외주 에지 상의 8 지점의 촬상 데이터가 취득되는 상태를 모식적으로 나타내는 개념도이다.
도 18 은, 발액 플레이트의 외주 에지부 근방을 확대하여 나타내는 측면도이다.
도 19(A) ~ 19(D) 는, 변형예를 설명하기 위한 제 1 도면이다.
도 20(A) ~ 20(C) 는, 변형예를 설명하기 위한 제 2 도면이다.
이하, 본 발명의 일 실시형태를 도 1 ~ 도 17(B) 에 기초하여 설명한다.
도 1 에는, 본 발명에 관련된 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법 및 노광 방법의 실시에 바람직한 일 실시형태의 노광 장치 (100) 의 개략 구성이 나타나 있다. 이 노광 장치 (100) 는, 스텝ㆍ앤드ㆍ스캔 방식의 투영 노광 장치, 즉, 이른바 스캐닝ㆍ스텝퍼 (스캐너라고도 불린다) 이다. 이 노광 장치 (100) 는, 조명계 (10), 레티클 (R) 을 유지하는 레티클 스테이지 (RST), 투영 유닛 (PU), 웨이퍼 스테이지 (WST) 및 계측 스테이지 (MST) 를 갖는 스테이지 장치 (150), 및 이들의 제어계 등을 구비하고 있다. 웨이퍼 스테이지 (WST) 상에는, 웨이퍼 (W) 가 탑재되도록 되어 있다.
상기 조명계 (10) 는, 예를 들어, 일본 공개특허공보 2001-313250호 및 이것에 대응하는 미국 특허출원공개 제2003/0025890호 명세서 등에 개시되어 있는 바와 같이, 광원, 옵티컬 인티그레이터 (플라이 아이 렌즈, 로드 인티그레이터 (내면 반사형 인티그레이터) 또는 회절 광학 소자 등) 등을 포함하는 조도 균일화 광학계, 빔 스플리터, 릴레이 렌즈, 가변 ND 필터, 레티클 블라인드 등 (모두 미도시) 을 포함한다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허출원공개 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
이 조명계 (10) 에서는, 레티클 (R) 상의 레티클 블라인드에 의해 규정된 슬릿형 조명 영역 부분을 조명광 (노광광; IL) 에 의해 거의 균일한 조도로 조명한다. 여기서, 조명광 (IL) 으로서는, 일례로서 ArF 엑시머 레이저광 (파장 193㎚) 이 사용되고 있다.
상기 레티클 스테이지 (RST) 상에는, 회로 패턴 등이 그 패턴면 (도 1 에 있어서의 하면) 에 형성된 레티클 (R) 이, 예를 들어, 진공 흡착에 의해 고정되어 있다. 레티클 스테이지 (RST) 는, 예를 들어, 리니어 모터 등을 포함하는 레티클 스테이지 구동계 (11; 도 1 에서는 미도시, 도 6 참조) 에 의해, 조명계 (10) 의 광축 (후술하는 투영 광학계 (PL) 의 광축 (AX) 에 일치) 에 수직인 XY 평면 내에서 미소 구동 가능함과 함께, 소정의 주사 방향 (여기에서는 도 1 에서의 지면 내 좌우 방향인 Y 축 방향으로 한다) 으로 지정된 주사 속도로 구동 가능하게 되어 있다.
레티클 스테이지 (RST) 의 스테이지 이동면 내의 위치 (Z 축 둘레의 회전을 포함한다) 는, 레티클 레이저 간섭계 (이하, 「레티클 간섭계」 라고 한다; 116) 에 의해, 이동경 (15; 실제로는, Y 축 방향에 직교하는 반사면을 갖는 Y 이동경과, X 축 방향에 직교하는 반사면을 갖는 X 이동경이 설치되어 있다) 을 통하여, 예를 들어, 0.5 ~ 1㎚ 정도의 분해능으로 항상 검출된다. 이 레티클 간섭계 (116) 의 계측값은, 주제어 장치 (20; 도 1 에서는 미도시, 도 6 참조) 로 보내지고, 주제어 장치 (20) 에서는, 이 레티클 간섭계 (116) 의 계측값에 기초하여 레티클 스테이지 (RST) 의 X 축 방향, Y 축 방향 및 θz 방향 (Z 축 둘레의 회전 방향) 의 위치를 산출함과 함께, 이 산출 결과에 기초하여 레티클 스테이지 구동계 (11) 를 제어함으로써, 레티클 스테이지 (RST) 의 위치 (및 속도) 를 제어한다. 또한, 이동경 (15) 대신에, 레티클 스테이지 (RST) 의 단면 (端面) 을 경면 가공하여 반사면 (이동경 (15) 의 반사면에 상당) 을 형성하는 것으로 해도 된다.
레티클 (R) 의 상방에는, 투영 광학계 (PL) 를 통하여 레티클 (R) 상의 한 쌍의 레티클 얼라인먼트 마크와 이들에 대응하는 계측 스테이지 (MST) 상의 한 쌍의 기준 마크 (이하, 「 제 1 기준 마크」 라고 부른다) 를 동시에 관찰하기 위한 노광 파장의 광을 사용한 TTR (Through The Reticle) 얼라인먼트계로 이루어지는 한 쌍의 레티클 얼라인먼트 검출계 (RAa, RAb) 가 X 축 방향으로 소정 거리 떨어져서 설치되어 있다. 이들의 레티클 얼라인먼트 검출계 (RAa, RAb) 로서는, 예를 들어, 일본 공개특허공보 평7-176468호 및 이것에 대응하는 미국 특허 제5,646,413호 명세서 등에 개시되는 것과 동일한 구성의 것이 사용되고 있다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
상기 투영 유닛 (PU) 은, 레티클 스테이지 (RST) 의 도 1 에 있어서의 하방에 배치되어 있다. 투영 유닛 (PU) 은, 경통 (40) 과 그 경통 (40) 내에 소정의 위치 관계에서 유지된 복수의 광학 소자로 이루어지는 투영 광학계 (PL) 를 포함한다. 투영 광학계 (PL) 로서는, 예를 들어, Z 축 방향의 공통의 광축 (AX) 을 갖는 복수의 렌즈 (렌즈 엘리먼트) 로 이루어지는 굴절 광학계가 사용되고 있다. 이 투영 광학계 (PL) 는, 예를 들어, 양측 텔레센트릭에 의해 소정의 투영 배율 (예를 들어, 1/4 배 또는 1/5 배) 을 갖는다. 이 때문에, 조명계 (10) 로부터의 조명광 (IL) 에 의해 레티클 (R) 상의 조명 영역이 조명되면, 이 레티클 (R) 을 통과한 조명광 (IL) 에 의해, 투영 광학계 (PL; 투영 유닛 (PU)) 를 통하여 그 조명 영역 내의 레티클 (R) 의 회로 패턴의 축소 이미지 (회로 패턴 일부의 축소 이미지) 가 표면에 레지스트 (감광제) 가 도포된 웨이퍼 (W) 상의 상기 조명 영역에 공액인 영역 (노광 영역) 에 형성된다.
또한, 본 실시 형태의 노광 장치 (100) 에서는, 액침법을 적용한 노광이 행해지기 때문에, 개구수 (NA) 가 실질적으로 증대되는 것에 수반하여 레티클측의 개구가 커진다. 이 때문에, 렌즈만으로 구성하는 굴절 광학계에 있어서는, 페츠발의 조건을 만족시키기 곤란해져, 투영 광학계가 대형화되는 경향이 있다. 이러한 투영 광학계의 대형화를 피하기 위해서, 미러와 렌즈를 포함하는 반사 굴절계 (카타디옵트릭 (catadioptric) 계) 를 사용해도 된다.
또, 본 실시 형태의 노광 장치 (100) 에서는, 액침법을 적용한 노광이 행해지기 때문에, 투영 광학계 (PL) 의 일부를 구성하는 이미지면측에 가장 가까이 위치하는 (웨이퍼 (W) 에 가까운) 렌즈 (이하, 「선단 렌즈」 라고도 한다; 91) 의 근방에는, 액침 기구 (132) 의 일부를 구성하는 액체 공급 노즐 (51A) 과, 액체 회수 노즐 (51B) 이 설치되어 있다.
상기 액체 공급 노즐 (51A) 에는, 그 일단이 액체 공급 장치 (88; 도 1 에서는 미도시, 도 6 참조) 에 접속된 미도시된 공급관의 타단이 접속되어 있고, 상기 액체 회수 노즐 (51B) 에는, 그 일단이 액체 회수 장치 (92; 도 1 에서는 미도시, 도 6 참조) 에 접속된 미도시된 회수관의 타단이 접속되어 있다.
상기 액체 공급 장치 (88) 는, 액체의 탱크, 가압 펌프, 온도 제어 장치, 그리고 공급관에 대한 액체의 공급ㆍ정지를 제어하기 위한 밸브 등을 포함한다. 밸브로서는, 예를 들어, 액체의 공급ㆍ정지뿐만 아니라, 유량의 조정도 가능해지도록 유량 제어 밸브를 사용하는 것이 바람직하다. 상기 온도 제어 장치는, 액체 탱크 내의 액체의 온도를 노광 장치 본체가 수납되어 있는 챔버 (미도시) 내의 온도와 동일한 정도의 온도로 조정한다.
또한, 액체를 공급하기 위한 탱크, 가압 펌프, 온도 제어 장치, 밸브 등은, 그 모두를 노광 장치 (100) 에서 구비하고 있을 필요는 없고, 적어도 일부를 노광 장치 (100) 가 설치되는 공장 등의 설비로 대체할 수도 있다.
상기 액체 회수 장치 (92) 는, 액체의 탱크 및 흡인 펌프, 그리고 회수관을 통한 액체의 회수ㆍ정지를 제어하기 위한 밸브 등을 포함한다. 밸브로는, 상기 기술한 액체 공급 장치 (88) 측의 밸브에 대응하여 유량 제어 밸브를 사용하는 것이 바람직하다.
또한, 액체를 회수하기 위한 탱크, 흡인 펌프, 밸브 등은, 그 모두를 노광 장치 (100) 에서 구비하고 있을 필요는 없고, 적어도 일부를 노광 장치 (100) 가 설치되는 공장 등의 설비로 대체할 수도 있다.
상기 액체로서는, 여기에서는 ArF 엑시머 레이저광 (파장 193㎚ 의 광) 이 투과하는 순수 (이하, 특별히 필요한 경우를 제외하고, 간단하게 「물」 이라고 기술한다) 를 사용하는 것으로 한다. 순수는, 반도체 제조 공장 등에서 용이하게 대량으로 입수할 수 있음과 함께, 웨이퍼 상의 포토레지스트나 광학 렌즈 등에 대한 악영향이 없다는 이점이 있다.
ArF 엑시머 레이저광에 대한 물의 굴절률 n 은, 대략 1.44 이다. 이 물속에서는, 조명광 (IL) 의 파장은, 193㎚ × 1/n = 약 134㎚ 로 단파장화된다.
상기 액체 공급 장치 (88) 및 액체 회수 장치 (92) 는, 각각 컨트롤러를 구비하고 있고, 각각의 컨트롤러는, 주제어 장치 (20) 에 의해 제어되도록 되어 있다 (도 6 참조). 액체 공급 장치 (88) 의 컨트롤러는, 주제어 장치 (20) 로부터의 지시에 따라 공급관에 접속된 밸브를 소정 개도에서 열고, 액체 공급 노즐 (51A) 을 통하여 선단 렌즈 (91) 와 웨이퍼 (W; 또는 후술하는 플레이트) 사이에 물을 공급한다. 또, 이때, 액체 회수 장치 (92) 의 컨트롤러는, 주제어 장치 (20) 로부터의 지시에 따라 회수관에 접속된 밸브를 소정 개도에서 열고, 액체 회수 노즐 (51B) 을 통하여 선단 렌즈 (91) 와 웨이퍼 (W) 사이로부터 액체 회수 장치 (92; 액체의 탱크) 의 내부에 물을 회수한다. 이때, 주제어 장치 (20) 는, 선단 렌즈 (91) 와 웨이퍼 (W) 사이에 액체 공급 노즐 (51A) 로부터 공급되는 물의 양과 액체 회수 노즐 (51B) 을 통하여 회수되는 물의 양이 항상 동일해지도록, 액체 공급 장치 (88) 의 컨트롤러, 액체 회수 장치 (92) 의 컨트롤러에 대하여 지령을 부여한다. 따라서, 선단 렌즈 (91) 와 웨이퍼 (W) 사이에, 일정량의 물 (Lq; 도 1 참조) 이 유지된다. 이 경우, 선단 렌즈 (91) 와 웨이퍼 (W) 사이에 유지된 물 (Lq) 은, 항상 교체된다.
상기 설명으로부터 분명한 바와 같이, 본 실시 형태의 액침 기구 (132) 는, 상기 액체 공급 장치 (88), 액체 회수 장치 (92), 공급관, 회수관, 액체 공급 노즐 (51A) 및 액체 회수 노즐 (51B) 등을 포함하는 국소 액침 기구이고, 웨이퍼 (W) 를 노광하는 경우에는, 웨이퍼 (W) 상의 일부에 액침 영역이 형성된다.
또한, 투영 유닛 (PU) 하방에 계측 스테이지 (MST) 가 위치하는 경우에도, 상기와 마찬가지로 후술하는 계측 테이블 (MTB) 과 선단 렌즈 (91) 사이에 물을 채우는 것이 가능하다.
또한, 상기 설명에서는, 그 설명을 간단하게 하기 위하여, 액체 공급 노즐과 액체 회수 노즐 각각이 1 개씩 설치되어 있는 것으로 하였지만, 이것에 한정되지 않고, 예를 들어, 국제공개공보 제99/49504호 팜플렛에 개시되어 있는 바와 같이, 노즐을 다수 갖는 구성을 채용하는 것으로 해도 된다. 요컨대, 투영 광학계 (PL) 의 최하단의 광학 부재 (선단 렌즈; 91) 와 웨이퍼 (W) 사이에 액체를 공급할 수 있는 것이면, 그 구성은 어떠한 것이도 된다. 예를 들어, 국제공개공보 제 2004/053955호 팜플렛에 개시되어 있는 액침 기구나, 유럽 특허출원공개 제 1420298호 명세서에 개시되어 있는 액침 기구도 본 실시 형태의 노광 장치에 적용할 수 있다.
상기 스테이지 장치 (150) 는, 프레임 캐스터 (FC) 와, 그 프레임 캐스터 (FC) 상에 설치된 베이스반 (12) 과, 그 베이스반 (12) 의 상면의 상방에 배치된 웨이퍼 스테이지 (WST) 및 계측 스테이지 (MST) 와, 이들 스테이지 (WST, MST) 의 위치를 계측하는 간섭계 (16, 18) 을 포함하는 간섭계 시스템 (118; 도 6 참조) 과, 스테이지 (WST, MST) 를 구동하는 스테이지 구동계 (124; 도 6 참조) 를 구비하고 있다.
상기 프레임 캐스터 (FC) 는, 스테이지 장치 (150) 를 사시도로 나타내는 도 2 로부터 알 수 있는 바와 같이, 그 X 측 방향 일측과 타측의 단부 근방에 Y 축 방향을 길이 방향으로 하고, 상방으로 돌출된 볼록부 (FCa, FCb) 가 일체적으로 형성된 개략 평판 형상의 부재로 이루어진다.
상기 베이스반 (12) 은, 정반이라고도 불리는 판 형상 부재로 이루어지고, 프레임 캐스터 (FC) 의 상기 볼록부 (FCa, FCb) 사이에 협지된 영역 상에 배치되어 있다. 베이스반 (12) 의 상면은 평탄도가 매우 높게 완성되어 있고, 웨이퍼 스테이지 (WST) 및 계측 스테이지 (MST) 를 이동할 때의 가이드면으로 되어 있다.
상기 웨이퍼 스테이지 (WST) 는, 도 2 에 나타내는 바와 같이, 베이스반 (12) 의 상방에 배치된 웨이퍼 스테이지 본체 (28) 와, 그 웨이퍼 스테이지 본체 (28) 상에 미도시된 Zㆍ틸트 구동 기구를 통하여 탑재된 웨이퍼 테이블 (WTB) 을 구비하고 있다. Zㆍ틸트 구동 기구는, 실제로는, 웨이퍼 스테이지 본체 (28) 상에서 웨이퍼 테이블 (WTB) 을 3 점에서 지지하는 3 개의 액츄에이터 (예를 들어, 보이스 코일 모터) 등을 포함하고, 웨이퍼 테이블 (WTB) 을 Z 축 방향, θx 방향 (X 축 둘레의 회전 방향), θy 방향 (Y 축 둘레의 회전 방향) 의 3 자유도 방향으로 미소 구동한다.
상기 웨이퍼 스테이지 본체 (28) 는, 단면이 직사각형 프레임 형상으로 X 축 방향으로 연장되는 중공 (中空) 부재에 의해 구성되어 있다. 이 웨이퍼 스테이지 본체 (28) 의 하면에는, 복수, 예를 들어, 4 개의 미도시된 기체 정압 베어링, 예를 들어, 에어 베어링이 설치되고, 이들 에어 베어링을 통하여 웨이퍼 스테이지 (WST) 가 상기 기술한 가이드면의 상방에 수㎛ 정도의 클리어런스 (clearance) 를 통하여 비접촉으로 지지되어 있다.
상기 프레임 캐스터 (FC) 의 볼록부 (FCa) 의 상방에는, 도 2 에 나타내는 바와 같이, Y 축 방향으로 연장되는 Y 축용 고정자 (86) 가 배치되어 있다. 마찬가지로, 프레임 캐스터 (FC) 의 볼록부 (FCb) 의 상방에는, Y 축 방향으로 연장되는 Y 축용 고정자 (87) 가 배치되어 있다. 이들의 Y 축용 고정자 (86, 87) 는, 각각의 하면에 설치된 미도시된 기체 정압 베어링, 예를 들어, 에어 베어링에 의해 볼록부 (FCa, FCb) 의 상면에 대하여 소정의 클리어런스를 통하여 부상 지지되어 있다. Y 축용 고정자 (86, 87) 는, 본 실시 형태에서는, Y 축 방향을 따라 소정 간격으로 배치된 복수의 영구 자석을 갖는 자극 유닛에 의해 구성되어 있다.
상기 웨이퍼 스테이지 본체 (28) 의 내부에는, X 축 방향을 따라 소정 간격으로 배치된 복수의 영구 자석을 갖는 단면이 U 자형인 자극 유닛으로 이루어지는 가동자 (90) 가 설치되어 있다.
가동자 (90) 의 내부 공간에는, X 축 방향으로 연장되는 X 축용 고정자 (80) 가 삽입되어 있다. 이 X 축용 고정자 (80) 는, X 축 방향을 따라 소정 간격으로 배치된 복수의 전기자 코일을 내장하는 전기자 유닛에 의해 구성되어 있다. 이 경우, 자극 유닛으로 이루어지는 가동자 (90) 와 전기자 유닛으로 이루어지는 X 축용 고정자 (80) 에 의해, 웨이퍼 스테이지 (WST) 를 X 축 방향으로 구동하는 무빙 마그넷형의 X 축 리니어 모터가 구성되어 있다. 이하에 있어서는, 적절하게, 상기 X 축 리니어 모터를 그 고정자 (X 축용 고정자; 80) 와 동일한 부호를 사용하여, X 축 리니어 모터 (80) 라고 부르는 것으로 한다. 또한, X 축 리니어 모터로서, 무빙 마그넷형의 리니어 모터 대신에, 무빙 코일형의 리니어 모터를 사용해도 된다.
상기 X 축용 고정자 (80) 의 길이 방향의 일측과 타측의 단부에는, 예를 들어, Y 축 방향을 따라 소정 간격으로 배치된 복수의 전기자 코일을 내장하는 전기자 유닛으로 이루어지는 가동자 (82, 83) 가, 각각 고정되어 있다. 이들 가동자 (82, 83) 의 각각은, 상기 기술한 Y 축용 고정자 (86, 87) 에 각각 내측으로부터 삽입되어 있다. 즉, 본 실시 형태에서는, 전기자 유닛으로 이루어지는 가동자 (82, 83) 와 자극 유닛으로 이루어지는 Y 축용 고정자 (86, 87) 에 의해, 무빙 코일 형태의 2 개의 Y 축 리니어 모터가 구성되어 있다. 이하에 있어서는, 상기 2 개의 Y 축 리니어 모터의 각각을, 각각의 가동자 (82, 83) 와 동일한 부호를 사용하여, 적절하게, Y 축 리니어 모터 (82), Y 축 리니어 모터 (83) 라고도 부르기로 한다. 또한, Y 축 리니어 모터 (82, 83) 로서, 무빙 마그넷형의 리니어 모터를 사용해도 된다.
즉, 웨이퍼 스테이지 (WST) 는, X 축 리니어 모터 (80) 에 의해, X 축 방향으로 구동됨과 함께, 한 쌍의 Y 축 리니어 모터 (82, 83) 에 의해 X 축 리니어 모터 (80) 와 일체로 Y 축 방향으로 구동된다. 또, 웨이퍼 스테이지 (WST) 는, Y 축 리니어 모터 (82, 83) 가 발생하는 Y 축 방향의 구동력을 약간 다르게 함으로써, θz 방향으로도 회전 구동된다.
상기 웨이퍼 테이블 (WTB) 은, 도 4 의 평면도에 나타내는 바와 같이, 평면시에서 거의 정사각형 형상을 갖고 있으며, 그 상면에는, 웨이퍼 (W) 를 유지하는 핀척 방식의 웨이퍼 홀더 (WH) 및 플레이트 홀더 (PH) 가 설치되어 있다.
웨이퍼 홀더 (WH) 는, 도 4 에 나타내는 바와 같이, 웨이퍼 테이블 (WTB) 상면 중앙부의 소정 면적의 원형 영역 내에 소정 간격으로 설치된 복수의 제 1 핀 (32, 32, …), 그 제 1 핀 (32, 32, …) 이 배치된 원형 영역을 둘러싸는 원환 형상 볼록부로 이루어지는 제 1 림부 (30), 및 상기 원형 영역의 중심 (홀더 센터) 과의 거리가 동일한 거의 정삼각형의 각 정점의 위치에 각각 돌출 형성된 3 개의 원통 형상의 제 2 림부 (35A, 35B, 35C) 등을 구비하고 있다. 각 제 1 핀 (32) 의 선단, 그리고 제 1 림부 (30), 제 2 림부 (35A, 35B, 35C) 의 상단면은, 거의 동일 높이로 설정되어 있다.
상기 제 2 림부 (35A, 35B, 35C) 의 내주에는, 평면시 원형의 관통공 (39) 이 각각 형성되고, 관통공 (39) 각각의 내부에는, 원주 형상을 갖고, 상하 방향 (도 4 에 있어서의 지면 직교 방향) 으로 움직일 수 있는 상하 운동 핀 (센터 업; 34a, 34b, 34c) 이 각각 설치되어 있다. 이들 3 개의 센터 업 (34a ~ 34c) 은, 스테이지 구동계 (124; 도 6 참조) 의 일부를 구성하는 미도시된 상하 운동 기구를 통하여, 상하 방향 (도 4 에 있어서의 지면 직교 방향인 Z 축 방향) 으로 동시에 동일량 만큼 승강 (상하 운동) 된다. 웨이퍼 로딩, 웨이퍼 언로딩시에는, 센터 업 (34a ~ 34c) 이 상하 운동 기구에 의해 구동됨으로써, 센터 업 (34a ~ 34c) 에 의해 웨이퍼 (W) 를 하방으로부터 지지하거나, 그 상태로 웨이퍼 (W) 를 상하 운동시킬 수 있다.
웨이퍼 테이블 (WTB) 상면의 상기 제 1 림부 (30) 로 둘러싸인 원형 영역에는, 도 4 에 나타내는 바와 같이, 복수의 배기구 (36) 가, 그 원형 영역의 중심 (홀더 센터) 으로부터 방사 형상 (거의 120°의 중심각의 간격을 갖는 3 개의 반경선의 방향) 으로, 소정 간격으로 형성되어 있다. 이들 배기구 (36) 는, 제 1 핀 (32) 과 간섭하지 않는 위치에 형성되어 있다. 각 배기구 (36) 는, 그들의 바로 아래의 배관을 각각 통하여 웨이퍼 테이블 (WTB) 내부에 형성된 배기구 (38A, 38B, 38C) 에 각각 접속되고, 이들의 배기구 (38A, 38B, 38C) 가 진공 배기관 (41a, 41b, 41c) 을 각각 통하여 제 1 진공 배기 기구 (44; 도 6 참조) 에 접속되어 있다.
본 실시 형태에서는, 웨이퍼 (W) 가 웨이퍼 테이블 (WTB) 의 웨이퍼 홀더 (WH) 상에 로딩되고, 주제어 장치 (20) 에 의해 제 1 진공 배기 기구 (44) 를 통하여 진공 배기 동작이 개시되면, 그 웨이퍼 (W) 와 제 1 림부 (30) 와 3 개의 제 2 림부 (35A, 35B, 35C) 로 둘러싸인 공간 내부가 부압 상태가 되고, 그 웨이퍼 (W) 가 복수의 제 1 핀 (32) 과 제 1 림부 (30) 와 3 개의 제 2 림부 (35A, 35B, 35C) 에 흡착 유지된다.
웨이퍼 테이블 (WTB) 상면의 상기 제 1 림부 (30) 의 외측에는, 그 제 1 림부 (30) 와 동심의 원환 형상의 돌기부로 이루어지는 제 3 림부 (45) 가 돌출 형성되어 있다. 이 제 3 림부 (45) 의 외측에는, 그 내측이 제 3 림부 (45) 로 구획되고, 외측이 웨이퍼 테이블 (WTB) 의 외부 격벽 (48) 으로 둘러싸인 오목부 (49) 가 형성되어 있다. 이 오목부 (49) 의 내부 저면에는, 그 선단의 높이가 제 3 림부 (45) 및 외부 격벽 (48) 과 거의 동일 높이로 된, 복수의 제 2 핀 (53) 이 소정 간격으로 설치되어 있다. 이 경우, 제 3 림부 (45) 및 외부 격벽 (48) 의 상단면의 높이는, 제 1 림부 (30) 보다 약간 낮게 설정되어 있다. 이렇게 하여 구성된, 제 3 림부 (45) 및 외부 격벽 (48), 그리고 복수의 제 2 핀 (53) 상에, 중앙부에 원형의 개구 (50a) 를 갖는 거의 정사각형의 발액 플레이트 (예를 들어, 발수 플레이트; 50) 가 착탈 가능하게 탑재되어 있다. 이 발액 플레이트 (50) 는, 그 주위 전체에 걸쳐서 외주면이 웨이퍼 테이블 (WTB) 의 외부 격벽 (48) 의 외면보다 약간 외측으로 돌출된 상태로 웨이퍼 테이블 (WTB) 상에 탑재되어 있다. 즉, 웨이퍼 테이블 (WTB) 상면의 제 3 림부 (45) 및 외부 격벽 (48), 그리고 복수의 제 2 핀 (53) 을 포함하고, 발액 플레이트 (50) 를 유지하는 핀척 방식의 플레이트 홀더 (PH) 가 구성되어 있다.
여기서, 이 플레이트 홀더 (PH) 의 일부를 구성하는, 제 3 림부 (45) 와 외부 격벽 (48) 으로 구획된 복수의 제 2 핀 (53) 이 설치된 영역에도, 상기 기술한 웨이퍼 홀더 (WH) 와 마찬가지로 복수의 배기구 (미도시) 가 소정 간격으로 형성되고, 각 배기구는, 그들 바로 아래의 배관을 각각 통하여 웨이퍼 테이블 (WTB) 내부에 형성된 미도시된 배기구에 각각 접속되고, 이들 배기구가 미도시된 진공 배기관을 각각 통하여 도 6 에 나타내는 제 2 진공 배기 기구 (56) 에 접속되어 있다.
본 실시 형태에서는, 주제어 장치 (20) 에 의해 상기 제 2 진공 배기 기구 (56) 를 통하여, 발액 플레이트 (50) 와 제 3 림부 (45) 와 외부 격벽 (48) 으로 둘러싸인 공간 (오목부 (49) 의 내부 공간) 내부가 진공 흡인되고, 발액 플레이트 (50) 가 플레이트 홀더 (PH) 에 흡착 유지되어 있다. 여기서, 예를 들어, 발액 플레이트 (50) 의 이탈을 용이하게 하거나 하여, 상기 공간의 내부에 상기 기술한 센터 업 (34a ~ 34c) 과 동일한 상하 운동 핀을 설치하고, 그 상하 운동 핀의 구동 기구를 주제어 장치 (20) 가 제어하도록 해도 된다.
본 실시 형태에서는, 상기 기술한 플레이트 홀더 (PH) 에 흡착 유지된 발액 플레이트 (50) 의 상면과 웨이퍼 홀더 (WH) 에 흡착 유지된 웨이퍼 (W) 의 표면은, 거의 면일해지도록 (도 1 참조), 웨이퍼 홀더 (WH) 및 플레이트 홀더 (PH) 를 각각 구성하는 각 부의 높이가 설정되어 있다. 또한, 플레이트 홀더 (PH) 에 유지된 상태에서는, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지는, 제 3 림부 (45) 의 내주벽에 거의 일치하고 있다. 즉, 본 실시 형태에서는, 제 3 림부 (45) 와 발액 플레이트 (50) 의 개구 (50a) 의 내벽면의 내측에 웨이퍼 (W) 를 로딩하기 위한 오목부 (140) 가 형성되고, 그 오목부 (140) 내에 웨이퍼 홀더 (WH) 가 설치되어 있다. 또, 웨이퍼 (W) 의 외주 에지와 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지 사이의 클리어런스는, 예를 들어, 0.1 ~ 0.4㎜ 정도의 값이 되도록 개구 (50a) 의 형상 및 크기가 설정되어 있다. 또, 웨이퍼 (W) 가 웨이퍼 홀더 (WH) 에 유지된 상태에서는, 웨이퍼 테이블 (WTB) 의 상면에 외관상 풀 플랫 (full flat) 인 면이 형성되도록 되어 있다.
또한, 웨이퍼 테이블 (WTB) 은, 저열 팽창률의 재료, 예를 들어, 세라믹 등의 어느 정도 탄성을 갖는 재료로 형성되어 있고, 전체적으로 거의 정사각형 형상의 세라믹 등의 재료의 표면을 에칭함으로써, 제 1 림부 (30), 제 2 림부 (35A, 35B, 35C), 제 3 림부 (45), 및 복수의 제 1 핀 (32) 및 복수의 제 2 핀 (53) 등이 일체적으로 형성되어 있다.
상기 발액 플레이트 (50) 의 표면에는, 불소계의 재료 등을 사용한 발액 처리 (여기에서는 발수 코팅 등의 발수 처리) 가 실시되고, 발액면 (발수면) 이 형성되어 있다. 발액 플레이트 (50) 의 발액 (발수) 면은, 일반적으로 원자외역 또는 진공 자외역의 광에 약하고, 노광광 (조명광; IL) 의 조사에 의해 발액 (발수) 성능이 열화된다. 또, 발액 플레이트 (50) 의 상면에 액체의 부착 자국 (워터 마크 등) 이 형성될 우려도 있기 때문에, 발액 플레이트 (50) 는 용이하게 착탈 (교환) 가능하게 되어 있다. 또한, 발액 플레이트 (50) 는, 진공 흡착 방식뿐만 아니라, 정전 흡착 방식 등의 다른 방식에 의해 유지될 수도 있다.
또, 웨이퍼 (W) 의 표면에는, 레지스트 (감광제) 가 도포되어 있다. 본 실시 형태에서는, 일례로, 감광제로서 ArF 엑시머 레이저용의 감광제로서, 발액성 (발수성, 접촉각 80°~ 85°) 을 갖는 것이 사용되고 있다. 물론, 이 감광제의 상층에 발액성 (액체와의 접촉각 90°~ 120°) 을 갖는 탑코팅층의 형성 재료를 도포해도 된다. 또한, 웨이퍼 (W) 의 표면은, 반드시 발액성이 아니어도 되고, 액체와의 접촉각이 60° ~ 80°정도의 레지스트를 사용할 수도 있다. 또, 웨이퍼 (W) 의 측면 및 이면 중 적어도 일부에 발액 처리를 실시해도 된다. 마찬가지로, 웨이퍼 홀더 (WH), 플레이트 홀더 (PH) 중 적어도 일부에 발액 처리를 실시해도 된다.
상기 기술한 바와 같이 하여 구성된 웨이퍼 테이블 (WTB) 의 위치는, 간섭계 시스템 (118; 도 6 참조) 에 의해 계측되지만, 이것에 대해서는 후술한다.
상기 계측 스테이지 (MST) 는, 도 2 에 나타내는 바와 같이, X 축 방향을 길이 방향으로 하는 Y 스테이지 (81) 등의 복수 부재의 조합에 의해 구성되고, 그 최하면 (베이스반 (12) 에 가장 접근하고 있는 부재의 하면) 에 설치된 복수의 기체 정압 베어링, 예를 들어, 에어 베어링을 통하여 베이스반 (12) 의 상면 (가이드면) 상방에 수 ㎛ 정도의 클리어런스를 통하여 비접촉으로 지지되어 있다.
계측 스테이지 (MST) 는, 도 3 의 사시도로부터도 알 수 있는 바와 같이, X 축 방향으로 가늘고 긴 장방형의 판 형상의 계측 스테이지 본체 (81c) 와, 그 계측 스테이지 본체 (81c) 상면의 X 축 방향의 일측, 타측에 각각 고정된 한 쌍의 돌출부 (81a, 81b) 를 갖는 Y 스테이지 (81) 와, 상기 계측 스테이지 본체 (81c) 의 상면의 상방에 배치된 레벨링 테이블 (52) 과, 그 레벨링 테이블 (52) 상에 설치된 계측 테이블 (MTB) 을 구비하고 있다.
상기 Y 스테이지 (81) 의 일부를 구성하는 계측 스테이지 본체 (81c) 의 X 축 방향의 일측과 타측의 단면에는, Y 축 방향을 따라 소정 간격으로 배치된 복수의 전기자 코일을 내장하는 전기자 유닛으로 이루어지는 가동자 (84, 85) 가, 각각 고정되어 있다. 이들 가동자 (84, 85) 각각은, 상기 기술한 Y 축용 고정자 (86, 87) 에 각각 내측으로부터 삽입되어 있다. 즉, 본 실시 형태에서는, 전기자 유닛으로 이루어지는 가동자 (84, 85) 와, 그 가동자 (84, 85) 각각이 삽입된 자극 유닛으로 이루어지는 Y 축용 고정자 (86, 87) 에 의해, 2 개의 무빙 코일 형태의 Y 축 리니어 모터가 구성되어 있다. 이하에 있어서는, 상기 2 개의 Y 축 리니어 모터 각각을, 각각의 가동자 (84, 85) 와 동일한 부호를 사용하여, 적절하게, Y 축 리니어 모터 (84), Y 축 리니어 모터 (85) 라고도 부르는 것으로 한다. 본 실시 형태에서는, 이들의 Y 축 리니어 모터 (84, 85) 에 의해, 계측 스테이지 (MST) 의 전체가, Y 축 방향으로 구동된다. 또한, 이 Y 축 리니어 모터 (84, 85) 를 무빙 마그넷형 리니어 모터로 해도 된다.
상기 계측 스테이지 본체 (81c) 의 저면에는, 상기 기술한 복수의 기체 정압 베어링이 설치되어 있다. 이 계측 스테이지 본체 (81c) 상면의 X 축 방향의 일측, 타측의 +Y 측 단부 근방에, 상기 기술한 한 쌍의 돌출부 (81a, 81b) 가 교대로 대치하여 고정되어 있다. 이들 돌출부 (81a, 81b) 상호간에는, XY 면내에서 X 축 방향으로 각각 연장되는 고정자 (61) 와 고정자 (63) 가, Z 축 방향 (상하) 으로 소정 간격을 두고 가설되어 있다.
상기 레벨링 테이블 (52) 의 +X 측의 단면에는, X 보이스 코일 모터 (54a) 의 가동자가 설치되고, 그 X 보이스 코일 모터 (54a) 의 고정자는, 계측 스테이지 본체 (81c) 의 상면에 고정되어 있다. 또, 레벨링 테이블 (52) 의 -Y 측의 단면에는, Y 보이스 코일 모터 (54b, 54c) 의 가동자가 각각 설치되고, 이들의 Y 보이스 코일 모터 (54b, 54c) 의 고정자는, 계측 스테이지 본체 (81c) 의 상면에 고정되어 있다. 상기 X 보이스 코일 모터 (54a) 는, 예를 들어, 자극 유닛으로 이루어지는 가동자와 전기자 유닛으로 이루어지는 고정자로 구성되고, 이들 사이의 전자 상호 작용에 의해, X 축 방향의 구동력을 발생시킨다. 또, 상기 Y 보이스 코일 모터 (54b, 54c) 도 마찬가지로 구성되어, Y 축 방향의 구동력을 발생시킨다. 즉, 레벨링 테이블 (52) 은, X 보이스 코일 모터 (54a) 에 의해 Y 스테이지 (81) 에 대하여 X 축 방향으로 구동되고, Y 보이스 코일 모터 (54b, 54c) 에 의해 Y 스테이지 (81) 에 대하여 Y 축 방향으로 구동된다. 또, 보이스 코일 모터 (54b, 54c) 가 발생하는 구동력을 상이하게 함으로써, 레벨링 테이블 (52) 을 Y 스테이지 (81) 에 대하여 Z 축 둘레의 회전 방향 (θz 방향) 으로 구동할 수 있다.
상기 레벨링 테이블 (52) 의 내부에는, Z 축 방향의 구동력을 발생시키는 3 개의 Z 보이스 코일 모터 (미도시) 가, 각각 배치되어 있다.
즉, 레벨링 테이블 (52) 은, 상기 기술한 X 보이스 코일 모터 (54a), Y 보이스 코일 모터 (54b, 54c), 및 내부에 배치된 미도시된 Z 보이스 코일 모터에 의해, 6 자유도 방향 (X, Y, Z, θx, θy, θz) 으로 비접촉으로 미소 구동 가능하게 되어 있다.
도 3 으로 되돌아와, 상기 계측 테이블 (MTB) 은, 계측 테이블 본체 (59) 와, 그 계측 테이블 본체 (59) 의 +Y 측면에 상하로 나열하여 고정된, X 축 방향을 길이 방향으로 하는 단면에 대략 U 자형의 가동자 (62, 64) 를 구비하고 있다.
상기 가동자 (62) 는, YZ 단면에 대략 U 자형의 가동자 요크와, 그 가동자 요크의 내면 (상하면) 에 X 축 방향을 따라 소정 간격으로, 또한 교대로 배치된 N 극 영구 자석과 S 극 영구 자석의 복수의 세트로 이루어지는 영구 자석군을 구비하여 상기 기술한 고정자 (61) 에 걸어맞춤 상태로 되어 있다. 가동자 (62) 의 가동자 요크의 내부 공간에는, X 축 방향을 따라 교번 자계가 형성되어 있다. 상기 고정자 (61) 는, 예를 들어, X 축 방향을 따라 소정 간격으로 배치된 복수의 전기자 코일을 내장하는 전기자 유닛으로 완성된다. 즉, 고정자 (61) 와 가동자 (62) 에 의해, 계측 테이블 (MTB) 을 X 축 방향으로 구동하는 무빙 마그넷형의 X 축 리니어 모터 (LX) 가 구성되어 있다.
상기 가동자 (64) 는, YZ 단면에 대략 U 자형의 가동자 요크와, 그 가동자 요크의 내면 (상하면) 에 1 개씩 설치된 N 극 영구 자석과 S 극 영구 자석을 구비하고, 상기 기술한 고정자 (63) 에 걸어맞춤 상태로 되어 있다. 가동자 (64) 의 가동자 요크의 내부 공간에는, +Z 방향 또는 -Z 방향의 자계가 형성되어 있다. 상기 고정자 (63) 는, 그 내부에, N 극 자석과 S 극 자석에 의해 형성되는 자계 중에서 X 축 방향으로만 전류가 흐르는 배치로 배치된 전기자 코일을 구비하고 있다. 즉, 가동자 (64) 와 고정자 (63) 에 의해, 계측 테이블 (MTB) 을 Y 축 방향으로 구동하는 무빙 마그넷형의 Y 보이스 코일 모터 (VY) 가 구성되어 있다.
지금까지의 설명으로부터 분명한 바와 같이, 본 실시 형태에서는, Y 축 리니어 모터 (82 ~ 85) 및 X 축 리니어 모터 (80), 웨이퍼 테이블 (WTB) 을 구동하는 미도시된 Zㆍ틸트 구동 기구, 계측 스테이지 (MST) 상의 상기 기술한 각 모터 (54a ~ 54c, LX, VY 및 미도시된 Z 보이스 코일 모터) 에 의해, 도 6 에 나타내는 스테이지 구동계 (124) 가 구성되어 있다. 이 스테이지 구동계 (124) 를 구성하는 각종 구동 기구가, 도 6 에 나타내는 주제어 장치 (20) 에 의해 제어된다.
상기 계측 테이블 (MTB) 은, 노광에 관한 각종 계측을 행하기 위한 계측기류를 추가로 구비하고 있다. 이것을 더욱 상세하게 기술하면, 계측 테이블 본체 (59) 의 상면에는, 도 3 에 나타내는 바와 같이, 예를 들어, 제로듀어 (쇼트사의 상품명) 나 석영 유리 등의 유리 재료로 이루어지는 플레이트 (101) 가 설치되어 있다. 이 플레이트 (101) 에는 그 거의 전체면에 걸쳐 크롬이 도포되고, 곳곳에 계측기용 영역이나, 레티클 투과율의 계측 등을 할 때에 사용되는 고저 (高低) 기준 반사면 영역이나, 일본 공개특허공보 평5-21314호 및 이것에 대응하는 미국 특허 제5,243,195호 명세서나 일본 공개특허공보 평10-050600호 및 이것에 대응하는 미국 특허 제6,243,158호 명세서 등에 개시되는 복수의 기준 마크가 형성된 기준 마크 영역 (FM) 이 형성되어 있다. 이 기준 마크 영역이, 계측 부재를 구성하고 있다. 플레이트 (101) 의 표면은, 플랫한 면으로 되어 있다. 또한, 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 각 공보 및 대응하는 각 미국 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
상기 계측기용의 영역에는, 패터닝이 실시되고, 각종 계측용 개구 패턴이 형성되어 있다. 이 계측용 개구 패턴으로서는, 예를 들어, 공간 이미지 계측용 개구 패턴 (예를 들어, 슬릿 형상 개구 패턴), 조명 편차 계측용 핀홀 개구 패턴, 조도 계측용 개구 패턴, 및 파면 수차 계측용 개구 패턴 등이 형성되어 있다.
상기 공간 이미지 계측용 개구 패턴 하방의 계측 테이블 본체 (59) 의 내부에는, 투영 광학계 (PL) 및 물을 통하여 플레이트 (101) 에 조사되는 노광광 (조명광; IL) 을, 상기 공간 이미지 계측용 개구 패턴을 통하여 수광하는 수광계가 설치되어 있고, 이로써, 예를 들어, 일본 공개특허공보 2002-14005호 및 이것에 대응하는 미국 특허출원공개 제2002/0041377호 명세서 등에 개시되는 투영 광학계 (PL) 에 의해 투영되는 패턴의 공간 이미지 (투영 이미지) 의 광강도를 계측하는 공간 이미지 계측기가 구성되어 있다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허출원공개 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또, 조명 편차 계측용 핀홀 개구 패턴 하방의 계측 테이블 본체 (59) 의 내부에는, 수광 소자를 포함하는 수광계가 설치되어 있고, 이로써, 일본 공개특허공보 소57-117238호 및 이것에 대응하는 미국 특허 제4,465,368호 명세서 등에 개시되는 투영 광학계 (PL) 의 이미지면 상에서 조명광 (IL) 을 수광하는 핀홀 상태의 수광부를 갖는 조도 편차 계측기가 구성되어 있다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또, 조도 계측용 개구 패턴 하방의 계측 테이블 본체 (59) 의 내부에는, 수광 소자를 포함하는 수광계가 설치되어 있고, 이로써, 예를 들어, 일본 공개특허공보 평11-16816호 및 이것에 대응하는 미국 특허출원공개 제2002/0061469호 명세서 등에 개시되는 투영 광학계 (PL) 의 이미지면 상에서 물을 통하여 조명광 (IL) 을 수광하는 소정 면적의 수광부를 갖는 조도 모니터가 구성되어 있다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허출원공개 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또, 파면 수차 계측용 개구 패턴 하방의 계측 테이블 본체 (59) 의 내부에는, 예를 들어, 마이크로 렌즈 어레이를 포함하는 수광계가 설치되어 있고, 이로써 예를 들어, 국제공개공보 제99/60361호 팜플렛 및 이것에 대응하는 유럽 특허 제 1,079,223호 명세서 등에 개시되는 파면 수차 계측기가 구성되어 있다. 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 국제공개공보 팜플렛 및 대응하는 유럽 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또한, 도 6 에서는 상기 공간 이미지 계측기, 조도 편차 계측기, 조도 모니터 및 파면 수차 계측기가, 계측기군 (43) 으로서 나타나 있다.
또한, 본 실시 형태에서는, 투영 광학계 (PL) 와 물을 통하여 노광광 (조명광; IL) 에 의해 웨이퍼 (W) 를 노광하는 액침 노광이 행해지는 데 대응하여, 조명광 (IL) 을 이용하여 계측에 사용되는 상기 조도 모니터, 조도 편차 계측기, 공간 이미지 계측기, 파면 수차 계측기 등에서는, 투영 광학계 (PL) 및 물을 통하여 조명광 (IL) 을 수광하게 된다. 이 때문에, 플레이트 (101) 의 표면에 발수 코팅를 실시해도 된다. 또, 상기 각 계측기는, 예를 들어, 광학계 등의 일부만이 계측 스테이지 (MST) 에 탑재되어 있어도 되고, 계측기 전체를 계측 스테이지 (MST) 에 배치하도록 해도 된다. 또, 상기 기술한 공간 이미지 계측기, 조도 편차 계측기, 조도 모니터 및 파면 수차 계측기는, 반드시 그 모두가 구비되어 있을 필요는 없고, 필요에 따라 일부만을 탑재하는 것만이어도 된다.
*상기 기술한 바와 같이 하여 구성된 계측 스테이지 (MST; 계측 테이블 (MTB)) 의 위치는, 후술하는 간섭계 시스템 (118; 도 6 참조) 에 의해 계측된다.
또, 본 실시 형태의 노광 장치 (100) 에서는, 투영 유닛 (PU) 을 유지하는 유지 부재에는, 도 1 에 나타내는 오프액시스ㆍ얼라인먼트계 (이하, 「얼라인먼트계」 로 약술한다; ALG) 가 설치되어 있다. 이 얼라인먼트계 (ALG) 로서는, 예를 들어, 일본 공개특허공보 2001-257157호 및 이것에 대응하는 미국 특허출원공개 제2001/0023918호 명세서나 일본 공개특허공보 평8-213306호 및 이것에 대응하는 미국 특허 제5,783,833호 명세서 등에 개시되는, 웨이퍼 상의 레지스트를 감광시키지 않는 브로드 밴드인 검출 광속을 대상 마크에 조사하고, 그 대상 마크로부터의 반사광에 의해 수광면에 결상된 대상 마크의 이미지와 미도시된 지표 (얼라인먼트계 (ALG) 내에 형성된 지표판 상의 지표 패턴) 의 이미지를 촬상 소자 (CCD 등) 를 사용하여 촬상하고, 그들의 촬상 신호를 출력하는 화상 처리 방식의 FIA (Field Image Alig㎚ent) 계의 센서가 사용되어 있다. 얼라인먼트계 (ALG) 로부터의 촬상 신호는, 도 6 의 주제어 장치 (20) 에 공급된다. 또한, 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 각 공보 및 대응하는 미국 특허출원공개 명세서, 또는 미국 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
또한, 얼라인먼트계 (ALG) 로서는, FIA 계에 한정되지 않고, 코히어런트한 검출광을 대상 마크에 조사하고, 그 대상 마크로부터 발생되는 산란광 또는 회절광을 검출하거나, 또는 그 대상 마크로부터 발생되는 2 개의 회절광 (예를 들어, 동일 차수의 회절광, 또는 동일 방향으로 회절하는 회절광) 을 간섭시켜서 검출하는 얼라인먼트 센서를 단독으로 또는 적절하게 조합하여 사용하는 것은 물론 가능하다.
또한, 얼라인먼트계 (ALG) 의 광학 소자나 광학 소자를 유지하는 유지 부재 등, 웨이퍼 테이블 (WTB) 의 이동면의 근방에 배치되고, 액체의 비산에 의해 액체의 부착이 염려되는, 유지 부재에는 발수성의 커버를 형성해도 된다. 또, 광학 소자와 그 광학 소자를 유지하는 유지 부재의 간극 등, 얼라인먼트계 (ALG) 내부에 대한 액체의 침입이 염려되는 간극에는 O 링 등 시일 (seal) 부재가 배치되어 있다. 또한, 얼라인먼트계 (ALG) 의 종단 (하단) 의 광학 소자의 표면이나 얼라인먼트계 (ALG) 에 고정된 간섭계용의 미러 표면 등, 웨이퍼 테이블 (WTB) 의 이동면의 근방에 배치된 광학 부재의 표면은 발액성의 재료로 피막되어 있고, 물의 부착이 방지되어 있을 뿐만 아니라, 물이 부착되어도 오퍼레이터 등의 작업자가 용이하게 닦아낼 수 있도록 되어 있다.
또한, 본 실시 형태의 노광 장치 (100) 에서는, 도 1 에서는 미도시되어 있지만, 조사계 (90a) 및 수광계 (90b; 도 6 참조) 를 포함하는, 예를 들어, 일본 공개특허공보 평6-283403호 및 이것에 대응하는 미국 특허 제5,448,332호 명세서 등에 개시되는 것과 동일한 사입사 (斜入射) 방식의 다점 초점 위치 검출계가 설치되어 있다. 본 실시 형태에서는, 일례로서 조사계 (90a) 가 투영 유닛 (PU) 의 -X 측에서 투영 유닛 (PU) 을 유지하는 유지 부재에 매달려 지지되고, 수광계 (90b) 가 투영 유닛 (PU) 의 +X 측에서 유지 부재의 하방에 매달려 지지되어 있다. 즉, 조사계 (90a) 및 수광계 (90b) 와, 투영 광학계 (PL) 가 동일한 부재에 장착되어 있고, 양자의 위치 관계가 일정하게 유지되어 있다. 또한, 본 국제 출원에서 지정한 지정국 (또는 선택한 선택국) 의 국내 법령이 허용하는 한, 상기 공보 및 대응하는 미국 특허 명세서에 있어서의 개시를 원용하여 본 명세서의 기재의 일부로 한다.
다음에, 간섭계 시스템 (118) 의 구성 및 작용에 관하여 설명한다.
상기 웨이퍼 테이블 (WTB) 의 -X 측의 단면 및 -Y 측의 단면에는, 경면 가공이 실시되고, 도 2 에 나타내는 바와 같이, 반사면 (17X, 17Y) 이 각각 형성되어 있다. 또, 상기 계측 테이블 (MTB) 의 -X 측의 단면, +Y 측의 단면 및 -Y 측의 단면에는 경면 가공이 실시되고, 각각 반사면 (117X, 117Y1, 117Y2) 이 형성되어 있다.
간섭계 시스템 (118) 은, 도 5 에 나타내는 바와 같이, Y 축 간섭계 (16, 18, 78) 와 X 축 간섭계 (46, 66, 76) 를 포함한다.
Y 축 간섭계 (16, 18) 는, 투영 광학계 (PL) 의 투영 중심 (광축 AX) 및 얼라인먼트계 (ALG) 의 검출 중심을 모두 연결하는 Y 축에 평행한 측장축을 갖고 있다. 이들 Y 축 간섭계 (16, 18) 는, 적어도 3 개의 광축을 모두 갖는 다축 간섭계이고, 각 광축의 출력값은 독립적으로 계측할 수 있도록 되어 있다. 또, X 축 간섭계 (46) 는, Y 축 간섭계 (16, 18) 의 측장축과 투영 광학계 (PL) 의 투영 중심에서 수직으로 교차하는 측장축을 갖고 있다. 또, X 축 간섭계 (66) 는, Y 축 간섭계 (16, 18) 의 측장축과 얼라인먼트계 (ALG) 의 검출 중심에서 수직으로 교차하는 측장축을 갖고 있다. 이들 X 축 간섭계 (46, 66) 는, 적어도 2 개의 광축을 모두 갖는 다축 간섭계이고, 각 광축의 출력값은 독립적으로 계측할 수 있도록 되어 있다. 상기 4 개의 간섭계 (16, 18, 46, 66) 의 출력값 (계측값) 은, 도 6 에 나타내는 주제어 장치 (20) 에 공급된다. 예를 들어, 도 5 상태로는, Y 축 간섭계 (16) 으로부터의 간섭계 빔 (측장 빔) 이 계측 테이블 (MTB) 의 반사면 (117Y1) 에 투사되고, Y 축 간섭계 (18) 로부터의 간섭계 빔 (측장 빔) 이 웨이퍼 테이블 (WTB) 의 반사면 (17Y) 에 투사되며, X 축 간섭계 (46) 로부터의 간섭계 빔 (측장 빔) 이 계측 테이블 (MTB) 의 반사면 (117X) 에 투사되고, X 축 간섭계 (66) 로부터의 간섭계 빔 (측장 빔) 이 웨이퍼 테이블 (WTB) 의 반사면 (17 X) 에 투사되어 있다. 간섭계 (16, 18, 46, 66) 각각에서는 각 광축의 측장 빔의 상기 각 반사면으로부터의 반사광을 수광함으로써, 광축마다 각 반사면의 기준 위치 (일반적으로는 투영 유닛 (PU) 측면이나, 오프액시스ㆍ얼라인먼트계 (ALG; 도 6, 도 5 등 참조) 의 측면에 고정 미러를 배치하고, 그곳을 기준면으로 한다) 로부터의 계측 방향의 변위를 계측한다.
도 5 의 경우, 주제어 장치 (20) 에서는 Y 축 간섭계 (18) 로부터의 출력값에 기초하여, 웨이퍼 테이블 (WTB) 의 Y 축 방향의 위치 (Y 위치) 뿐만 아니라, X 축 둘레의 회전량 (피칭량) 및 Z 축 둘레의 회전량 (요잉 (yawing) 량) 도 계측한다. 또, 주제어 장치 (20) 에서는, Y 축 간섭계 (16) 로부터의 출력값에 기초하여, 계측 테이블 (MTB) 의 Y 축 방향의 위치 (Y 위치) 뿐만 아니라, X 축 둘레의 회전량 (피칭량) 및 Z 축 둘레의 회전량 (요잉량) 도 계측한다. 또, 주제어 장치 (20) 에서는, X 축 간섭계 (66) 의 출력값 (계측값) 에 기초하여, 웨이퍼 테이블 (WTB) 의 X 축 방향의 위치 (X 위치) 뿐만 아니라, Y 축 둘레의 회전량 (롤링량) 도 계측한다. 또, 주제어 장치 (20) 에서는 X 축 간섭계 (46) 로부터의 출력값에 기초하여, 계측 테이블 (MTB) 의 X 위치 및 롤링량을 계측한다.
도 5 에서 알 수 있는 바와 같이, 본 실시 형태에서는, Y 축 간섭계 (18) 로부터의 간섭계 빔은, 웨이퍼 스테이지 (WST) 의 얼라인먼트시 및 노광시의 이동범위의 전체 영역에서 항상 이동경 (17Y) 에 투사되고, Y 축 간섭계 (16) 로부터의 간섭계 빔은, 계측 스테이지 (MST) 의 이동 범위의 전체 영역에서 항상 이동경 (117Y1) 에 투사되도록 되어 있다. 따라서, Y 축 방향에 대해서는, 도 5 중에 2 점 쇄선으로 나타나는 웨이퍼 교환 위치에 웨이퍼 스테이지 (WST) 가 이동한 경우 등을 제외하고, 스테이지 (WST, MST) 의 Y 위치는, 주제어 장치 (20) 에 의해 Y 축 간섭계 (18, 16) 의 계측값에 기초하여 관리된다.
한편, 도 2 및 도 5 에서도 알 수 있는 바와 같이, 주제어 장치 (20) 는, X 축 간섭계 (46) 로부터의 간섭계 빔만이, 반사면 (17X) 에 해당되는 범위에서, X 축 간섭계 (46) 의 출력값에 기초하여, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 X 위치를 관리함과 함께, X 축 간섭계 (46) 로부터의 간섭계 빔만이, 반사면 (117X) 에 닿는 범위에서, X 축 간섭계 (46) 의 출력값에 기초하여 계측 테이블 (MTB; 계측 스테이지 (MST)) 의 X 위치를 관리한다. 또, 주제어 장치 (20) 는, X 축 간섭계 (66) 로부터의 간섭계 빔만이, 반사면 (17X) 에 해당되는 범위에서, X 축 간섭계 (66) 의 출력값에 기초하여, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 X 위치를 관리함과 함께, X 축 간섭계 (66) 로부터의 간섭계 빔만이, 반사면 (117X) 에 해당되는 범위에서, X 축 간섭계 (66) 의 출력값에 기초하여 계측 테이블 (MTB; 계측 스테이지 (MST)) 의 X 위치를 관리한다.
또, 주제어 장치 (20) 는, X 축 간섭계 (46) 및 X 축 간섭계 (66) 로부터의 간섭계 빔이 동시에 반사면 (17X) 에 닿는 범위를 포함하여, 웨이퍼 얼라인먼트시에는 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 X 위치는, X 축 간섭계 (66) 를 사용하여 관리하고, 노광시의 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 X 위치는, X 축 간섭계 (46) 를 사용하여 관리한다. 이로써, 웨이퍼 얼라인먼트시 및 노광시 중 어느 동작에 있어서도, 아베 오차없이, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 X 위치를 관리할 수 있다.
나머지의 X 축 간섭계 (76), Y 축 간섭계 (78) 는, 간섭계 (46, 66, 18) 에서 관리할 수 없는 웨이퍼 교환 위치 근방에 있을 때의 웨이퍼 스테이지 (WST) 의 위치를 관리하기 위한 간섭계이다. 이들 간섭계 (76, 78) 의 계측값에 기초하여, 주제어 장치 (20) 는, 간섭계 (46, 66, 18) 의 출력값에 기초하여 X 위치를 관리할 수 없는 동안의, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치를 관리한다.
또, 계측 스테이지 (MST) 가, 도 5 상태에 따라 추가로 +Y 측의 대기 위치에 있을 때에는, X 축 간섭계 (66) 는 물론, X 축 간섭계 (46) 로부터의 간섭계 빔도 반사면 (117X) 에 닿지 않았다. 이 상태로부터 계측 스테이지 (MST) 를 -Y 방향으로 이동할 때, 주제어 장치 (20) 는, X 축 간섭계 (46) 로부터의 간섭계 빔이, 반사면 (117X) 에 닿지 않은 상태로부터 반사면 (117X) 에 닿기 시작한 직후의 시점에 있어서, 그때까지 제어에 사용되지 않았던 X 축 간섭계 (46) 를 리셋하고, 그 이후에는, X 축 간섭계 (46) 를 사용하여, 계측 테이블 (MTB; 계측 스테이지 (MST)) 의 X 위치를 관리한다. 그 밖의 간섭계는, 인접하는 간섭계의 출력 (계측값) 을 사용한 리셋 (연결 리셋) 동작을 실시할 수 있도록 되어 있다. 즉, 각 간섭계의 리셋 직전의 시점에 있어서, 인접하는 2 개의 간섭계로부터의 측장 빔이 반사면에 동시에 조사되게 된 시점에 있어서, 그 직전까지 웨이퍼 스테이지 (WST) 또는 계측 스테이지 (MST) 의 위치 제어에 사용되었던 X 축 간섭계 또는 Y 축 간섭계의 계측값을 그대로 이어받아 리셋 대상의 간섭계를 리셋 (프리셋 (preset)) 함으로써, 문제없이 그 리셋 후의 간섭계를 사용하여, 웨이퍼 스테이지 (WST) 또는 계측 스테이지 (MST) 의 위치를 관리할 수 있다. 물론, 계측 테이블 (MTB) 이 대기 위치에 있을 때, 계측 테이블 (MTB) 의 X 축 방향의 위치를 계측하는 간섭계를 추가해도 된다.
또한 본 실시 형태의 노광 장치 (100) 에서는, 웨이퍼 교환 위치 (로딩 포지션) 가, 웨이퍼 스테이지 (WST) 의 이동 가능 범위인 +X 측 단부 근방 또한 -Y 측 단부 근방의 위치로 정해져 있고, 이 웨이퍼 교환 위치에 웨이퍼 스테이지 (WST) 가 있을 때, 레티클 얼라인먼트 및 얼라인먼트계 (ALG) 의 베이스 라인 계측이 행해지도록 되어 있다. 웨이퍼 교환 위치에 웨이퍼 스테이지 (WST) 가 있을 때에는, Y 축 간섭계 (18) 로부터의 간섭계 빔 (측장 빔) 이, 계측 테이블 (MTB) 의 반사면 (117Y2) 에 닿아 있기 때문에, 이에 앞서, 주제어 장치 (20) 는, 그 Y 축 간섭계 (18) 의 계측값을 리셋하고 있다. 그리고, 주제어 장치 (20) 는, 그 리셋 후의 Y 축 간섭계 (18) 와 X 축 간섭계 (46) 를 사용하여 계측 테이블 (MTB) 의 위치를 관리하면서, 레티클 얼라인먼트 및 얼라인먼트계 (ALG) 의 베이스 라인 계측의 일련의 동작을 개시한다. 이것은, 웨이퍼 얼라인먼트시 및 노광시의 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치 계측에 사용되는 Y 축 간섭계 (18) 를 사용하여 계측 테이블 (MTB) 의 위치를 관리하면서 계측 테이블 (MTB) 상의 상기 기술한 기준 마크 영역 (FM) 을 사용하여 베이스 라인을 계측하고, 그 계측한 베이스 라인을 사용하여 노광시의 웨이퍼 테이블 (WTB) 의 위치 제어를 실시함으로써, 제어에 사용되는 간섭계의 차이에 기인하는 위치 오차가 발생하지 않도록 하기 위한 것이다.
본 실시 형태에서는, 레티클 얼라인먼트시에는, 주제어 장치 (20) 에 의해, 액침 기구 (132) 의 액체 공급 장치 (88) 및 액체 회수 장치 (92) 의 각 밸브의 개폐 제어가 상기 기술한 바와 같이 행해지고, 투영 광학계 (PL) 의 선단 렌즈 (91) 와 계측 테이블 (MTB) 의 기준 마크 영역 (FM) 사이에 물 (Lq) 이 항상 채워진다. 그리고, 레티클 (R) 상 중 적어도 한 쌍의 레티클 얼라인먼트 마크와 대응하는 기준 마크 영역 (FM) 상 중 적어도 한 쌍의 제 1 기준 마크와의 상대 위치 (제 1 상대 위치) 가, 주제어 장치 (20) 에 의해 레티클 얼라인먼트 검출계 (RAa, RAb) 를 사용하여 검출되고, 그 후 계측 테이블 (MTB) 이 베이스 라인의 설계값에 기초하여 기준 마크 영역 (FM) 이 얼라인먼트계 (ALG) 의 바로 아래에 위치하는 위치까지 이동되고, 기준 마크 영역 (FM) 상에 물 (Lq) 이 존재하지 않는 상태에서, 기준 마크 영역 (FM) 상의 제 2 기준 마크가 얼라인먼트계 (ALG) 를 사용하여 검출되고, 그 얼라인먼트계 (ALG) 의 검출 중심과 제 2 기준 마크와의 상대 위치 (제 2 상대 위치) 가 검출된다. 그리고, 주제어 장치 (20) 에서는, 상기 제 1 상대 위치와 제 2 상대 위치와 베이스 라인의 설계값과 한 쌍의 제 1 기준 마크와 제 2 기준 마크의 위치 관계에 기초하여, 얼라인먼트계 (ALG) 의 베이스 라인을 산출한다.
본 실시 형태에서는, 3 개의 Y 축 간섭계 (16, 18, 78) 와, 3 개의 X 축 간섭계 (46, 66, 76) 에 의해 도 6 의 간섭계 시스템 (118) 이 구성되어 있지만, 이러한 간섭계 시스템의 구성은 일례에 지나지 않고, 본 발명이 이것에 한정되지 않는 것은 물론이다.
도 1 로 되돌아와, 노광 장치 (100) 에는, 웨이퍼 스테이지 (WST) 에 웨이퍼를 반송 (搬送) 하는 반송 아암 (70) 이 설치되어 있다. 이 반송 아암 (70) 은, 웨이퍼의 중심 위치 및 회전각을 검출하는 미도시된 프리얼라인먼트 장치와 웨이퍼 교환 위치에 있는 웨이퍼 스테이지 (WST) 사이에서, 웨이퍼를 반송하는 것이면 되고, 슬라이드 방식의 아암을 사용해도 되고, 수평 다관절형의 로봇 아암 등을 사용해도 된다. 본 실시 형태에서는, 이 반송 아암 (70), 미도시된 프리얼라인먼트 장치 및 그 프리얼라인먼트 장치에 대하여 외부로부터 웨이퍼를 반송하는 반송부 등을 포함하여, 웨이퍼 스테이지 (WST) 에 웨이퍼를 반송하는 반송계 (72; 도 6 참조) 가 구성되어 있다.
도 6 에는, 노광 장치 (100) 의 제어계의 주요한 구성이 나타나 있다. 이 제어계는, 장치 전체를 통괄적으로 제어하는 마이크로 컴퓨터 (또는 워크스테이션) 로 이루어지는 주제어 장치 (20) 를 중심으로 하여 구성되어 있다.
그런데, 웨이퍼 테이블 (WTB), 계측 테이블 (MTB) 의 XY 면 내의 위치는, 상기 기술한 바와 같이, 간섭계 시스템 (118) 의 각 간섭계에서 0.5 ~ 1㎚ 정도의 분해능으로 계측 가능하지만, 본 실시 형태의 발액 플레이트 (50) 에는 위치 계측의 기준이 되는 마크 등이 존재하지 않기 때문에, 예를 들어, 모든 Y 축 간섭계 또는 모든 X 축 간섭계로부터의 간섭계 빔이 웨이퍼 테이블 (WTB) 의 반사면에 닿지 않도록 된 후에, 적어도 1 개의 간섭계를 리셋 후에 웨이퍼 테이블 (WTB) 을 기준 상태 (또는 마지막 간섭계 빔이 끊어지기 전의 상태) 로 복귀시키는 것이 곤란하다. 또, 본 실시 형태에 있어서는, 발액 플레이트 (50) 의 주위가 웨이퍼 테이블 (WTB; 반사면) 보다 외측으로 돌출되어 있기 때문에, 발액 플레이트 (50) 의 외주 에지가 다른 부재에 충돌하지 않도록 웨이퍼 테이블 (WTB) 의 위치를 제어하기가 곤란하다. 특히, 발액 플레이트 (50) 가 교환된 직후 등에도 웨이퍼 테이블 (WTB) 의 위치를 제어하기가 곤란해진다. 이러한 점을 감안하여, 본 실시 형태의 노광 장치 (100) 에서는, 주제어 장치 (20) 에 의해, 다음과 같이 하여 발액 플레이트 (50) 의 위치가 계측되고, 이 계측 결과에 기초하여, 웨이퍼 테이블 (WTB) 의 위치가 관리된다.
도 7 에는, 일례로서 발액 플레이트 (50) 의 교환 후에 실행되는, 웨이퍼 테이블 (WTB) 의 기준 상태로의 복귀 동작시의, 주제어 장치 (20; 내부의 CPU) 의 처리 알고리즘을 나타내는 플로우 차트가 나타나 있다. 이 처리 알고리즘이 개시되는 것은, 간섭계 (18) 의 계측값이 리셋된 직후에 도 8 에 나타나는 위치에 웨이퍼 스테이지 (WST) 가 이동하였을 때인 것으로 한다. 이때, 웨이퍼 테이블 (WTB) 의 위치는, 간섭계 (18, 76) 의 계측값에 기초하여, 주제어 장치 (20) 에 의해 관리되고 있다. 또한, 웨이퍼 테이블 (WTB) 그 자체의 θz 방향의 회전 오차는 무시할 수 있는 정도로 작은 것으로 한다. 또, 상기 기술한 바와 같이, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 등이 이동할 때에, 상기 기술한 간섭계의 계측값의 연결 프리셋이 실행되지만, 이하의 처리 알고리즘의 설명에서는, 그 설명의 간략화를 위하여, 간섭계의 계측값의 연결 프리셋에 관한 설명 등은 생략 하는 것으로 하고, 간섭계 시스템 (118) 의 측장축으로 규정되는 스테이지 좌표계 (X, Y) 상에서 웨이퍼 스테이지 (WST; 웨이퍼 테이블 (WTB)) 의 위치가 관리되는 것으로 한다. 연결 프리셋에서 인접하는 X 축 간섭계의 계측값, Y 축 간섭계의 계측값을 순차적으로 이어받고 있기 때문에, 이와 같이 가정해도 특별히 문제는 없을 것으로 생각된다.
먼저, 도 7 의 단계 202 에 있어서, 발액 플레이트 (50) 의 외주 에지의 계측점의 번호를 나타내는 제 1 카운터의 카운트값 n 을 1 로 초기화한다 (n←1). 여기서, 계측 대상의 영역으로서는, N 개, 여기에서는 4 개의 영역, 즉, 발액 플레이트 (50) 상하 좌우의 각 에지 중앙의 점이 정해져 있는 것으로 한다.
다음의 단계 204 에서는, 간섭계 시스템 (118) 을 사용하여 웨이퍼 테이블 (WTB) 의 위치를 계측하면서, 발액 플레이트 (50) 의 외주 에지 상의 제 n 번째 (여기에서는 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 의 바로 아래에 위치 결정하도록 웨이퍼 스테이지 (WST) 를 이동한다.
도 9(A) 에는, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 상의 발액 플레이트 (50) 의 외주 에지 상의 제 1 번째의 계측점이 얼라인먼트계 (ALG) 의 촬상 시야에 위치 결정되었을 때의 상태가 나타나 있다. 또한, 도 9(A) ~ 도 9(D) 에 있어서, 부호 (ALG') 는, 얼라인먼트계 (ALG) 의 촬상 시야를 나타낸다.
도 7 로 되돌아와, 단계 206 에서는, 그 외주 에지 상의 제 n 번째 (여기에서는, 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 를 사용하여 촬상하고, 그 촬상 데이터 (촬상 신호) 를 입력함과 함께, 그때의 간섭계 시스템 (118) 의 계측값을 입력하여, 미도시된 메모리 내에 양자를 대응시켜서 기억한다.
다음의 단계 208 에서는, 제 1 카운터의 카운트값 n 이 N (여기에서는 N=4) 에 도달하였는지의 여부를 판단한다. 이때, n=1 이기 때문에, 여기서의 판단은 부정되고, 단계 210 으로 이행하여 제 1 카운터의 카운트값 n 에 1 을 증가시킨 후, 단계 204 로 되돌아온다.
이후, 단계 208 에 있어서의 판단이 긍정될 때까지, 단계 204 → 206 → 208 → 210 의 루프의 처리를 반복한다. 이로써, 도 9(A) 의 위치로부터, 도 9(B), 도 9(C), 도 9(D) 에 각각 나타나는 위치에 웨이퍼 테이블 (WTB) 이 순차 위치 결정되고, 각 위치 결정 위치에서 발액 플레이트 (50) 의 외주 에지가 얼라인먼트계 (ALG) 를 사용하여 촬상되고, 그 촬상 데이터와 대응하는 웨이퍼 테이블 (WTB) 의 위치 정보 (간섭계 시스템 (118) 의 계측값) 가 메모리 내에 저장된다.
그리고, 도 9(D) 에 나타내는 발액 플레이트 (50) 의 -X 측의 에지의 촬상 데이터 등의 입력이 종료되면, 단계 208 에 있어서의 판단이 긍정되고, 단계 212 로 진행한다.
단계 212 에서는, 메모리 내에 기억되어 있는 각 에지의 촬상 데이터 (촬상 결과) 와 대응하는 간섭계 시스템 (118) 의 계측 결과에 기초하여, 화상 처리의 수법에 의해 발액 플레이트 (50) 의 제 1 번째 ~ N 번째 (여기에서는 4 번째) 의 외주 에지의 계측점의 위치 정보를 취득한다.
다음의 단계 214 에서는, 얻어진 N 지점 (여기에서는 4 지점) 의 외주 에지의 위치 정보에 기초하여, 발액 플레이트 (50) 의 위치 정보, 예를 들어, 발액 플레이트 (50) 의 소정의 기준점 (예를 들어, 중심점) 의 스테이지 좌표계 (X, Y) 상에 있어서의 위치 정보 등을 산출한 후, 필요에 따라 단계 216 의 처리를 행한 후, 도 7 의 플로우 차트에서 보여지는 처리를 종료한다.
이렇게 하여 계측된 발액 플레이트 (50) 의 외주 에지의 위치 정보 또는 발액 플레이트 (50) 의 위치 정보에 기초하여, 그 후의 웨이퍼 테이블 (WTB) 의 위치 관리가, 주제어 장치 (20) 에 의해 행해진다. 예를 들어, 주제어 장치 (20) 는, 웨이퍼 테이블 (WTB) 에 탑재된 발액 플레이트 (50) 의 외주 에지가 계측 스테이지 (WST) 와 충돌하지 않도록, 발액 플레이트 (50) 의 외주 에지의 위치 정보 또는 발액 플레이트 (50) 의 위치 정보에 기초하여, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치와 계측 스테이지 (MST) 의 위치 중 적어도 일방을 제어한다.
여기서, 예를 들어, 상기 단계 216 의 처리를 행하는 경우에는, 웨이퍼 홀더 일부의 위치 정보를 상기 기술한 발액 플레이트 (50) 의 위치 정보와 동일하게 하여 취득함과 함께, 그 위치 정보와 상기 단계 212 또는 214 에서 취득한 발액 플레이트 (50) 의 위치 정보에 기초하여, 웨이퍼 홀더 (WH; 웨이퍼 테이블 (WTB)) 와 발액 플레이트의 위치 관계를 산출한다.
여기서, 예를 들어, 발액 플레이트 (50) 의 θz 회전도 계측하는 경우에는, 발액 플레이트 (50) 의 외주 에지의 계측점을, 적어도 1 개의 에지 상에 복수 지점 (즉, 합계로 5 지점 이상) 미리 설정하여, 상기 기술한 도 7 과 동일한 플로우 차트에 따라 처리하면 된다. 도 10(A) 에는, 발액 플레이트 (50) 의 +Y 측 단부의 에지 상의 복수 지점의 계측점의 위치 정보를 순차 계측할 때의 웨이퍼 테이블 (WTB) 의 이동 상태가 나타나 있다. 그리고, 이 경우에는, 상기 기술한 단계 214 에 있어서, 발액 플레이트 (50) 의 위치 정보로서 상기 기준점의 위치 정보에 부가하여, 그 복수 지점의 계측 대상의 영역이 설정된 에지 상의 적어도 2 점의 위치 정보에 기초하여 그 에지의 θz 회전 (즉, 발액 플레이트 (50) 의 스테이지 좌표계에 대한 회전각) 도 산출하는 것으로 하면 된다.
이 경우에 있어서, 발액 플레이트 (50) 의 4 변의 에지 각각에 복수의 계측점을 설정하고, 각 에지의 θz 회전을 구해도 된다. 예를 들어, 도 10(B) 에 모식적으로 나타내는 바와 같이, 4 변의 에지의 각각에 3 점의 계측점을 설정하고, 구해진 각 에지의 θz 회전의 평균값을 산출해도 된다. 또한, 실제로는 얼라인먼트계 (ALG) 의 촬상 시야 (ALG') 가 고정되어 웨이퍼 테이블 (WTB) 이 이동하는 것이지만, 도 10(B) 에서는 편의상 고정된 웨이퍼 테이블 (WTB) 에 대하여 촬상 시야 (ALG') 가 이동하는 것처럼 도시되어 있다.
또한, 본 실시 형태에 있어서는, 발액 플레이트 (50) 의 거의 중심에 대하여 대칭되는 2 지점을 포함하는 복수 지점에서 발액 플레이트 (50) 의 외주 에지를 촬상하도록 하고 있지만, 촬상 지점은 이들에 한정되지 않고, 발액 플레이트 (50) 의 거의 중심에 대하여 대칭인 2 지점이 아니어도 된다. 예를 들어, 발액 플레이트 (50) 의 1 변의 외주 에지의 1 지점과, 그 1 변과 상대하는 다른 변의 외주 에지의 1 지점을 포함하는 복수 지점에서 외주 에지를 촬상하도록 해도 된다. 이 경우, 적어도 대립되는 2 변의 외주 에지의 거의 대칭인 화상을 취득할 수 있기 때문에, 발액 플레이트 (50) 의 위치 정보 (예를 들어, 중심 위치) 를 산출할 수 있다.
다음에, 본 실시 형태의 노광 장치 (100) 에서 행해지는, 웨이퍼 테이블 (WTB) 상의 발액 플레이트 교환으로부터 다음에 발액 플레이트의 교환이 행해질 때까지의 일련의 처리에 대하여, 주제어 장치 (20; 내부의 CPU) 의 처리 알고리즘을 나타내는 도 11, 도 12 의 플로우 차트에 기초하여, 또한 적절하게 다른 도면을 참조하면서 설명한다. 이하의 처리 알고리즘의 설명에서는, 상기 기술한 간섭계의 계측값의 연결 프리셋에 관한 설명 등은 생략하고, 간섭계 시스템 (118) 의 측장축으로 규정되는 스테이지 좌표계 (X, Y) 상에서 웨이퍼 스테이지 (WST; 웨이퍼 테이블 (WTB)) 의 위치가 관리되는 것으로 한다.
먼저, 도 11 의 단계 222 에 있어서, 발액 플레이트의 개구의 내주 에지의 위치 정보를 계측하는 서브 루틴의 처리를 실행한다.
이 단계 222 의 서브 루틴에서는, 먼저, 도 13 의 단계 302 에 있어서, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 계측점의 차례를 나타내는 제 2 카운터의 카운트값 m 을 1 로 초기화한다 (m←1). 여기서, 계측점으로서는, M 개, 여기에서는 8 개, 즉, 발액 플레이트 (50) 의 개구 (50a) 의 중심으로부터 상하 좌우 방향을 포함하는 중심각 45°의 8 방향에 방사 형상으로 연장된 8 개의 라인 각각과 내주 에지의 교점인 8 점이 정해져 있는 것으로 한다.
다음의 단계 304 에서는, 간섭계 시스템 (118) 을 사용하여 웨이퍼 테이블 (WTB) 의 위치를 계측하면서, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지 상의 제 m 번째 (여기에서는 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 의 촬상 시야의 바로 아래에 위치 결정하기 위하여, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 을 이동한다.
도 15(A) 에는, 제 1 번째의 계측점이 얼라인먼트계 (ALG) 의 촬상 시야에 위치 결정되었을 때의 상태가 나타나 있다. 또한, 도 15(A) ~ 도 15(D), 도 16(A) ~ 도 16(D) 에 있어서, 부호 (ALG') 는, 얼라인먼트계 (ALG) 의 촬상 시야를 나타낸다.
다음의 단계 306 에서는, 개구 (50a) 의 내주 에지 상의 제 m 번째 (여기에서는, 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 를 사용하여 촬상하고, 그 촬상 데이터 (촬상 신호) 를 입력함과 함께, 그때의 간섭계 시스템 (118) 의 계측값을 입력하여, 미도시된 메모리 내에 양자를 대응시켜 기억한다.
다음의 단계 308 에서는, 제 2 카운터의 카운트값 m 이 M (여기에서는 M=8) 에 달하였는지의 여부를 판단한다. 이때, m=1 이기 때문에, 여기서의 판단은 부정되고, 단계 310 으로 이행하여 제 2 카운터의 카운트값 m 에 1 을 증가시킨 후, 단계 304 로 되돌아온다.
이후, 단계 308 에 있어서의 판단이 긍정될 때까지, 단계 304 → 306 → 308 → 310 의 루프의 처리를 반복한다. 이로써, 도 15(A) 의 위치로부터, 도 15(B), 도 15(C), 도 15(D), 도 16(A), 도 16(B), 도 16(C), 도 16(D) 각각에 보여지는 위치에 웨이퍼 테이블 (WTB) 이 순차 위치 결정되고, 각 위치 결정 위치에서 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지가 얼라인먼트계 (ALG) 를 사용하여 촬상되고, 그 촬상 데이터와 대응하는 웨이퍼 테이블 (WTB) 의 위치 정보 (간섭계 시스템 (118) 의 계측값) 가 메모리 내에 저장된다.
그리고, 도 16(D) 에 나타내는 개구 (50a) 의 내주 에지 상의 제 8 번째의 계측점의 촬상 데이터의 입력이 종료되면, 단계 308 에 있어서의 판단이 긍정되고, 단계 314 로 진행한다. 이 시점에 있어서, 도 17(A) 에 모식적으로 나타내는 바와 같이, 개구 (50a) 의 내주 에지 상의 8 지점의 촬상 데이터 및 대응하는 웨이퍼 테이블 (WTB) 의 위치 정보의 데이터가 메모리 내에 저장되어 있다. 또한, 실제로는 얼라인먼트계 (ALG) 의 촬상 시야 (ALG') 가 고정되어 웨이퍼 테이블 (WTB) 이 이동하는 것이지만, 도 17(A) 에서는 편의상 고정된 웨이퍼 테이블 (WTB) 에 대하여 촬상 시야 (ALG') 가 이동하는 것처럼 나타나 있다.
단계 314 에서는, 메모리 내에 기억되어 있는 개구 (50a) 의 내주 에지 상의 M 지점 (여기에서는 8 지점) 의 촬상 데이터 (촬상 결과) 와 대응하는 간섭계 시스템 (118) 의 계측 결과에 기초하여, 화상 처리의 수법에 의해 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지 상의 제 1 번째 ~ 제 m 번째 (여기에서는 제 8 번째) 의 계측점의 위치 정보를 취득한 후, 이 서브 루틴의 처리를 종료하고, 메인 루틴의 단계 224 (도 11 참조) 로 리턴한다.
단계 224 에서는, 얻어진 M 지점 (여기에서는 8 지점) 의 개구 (50a) 의 내주 에지의 위치 정보에 기초하여, 예를 들어, 최소 제곱법 등으로, 발액 플레이트 (50) 의 개구 (50a) 의 위치 정보, 예를 들어, 개구 (50a) 의 소정의 기준점 (예를 들어, 중심점) 의 스테이지 좌표계 (X, Y) 상에 있어서의 위치 정보를 산출 (즉, 내주 에지의 위치 정보에 기초하여, 간섭계 시스템 (118) 에 의해 규정되는 스테이지 좌표계와 개구 (50a) 의 위치 관계를 결정) 한 후, 단계 226 으로 진행한다.
단계 226 에서는, 상기 M 지점 (여기에서는 8 지점) 의 개구 (50a) 의 내주 에지의 위치 정보에 기초하여, 발액 플레이트 (50) 의 개구 (50a) 의 형상 정보 (이 형상 정보에는 적어도 개구 (50a) 의 진원도가 포함된다) 를 소정의 연산에 의해 산출한다. 여기서, 진원도란, 개구 (50a) 의 이상적인 진원으로부터의 편차를 나타내는 평가량으로서, 개구 (50a) 의 윤곽의 개구 (50a) 의 중심에 대한 최대 반경과 최소 반경의 차이로서 정의할 수 있다. 여기서, 이 진원도의 기준이 되는 원의 중심은, 다음의 a. ~ d. 중 어느 방법으로 산출된 중심이어도 된다.
a. 최소 영역 중심법 (MZC): 개구의 윤곽을 2 개의 동심원을 사이에 두었을 때, 동심원의 반경차가 최소가 되는 중심, b. 최소 제곱 중심법 (LSC): 최소 제곱 평균원 (기준원으로부터의 편차의 제곱화가 최소가 되는 원) 의 중심, c. 최소 외접원 중심법 (MCC): 개구의 윤곽에 최소로 외접하는 원의 중심, d. 최대 내접원 중심법 (MIC): 개구의 윤곽에 최대로 내접하는 원의 중심.
다음의 단계 228 에서는, 상기 단계 226 에서 산출한 진원도가 제 1 임계값 미만인지의 여부를 판단한다. 여기서, 제 1 임계값은, 발액 플레이트로서 사용이 허용되는 한계의 값이 정해져 있다. 따라서, 이 단계 228 에 있어서의 판단이 부정된 경우에는, 그 발액 플레이트 (50) 는, 이 노광 장치에서는 사용할 수 없을 정도로 진원도가 불충분한 개구가 형성된 플레이트이기 때문에, 도 12 의 단계 264 로 이행하여, 예를 들어, 미도시된 디스플레이에 「발액 플레이트 불량 (교환 요구)」 등을 표시하거나 하여, 발액 플레이트의 불량을 오퍼레이터에게 통지한 후, 본 루틴의 처리를 종료한다. 그 후, 이 통지 (표시) 를 확인함으로써, 오퍼레이터는, 노광 장치 (100) 의 운전을 정지하여, 발액 플레이트 (50) 의 교환을 매뉴얼에 의해 실행한다. 또한, 발액 플레이트 (50) 의 교환에 사용되는 로봇 등을 구비하고 있는 경우에는, 주제어 장치 (20) 는 교환 시기를 디스플레이에 표시함과 함께 장치의 운전을 정지하고, 그 로봇 등을 사용하여 발액 플레이트의 교환을 행하도록 하는 것도 가능하다.
한편, 상기 단계 228 에 있어서의 판단이 긍정된 경우에는, 다음의 단계 230 으로 진행하여 상기 단계 226 에서 산출한 진원도가 제 2 임계값 미만인지의 여부를 판단한다. 그리고, 이 판단이 부정된 경우에는, 단계 234 로 이행하여 반송계 (72) 의 반송 아암 (70) 과 상기 기술한 센터 업 (34a ~ 34c) 을 사용하여, 발액 플레이트 (50) 의 개구 (50a) 내부의 웨이퍼 홀더 (WH) 상에 공구 웨이퍼 (W1; 도 17(B) 참조) 를 로딩한 후, 단계 236 의 개구 내의 물체의 외주 에지의 위치 정보의 계측을 행하는 서브 루틴으로 이행한다. 여기서, 공구 웨이퍼 (W1) 는, 디바이스 제조에 사용되는 피처리 물체인 웨이퍼 (W) 에 비하여 한 단계 작은 직경 (외경) 을 갖는 공구 웨이퍼이다. 상기와 반대로, 단계 230 에 있어서의 판단이 긍정된 경우에는, 단계 232 로 진행하여 반송계 (72) 의 반송 아암 (70) 과 상기 기술한 센터 업 (34a ~ 34c) 을 사용하여, 발액 플레이트 (50) 의 개구 (50a) 내부의 웨이퍼 홀더 (WH) 상에 그 웨이퍼 (W) 를 로딩한 후, 상기 단계 236 의 서브 루틴으로 이행한다. 여기서, 이 로딩시에는, 먼저 단계 222 에서 취득한 개구 (50a) 의 내주 에지의 위치 정보 또는 단계 224 에서 취득한 개구 (50a) 의 위치 정보에 기초하여, 웨이퍼 테이블 (WTB) 및 반송 아암 (70) 중 적어도 일방의 위치를 제어한다.
*이와 같이, 제 2 임계값은, 공구 웨이퍼 (W1), 웨이퍼 (W) 중 어느 것을 선택할 것인가를 특정하기 위하여 정해져 있다. 개구 (50a) 의 진원도가 높은 경우에는, 그 개구 (50a) 와 직경이 약간만 상이한, 디바이스 제조용 웨이퍼 (W) 를 개구 (50a) 내부의 웨이퍼 홀더 (WH) 상에 문제없이 로딩할 수 있지만, 개구 (50a) 의 진원도가 낮은 경우에는, 그 개구 (50a) 의 내부의 웨이퍼 홀더 (WH) 상에 웨이퍼 (W) 를 로딩하면, 그 웨이퍼 (W) 와 개구 (50a) 의 내주 에지가 접촉할 가능성이 높아, 로딩이 곤란해질 우려가 있다. 그래서, 후자의 경우에는, 웨이퍼 (W) 보다 작은 직경을 가진 공구 웨이퍼 (W1) 를 웨이퍼 홀더 (WH) 상에 로딩하는 것으로 하고 있다.
단계 236 의 서브 루틴에서는, 먼저 도 14 의 단계 322 에 있어서, 개구 (50a) 내의 물체 (공구 웨이퍼 (W1) 또는 웨이퍼 (W), 이하에서는, 적절하게, 대표적으로 공구 웨이퍼 (W1) 인 것으로 한다) 의 외주 에지의 계측점의 번호를 나타내는 제 3 카운터의 카운트값 k 를 1 로 초기화한다 (k+1). 여기서, 계측점으로서는, K 개, 여기에서는 8 개, 즉, 공구 웨이퍼 (W1) 의 중심으로부터 상하 좌우 방향을 포함하는 중심각 45°의 8 방향에 방사 형상으로 연장된 8 개의 라인의 각각과, 공구 웨이퍼 (W1) 의 외주 에지의 교점인 8 점이 정해져 있는 것으로 한다.
다음의 단계 324 에서는, 간섭계 시스템 (118) 을 사용하여 웨이퍼 테이블 (WTB) 의 위치를 계측하면서, 발액 플레이트 (50) 의 개구 (50a) 내의 공구 웨이퍼 (W1) 의 외주 에지 상의 제 K 번째 (여기에서는 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 의 촬상 시야의 바로 아래에 위치 결정하기 위하여, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 을 이동한다.
다음의 단계 326 에서는, 공구 웨이퍼 (W1) 의 외주 에지 상의 제 k 번째 (여기에서는, 제 1 번째) 의 계측점을 얼라인먼트계 (ALG) 를 사용하여 촬상하고, 그 촬상 데이터 (촬상 신호) 를 입력함과 함께, 그때의 간섭계 시스템 (118) 의 계측값을 입력하고, 미도시된 메모리 내에 양자를 대응시켜 기억한다.
다음의 단계 328 에서는, 제 3 카운터의 카운트값 k 가 K (여기에서는 K=8) 에 달하였는지의 여부를 판단한다. 이때, k=1 이기 때문에, 여기서의 판단은 부정되고, 단계 330 으로 이행하여 제 3 카운터의 카운트값 k 에 1 을 증가시킨 후, 단계 324 로 되돌아온다.
이후, 단계 328 에 있어서의 판단이 긍정될 때까지, 단계 324 → 326 → 328 → 330 의 루프의 처리를 반복한다. 이로써, 도 17(B) 에 나타내는 바와 같이, 8 개의 계측점 각각이 얼라인먼트계 (ALG) 의 촬상 시야 (ALG') 내에 위치하는 위치에, 웨이퍼 테이블 (WTB) 이 순차로 위치 결정되고, 각 위치 결정 위치에서 공구 웨이퍼 (W1) 의 외주 에지가 얼라인먼트계 (ALG) 를 사용하여 촬상되며, 그 촬상 데이터와 대응하는 웨이퍼 테이블 (WTB) 의 위치 정보 (간섭계 시스템 (118) 의 계측값) 가 메모리 내에 저장된다.
그리고, 외주 에지 상의 제 8 번째의 계측점의 촬상 데이터 입력이 종료되면, 단계 328 에 있어서의 판단이 긍정되고, 단계 332 로 진행한다.
단계 332 에서는, 메모리 내에 기억되어 있는 개구 (50a) 내의 물체 (공구 웨이퍼 (W1; 또는 웨이퍼 (W))) 의 외주 에지 상의 K 지점 (여기에서는 8 지점) 의 촬상 데이터 (촬상 결과) 와 대응하는 간섭계 시스템 (118) 의 계측 결과에 기초하여, 화상 처리의 수법에 의해 개구 (50a) 내의 물체의 외주 에지 상의 제 1 번째 ~ 제 K 번째 (여기에서는 제 8 번째) 의 계측점의 위치 정보를 취득한 후, 이 서브 루틴의 처리를 종료하여, 메인 루틴의 단계 240 (도 12 참조) 으로 리턴한다.
단계 240 에서는, 개구 (50a) 의 내주 에지와 개구 (50a) 내의 물체의 위치 관계를 취득한다. 구체적으로는, 개구 (50a) 내의 물체의 외주 에지 상의 상기 K 지점 (여기에서는 8 지점) 의 위치 정보에 기초하여, 예를 들어, 최소 제곱법 등으로 산출한 물체의 위치 정보 (예를 들어, 그 물체 중심의 스테이지 좌표계 (X, Y) 상에 있어서의 위치 정보) 와, 상기 기술한 단계 224 에서 얻어진 발액 플레이트 (50) 의 개구 (50a) 의 위치 정보 (예를 들어, 개구 (50a) 의 중심점의 스테이지 좌표계 (X, Y) 상에 있어서의 위치 정보) 에 기초하여, 개구 (50a) 의 내주 에지와 개구 (50a) 내의 물체의 위치 관계, 예를 들어, 개구 (50a) 중심과 물체 (공구 웨이퍼 (W1) 또는 웨이퍼 (W)) 의 중심과의 어긋남 정보를 연산에 의해 취득한다.
다음의 단계 242 에서는, 웨이퍼 스테이지 (WST) 를 웨이퍼 교환 위치에 이동시키고, 반송계 (72) 의 반송 아암 (70) 과 센터 업 (34a ~ 34c) 을 사용하여 웨이퍼 홀더 (WH) 상으로부터 물체 (공구 웨이퍼 (W1) 또는 웨이퍼 (W)) 를 언로딩한다.
다음의 단계 244 에서 1 로트 (소정 매수의 웨이퍼) 의 노광이 개시된다.
단계 244 에서는, 반송계 (72) 의 일부를 구성하는 미도시된 프리얼라인먼트 장치에서, 프리얼라인먼트 (중심 산출 및 회전 조정) 가 행해진 1 매째의 피노광 기판으로서의 웨이퍼 (W) 를 반송 아암 (70) 을 사용하여, 웨이퍼 교환 위치에 있는 웨이퍼 스테이지 (WST) 상방까지 반송하고, 상기 단계 240 에서 취득한 개구 (50a) 의 내주 에지와 개구 (50a) 내의 물체와의 위치 관계의 정보, 예를 들어, 상기 기술한 어긋남 정보를 고려하여, 반송 아암 (70) 과 웨이퍼 스테이지 (WST) 의 위치 관계를 조정하여 웨이퍼 (W) 를 반송 아암 (70) 으로부터 웨이퍼 테이블 (WTB) 상에 설치된 웨이퍼 홀더 (WH) 상에 로딩한다. 여기서, 반송 아암 (70) 과 웨이퍼 스테이지 (WST) 의 위치 관계의 조정은, 반송 아암 (70) 과 웨이퍼 스테이지 (WST) 의 양방 또는 일방의 위치를 조정함으로써 실현된다. 이와 같이, 웨이퍼 (W) 를 로딩할 때의 반송 아암 (70) 과 웨이퍼 스테이지 (WST) 의 위치 관계를 조정한 후, 웨이퍼 (W) 를 로딩함으로써, 통상은, 웨이퍼 (W) 의 외주 에지와 발액 플레이트 (50a) 의 내주 에지 (웨이퍼 테이블 (WTB) 상면의 오목부 (140) 의 내주 에지) 가 접촉하지 않도록, 또한 웨이퍼 (W) 의 외주 에지와 개구 (50a) 의 내주 에지의 간격이 소정값, 예를 들어, 0.3㎜ 정도보다 작아지도록, 웨이퍼 (W) 를 웨이퍼 테이블 (WTB) 상방의 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 내부 (웨이퍼 테이블 (WTB) 상면의 오목부의 내부) 의 웨이퍼 홀더 (WH) 상으로 로딩할 수 있게 된다.
다음의 단계 246 에서는, 웨이퍼 스테이지 (WST) 를 얼라인먼트계 (ALG) 의 하방으로 이동한다.
다음의 단계 248 에서는, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지와 웨이퍼 (W; 의 외주 에지) 의 간격을, 웨이퍼 (W) 의 전체 둘레에 걸쳐, 얼라인먼트계 (ALG) 를 사용하여, 상기 기술한 웨이퍼 (W) 등의 외주 에지의 위치 정보의 계측과 동일한 순서로 실행한다. 이때, 특히, 상기 기술한 웨이퍼의 외주 에지나 개구의 내주 에지를 계측하였을 때의 웨이퍼 중심으로부터의 8 방향과는 상이한 방향의 계측점을 적어도 복수 세트 설정하는 것이 중요하다.
그리고, 다음의 단계 250 에서는, 상기 단계 248 의 계측 결과에 기초하여, 상기 간격이 웨이퍼의 전체 둘레에 걸쳐 허용 범위 내인지를 판단한다. 통상은, 앞에서 설명한 바와 같이, 웨이퍼 (W) 의 외주 에지와 발액 플레이트 (50a) 의 내주 에지 (웨이퍼 테이블 (WTB) 상면의 오목부의 내주 에지) 가 접촉하지 않도록, 또한 웨이퍼 (W) 의 외주 에지와 개구 (50a) 의 내주 에지의 간격이 예를 들어, 0.3㎜ 보다 작아지도록, 웨이퍼 (W) 가 웨이퍼 홀더 (WH) 상에 로딩되기 때문에, 이 단계 250 의 판단은 긍정되고, 다음의 단계 252 로 진행한다.
한편, 웨이퍼 (W) 의 외경 오차 등에 기인하여 단계 248 의 계측 결과에 기초하여 단계 250 의 판단을 행한 결과, 이 판단 결과가 부정 목표가 되는 경우가 있다. 따라서, 이 단계 250 의 판단이 부정된 경우에는, 상기 기술한 단계 242 로 이행하여, 1 매째의 웨이퍼 (W) 를 웨이퍼 홀더 상으로부터 언로딩한다. 그리고, 2 매째의 웨이퍼 (W) 에 대하여 상기 기술과 마찬가지로, 단계 244, 단계 246, 단계 248, 단계 250 의 동작을 실행한다. 이 경우, 단계 244 에 있어서, 2 매째의 웨이퍼 (W) 를 웨이퍼 스테이지 (웨이퍼 홀더) 에 로딩할 때, 1 매째의 웨이퍼 (W) 에 관한 단계 248 의 계측 결과를 고려하여, 반송 아암과 웨이퍼 스테이지의 위치 관계가 조정된다. 2 매째의 웨이퍼 (W) 에 관한 단계 250 의 판단이 긍정된 경우에는, 다음의 단계 252 로 진행한다.
단계 252 에서는, 얼라인먼트계 (ALG) 를 사용하여 웨이퍼 (W) 상의 얼라인먼트 마크를 검출하고, 그 검출 결과와 그 검출시의 간섭계 시스템 (118) 의 계측값에 기초하여, 상기 얼라인먼트 마크의 위치 정보를 검출함으로써 웨이퍼 얼라인먼트, 예를 들어, EGA (Enhanced Global Alignment) 등의 웨이퍼 얼라인먼트를 행한다.
다음의 단계 254 에서는, 상기 웨이퍼 얼라인먼트의 결과로 얻어진 웨이퍼 (W) 상의 복수의 쇼트 영역의 위치 정보와, 최신 얼라인먼트계 (ALG) 의 베이스 라인의 계측 결과 등에 기초하여, 웨이퍼 (W) 상의 각 쇼트 영역의 노광을 위한 주사 개시 위치 (가속 개시 위치) 로 웨이퍼 스테이지 (WST) 가 이동되는 쇼트간 이동 동작과, 각 쇼트 영역에 대한 레티클 (R) 에 형성된 패턴을 주사 노광 방식에 의해 전사하는 주사 노광 동작을 반복함으로써, 웨이퍼 (W) 상의 복수의 쇼트 영역을 스텝ㆍ앤드ㆍ스캔 방식으로 노광한다. 또한, 이 노광시에는, 투영 광학계 (PL) 의 선단 렌즈 (91) 의 바로 아래에는 항상 물이 채워져 있다.
다음의 단계 256 에서는, 1 로트의 모든 웨이퍼의 노광이 종료되었는지의 여부를 판단한다. 그리고, 이 판단이 부정된 경우에는, 단계 262 로 이행하여 웨이퍼 테이블 (WTB) 상의 웨이퍼 홀더 (WH) 에 유지되어 있는 노광이 끝난 웨이퍼 (W) 와 새로운 웨이퍼의 웨이퍼 교환을 행한 후, 단계 252 로 진행하고, 이후 단계 256 의 판단이 긍정될 때까지, 단계 252 → 254 → 256 → 262 의 루프의 처리를 반복한다.
한편, 상기 단계 256 의 판단이 긍정된 경우에는, 단계 258 로 진행한다.
다음의 단계 258 에서는, 예를 들어, 조명광 (IL) 의 조사 이력 등을 참조하여 발액 플레이트의 교환 시기가 도래하였는지의 여부를 판단한다. 여기서, 본 실시 형태에서는, 미리 실험에 의해, 발액 플레이트 (50) 표면의 발수 코팅의 열화와 발액 플레이트 (50) 표면에 조사되는 적산 에너지량의 관계가 구해져 있고, 그 관계와 조명광 (IL) 의 조사 이력에 기초하여, 발수 코팅이 열화하기 직전에, 발액 플레이트 (50) 의 교환 시기가 도래한 것이라고 판단하도록 되어 있다.
그리고, 교환 시기가 도래하였다고 판단한 경우에는, 상기 기술한 단계 264 로 이행하고, 교환 시기는 도래하지 않았다고 판단한 경우에는, 다음의 로트 처리로 이행한다.
이렇게 하여, 발액 플레이트의 교환으로부터 다음의 교환까지의 사이의 일련의 처리가 실행된다.
지금까지의 설명으로부터 분명한 바와 같이, 본 실시 형태에서는, 주제어 장치 (20), 보다 정확하게는 그 주제어 장치 (20) 내부의 CPU 와, 그 CPU 에 의해 실행되는 소프트웨어에 의해, 외주 에지 위치 취득 장치, 내주 에지 위치 취득 장치, 결정 장치, 형상 산출 장치, 물체 외주 에지 위치 취득 장치, 간격 계측 장치, 스테이지 제어 장치 및 제어 장치 등 중 적어도 각 일부가 실현되어 있다. 그러나, 이들 소프트웨어에 의해 실현되는 구성 부분의 적어도 일부를, 하드웨어에 의해 구성해도 되는 것은 물론이다.
이상 설명한 바와 같이, 본 실시 형태의 노광 장치 (100) 에 의하면, 주제어 장치 (20) 에 의해, 발액 플레이트 (50) 가 착탈 가능하게 탑재된 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치를 간섭계 시스템 (118) 을 사용하여 계측하면서, 얼라인먼트계 (ALG) 를 사용하여 발액 플레이트 (50) 의 일부가 검출됨과 함께, 그 검출 결과와 대응하는 간섭계 시스템 (118) 의 계측 결과에 기초하여 발액 플레이트 (50) 의 외주 에지의 위치 정보가 취득된다 (단계 204 ~ 210). 이 때문에, 본 실시 형태와 같이, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 상에 위치 계측용의 마크 등이 존재하지 않아도, 발액 플레이트 (50) 의 외주 에지의 위치 정보에 기초하여, 발액 플레이트 (50) 의 위치, 즉, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치를 간섭계 시스템에 의해 규정되는 이동 좌표계 (스테이지 좌표계) 상에서 관리할 수 있게 된다.
또, 본 실시 형태와 같이, 발액 플레이트 (50) 의 외주가 웨이퍼 테이블 (WTB) 보다 외측으로 돌출되어 있는 경우에도, 발액 플레이트 (50) 의 외주 에지가 다른 부재 (예를 들어, 계측 스테이지 (MST)) 와 충돌하지 않도록, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치를 제어할 수 있다.
또한, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)), 또는 발액 플레이트 (50) 에 위치 계측용의 마크가 형성되어 있는 경우나, 발액 플레이트 (50) 의 외주가 웨이퍼 테이블 (WTB) 보다 외측으로 돌출되지 않은 경우에도, 상기 기술한 바와 같이 발액 플레이트 (50) 의 외주 에지의 위치 정보를 취득해도 되는 것은 말할 필요도 없다.
또, 본 실시 형태의 노광 장치 (100) 에 의하면, 주제어 장치 (20) 에 의해, 웨이퍼 테이블 (WTB) 의 위치를 간섭계 시스템 (118) 을 사용하여 계측하면서, 얼라인먼트계 (ALG) 를 사용하여 발액 플레이트 (50) 의 일부가 검출됨과 함께, 그 검출 결과와 대응하는 간섭계 시스템 (118) 의 계측 결과에 기초하여 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 위치 정보가 취득된다 (단계 222). 이 때문에, 이 내주 에지의 위치 정보에 기초하여, 개구 (50a) 의 위치나 형상 등을 산출할 수 있게 된다 (단계 224, 226 참조).
또, 본 실시 형태의 노광 장치 (100) 에서는, 예를 들어, 진원도가 제 2 임계값 미만인 경우에는, 주제어 장치 (20) 가, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 위치 정보에 기초하여, 웨이퍼 (W) 를 반송계 (72) 를 통하여 웨이퍼 스테이지 (WST; 웨이퍼 테이블 (WTB)) 상의 발액 플레이트 (50) 의 개구 (50a) 내의 웨이퍼 홀더 (WH) 상에 로딩한다 (단계 232). 따라서, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지에 관한 정보를 고려하지 않는 경우에 비하여, 웨이퍼 (W) 를 웨이퍼 스테이지 (WST) 상의 발액 플레이트 (50) 의 개구 (50a) 내에 로딩하는 것이 용이해진다.
또, 본 실시 형태의 노광 장치 (100) 에서는, 개구 (50a) 의 내주 에지와 개구 (50a) 내의 물체 (공구 웨이퍼 (W1) 또는 웨이퍼 (W)) 와의 위치 관계를 취득한 경우 (단계 240 참조), 주제어 장치 (20) 는, 반송계 (72) 에 의해 웨이퍼 테이블 (WTB) 에 웨이퍼 (W) 를 반송할 때, 상기 위치 관계의 정보를 고려하여 웨이퍼 테이블 (WTB) 과 반송계 (72) 의 반송 아암 (70) 중 적어도 일방을 제어하고, 반송 아암 (70) 과 웨이퍼 테이블의 위치 관계를 조정하여 웨이퍼를 로딩한다 (단계 244 참조). 따라서, 이 취득된 위치 관계에 기초하여, 웨이퍼를 웨이퍼 테이블 (WTB) 의 오목부 (140) 내에, 즉, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 내부에, 원하는 위치 관계로 로딩할 수 있게 된다. 이 경우, 웨이퍼 (W) 의 외주 에지와 발액 플레이트 (50a) 의 내주 에지 (웨이퍼 테이블 (WTB) 상면의 오목부의 내주 에지) 가 접촉하지 않도록, 또한 웨이퍼 (W) 의 외주 에지와 개구 (50a) 의 내주 에지의 간격이 소정값, 예를 들어, 0.3㎜ 보다 작아지도록, 웨이퍼 (W) 를 웨이퍼 테이블 (WTB) 상방의 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 내부 (웨이퍼 테이블 (WTB) 상면의 오목부 내) 의 웨이퍼 홀더 (WH) 상에 로딩할 수 있게 된다.
또한, 도 11 및 도 12 에서 설명한 동작에 있어서는, 개구 (50a) 의 형상 (진원도) 에 대하여 제 1 임계값과 제 2 임계값을 형성하여, 공구 웨이퍼 (W1) 를 웨이퍼 홀더에 탑재하고 있지만, 1 개의 임계값만을 사용하여, 공구 웨이퍼 (W1) 를 탑재하는지의 여부를 판단하도록 해도 된다. 이 경우, 공구 웨이퍼 (W1) 로서는, 피노광 웨이퍼 (W) 보다 작은 직경을 가진 웨이퍼이어도 되고, 피노광 웨이퍼 (W) 와 거의 동일한 직경인 웨이퍼이어도 된다.
또, 도 11 및 도 12 에서 설명한 동작에 있어서는, 개구 (50a) 의 형상 정보를 취득한 후에, 공구 웨이퍼 (W1) 를 웨이퍼 홀더 상에 탑재하도록 하고 있지만, 형상 정보의 취득을 생략해도 된다. 이 경우에도, 공구 웨이퍼 (W1) 로서는, 피노광 웨이퍼 (W) 보다 작은 직경을 가진 웨이퍼이어도 되고, 피노광 웨이퍼 (W) 동일 직경인 웨이퍼이어도 된다.
또, 도 11 및 도 12 에서 설명한 동작에 있어서는, 개구 (50a) 의 위치 정보와 형상 정보를 구한 후에, 공구 웨이퍼 (W1) 를 웨이퍼 홀더 상에 탑재하고 있지만, 개구 (50a) 의 위치 정보와 형상 정보의 취득을 생략하여, 공구 웨이퍼 (W1) 를 웨이퍼 홀더에 탑재한 후에, 개구의 위치 정보, 및 개구의 내주 에지와 공구 웨이퍼 (W1) 의 외주 에지의 위치 관계 (간격 포함) 를 취득할 수도 있다. 물론, 필요에 따라 개구 (50a) 의 형상 정보를 취득할 수도 있다. 이 경우, 공구 웨이퍼 (W1) 로서는, 피노광 웨이퍼 (W) 보다 작은 직경을 가진 웨이퍼가 바람직하지만, 피노광 웨이퍼 (W) 와 동일한 직경인 웨이퍼이어도 된다.
또, 도 11 및 도 12 에서 설명한 동작에 있어서는, 1 매째의 피노광 기판으로서의 웨이퍼 (W) 가 웨이퍼 홀더에 탑재되었을 때에, 개구 (50a) 의 내주 에지와 웨이퍼 (W) 의 위치 관계 (간격) 를 계측하고 있지만, 공구 웨이퍼 (W1) 를 사용하여 얻어진 정보에 기초하여, 피노광 기판으로서의 웨이퍼 (W) 를 개구 (50a) 내의 소정 위치에 로딩할 수 있는 경우에는, 그 계측 동작 (단계 246, 248, 250) 을 생략해도 된다.
또, 도 11 및 도 12 에서 설명한 동작에 있어서는, 단계 258 에 있어서, 1 개의 로트의 노광 처리 완료 후에, 발액 플레이트 (50) 를 교환하는지의 여부를 판단하고 있지만, 단계 258 을 생략하여, 소정 시간마다 판단하도록 해도 되고, 교환 여부를 판단하지 않고, 소정 시간이 경과하면 발액 플레이트를 교환하도록 해도 된다.
그리고, 노광 장치 (100) 에 의하면, 상기와 같이 하여 웨이퍼 테이블 (WTB) 상방의 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 내부 (웨이퍼 테이블 (WTB) 상면의 오목부 내) 에 탑재된 웨이퍼 (W) 에 조명광 (IL) 을 조사하여 노광이 실행된다 (단계 254). 따라서, 노광 동작 중에 웨이퍼 (W) 와 발액 플레이트 (50) 사이에서의 액체 (물; Lq) 의 누설을 억제할 수 있고, 액침 노광에 의해, 고해상도, 또한 공기 중과 비교하여 큰 초점 심도의 노광을 행함으로써, 레티클 (R) 의 패턴을 양호한 정밀도로 웨이퍼 상에 전사할 수 있으며, 예를 들어, ArF 엑시머 레이저광에 의해서, 디바이스 룰로서 45 ~ 100㎚ 정도의 미세 패턴의 전사를 실현할 수 있다.
*본 실시 형태의 노광 장치 (100) 에 의하면, 웨이퍼 스테이지 (WST; 웨이퍼 테이블 (WTB)) 에는 웨이퍼의 노광시에 필요할 최소한의 필수 구성 부재, 예를 들어, 웨이퍼 홀더 등만을 설치하면 되기 때문에, 웨이퍼 스테이지 (WST) 의 소형, 경량화를 실현하고, 웨이퍼 스테이지를 구동하는 구동 기구 (모터) 의 소형화 및 모터로부터의 발열량을 저감시킬 수 있어, 웨이퍼 스테이지 (WST) 의 열 변형이나 노광 정도의 저하를 최대한 억제할 수 있다.
또한, 상기 실시 형태에서는, 발액 플레이트 (50) 의 외주 에지 상에 복수의 계측점을 설정하고, 이 복수의 계측점의 위치 정보를 취득하는 경우에 관하여 설명하였지만, 이것에 한정되지 않고, 예를 들어, 발액 플레이트 (50) 상면의 외주 에지의 위치보다 내측의 위치에, 그 외주 에지의 위치 관계가 이미 알려진 표적, 예를 들어, 외주 에지보다 소정 거리 (D 로 한다) 의 위치에 외주 에지에 평행한 라인 형상의 표적을 형성하고, 이 표적 상에 적어도 1 개의 계측점을 설정하여, 그 계측점의 위치 정보를 계측하고, 그 계측 결과와 상기 거리 (D) 에 기초하여 외주 에지의 위치를 취득하는 것으로 해도 된다. 발액 플레이트 (50) 에는, 도 18 에 나타내는 바와 같이, 그 에지 근방에 폭 (d), 높이 (h) 의 곡면 (또는 경사면) 이 존재하는 경우가 많고, 그 높이 (h) 는 0.1mm 정도이기 때문에, 얼라인먼트계 (ALG) 의 초점 심도가 얕은 경우에는, 에지의 화상이 흐려지는 경우를 생각할 수 있다. 이러한 경우에, 상기 라인 형상의 표적을, D > d 가 되는 위치에 형성하고, 이 라인 형상의 표적을 얼라인먼트계 (ALG) 에서 촬상하도록 해도 된다. 물론, 표적은, 상기 기술한 라인 형상에 한정되는 것이 아니고, 외주 에지의 위치 관계가 이미 알려진 것이라면, 형상의 여하를 묻지 않는다.
마찬가지로, 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지에 대해서도, 그 내주 에지의 위치 관계가 이미 알려진 표적을 미리 형성하고, 그 표적 상의 적어도 1 개의 계측점의 위치 정보를 취득해도 된다. 예를 들어, 개구 (50a) 의 내주 에지의 소정 거리 외측에, 개구 (50a) 와 동심의 원형의 라인을 표적으로서 형성해도 된다.
또, 발액 플레이트 (50) 의 외주 에지 등의 위치 정보의 검출시에, 얼라인먼트계 (ALG) 가 갖는 초점 검출계를 사용하는 것이 바람직하지만, 얼라인먼트계 (ALG) 가 갖는 초점 검출계의 검출 빔이 발액 플레이트 (50) 로부터 벗어나는 경우에는, 그 검출 빔이 발액 플레이트 (50) 표면에 조사할 수 있는 위치에서 일단 포커스를 맞춘 후, 그 포커스 상태를 유지한 채로 계측점을 얼라인먼트계 (ALG) 의 촬상 시야에 위치 결정하는, 이른바, 시프트 포커스 동작을 행하는 것이 바람직하다.
또, 상기 실시 형태에서는, FIA 계의 센서로 이루어지는 얼라인먼트계 (ALG) 를 사용하여, 발액 플레이트 (50) 의 외주 에지, 개구 (50a) 의 내주 에지, 공구 웨이퍼 (W1) 또는 웨이퍼 (W) 의 외주 에지를 촬상하고, 그 촬상 결과를 사용하여 화상 처리의 수법에 의해, 각 계측점의 위치 정보를 취득하는 경우에 관하여 설명하였지만, 검출 장치로서는, FIA 계 이외의 센서, 예를 들어, 반사광 또는 산란광을 검출하는 장치를 사용해도 된다. 또, FIA 계를 사용하는 경우에, 물론 낙사 조명에 의해 대상물로부터의 반사광을 검출하는 방식이어도 되지만, 발액 플레이트 (50) 의 에지를 하방으로부터 조명하고, 그 투과광을 발액 플레이트 (50) 의 상방에서 검출하는 방식을 채용하는 것도 가능하다.
또한, 상기 기술한 실시 형태에 있어서, 발액 플레이트 (50) 의 교환 작업 및 발액 플레이트 (50) 의 각종 계측 작업 중 적어도 일방은, 투영 광학계 (PL) 의 이미지면측에 액체 (Lq) 가 없는 상태로 행해도 되고, 계측 테이블 (MTB) 과 투영 광학계 (PL) 사이에 액체 (Lq) 를 유지한 상태로 행해도 된다. 계측 테이블 (MTB) 과 투영 광학계 (PL) 사이에 액체 (Lq) 를 계속 유지하는 경우에는, 투영 광학계 (PL) 의 선단면이 적셔진 상태를 유지할 수 있기 때문에, 워터 마크 등의 발생을 방지할 수 있을 뿐만 아니라, 액체 (Lq) 의 전체 회수 및 재공급 작업을 생략할 수 있다.
또, 상기 실시 형태에서는, 웨이퍼 테이블 (WTB) 이, 그 외주 에지의 위치 정보가 검출되는 플레이트가 착탈 가능하게 탑재된 제 1 스테이지 (및 이동체) 를 구성하고, 계측 스테이지 (MST) 가 제 2 스테이지를 구성하는 경우에 관하여 설명하였지만, 이것에 한정되지 않고, 계측 테이블 (MTB) 이 제 1 스테이지 (및 이동체) 를 구성해도 된다. 즉, 계측 테이블 (MTB) 에 착탈 가능하게 탑재된 플레이트의 외주 에지의 위치 정보를 취득하도록 해도 된다. 이 경우, 그 외주 에지의 위치 정보에 기초하여 계측 테이블 (MTB) 의 이동을 제어할 수 있다. 이 경우, 계측 테이블 (MTB) 의 플레이트 교환 작업 및 플레이트의 각종 계측 중 적어도 일방은, 투영 광학계 (PL) 의 이미지면측에 액체 (Lq) 가 없는 상태로 행해도 되고, 웨이퍼 테이블 (WTB) 과 투영 광학계 (PL) 사이에 액체 (Lq) 를 유지한 상태에서 실행해도 된다.
또한, 웨이퍼 테이블 (WTB) 의 발액 플레이트 (50) 의 교환 동작이나 발액 플레이트 (50) 의 외주 에지 및 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 계측 동작을, 계측 테이블 (MTB) 과 투영 광학계 (PL) 사이에 액체 (Lq) 를 유지한 상태에서 실행해도 된다.
즉, 웨이퍼 테이블 (WTB) 측에서 발액 플레이트 (50) 를 교환할 때에는, 도 19(A) 에 나타내는 바와 같이, 액체 (Lq) 가 계측 테이블 (MTB) 상에 위치하도록 계측 테이블 (MTB) 의 위치를 제어한다. 그리고, 발액 플레이트 (50) 의 교환 종료 후, 도 19(B) 에 나타내는 바와 같이, 발액 플레이트 (50) 의 계측 테이블 (MTB; 계측 스테이지 (MST)) 측 (+Y 측) 의 외주 에지를 얼라인먼트계 (ALG) 를 사용하여 계측한다. 이로써, 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 을 계측 테이블 (MTB; 계측 스테이지 (MST)) 에 접근할 수 있게 된다.
이어서, 도 19(C), 도 19(D) 에 나타내는 바와 같이, 발액 플레이트 (50) 의 -X 측의 외주 에지, 발액 플레이트 (50) 의 +X 측의 외주 에지를, 얼라인먼트계 (ALG) 를 사용하여 순차 계측한다.
이렇게 하여 계측된 발액 플레이트 (50) 의 외주 에지의 3 지점의 위치 정보 또는 이것으로부터 구해지는 발액 플레이트 (50) 의 위치 정보에 기초하여, 그 후의 웨이퍼 테이블 (WTB; 웨이퍼 스테이지 (WST)) 의 위치 관리가, 주제어 장치 (20) 에 의해 행해진다.
상기 기술한 발액 플레이트 (50) 의 외주 에지의 위치 정보의 계측에 이어, 예를 들어, 웨이퍼 테이블 (WTB) 의 발액 플레이트 (50) 와 계측 테이블 (MTB) 을 접촉 (또는 근접) 시킨 상태를 유지하여 양 스테이지 (WST, MST) 를 일체적으로 이동하고, 도 20(A) 에 나타내는 바와 같이, 발액 플레이트 (50) 의 개구 (50a) 의 +Y 측의 내주 에지를 얼라인먼트계 (ALG) 를 사용하여 계측한다. 이어서, 웨이퍼 테이블 (WTB) 의 발액 플레이트 (50) 와 계측 테이블 (MTB) 을 접촉 (또는 근접) 시킨 상태를 유지하여 양 스테이지 (WST, MST) 를 일체적으로 순차 이동하고, 도 20(B), 도 20(C) 에 나타내는 바와 같이, 발액 플레이트 (50) 의 개구 (50a) 의 -X 측의 내주 에지, +X 측의 내주 에지를, 얼라인먼트계 (ALG) 를 사용하여 순차 계측한다. 이 경우, 웨이퍼 테이블 (WTB) 상에는 웨이퍼가 탑재되어 있지 않기 때문에, 웨이퍼가 탑재되는 부분에 액체 (Lq) 를 위치시킬 수 없지만, 도 20(A) ~ 도 20(C) 에 나타내는 바와 같이, 내주 에지를 계측할 수 있기 때문에, 이 계측 결과에 기초하여, 상기 실시 형태와 마찬가지로 웨이퍼를 웨이퍼 홀더 (WH) 상에 로딩하는 것이 가능하다.
상기 기술한 바와 같이, 웨이퍼 테이블 (WTB) 의 발액 플레이트 (50) 의 교환 동작이나 발액 플레이트 (50) 의 외주 에지 및 발액 플레이트 (50) 의 개구 (50a) 의 내주 에지의 계측 동작을, 계측 테이블 (MTB) 과 투영 광학계 (PL) 사이에 액체 (Lq) 를 유지한 상태에서 실행함으로써, 액체의 회수 동작 및 공급 동작이 불필요해지고, 그들의 동작에 요구되는 시간이 불필요해져, 그만큼 노광 공정의 스루풋의 향상이 가능해진다.
또한, 상기 기술한 바와 같이, 발액 플레이트 (50) 의 외주 에지, 개구 (50a) 의 내주 에지를 계측하고, 웨이퍼를 웨이퍼 홀더 (WH) 상에 로딩한 후에는, 그 웨이퍼를 로딩한 웨이퍼 스테이지 (WST; 웨이퍼 테이블 (WTB)) 의 발액 플레이트 (50) 와, 계측 스테이지 (WST) 를 접촉시킨 상태에서의 이동 범위가 넓어진다. 즉, 웨이퍼 테이블 (WTB) 의 전체면에 액체 (Lq) 를 위치시키는 것이 가능해진다. 따라서, 상기 실시 형태에서 설명한 도 7, 도 11 및 도 12 의 플로우 차트 을 따른 계측 방법을 사용하여 재차 계측해도 된다. 이로써, 보다 고정밀도의 계측을 행할 수 있게 된다.
또, 상기 실시 형태에서는, 발액 플레이트 (50) 의 외주 에지, 개구 (50a) 의 내주 에지, 공구 웨이퍼 (W1) 또는 웨이퍼 (W) 의 외주 에지의 각각에 대하여, 중심에 대해 대칭인 복수 쌍의 지점에 위치 정보의 계측점이 설정되는 경우에 관하여 설명하였지만, 이것은, 예를 들어, 각각의 중심점의 위치를 산출할 때에, 평균화 효과에 의한 계측 정밀도의 향상을 기대하여 이와 같이 한 것에 지나지 않고, 본 발명이 이것에 한정되지 않는 것은 물론이다.
또, 상기 실시 형태에서는, 발액 플레이트 (50) 의 형상이 거의 정사각형이고, 개구 (50a) 가 원형인 경우에 관하여 설명하였지만, 플레이트의 형상은 원형, 다각형 그 밖의 형상이어도 되고, 개구도 피처리 물체의 형상에 따른 형상이면 된다. 예를 들어, 액정 표시 소자 등을 피처리 물체로 하는 경우에는, 피처리 물체인 유리 플레이트의 형상에 따라 개구는 직사각형으로 하면 된다.
또, 상기 기술한 실시 형태에 있어서는, 플레이트 (50) 가 웨이퍼 테이블 (WTB) 에 착탈 가능한 경우에 관하여 설명하였지만, 플레이트 (50) 가 웨이퍼 테이블 (WTB) 과 일체적으로 형성되어 있어도 된다. 이 경우에도, 예를 들어, 웨이퍼 테이블 (WTB) 에 웨이퍼 (W) 를 탑재하기 위하여 형성된 오목부의 내주 에지의 위치 정보를, 도 11 이나 도 13 에서 나타낸 바와 같이 검출할 수 있다.
또, 상기 기술한 실시 형태에 있어서, 도 7 을 사용하여 설명한 플레이트의 외주 에지의 위치 정보의 계측을 포함하는 일련의 동작과, 도 11 을 사용하여 설명한 플레이트의 개구의 내주 에지의 위치 정보의 계측을 포함하는 일련의 동작은, 반드시 함께 행할 필요는 없고, 어느 쪽이나 일방만을 행할 수도 있다.
또한, 상기 실시 형태에서는, 액침 노광 장치에 본 발명이 적용된 경우에 관하여 설명하였지만, 본 발명의 적용 범위가 이것에 한정되는 것이 아니고, 액침 타입이 아닌 통상의 스캐닝ㆍ스텝퍼 등에도 본 발명은 바람직하게 적용할 수 있다. 이 경우, 발액 플레이트 대신에, 그 표면에 발액면이 형성되지 않은 플레이트를 사용할 수 있다.
또, 상기 실시 형태에서는, 스테이지 장치가 웨이퍼 스테이지를 1 개, 계측 스테이지를 1 개 구비하는 경우에 관하여 설명하였지만, 이것에 한정되지 않고, 계측 스테이지를 구비하지 않고, 웨이퍼를 유지하는 웨이퍼 스테이지를 적어도 1 개 형성하는 것으로 해도 된다. 또한, 웨이퍼 스테이지를 복수 구비하고 있는 경우에는, 일방의 웨이퍼 스테이지에서 플레이트의 교환 작업 및 플레이트의 각종 계측 작업 중 적어도 일방은, 투영 광학계 (PL) 의 이미지면측에 액체 (Lq) 가 없는 상태에서 실행해도 되고, 타방의 웨이퍼 스테이지를 투영 광학계 (PL) 의 아래 (이미지면측) 에 배치하여, 투영 광학계와 타방의 웨이퍼 스테이지 사이에 액체 (Lq) 를 유지한 상태에서 실행해도 된다.
또, 상기 실시 형태에서는, 레벨링 테이블 (52) 이 6 자유도, 계측 테이블 (MTB) 이 3 자유도를 갖는 구성을 채용한 경우에 관하여 설명하였지만, 이것에 한정되지 않고, 레벨링 테이블 (52) 이 3 자유도, 계측 테이블 (MTB) 이 3 자유도를 갖는 구성을 채용해도 된다. 또, 레벨링 테이블 (52) 을 설치하지 않고, 계측 테이블 (MTB) 이 6 자유도를 갖는 구성을 채용하는 것으로 해도 된다.
또한, 상기 실시 형태에서는, 액체로서 순수 (물) 를 사용하는 것으로 하였지만, 본 발명이 이것에 한정되지 않는 것은 물론이다. 액체로서는, 화학적으로 안정적이고, 조명광 (IL) 의 투과율이 높고 안전한 액체, 예를 들어, 불소계 비활성 액체를 사용해도 된다. 이 불소계 비활성 액체로서는, 예를 들어, 플루오리네이트 (미국 쓰리엠사의 상품명) 를 사용할 수 있다. 이 불소계 비활성 액체는 냉각 효과면에서도 우수하다. 또, 액체로서 조명광 (IL) 에 대한 투과성이 있어, 가능한 한 굴절률이 높고, 또, 투영 광학계나 웨이퍼 표면에 도포되어 있는 포토레지스트에 대하여 안정적인 것 (예를 들어, 시더유 (cedar oil) 등) 을 사용할 수도 있다. 또, F2 레이저를 광원으로 하는 경우에는, 폼블린 (fomblin) 오일을 선택하면 된다.
또, 상기 실시 형태에서, 회수된 액체를 재사용하도록 해도 되고, 이 경우에는 회수된 액체로부터 불순물을 제거하는 필터를 액체 회수 장치, 또는 회수관 등에 설치해 두는 것이 바람직하다.
또한, 상기 실시 형태에서는, 투영 광학계 (PL) 의 이미지면측에 가장 가까운 광학 소자가 선단 렌즈 (91) 인 것으로 하였지만, 그 광학 소자는, 렌즈에 한정되는 것이 아니라, 투영 광학계 (PL) 의 광학 특성, 예를 들어, 수차 (구면 수차, 코마 수차 등) 의 조정에 사용하는 광학 플레이트 (평행 평면판 등) 이어도 되고, 단순한 커버 유리이어도 된다. 투영 광학계 (PL) 의 이미지면측에 가장 가까운 광학 소자 (상기 각 실시 형태에서는 선단 렌즈 (91)) 는, 조명광 (IL) 의 조사에 의해 레지스트로부터 발생되는 비산 입자 또는 액체 중의 불순물의 부착 등에 기인하여 액체 (상기 각 실시 형태에서는 물) 에 접촉하여 그 표면이 오염되는 경우가 있다. 이 때문에, 그 광학 소자는, 경통 (40) 의 최하부에 착탈 (교환) 이 자유롭게 고정하는 것으로 하고, 정기적으로 교환하는 것으로 해도 된다.
이러한 경우, 액체에 접촉하는 광학 소자가 렌즈이면, 그 교환 부품의 비용이 높고, 또한 교환에 필요로 하는 시간이 길어져, 메인터넌스 비용 (운영 비용) 의 상승이나 스루풋의 저하를 초래한다. 그래서, 액체와 접촉하는 광학 소자를, 예를 들어, 렌즈 (91) 보다 저렴한 평행 평면판으로 하도록 해도 된다.
또, 상기 실시 형태에서는, 스텝ㆍ앤드ㆍ스캔 방식 등의 주사 형태 노광 장치에 본 발명이 적용된 경우에 관하여 설명하였지만, 본 발명의 적용 범위가 이것에 한정되지 않는 것은 물론이다. 즉, 스텝ㆍ앤드ㆍ리피트 방식의 투영 노광 장치, 또한 스텝ㆍ앤드ㆍ스티치 방식의 노광 장치, 또는 프록시미티 방식의 노광 장치 등에도, 본 발명은 적용할 수 있다.
노광 장치의 용도로서는 반도체 제조용의 노광 장치에 한정되지 않고, 예를 들어, 사각형의 유리 플레이트에 액정 표시 소자 패턴을 전사하는 액정용의 노광 장치나, 유기 EL, 박막 자기 헤드, 촬상 소자 (CCD 등), 마이크로 머신 및 DNA 칩 등을 제조하기 위한 노광 장치에도 널리 적용할 수 있다. 또, 반도체 소자 등의 마이크로 디바이스뿐만 아니라, 광노광 장치, EUV 노광 장치, X 선 노광 장치, 및 전자선 노광 장치 등에서 사용되는 레티클 또는 마스크를 제조하기 위하여, 유리 기판 또는 실리콘 웨이퍼 등에 회로 패턴을 전사하는 노광 장치에도 본 발명을 적용할 수 있다.
또한, 상기 실시 형태의 노광 장치의 광원은, ArF 엑시머 레이저에 한정되지 않고, KrF 엑시머 레이저 (출력 파장 248㎚), F2 레이저 (출력 파장 157㎚), Ar2 레이저 (출력 파장 126㎚), Kr2 레이저 (출력 파장 146㎚) 등의 펄스 레이저 광원이나, g 선 (파장 436㎚), i 선 (파장 365㎚) 등의 휘선을 발하는 초고압 수은 램프 등을 사용하는 것도 가능하다. 또, YAG 레이저의 고조파 발생 장치 등을 사용할 수도 있다. 이 밖에, DFB 반도체 레이저 또는 화이버 레이저로부터 발진되는 적외역, 또는 가시역의 단일 파장 레이저광을, 예를 들어, 에르븀 (또는 에르븀과 이테르븀의 양방) 이 도핑된 화이버 앰프에서 증폭되고, 비선형 광학 결정을 사용하여 자외광으로 파장 변환한 고조파를 사용해도 된다. 또, 투영 광학계는 축소계뿐만 아니라 등배계 및 확대계 중 어느 것이어도 된다.
또, 상기 기술한 실시 형태에 있어서는, 광투과성의 기판 상에 소정의 차광 패턴 (또는 위상 패턴ㆍ감광 (減光) 패턴) 을 형성한 광투과형 마스크 (레티클) 를 사용하는 노광 장치에 관하여 설명하였지만, 이 레티클 대신에, 예를 들어, 미국 특허 제6,778,257호에 개시되어 있는 바와 같이, 노광하는 패턴의 전자 데이터에 기초하여, 투과 패턴 또는 반사 패턴, 또는 발광 패턴을 형성하는 전자 마스크 (가변 성형 마스크) 를 사용하는 노광 장치에, 본 발명을 적용하는 것도 가능하다.
또, 국제공개공보 제2001/035168호 팜플렛에 개시되어 있는 바와 같이, 간섭 무늬를 웨이퍼 (W) 상에 형성함으로써, 웨이퍼 (W) 상에 라인ㆍ앤드ㆍ스페이스 패턴을 형성하는 노광 장치 (리소그래피 시스템) 에도 본 발명을 적용할 수도 있다.
또한, 상기 실시 형태에서는, 본 발명의 위치 계측 방법, 계측 방법 및 로딩 방법 등이, 노광 장치에 적용된 경우에 관하여 설명하였지만, 이것에 한정되지 않고, 본 발명의 위치 계측 방법은, 소정 형상의 플레이트가 이동체 상에 착탈 가능하게 탑재된 이동체를 구비한 장치이면 적용이 가능하고, 본 발명의 계측 방법 및 로딩 방법 등은, 물체를 탑재하기 위한 개구가 형성된 플레이트가, 착탈 가능하게 탑재된 이동체을 구비한 장치이면 적용이 가능하다.
또한, 반도체 디바이스는, 디바이스의 기능ㆍ성능 설계를 행하는 단계, 이 설계 단계에 기초한 레티클을 제작하는 단계, 실리콘 재료로부터 웨이퍼를 제작하는 단계, 상기 실시 형태의 노광 장치에서, 마스크에 형성된 패턴을 감광 물체 상에 전사하는 리소그래피 단계, 디바이스 조립 단계 (다이싱 공정, 본딩 공정, 팩키지 공정을 포함한다), 검사 단계 등을 거쳐 제조된다. 이 경우, 리소그래피 단계에서, 상기 실시 형태의 노광 장치 및 그 노광 방법이 사용되기 때문에, 고정밀도인 노광을 장기에 걸쳐 실현할 수 있다. 따라서, 미세 패턴이 형성된 고집적도의 마이크로 디바이스의 생산성을 향상킬 수 있다.
이상 설명한 바와 같이, 본 발명의 위치 계측 방법은, 이동체 상에 착탈 가능하게 탑재된 플레이트의 위치 계측에 적합하다. 또, 본 발명의 위치 제어 방법은, 그 이동체의 위치 제어에 적합하다. 또, 본 발명의 계측 방법은, 이동체 상에 탑재되고, 물체를 탑재하기 위한 개구가 형성된 플레이트에 관한 정보를 계측하는데 적합하다. 또, 본 발명의 로딩 방법은, 상기 이동체 상에 물체를 로딩하는데 적합하다. 또, 본 발명의 노광 방법 및 노광 장치, 그리고 디바이스 제조 방법은, 반도체 소자 등의 마이크로 디바이스의 제조에 적합하다.

Claims (1)

  1. 본원 발명의 상세한 설명에 기재된 장치.
KR1020137004445A 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법 KR101437298B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004335050 2004-11-18
JPJP-P-2004-335050 2004-11-18
PCT/JP2005/021214 WO2006054682A1 (ja) 2004-11-18 2005-11-18 位置計測方法、位置制御方法、計測方法、ロード方法、露光方法及び露光装置、並びにデバイス製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077004097A Division KR101452483B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법,노광 방법, 노광 장치, 및 디바이스 제조 방법

Publications (2)

Publication Number Publication Date
KR20130028798A true KR20130028798A (ko) 2013-03-19
KR101437298B1 KR101437298B1 (ko) 2014-09-02

Family

ID=36407218

Family Applications (10)

Application Number Title Priority Date Filing Date
KR1020127011290A KR101421850B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020137004445A KR101437298B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020127011289A KR101421849B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020157025656A KR101689100B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020147017359A KR101578629B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020077004097A KR101452483B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법,노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020137031881A KR101493641B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020167035238A KR101861949B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020147034572A KR101670571B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020187014038A KR20180054934A (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127011290A KR101421850B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법

Family Applications After (8)

Application Number Title Priority Date Filing Date
KR1020127011289A KR101421849B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020157025656A KR101689100B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020147017359A KR101578629B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020077004097A KR101452483B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법,노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020137031881A KR101493641B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020167035238A KR101861949B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020147034572A KR101670571B1 (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법
KR1020187014038A KR20180054934A (ko) 2004-11-18 2005-11-18 위치 계측 방법, 위치 제어 방법, 계측 방법, 로딩 방법, 노광 방법, 노광 장치, 및 디바이스 제조 방법

Country Status (10)

Country Link
US (12) US8059260B2 (ko)
EP (4) EP2772803A1 (ko)
JP (14) JP4877653B2 (ko)
KR (10) KR101421850B1 (ko)
CN (5) CN103149803B (ko)
HK (7) HK1198210A1 (ko)
IL (3) IL183280A0 (ko)
SG (4) SG2014009179A (ko)
TW (8) TWI536429B (ko)
WO (1) WO2006054682A1 (ko)

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2944648B1 (en) * 2001-03-29 2019-11-13 Bausch Health Ireland Limited Guanylate cyclase receptor agonists for the treatment of organ inflammation
US7623734B2 (en) * 2004-09-30 2009-11-24 Microsoft Corporation Method and system for automatically inscribing noisy objects in scanned image data within a minimum area rectangle
TWI536429B (zh) * 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7649611B2 (en) 2005-12-30 2010-01-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI596444B (zh) * 2006-08-31 2017-08-21 尼康股份有限公司 Exposure method and device, and device manufacturing method
KR101902723B1 (ko) * 2006-08-31 2018-09-28 가부시키가이샤 니콘 이동체 구동 방법 및 이동체 구동 시스템, 패턴 형성 방법 및 장치, 노광 방법 및 장치, 그리고 디바이스 제조 방법
EP3361317A1 (en) * 2006-09-01 2018-08-15 Nikon Corporation Exposure apparatus and exposure method
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5058836B2 (ja) * 2007-05-08 2012-10-24 東京エレクトロン株式会社 処理装置、処理方法、被処理体の認識方法および記憶媒体
EP2998314B1 (en) 2007-06-04 2020-01-22 Bausch Health Ireland Limited Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
US8969514B2 (en) 2007-06-04 2015-03-03 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase useful for the treatment of hypercholesterolemia, atherosclerosis, coronary heart disease, gallstone, obesity and other cardiovascular diseases
KR101614666B1 (ko) * 2007-07-18 2016-04-21 가부시키가이샤 니콘 계측 방법, 스테이지 장치, 및 노광 장치
JP5262070B2 (ja) * 2007-11-05 2013-08-14 大同特殊鋼株式会社 被検査物の真円度測定方法
TWI602033B (zh) * 2007-12-28 2017-10-11 Nippon Kogaku Kk Exposure apparatus, moving body driving system, pattern forming apparatus, exposure method, and device manufacturing method
JP5241245B2 (ja) * 2008-01-11 2013-07-17 株式会社日立ハイテクノロジーズ 検査装置及び検査方法
WO2009149279A2 (en) 2008-06-04 2009-12-10 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
EP2296685B1 (en) 2008-06-04 2015-09-02 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal disorders, inflammation, cancer and other disorders
WO2010009319A2 (en) * 2008-07-16 2010-01-21 Synergy Pharmaceuticals Inc. Agonists of guanylate cyclase useful for the treatment of gastrointestinal, inflammation, cancer and other disorders
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7810698B2 (en) * 2008-11-20 2010-10-12 Asm Assembly Automation Ltd. Vision system for positioning a bonding tool
EP2373296B1 (en) 2008-12-03 2016-08-03 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase c agonists and methods of use
EP2196857A3 (en) * 2008-12-09 2010-07-21 ASML Netherlands BV Lithographic apparatus and device manufacturing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2012037380A2 (en) 2010-09-15 2012-03-22 Synergy Pharmaceuticals Inc. Formulations of guanylate cyclase c agonists and methods of use
US9616097B2 (en) 2010-09-15 2017-04-11 Synergy Pharmaceuticals, Inc. Formulations of guanylate cyclase C agonists and methods of use
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
CN102540896B (zh) * 2012-02-29 2013-07-17 清华大学 化学机械抛光传输机器人的非线性模糊结合递归控制系统
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8605294B2 (en) * 2012-03-09 2013-12-10 Chung-Shan Institute of Science and Technology, Armaments, Bureau, Ministry of National Defense Actuating apparatus, actuating system and method for actuating a working stage to move relative to a platform with high-precision positioning capability
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
RU2502952C1 (ru) * 2012-06-15 2013-12-27 федеральное государственное бюджетное научное учреждение "Научно-исследовательский радиофизический институт" Устройство для линейных перемещений с нанометровой точностью в большом диапазоне возможных перемещений
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) * 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140136313A1 (en) * 2012-11-14 2014-05-15 Satyam Shaw Categorizing content selections
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5657039B2 (ja) * 2013-01-28 2015-01-21 株式会社日立ハイテクノロジーズ 試料搭載装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9708367B2 (en) 2013-03-15 2017-07-18 Synergy Pharmaceuticals, Inc. Agonists of guanylate cyclase and their uses
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
CN105684108A (zh) 2013-09-04 2016-06-15 Ckd株式会社 电磁致动器用电枢线圈、电磁致动器、曝光装置及器件制造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6351992B2 (ja) * 2014-02-17 2018-07-04 株式会社Screenホールディングス 変位検出装置、基板処理装置、変位検出方法および基板処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR101963336B1 (ko) * 2015-02-04 2019-03-28 카와사키 주코교 카부시키 카이샤 로봇의 편차 자동조정 장치 및 로봇의 편차 자동조정 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN107278279B (zh) 2015-02-23 2020-07-03 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
KR20230107706A (ko) 2015-02-23 2023-07-17 가부시키가이샤 니콘 계측 장치, 리소그래피 시스템 및 노광 장치, 그리고디바이스 제조 방법
EP4300194A3 (en) 2015-02-23 2024-04-10 Nikon Corporation Measurement device, lithography system and exposure apparatus, and control method, overlay measurement method and device manufacturing method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
DE102015207275B4 (de) * 2015-04-22 2018-06-07 Robert Bosch Gmbh Maßverkörperung mit signalkompensierenden Markierungen
SG10201603103UA (en) * 2015-04-30 2016-11-29 Canon Kk Imprint device, substrate conveying device, imprinting method, and method for manufacturing article
CN104897102B (zh) * 2015-05-15 2017-11-07 浙江工业大学 一种球笼保持架自动检测系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102015219810A1 (de) * 2015-10-13 2017-04-13 Dr. Johannes Heidenhain Gmbh X-Y-Tisch mit einer Positionsmesseinrichtung
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6506153B2 (ja) * 2015-10-27 2019-04-24 株式会社Screenホールディングス 変位検出装置および変位検出方法ならびに基板処理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6207671B1 (ja) 2016-06-01 2017-10-04 キヤノン株式会社 パターン形成装置、基板配置方法及び物品の製造方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102222149B1 (ko) * 2016-07-26 2021-03-03 에이에스엠엘 네델란즈 비.브이. 레벨 센서 장치, 기판에 걸친 토포그래피 변동을 측정하는 방법, 리소그래피 프로세스에 관련된 물리적 파라미터의 변동을 측정하는 방법, 및 리소그래피 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP2018054500A (ja) * 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7101102B2 (ja) * 2018-11-15 2022-07-14 東京エレクトロン株式会社 搬送ロボットシステム、教示方法、及びウエハ収容容器
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11209373B2 (en) * 2019-06-21 2021-12-28 Kla Corporation Six degree of freedom workpiece stage
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2471038A (en) * 1946-10-29 1949-05-24 Jack & Heintz Prec Ind Inc Work centering attachment for metal turning machines
US3059260A (en) 1959-11-16 1962-10-23 Lester R Peilet Spring actuated self-cleaning retractable brush or the like
US4346164A (en) * 1980-10-06 1982-08-24 Werner Tabarelli Photolithographic method for the manufacture of integrated circuits
JPS57117238A (en) 1981-01-14 1982-07-21 Nippon Kogaku Kk <Nikon> Exposing and baking device for manufacturing integrated circuit with illuminometer
JPS57153433A (en) * 1981-03-18 1982-09-22 Hitachi Ltd Manufacturing device for semiconductor
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58202448A (ja) * 1982-05-21 1983-11-25 Hitachi Ltd 露光装置
JPS5919912A (ja) 1982-07-26 1984-02-01 Hitachi Ltd 液浸距離保持装置
DD221563A1 (de) * 1983-09-14 1985-04-24 Mikroelektronik Zt Forsch Tech Immersionsobjektiv fuer die schrittweise projektionsabbildung einer maskenstruktur
DD224448A1 (de) * 1984-03-01 1985-07-03 Zeiss Jena Veb Carl Einrichtung zur fotolithografischen strukturuebertragung
JPS6265326A (ja) 1985-09-18 1987-03-24 Hitachi Ltd 露光装置
US4700595A (en) * 1986-05-16 1987-10-20 Silicon Valley Group, Inc. Balance mechanism for movable jaw chuck of a spin station
JPS63157419A (ja) * 1986-12-22 1988-06-30 Toshiba Corp 微細パタ−ン転写装置
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
US5102280A (en) * 1989-03-07 1992-04-07 Ade Corporation Robot prealigner
JP2642216B2 (ja) * 1989-05-23 1997-08-20 サイベック システムズ 半導体物品の予備位置決め方法及び装置
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JPH04305915A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04305917A (ja) * 1991-04-02 1992-10-28 Nikon Corp 密着型露光装置
JPH04306915A (ja) 1991-04-04 1992-10-29 Nec Corp レベル変換回路
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
JP3200874B2 (ja) 1991-07-10 2001-08-20 株式会社ニコン 投影露光装置
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner
JPH0562877A (ja) 1991-09-02 1993-03-12 Yasuko Shinohara 光によるlsi製造縮小投影露光装置の光学系
JPH06124873A (ja) * 1992-10-09 1994-05-06 Canon Inc 液浸式投影露光装置
JP2753930B2 (ja) * 1992-11-27 1998-05-20 キヤノン株式会社 液浸式投影露光装置
JP3316833B2 (ja) 1993-03-26 2002-08-19 株式会社ニコン 走査露光方法、面位置設定装置、走査型露光装置、及び前記方法を使用するデバイス製造方法
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
JP3412704B2 (ja) 1993-02-26 2003-06-03 株式会社ニコン 投影露光方法及び装置、並びに露光装置
US5452078A (en) * 1993-06-17 1995-09-19 Ann F. Koo Method and apparatus for finding wafer index marks and centers
JPH07220990A (ja) * 1994-01-28 1995-08-18 Hitachi Ltd パターン形成方法及びその露光装置
US6225012B1 (en) * 1994-02-22 2001-05-01 Nikon Corporation Method for positioning substrate
JPH07270122A (ja) 1994-03-30 1995-10-20 Canon Inc 変位検出装置、該変位検出装置を備えた露光装置およびデバイスの製造方法
US5546179A (en) * 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
JPH08213306A (ja) 1995-02-08 1996-08-20 Nikon Corp 位置検出装置及び該装置を備えた投影露光装置
US5783833A (en) 1994-12-12 1998-07-21 Nikon Corporation Method and apparatus for alignment with a substrate, using coma imparting optics
JP3387075B2 (ja) * 1994-12-12 2003-03-17 株式会社ニコン 走査露光方法、露光装置、及び走査型露光装置
US5648854A (en) * 1995-04-19 1997-07-15 Nikon Corporation Alignment system with large area search for wafer edge and global marks
JPH08316125A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH08316124A (ja) * 1995-05-19 1996-11-29 Hitachi Ltd 投影露光方法及び露光装置
JPH0961111A (ja) * 1995-08-28 1997-03-07 Nikon Corp パターン座標測定方法および装置
JP3639686B2 (ja) * 1996-01-31 2005-04-20 キヤノン株式会社 基板の保持装置とこれを用いた露光装置、及びデバイスの製造方法
JP3651630B2 (ja) 1996-08-05 2005-05-25 株式会社ニコン 投影露光方法及び投影露光装置
US5825043A (en) * 1996-10-07 1998-10-20 Nikon Precision Inc. Focusing and tilting adjustment system for lithography aligner, manufacturing apparatus or inspection apparatus
JPH10199804A (ja) * 1996-11-14 1998-07-31 Nikon Corp 投影露光装置及び投影露光方法並びにデバイス製造方法
JPH10247681A (ja) * 1997-03-04 1998-09-14 Nikon Corp 位置ずれ検出方法及び装置、位置決め装置並びに露光装置
JP3747566B2 (ja) * 1997-04-23 2006-02-22 株式会社ニコン 液浸型露光装置
JP3817836B2 (ja) * 1997-06-10 2006-09-06 株式会社ニコン 露光装置及びその製造方法並びに露光方法及びデバイス製造方法
JPH1116816A (ja) 1997-06-25 1999-01-22 Nikon Corp 投影露光装置、該装置を用いた露光方法、及び該装置を用いた回路デバイスの製造方法
JP4210871B2 (ja) * 1997-10-31 2009-01-21 株式会社ニコン 露光装置
US6164894A (en) * 1997-11-04 2000-12-26 Cheng; David Method and apparatus for integrated wafer handling and testing
KR20010032714A (ko) * 1997-12-03 2001-04-25 오노 시게오 기판 반송방법 및 기판 반송장치, 이것을 구비한 노광장치및 이 노광장치를 이용한 디바이스 제조방법
JPH11176727A (ja) * 1997-12-11 1999-07-02 Nikon Corp 投影露光装置
JP4264676B2 (ja) * 1998-11-30 2009-05-20 株式会社ニコン 露光装置及び露光方法
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6374149B1 (en) * 1998-05-18 2002-04-16 Texas Instruments Incorporated System and method for determining the center of a wafer on a wafer table
AU3849199A (en) 1998-05-19 1999-12-06 Nikon Corporation Aberration measuring instrument and measuring method, projection exposure apparatus provided with the instrument and device-manufacturing method using the measuring method, and exposure method
JP2000058436A (ja) 1998-08-11 2000-02-25 Nikon Corp 投影露光装置及び露光方法
JP2000077314A (ja) * 1998-09-03 2000-03-14 Nikon Corp リソグラフィシステム及び露光装置
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6961113B1 (en) * 1999-05-28 2005-11-01 Nikon Corporation Exposure method and apparatus
US6342705B1 (en) * 1999-09-10 2002-01-29 Chapman Instruments System for locating and measuring an index mark on an edge of a wafer
WO2001035168A1 (en) 1999-11-10 2001-05-17 Massachusetts Institute Of Technology Interference lithography utilizing phase-locked scanning beams
JP3099826B2 (ja) * 1999-12-09 2000-10-16 株式会社ニコン 露光装置、露光方法、及び素子製造方法
TWI240849B (en) * 2000-02-10 2005-10-01 Asml Netherlands Bv Object positioning method for a lithographic projection apparatus
JP2001313250A (ja) 2000-02-25 2001-11-09 Nikon Corp 露光装置、その調整方法、及び前記露光装置を用いるデバイス製造方法
SG124257A1 (en) 2000-02-25 2006-08-30 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution
JP2001332490A (ja) * 2000-03-14 2001-11-30 Nikon Corp 位置合わせ方法、露光方法、露光装置、及びデバイス製造方法
JP2001257157A (ja) 2000-03-14 2001-09-21 Nikon Corp アライメント装置、アライメント方法、露光装置、及び露光方法
JP2002014005A (ja) 2000-04-25 2002-01-18 Nikon Corp 空間像計測方法、結像特性計測方法、空間像計測装置及び露光装置
US20020041377A1 (en) 2000-04-25 2002-04-11 Nikon Corporation Aerial image measurement method and unit, optical properties measurement method and unit, adjustment method of projection optical system, exposure method and apparatus, making method of exposure apparatus, and device manufacturing method
JP2002134384A (ja) * 2000-10-20 2002-05-10 Nikon Corp 露光方法及び装置、並びにデバイス製造方法
JP2002184665A (ja) * 2000-12-13 2002-06-28 Nikon Corp アライメント装置及びアライメント方法、露光装置
US6788385B2 (en) * 2001-06-21 2004-09-07 Nikon Corporation Stage device, exposure apparatus and method
TW529172B (en) 2001-07-24 2003-04-21 Asml Netherlands Bv Imaging apparatus
US6728596B1 (en) * 2001-11-28 2004-04-27 Therma-Wave, Inc. Wafer prealigner with phase sensitive detection
KR20040086313A (ko) 2002-01-29 2004-10-08 가부시키가이샤 니콘 노광장치 및 노광방법
JP2004072076A (ja) * 2002-06-10 2004-03-04 Nikon Corp 露光装置及びステージ装置、並びにデバイス製造方法
TWI242691B (en) * 2002-08-23 2005-11-01 Nikon Corp Projection optical system and method for photolithography and exposure apparatus and method using same
SG121822A1 (en) * 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1420299B1 (en) * 2002-11-12 2011-01-05 ASML Netherlands B.V. Immersion lithographic apparatus and device manufacturing method
CN101349876B (zh) * 2002-11-12 2010-12-01 Asml荷兰有限公司 光刻装置和器件制造方法
CN100568101C (zh) * 2002-11-12 2009-12-09 Asml荷兰有限公司 光刻装置和器件制造方法
EP1420298B1 (en) 2002-11-12 2013-02-20 ASML Netherlands B.V. Lithographic apparatus
DE60335595D1 (de) 2002-11-12 2011-02-17 Asml Netherlands Bv Lithographischer Apparat mit Immersion und Verfahren zur Herstellung einer Vorrichtung
JP4423559B2 (ja) 2002-12-03 2010-03-03 株式会社ニコン 汚染物質除去方法
JP4595320B2 (ja) * 2002-12-10 2010-12-08 株式会社ニコン 露光装置、及びデバイス製造方法
EP1571696A4 (en) * 2002-12-10 2008-03-26 Nikon Corp EXPOSURE DEVICE AND METHOD OF MANUFACTURE
WO2004053955A1 (ja) * 2002-12-10 2004-06-24 Nikon Corporation 露光装置及びデバイス製造方法
SG150388A1 (en) 2002-12-10 2009-03-30 Nikon Corp Exposure apparatus and method for producing device
JP4645027B2 (ja) * 2002-12-10 2011-03-09 株式会社ニコン 露光装置及び露光方法、デバイス製造方法
US7242455B2 (en) * 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
JP4352874B2 (ja) 2002-12-10 2009-10-28 株式会社ニコン 露光装置及びデバイス製造方法
AU2003289427A1 (en) * 2002-12-24 2004-07-22 Nikon Corporation Aberration measuring method, exposure method and exposure system
KR101205262B1 (ko) * 2003-01-23 2012-11-27 가부시키가이샤 니콘 노광 장치
US6903338B2 (en) * 2003-01-30 2005-06-07 Kla-Tencor Technologies Corporation Method and apparatus for reducing substrate edge effects in electron lenses
US7008295B2 (en) * 2003-02-04 2006-03-07 Applied Materials Inc. Substrate monitoring during chemical mechanical polishing
JP4228137B2 (ja) * 2003-02-14 2009-02-25 株式会社ニコン 露光装置及びデバイス製造方法
US7372250B2 (en) * 2003-02-20 2008-05-13 Applied Materials, Inc. Methods and apparatus for determining a position of a substrate relative to a support stage
JP4604452B2 (ja) 2003-02-26 2011-01-05 株式会社ニコン 露光装置、露光方法、及びデバイス製造方法
KR101381538B1 (ko) * 2003-02-26 2014-04-04 가부시키가이샤 니콘 노광 장치, 노광 방법 및 디바이스 제조 방법
JP2004260117A (ja) * 2003-02-27 2004-09-16 Nikon Corp ステージ装置、露光装置、及びデバイス製造方法
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
SG125108A1 (en) * 2003-03-11 2006-09-29 Asml Netherlands Bv Assembly comprising a sensor for determining at least one of tilt and height of a substrate, a method therefor and a lithographic projection apparatus
JP4362862B2 (ja) 2003-04-01 2009-11-11 株式会社ニコン ステージ装置及び露光装置
JP2004311897A (ja) * 2003-04-10 2004-11-04 Nikon Corp 露光方法及び装置、デバイス製造方法、並びにマスク
EP2950148B1 (en) * 2003-04-10 2016-09-21 Nikon Corporation Environmental system including vaccum scavenge for an immersion lithography apparatus
SG139736A1 (en) * 2003-04-11 2008-02-29 Nikon Corp Apparatus having an immersion fluid system configured to maintain immersion fluid in a gap adjacent an optical assembly
JP4315420B2 (ja) * 2003-04-18 2009-08-19 キヤノン株式会社 露光装置及び露光方法
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US7213963B2 (en) * 2003-06-09 2007-05-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP3862678B2 (ja) * 2003-06-27 2006-12-27 キヤノン株式会社 露光装置及びデバイス製造方法
JP4697138B2 (ja) * 2003-07-08 2011-06-08 株式会社ニコン 液浸リソグラフィ装置、液浸リソグラフィ方法、デバイス製造方法
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
US7589822B2 (en) * 2004-02-02 2009-09-15 Nikon Corporation Stage drive method and stage unit, exposure apparatus, and device manufacturing method
US20050221736A1 (en) * 2004-03-30 2005-10-06 Nikon Corporation Wafer polishing control system for chemical mechanical planarization machines
US7161664B2 (en) * 2004-04-13 2007-01-09 Electronic Scripting Products, Inc. Apparatus and method for optical determination of intermediate distances
JP2006073915A (ja) * 2004-09-06 2006-03-16 Nikon Corp マーク、搬送装置、露光装置、位置検出方法及び搬送方法並びにデバイス製造方法
CN100477083C (zh) 2004-10-13 2009-04-08 株式会社尼康 曝光装置、曝光方法及组件制造方法
US7119876B2 (en) * 2004-10-18 2006-10-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7583357B2 (en) * 2004-11-12 2009-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI536429B (zh) * 2004-11-18 2016-06-01 尼康股份有限公司 A position measuring method, a position control method, a measuring method, a loading method, an exposure method and an exposure apparatus, and a device manufacturing method
US7352440B2 (en) * 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography

Also Published As

Publication number Publication date
KR20160148059A (ko) 2016-12-23
SG157404A1 (en) 2009-12-29
TWI553703B (zh) 2016-10-11
TWI649790B (zh) 2019-02-01
CN103149803A (zh) 2013-06-12
US20130329200A1 (en) 2013-12-12
JP2016173607A (ja) 2016-09-29
IL221687A0 (en) 2012-10-31
HK1206822A1 (en) 2016-01-15
JP2012084927A (ja) 2012-04-26
HK1182460A1 (en) 2013-11-29
JP2016040624A (ja) 2016-03-24
US10222708B2 (en) 2019-03-05
JP6143135B2 (ja) 2017-06-07
US20100134779A1 (en) 2010-06-03
US20180081283A1 (en) 2018-03-22
TW200633009A (en) 2006-09-16
US8059260B2 (en) 2011-11-15
CN103186057B (zh) 2015-12-23
JP5403296B2 (ja) 2014-01-29
EP1821336A1 (en) 2007-08-22
JP2011155285A (ja) 2011-08-11
TW201837984A (zh) 2018-10-16
HK1182459A1 (zh) 2013-11-29
HK1198210A1 (en) 2015-03-13
KR101421849B1 (ko) 2014-07-24
EP1821336B1 (en) 2019-04-17
JP6555554B2 (ja) 2019-08-07
HK1198211A1 (en) 2015-03-13
TW201730928A (zh) 2017-09-01
KR101421850B1 (ko) 2014-07-24
KR20180054934A (ko) 2018-05-24
CN103149802A (zh) 2013-06-12
US20160161861A1 (en) 2016-06-09
KR20120065437A (ko) 2012-06-20
IL221688A0 (en) 2012-10-31
TW201324585A (zh) 2013-06-16
CN104360582A (zh) 2015-02-18
CN103149803B (zh) 2016-03-30
EP1821336A4 (en) 2011-03-09
CN103149802B (zh) 2015-10-14
US9223231B2 (en) 2015-12-29
EP2772804A1 (en) 2014-09-03
JP5967393B2 (ja) 2016-08-10
JP6399321B2 (ja) 2018-10-03
KR101689100B1 (ko) 2017-01-02
US20130329201A1 (en) 2013-12-12
US9348238B2 (en) 2016-05-24
IL221688A (en) 2013-11-28
JP5392512B2 (ja) 2014-01-22
US9857692B2 (en) 2018-01-02
EP3346486A1 (en) 2018-07-11
JP2014131082A (ja) 2014-07-10
KR20070085211A (ko) 2007-08-27
TW201338018A (zh) 2013-09-16
KR20120065436A (ko) 2012-06-20
TWI536429B (zh) 2016-06-01
KR20150112038A (ko) 2015-10-06
US9298108B2 (en) 2016-03-29
JPWO2006054682A1 (ja) 2008-06-05
JP2012094902A (ja) 2012-05-17
TWI588872B (zh) 2017-06-21
KR20150010775A (ko) 2015-01-28
CN101057316A (zh) 2007-10-17
US20160018745A1 (en) 2016-01-21
JP2010118684A (ja) 2010-05-27
JP2019066887A (ja) 2019-04-25
TW201334032A (zh) 2013-08-16
CN104360582B (zh) 2017-06-16
TW201835972A (zh) 2018-10-01
KR101861949B1 (ko) 2018-07-02
SG2014009179A (en) 2014-04-28
KR101578629B1 (ko) 2015-12-17
US8072578B2 (en) 2011-12-06
KR101670571B1 (ko) 2016-10-28
IL221687A (en) 2013-11-28
HK1251949A1 (zh) 2019-05-03
JP2016075955A (ja) 2016-05-12
US20060158632A1 (en) 2006-07-20
KR101437298B1 (ko) 2014-09-02
SG10201505315UA (en) 2015-08-28
US20080151257A1 (en) 2008-06-26
TWI538013B (zh) 2016-06-11
CN101057316B (zh) 2013-03-06
IL183280A0 (en) 2007-09-20
US20080151267A1 (en) 2008-06-26
KR20140018367A (ko) 2014-02-12
US8054465B2 (en) 2011-11-08
JP2017142538A (ja) 2017-08-17
JP5447545B2 (ja) 2014-03-19
WO2006054682A1 (ja) 2006-05-26
JP2018067014A (ja) 2018-04-26
TWI654661B (zh) 2019-03-21
JP2015111682A (ja) 2015-06-18
JP4877653B2 (ja) 2012-02-15
KR101452483B1 (ko) 2014-10-21
JP2012103269A (ja) 2012-05-31
JP5721064B2 (ja) 2015-05-20
KR101493641B1 (ko) 2015-02-13
EP2772803A1 (en) 2014-09-03
TW201630047A (zh) 2016-08-16
TWI393170B (zh) 2013-04-11
HK1182185A1 (zh) 2013-11-22
US8576379B2 (en) 2013-11-05
US9223230B2 (en) 2015-12-29
SG2014009153A (en) 2014-05-29
US20080151214A1 (en) 2008-06-26
JP6229766B2 (ja) 2017-11-15
US20070216893A1 (en) 2007-09-20
US20130329208A1 (en) 2013-12-12
JP4986187B2 (ja) 2012-07-25
KR20140098194A (ko) 2014-08-07
CN103186057A (zh) 2013-07-03
JP5630345B2 (ja) 2014-11-26
JP5900763B2 (ja) 2016-04-06

Similar Documents

Publication Publication Date Title
JP6555554B2 (ja) 露光装置及び露光方法、並びに半導体デバイス製造方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 4