CN105470194A - 用核化抑制的特征填充 - Google Patents
用核化抑制的特征填充 Download PDFInfo
- Publication number
- CN105470194A CN105470194A CN201510644832.1A CN201510644832A CN105470194A CN 105470194 A CN105470194 A CN 105470194A CN 201510644832 A CN201510644832 A CN 201510644832A CN 105470194 A CN105470194 A CN 105470194A
- Authority
- CN
- China
- Prior art keywords
- feature
- tungsten
- plasma
- deposition
- exposed
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000005764 inhibitory process Effects 0.000 title abstract description 11
- 230000006911 nucleation Effects 0.000 title abstract description 5
- 238000010899 nucleation Methods 0.000 title abstract description 5
- 238000000034 method Methods 0.000 claims abstract description 256
- 229910052721 tungsten Inorganic materials 0.000 claims abstract description 169
- 239000010937 tungsten Substances 0.000 claims abstract description 169
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims abstract description 167
- 230000008569 process Effects 0.000 claims abstract description 93
- 238000011049 filling Methods 0.000 claims abstract description 45
- 238000000151 deposition Methods 0.000 claims description 126
- 239000000758 substrate Substances 0.000 claims description 104
- 230000008021 deposition Effects 0.000 claims description 93
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 75
- 239000000126 substance Substances 0.000 claims description 71
- 230000001629 suppression Effects 0.000 claims description 69
- 239000000463 material Substances 0.000 claims description 55
- 239000007789 gas Substances 0.000 claims description 49
- 229910052757 nitrogen Inorganic materials 0.000 claims description 39
- 230000003213 activating effect Effects 0.000 claims description 30
- 229910052739 hydrogen Inorganic materials 0.000 claims description 30
- 239000001257 hydrogen Substances 0.000 claims description 29
- 238000012545 processing Methods 0.000 claims description 26
- 230000008602 contraction Effects 0.000 claims description 22
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 20
- 229910052799 carbon Inorganic materials 0.000 claims description 16
- 239000003638 chemical reducing agent Substances 0.000 claims description 14
- 230000001105 regulatory effect Effects 0.000 claims description 14
- 229910052751 metal Inorganic materials 0.000 claims description 12
- 239000002184 metal Substances 0.000 claims description 12
- 230000007704 transition Effects 0.000 claims description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 10
- 238000011065 in-situ storage Methods 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 10
- 229910052760 oxygen Inorganic materials 0.000 claims description 10
- 239000003153 chemical reaction reagent Substances 0.000 claims description 9
- 150000002431 hydrogen Chemical class 0.000 claims description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- 238000000137 annealing Methods 0.000 claims description 8
- 150000004767 nitrides Chemical class 0.000 claims description 8
- 238000005530 etching Methods 0.000 claims description 6
- 230000003647 oxidation Effects 0.000 claims description 2
- 238000007254 oxidation reaction Methods 0.000 claims description 2
- 230000000694 effects Effects 0.000 abstract description 23
- 230000002401 inhibitory effect Effects 0.000 abstract description 15
- 238000011282 treatment Methods 0.000 abstract description 11
- 229910052710 silicon Inorganic materials 0.000 abstract description 8
- 239000010703 silicon Substances 0.000 abstract description 8
- 230000010354 integration Effects 0.000 abstract description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 34
- 239000004065 semiconductor Substances 0.000 description 14
- 238000005516 engineering process Methods 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 9
- 238000002161 passivation Methods 0.000 description 9
- 230000001276 controlling effect Effects 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 230000004913 activation Effects 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 239000010949 copper Substances 0.000 description 7
- 238000006243 chemical reaction Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- 239000000376 reactant Substances 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 239000011149 active material Substances 0.000 description 5
- 230000004888 barrier function Effects 0.000 description 5
- 230000006872 improvement Effects 0.000 description 5
- 230000001590 oxidative effect Effects 0.000 description 5
- 238000006722 reduction reaction Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 208000012766 Growth delay Diseases 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 4
- 238000007654 immersion Methods 0.000 description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 4
- 238000002203 pretreatment Methods 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 239000003112 inhibitor Substances 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 230000001737 promoting effect Effects 0.000 description 3
- 238000002791 soaking Methods 0.000 description 3
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- -1 Nitrogen ion Chemical class 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 101000911390 Homo sapiens Coagulation factor VIII Proteins 0.000 description 1
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical group O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000019552 anatomical structure morphogenesis Effects 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 238000000889 atomisation Methods 0.000 description 1
- 229910001423 beryllium ion Inorganic materials 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- KCBGPORQPUTBDJ-UHFFFAOYSA-N carbon monoxide;tungsten Chemical compound O=C=[W] KCBGPORQPUTBDJ-UHFFFAOYSA-N 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000002500 effect on skin Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 102000057593 human F8 Human genes 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 230000002779 inactivation Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 210000001161 mammalian embryo Anatomy 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 229940047431 recombinate Drugs 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000007592 spray painting technique Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- XROWMBWRMNHXMF-UHFFFAOYSA-J titanium tetrafluoride Chemical compound [F-].[F-].[F-].[F-].[Ti+4] XROWMBWRMNHXMF-UHFFFAOYSA-J 0.000 description 1
- KTPZOPJBPDIMSA-UHFFFAOYSA-K trifluorotungsten Chemical compound F[W](F)F KTPZOPJBPDIMSA-UHFFFAOYSA-K 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 230000007306 turnover Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67138—Apparatus for wiring semiconductor or solid state device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/30—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
- H10B41/35—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1068—Formation and after-treatment of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Crystallography & Structural Chemistry (AREA)
Abstract
本发明涉及用核化抑制的特征填充,描述了用钨填充特征的方法,以及相关的系统和装置,其涉及钨核化的抑制。在一些实施方式中,所述方法涉及沿特征轮廓的选择性抑制。选择性抑制钨核化的方法可包括使所述特征暴露于直接或远程等离子体。使用预抑制和后抑制治疗来调节抑制效应,从而促进使用跨越宽的工艺窗口来抑制特征填充。本文所述的方法可用于填充垂直特征,诸如钨通孔,以及水平特征,诸如垂直NAND(VNANA)字元线。所述方法可用于共形填充和由下向上/由内向外的填充。应用的实例包括逻辑和存储接触填充、DRAM埋入式字元线填充、垂直集成存储栅极和字元线填充、以及使用通硅通孔的3-D集成。
Description
相关申请的交叉引用
本申请要求于2014年9月30日提交的美国临时专利申请No.62/058,058、2015年9月25日提交的美国专利申请No.14/866,621的优先权的权益,每个申请的整体公开内容通过引用全部并入本发明以用于所有目的。
技术领域
本发明总体上涉及半导体处理,更具体涉及用核化抑制的特征填充。
背景技术
使用化学气相沉积(CVD)技术进行导电材料的沉积是许多半导体制造工艺的必不可少的部分。这些材料可用于水平互连、相邻金属层之间的通孔、第一金属层和硅衬底上装置之间的触点、以及高深宽比特征。在常规钨沉积工艺中,在沉积室中将衬底加热至预定工艺温度,并且沉积含钨材料的薄层,所述含钨材料的薄层用作种子层或核化层。此后,将剩余的含钨材料(主体层)沉积到核化层上。通常,含钨材料由六氟化钨(WF6)与氢气(H2)的还原反应形成。使含钨材料沉积在衬底的包括特征和场区的整个暴露表面区域之上。
将含钨材料沉积到小的并且尤其是高深宽比的特征中可造成在经填充的特征内部形成接缝和空隙。大接缝可导致高电阻、污染、经填充的材料的损耗,并且另外使集成电路的性能降低。例如,接缝可在填充加工之后延伸接近场区,然后在化学-机械平坦化期间打开。
发明内容
本文所述的是用钨填充特征的方法,以及相关的系统和装置,其包括钨核化的抑制。在一些实施方式中,该方法包括沿特征轮廓选择性抑制。选择性抑制钨核化的方法可以包括使特征暴露于直接等离子体或远程等离子体。预抑制处理和后抑制处理被用于调节抑制效应,有利于在较宽的工艺窗使用抑制进行特征填充。本文所述的方法可以用于填充垂直特征,诸如钨通孔,和水平特征,诸如垂直NAND(VNAND)字元线。所述方法可用于共形填充和由下而上或由内而外的填充。应用的例子包括逻辑和存储器触点填充、DRAM埋入式字元线填充、垂直集成存储器栅极和字元线填充、以及使用通硅通孔的3-D集成。
一方面涉及一种方法,所述方法包括:提供包括特征的衬底,所述特征具有一个或多个特征开口和特征内部;选择性抑制特征中的钨核化,使得沿特征轴存在差别抑制轮廓;调节该差别抑制轮廓以形成经修饰的差别抑制轮廓;以及根据该经修饰的差别抑制轮廓选择性地将钨沉积在特征中。
在一些实施方式中,选择性抑制特征中的钨核化包括在对衬底施加偏置的同时,使特征暴露于直接等离子体。直接等离子体可以包括氮活化物质、氢活化物质、氧活化物质和碳活化物质中的一种或多种。在一些实施方式中,该等离子体是基于氮的和/或基于氢的等离子体。在一些实施方式中,选择性抑制特征中的钨核化包括使特征暴露于远程产生的等离子体。在一些实施方式中,该方法包括在选择性抑制之前将钨层沉积在特征中。
调节差别抑制轮廓的实例包括:使特征浸泡在还原剂或含钨试剂中、使衬底退火、使特征暴露于含氢等离子体、以及使衬底暴露于溅射气体。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨非选择性沉积在特征中。从选择性沉积到非选择性沉积的过渡可包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。从选择性沉积到非选择性沉积的过渡可包括在经选择性沉积的钨上沉积钨核化层。
在一些实施方式中,选择性抑制钨核化包括处理特征的钨表面。在一些实施方式中,选择性抑制钨核化包括处理特征的金属氮化物表面。
在一些实施方式中,选择性抑制在不蚀刻特征中的材料的情况下进行。在一些实施方式中,填充特征在不蚀刻特征中的材料的情况下进行。该特征可以是3-D结构的一部分。
在一些实施方式中,该方法包括使选择性抑制和选择性沉积的循环重复一次或多次以填充特征。
在一些实施方式中,选择性抑制特征中的钨核化包括在对衬底施加偏置的同时,使特征暴露于直接等离子体。直接等离子体可以包括氮、氢、氧和碳活化物质中的一种或多种。在一些实施方式中,该等离子体是基于氮的和/或基于氢的等离子体。在一些实施方式中,选择性抑制特征中的钨核化包括使特征暴露于远程产生的等离子体。在一些实施方式中,该方法包括在选择性抑制之前将钨层沉积在特征中。
调节差别抑制轮廓的实例包括:使特征浸泡在还原剂或含钨试剂中、使衬底退火、使特征暴露于含氢等离子体、以及使衬底暴露于溅射气体。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨非选择性沉积在特征中。从选择性沉积到非选择性沉积的过渡可包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。从选择性沉积到非选择性沉积的过渡可包括在经选择性沉积的钨上沉积钨核化层。
在一些实施方式中,选择性抑制钨核化包括处理特征的钨表面。在一些实施方式中,选择性抑制钨核化包括处理特征的金属氮化物表面。
在一些实施方式中,选择性抑制在不蚀刻特征中的材料的情况下进行。在一些实施方式中,填充特征在不蚀刻特征中的材料的情况下进行。该特征可以是3-D结构的一部分。
在一些实施方式中,该方法包括使选择性抑制和选择性沉积的循环重复一次或多次以填充特征。
在一些实施方式中,选择性抑制特征中的钨核化包括在对衬底施加偏置的同时,使特征暴露于直接等离子体。直接等离子体可以包括氮、氢、氧和碳活化物质中的一种或多种。在一些实施方式中,该等离子体是基于氮的和/或基于氢的等离子体。在一些实施方式中,选择性抑制特征中的钨核化包括使特征暴露于远程产生的等离子体。在一些实施方式中,该方法包括在选择性抑制之前将钨层沉积在特征中。
调节差别抑制轮廓的实例包括:使特征浸泡在还原剂或含钨试剂中、使衬底退火、使特征暴露于含氢等离子体、以及使衬底暴露于溅射气体。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨非选择性沉积在特征中。从选择性沉积到非选择性沉积的过渡可包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。从选择性沉积到非选择性沉积的过渡可包括在经选择性沉积的钨上沉积钨核化层。
在一些实施方式中,选择性抑制钨核化包括处理特征的钨表面。在一些实施方式中,选择性抑制钨核化包括处理特征的金属氮化物表面。
在一些实施方式中,选择性抑制在不蚀刻特征中的材料的情况下进行。在一些实施方式中,填充特征在不蚀刻特征中的材料的情况下进行。该特征可以是3-D结构的一部分。
在一些实施方式中,该方法包括使选择性抑制和选择性沉积的循环重复一次或多次以填充特征。
在一些实施方式中,选择性抑制特征中的钨核化包括在对衬底施加偏置的同时,使特征暴露于直接等离子体。直接等离子体可以包括氮、氢、氧和碳活化物质中的一种或多种。在一些实施方式中,该等离子体是基于氮的和/或基于氢的等离子体。在一些实施方式中,选择性抑制特征中的钨核化包括使特征暴露于远程产生的等离子体。在一些实施方式中,该方法包括在选择性抑制之前将钨层沉积在特征中。
调节差别抑制轮廓的实例包括:使特征浸泡在还原剂或含钨试剂中、使衬底退火、使特征暴露于含氢等离子体、以及使衬底暴露于溅射气体。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨非选择性沉积在特征中。从选择性沉积到非选择性沉积的过渡可包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。从选择性沉积到非选择性沉积的过渡可包括在经选择性沉积的钨上沉积钨核化层。
在一些实施方式中,选择性抑制钨核化包括处理特征的钨表面。在一些实施方式中,选择性抑制钨核化包括处理特征的金属氮化物表面。
在一些实施方式中,选择性抑制在不蚀刻特征中的材料的情况下进行。在一些实施方式中,填充特征在不蚀刻特征中的材料的情况下进行。该特征可以是3-D结构的一部分。
在一些实施方式中,该方法包括使选择性抑制和选择性沉积的循环重复一次或多次以填充特征。
另一方面涉及一种方法,所述方法包括:提供包括特征的衬底,所述特征具有一个或多个特征开口和特征内部;使特征暴露于以下情况中的一种:氧化环境、真空中断、还原剂浸泡、或含钨试剂浸泡;在使特征暴露之后,选择性抑制特征中的钨核化,使得沿特征轴线存在差别抑制轮廓;以及根据经修饰的差别抑制轮廓选择性地将钨沉积在特征中。
在一些实施方式中,选择性抑制特征中的钨核化包括在对衬底施加偏置的同时,使特征暴露于直接等离子体。该等离子体可以包含氮、氢、氧和碳活化物质中的一种或多种。
在一些实施方式中,该等离子体可以是基于氮的或基于氢的等离子体。
在一些实施方式中,选择性抑制特征中的钨核化包括使特征暴露于远程产生的等离子体。该方法可以进一步包括在选择性抑制之前将钨层沉积在特征中。
在一些实施方式中,使特征暴露包括使特征浸泡在还原剂或含钨试剂中。
在一些实施方式中,该方法包括调节差别抑制轮廓。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨沉积在特征中以完成特征填充。
在一些实施方式中,该方法包括在将钨选择性沉积在特征中之后,将钨非选择性沉积在特征中。从选择性沉积到非选择性沉积的过渡可包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。从选择性沉积到非选择性沉积的过渡可包括在经选择性沉积的钨上沉积钨核化层。
在一些实施方式中,选择性抑制钨核化包括处理特征的钨表面。在一些实施方式中,选择性抑制钨核化包括处理特征的金属氮化物表面。
在一些实施方式中,选择性抑制在不蚀刻特征中的材料的情况下进行。在一些实施方式中,填充特征在不蚀刻特征中的材料的情况下进行。该特征可以是3-D结构的一部分。
另一方面是一种方法,所述方法包括在钨沉积室中处理一个或多个衬底之前,使钨沉积室暴露于抑制处理。
另一方面是一种装置,所述装置包括一个或多个室,其被配置以支撑衬底;原位等离子体产生器,其被配置以在所述室中的一个或多个中产生等离子体;气体入口,其被配置以将气体引导至所述一个或多个室中的每一个室中;以及控制器,其包括用于执行任何上述方法的程序指令。
这些和其它方面在下文参照附图进行进一步描述。
附图说明
图1A-1G示出可根据本文所述的工艺填充的各种结构的实例。
图2A-2C为示出用钨填充特征的方法中的某些操作的工艺流程图。
图2D是示出生长延迟时间(抑制后)与抑制处理之前所沉积的钨层厚度的函数关系的曲线图。
图3A-3C、4A-4D、4F-4G是示出在选择性抑制方法中的某些操作的工艺流程图。图4H是一种流程图的实例。
图3D是示出抑制调节与预抑制暴露于空气的持续时间的函数关系的曲线图。
图4E是示出抑制调节与后抑制退火持续时间的函数关系的曲线图。
图4I是示出后抑制氢等离子体在抑制方面的效果的柱状图。
图5-7是示出在特征填充的各个阶段下的特征的示意图。
图8、9A和9B是示出适用于实施本文所述方法的装置的实例的示意图。
图10示出了图解清洁沉积室的方法中的操作的过程图。
具体实施方式
在以下说明中,示出了多个具体细节以提供对本发明的深入理解。本发明可在没有这些具体细节中的一些或全部的情况下实施。在其它情况下,不详细描述熟知的工艺操作,以不必要地模糊本发明。虽然本发明将结合具体实施方式进行说明,但是应理解其不旨在将本发明限于所述实施方式。
本文描述了用钨(W)填充特征的方法以及相关系统和装置。应用的实例包括逻辑和存储器触点填充、DRAM埋入式字元线填充、垂直集成存储器栅极/字元线填充、以及使用通硅通孔(TSV)的3-D集成。本文所述的方法可用于填充垂直特征(诸如在钨通孔中)和水平特征(诸如垂直NAND(VNAND)的字元线)。所述方法可用于共形填充和由下而上或由内向外的填充。
根据多种实施方式,特征可通过狭窄和/或内凹开口、特征内的收缩部和高深宽比中的一个或多个来表征。可填充的特征的实例描绘于图1A-1C中。图1A示出了待用钨填充的垂直特征101的剖视图的实例。特征可包括衬底103中的特征孔105。衬底可以为硅晶片,例如200mm晶片、300mm晶片、450mm晶片,包括上面沉积有一个或多个材料层的晶片,所述材料诸如介电、导电或半导体材料。在一些实施方式中,特征孔105可具有至少约2:1、至少约4:1、至少约6:1或更高的深宽比。特征孔105还可具有开口附近的尺寸,例如介于约10nm至500nm之间的开口直径或线宽。例如,该开口尺寸可以是介于约25nm至300nm之间。特征孔105可被称为未填充特征或简称为特征。该特征和任何特征可以部分地由轴线118来表征,轴线118延伸穿过特征的长度,其中垂直取向的特征具有竖轴线,而水平取向的特征具有水平轴线。
图1B示出了特征101的实例,所述特征101具有内凹轮廓。所述内凹轮廓是从底部封闭端到特征开口或从特征内部到特征开口变窄的轮廓。根据多种实施方式,轮廓可逐渐变窄和/或包括在特征开口处的突出部。图1B示出后者的实例,其中内层113作为特征孔105的内表面的内衬。内层113可例如为扩散阻隔层、粘接层、核化层、它们的组合或任何其它适用的材料。这样的内层实例包括氮化钛(TiN)内层、钛/氮化钛(Ti/TiN)内层和氮化钨(WN)内层。内层113形成突出部115,使得内层113在特征101的开口附近比在特征101内部厚。
在一些实施方式中,可填充内部具有一个或多个收缩部的特征。图1C示出了不同的具有收缩部的经填充特征的实例的视图。图1C中的实例(a)、(b)、(c)中的每一个均包括在特征中点处的收缩部109。收缩部109可以为例如介于约15nm-20nm宽。在使用常规技术将钨沉积在特征的期间,收缩部可造成夹断,并且沉积的钨在所述特征的部分被填充之前阻挡进一步通过收缩部的沉积,从而导致特征中的空隙。实例(b)还包括在特征开口处的内衬/阻隔突出部115。此类突出部也可以是潜在的夹断点。实例(c)包括比实例(b)的突出部115更远离场区的收缩部112。如下文进一步描述的,本文所述的方法允许如图1C中所描绘的无空隙填充。
还可填充如3-D存储结构中的水平特征。图1D示出了包括收缩部151的VNAND结构148中的字元线150的实例。在一些实施方式中,收缩部可能是由于VNAND或其它结构中支柱的存在而造成。例如,图1E示出了VNAND结构中支柱125的平面图,而图1F示出了支柱125的剖视图的简化示意图。图1E中的箭头表示沉积材料;因为支柱125设置在区域127和气体入口或其它沉积源之间,所以相邻的支柱可产生收缩部,所述收缩部给区域127的无空隙填充提出了挑战。
图1G提供了例如包括支柱收缩部151的VNAND或其它结构的水平特征的另一个视图实例。图1G中的实例是末端开放的,其中待沉积的材料能够如箭头所指示的从两侧横向进入。(应注意,图1G中的实例可被视为以描绘结构的3-D特征的2-D图,图1G为待填充区域的剖视图,并且在图中所示的支柱收缩部代表将在平面图而不是剖视图中看到的收缩部。)在一些实施方式中,3-D结构的特征可表征为待填充的沿三维(例如在图1F的实例中在X、Y、Z方向上)延伸的区域,并且对于填充而言,可存在比填充沿一个或两个维度延伸的孔或沟槽更多的挑战。例如,控制3-D结构的填充可具有挑战性,因为沉积气体可从多个维度进入特征。
用含钨材料填充特征可造成经填充特征内部的空隙和接缝形成。空隙是特征中未填充的区域。例如,空隙可在沉积材料在特征内形成夹断点,密封特征内未填充空间从而防止反应物进入并沉积时形成。
对于空隙和接缝形成存在多个可能的原因。一个原因是在沉积含钨材料或更典型地沉积诸如扩散阻隔层或核化层之类的其它材料期间在特征开口附近形成突出部。图1B中示出了突出部的一个实例。
空隙和接缝形成的另一个原因(其未在图1B中示出,但是可导致接缝形成或扩大接缝)是特征孔的弯曲侧壁。具有这样弯曲侧壁的特征也被称为弓形特征。在弓形特征中,开口附近的腔体的横截面尺寸小于特征内部的横截面尺寸。由弓形特征中的较窄开口所带来的沉积挑战类似于由上述突出部所带来的挑战。特征内的收缩部(诸如图1C、1D和1G中所示)也提出了对在几乎没有或不具有空隙和接缝情况下进行钨填充的挑战。
即使实现了无空隙填充,特征中的钨也可包含贯穿通孔、沟槽、内衬或其它特征的轴线或中部的接缝。这是因为钨可在侧壁处开始生长并继续生长直至钨晶粒会合从相对侧壁生长的钨。该接缝可允许捕获杂质,该杂质包括含氟化合物,诸如氢氟酸(HF)。在化学机械平坦化(CMP)期间,核化现象也可从接缝扩散。根据多种实施方式,本文所述的方法可减少或消除空隙和接缝形成。本文所述的方法还可解决下列中的一个或多个:
1)非常具有挑战性的轮廓:使用如在通过引用并入本发明的美国专利No.8,435,894中所述的沉积-蚀刻-沉积循环,可在大多数内凹特征中实现无空隙填充。然而,取决于尺寸和几何形状,可能需要多个沉积-蚀刻-沉积循环以实现无空隙填充。这会影响工艺稳定性和生产量。本文所述的实施方式可提供具有较少或不具有沉积-蚀刻-沉积循环的特征填充。
2)小特征和内衬/阻隔影响:在特征尺寸极小的情况下,调节蚀刻过程而不影响内衬/阻隔内层的完整性可能是非常困难的。在一些情况下,间歇性钛(Ti)侵蚀可能在W-选择性蚀刻期间发生。这可能是由于在蚀刻期间形成钝化氟化钛(TiFx)层。
3)在W晶粒边界处散射:特征内部中多个W晶粒的存在可由于晶粒边界散射而导致电子损耗。因此,与理论预测值和覆盖(blanket)晶片结果相比,实际设备性能将下降。
4)用于W填充的减小的通孔体积:尤其是在较小和较新的特征中,金属触点的大部分被W阻隔(例如TiN或WN等阻隔)耗尽。这些膜通常比W具有更高的电阻率并且不利地影响如接触电阻等电特性。
图2A为示出用钨填充特征的方法中的某些操作的工艺流程图。该方法始于方框201,在方框201选择性抑制特征。选择性抑制(其还可被称为优先抑制、优先钝化、选择性钝化、差异抑制、或差异钝化)涉及抑制在特征的一部分上的后续钨核化,但不抑制在特征的剩余部分上的核化(或在较小程度上抑制核化)。例如,在一些实施方式中,在特征开口处选择性抑制特征,而不抑制在特征内部的核化。选择性抑制在下文进一步描述,并且可涉及,例如使特征的一部分选择性暴露于等离子体的活化物质。在某些实施方式中,例如,可使特征开口选择性暴露于由分子氮气产生的等离子体。如在下文进一步描述的,特征中期望的抑制轮廓可通过适当选择下列参数中的一个或多个而形成:抑制化学品、衬底偏置功率、等离子体功率、工艺压力、暴露时间、和其它工艺参数。
一旦选择性抑制特征,则所述方法可继续方框203中的根据抑制轮廓选择性沉积钨。方框203可涉及一个或多个化学气相沉积(CVD)和/或原子层沉积(ALD)工艺,其包括热的、等离子体增强CVD和/或ALD工艺。所述沉积是选择性的,因为钨优先在特征的较少抑制部分和非抑制部分上生长。在一些实施方式中,方框203涉及在特征的底部或内部部分中选择性沉积钨直至达到或超过收缩部。
在进行根据抑制轮廓选择性沉积之后,该方法可在方框205中继续填充剩余的特征。在某些实施方式中,方框205涉及CVD工艺,其中含钨前体被氢还原以沉积钨。虽然常常使用六氟化钨(WF6),但是所述工艺可由其它钨前体来执行,所述其它钨前体包括但不限于,六氯化钨(WCl6)、有机金属化前体、以及不含氟的前体,如MDNOW(甲基环戊二烯基-二羰基亚硝酰基-钨)和EDNOW(乙基环戊二烯基-二羰基亚硝酰基-钨)。此外,虽然可将氢用作CVD沉积中的还原剂,但是除了氢之外或代替氢,还可使用包括硅烷在内的其它还原剂。在另一个实施方式中,可在具有或不具有还原剂的情况下,使用六羰钨(W(CO)6)。不同于下文进一步描述的ALD和脉冲核化层(PNL)工艺,在CVD技术中,将WF6和H2或其它反应物同时引入反应室中。这产生混合反应气体的连续化学反应,从而在衬底表面上连续形成钨膜。使用CVD沉积钨膜的方法描述于美国专利No.8,551,885和8,623,733中,出于描述钨沉积工艺的目的,上述专利的全部内容被通过引用的方式并入本文。根据各种实施方式,本文所述的方法不限于填充特征的特定方法,而是可包括任何合适的沉积技术。
在一些实施方式中,方框205可涉及继续进行在方框203处开始的沉积工艺。此类CVD工艺可导致在特征的抑制部分上沉积,其中核化比在特征的非抑制部分上较慢地发生。在一些实施方式中,方框205可涉及钨核化层在特征的至少抑制部分之上的沉积。
根据各种实施方式,被选择性抑制的特征表面可以为阻隔层或内衬层,诸如金属氮化物层,或其可以为沉积以促进钨核化的层。图2B示出一种方法的实例,其中在选择性抑制之前,在特征中沉积钨核化层。所述方法始于方框301中的在特征中沉积钨的薄共形层。所述层可有利于后续的主体含钨材料在其上的沉积。在某些实施方式中,使用PNL技术沉积核化层。在PNL技术中,可将还原剂、吹扫用气体和含钨前体的脉冲依次注入反应室中并从反应室中排出。可以循环方式重复该工艺直至达到期望的厚度。PNL广泛体现了依次添加反应物以在半导体衬底上进行反应的任何循环过程,包括ALD技术。用于沉积钨核化层的PNL技术描述于美国专利6,635,965、7,589,017、7,141,494、7,772,114、8,058,170以及8,623,733和美国专利申请No.12/755,248中,出于描述钨沉积工艺的目的,上述专利文献的整个公开内容通过引用全部并入本文。方框301不限于钨核化层沉积的特定方法,而是包括用于沉积薄共形层的PNL、ALD、CVD和物理气相沉积(PVD)技术。核化层可以足够厚以完全覆盖特征从而支持高质量主体沉积;然而,因为核化层的电阻率高于主体层的电阻率,所以可使核化层的厚度最小化以保持总电阻尽可能低。方框301中沉积的膜的示例性厚度可在小于至的范围内。在方框301中沉积钨的薄共形层之后,所述方法可继续方框201、203和205,如上文参考图2A所述。根据图2B的方法填充特征的实例参考图5描述如下。
在一些实施方式中,在方框301中沉积的层的厚度可以被用于调节后续操作的抑制效应。图2D示出了生长延迟时间(抑制之后)与抑制处理之前沉积的钨层的厚度的函数关系。所述层越薄则抑制效应越强。
图2C示出了一个方法的实例,其中完成填充特征(例如图2A中的方框205)可涉及重复选择性抑制和沉积操作。所述方法可始于方框201,如上文参考图2A所述,其中选择性抑制特征,并继续方框203中的根据抑制轮廓选择性沉积。然后重复方框201和203一次或多次(方框401)以完成特征填充。
另外,选择性抑制可与选择性沉积结合使用。选择性沉积技术描述于美国临时专利申请No.61/616,377中,该专利申请通过引用的方式被并入于本文。
根据多种实施方式,选择性抑制可涉及暴露于使特征表面钝化的活化物质。例如,在某些实施方式中,可通过暴露于基于氮或基于氢的等离子体而使钨(W)表面钝化。在一些实施方式中,抑制可涉及活化物质与特征表面之间的化学反应,以形成化合物材料(诸如氮化钨(WN)或碳化钨(WC))的薄层。在一些实施方式中,抑制可涉及表面效应,诸如吸附,所述吸附钝化表面但不形成化合物材料层。活化物质可通过任何合适的方法形成,合适的方法包括等离子体生成和/或暴露于紫外线(UV)辐射。在一些实施方式中,使包括特征的衬底暴露于等离子体中,所述等离子体由供入内部安置有衬底的室中的一种或多种气体生成。在一些实施方式中,将一种或多种气体供入远程等离子体产生器中,其中将所述远程等离子体产生器中形成的活化物质供入内部安置有衬底的室中。等离子体源可以为包括射频(RF)等离子体源或微波源在内的任一类型的源。等离子体可以是电感耦合和/或电容耦合的。活化物质可包括原子物质、辐射物质和离子物质。在某些实施方式中,暴露于远程生成的等离子体包括暴露于自由基物质或原子化物质,其中等离子体中基本上不存在离子物质使得抑制过程不是离子介导的。在其它实施方式中,离子物质可存在于远程生成的等离子体中。在某些实施方式中,暴露于原位等离子体涉及离子介导的抑制。出于本申请的目的,活化物质区别于重组物质和最初供入等离子体产生器的气体。
抑制化学品可针对适用于随后将暴露于沉积气体的表面定制。对于钨(W)表面,如例如在参考图2B所述的方法中形成的,暴露于基于氮和/或基于氢的等离子体抑制了W表面上的后续钨沉积。其它可用于抑制钨表面的化学品包括基于氧的等离子体和基于烃的等离子体。例如,可将分子氧或甲烷引入等离子体产生器中。
如本文所用,基于氮的等离子体为主要非惰性组分为氮的等离子体。可将诸如氩气、氙气、或氪气之类的惰性组分用作载气。在一些实施方式中,在生成等离子体的气体中不存在其它非惰性组分,但痕量除外。在一些实施例中,抑制化学品可以为含氮、含氢、含氧和/或含碳的,其中在等离子体中存在一种或多种附加的反应性物质。例如,通过引用并入本文的美国专利No.8,124,531描述了通过暴露于三氟化钨(WF3)的钨表面的钝化。类似地,可使用碳氟化物,诸如CF4或C2F8。然而,在某些实施方式中,抑制物质不含氟以防止选择性抑制期间的蚀刻。
在某些实施方式中,除了等离子体外或代替等离子体,还可使用UV辐射以提供活化物质。可使气体暴露于内部安放有衬底的反应室上游和/或内部的紫外光。另外,在某些实施方式中,可使用非等离子体、非UV的热抑制过程。除了钨表面之外,还可抑制内衬/阻隔层表面(诸如TiN和/或WN表面)上的核化。可使用钝化这些表面的任何化学品。对于TiN和WN而言,这可包括暴露于基于氮或含氮的化学品。在某些实施方式中,上述用于W的化学品还可用于TiN、WN或其它内衬层表面。
调节抑制轮廓可涉及适当控制抑制化学品、衬底偏置功率、等离子体功率、工艺压力、暴露时间和其它工艺参数。就原位等离子体工艺(或存在离子物质的其它工艺)而言,可向衬底施加偏置。在一些实施方式中,衬底偏置可显著影响抑制轮廓,其中增加偏置功率导致活性物质较深地进入特征内。例如,在300mm衬底上的100WDC偏置可导致1500nm深衬底的上半部抑制,而700W偏置可导致整个结构的抑制。适用于特定选择性抑制的绝对偏置功率将取决于衬底尺寸、系统、等离子体类型和其它工艺参数、以及期望的抑制轮廓;然而,偏置功率可用于调节顶部到底部的选择性,其中降低偏置功率导致较高的选择性。就期望有在横向方向(钨沉积优选在结构的内部)而不是垂直方向上的选择性的3-D结构而言,增加的偏置功率可用于促进顶部到底部的沉积均匀性。
虽然偏置功率在某些实施方式中可用作用于调节离子物质的抑制轮廓的主要或唯一的调节器(knob),但是在某些情况下,除了偏置功率外或替代偏置功率,实施选择性抑制还使用其它参数。这些包括远程生成的非离子等离子体工艺和非等离子体工艺。并且,在许多系统中,可容易地施用衬底偏置以调节垂直方向上而不是横向方向上的选择性。因此,就期望有横向选择性的3-D结构而言,可控制不同于偏置的其他参数,如上所述。
抑制化学品也可用于调节抑制轮廓,其中使用不同比率的活性抑制物质。例如,就抑制W表面而言,氮气可具有比氢气更强的抑制效应;可利用对在基于形成气体的等离子体中的N2和H2气体的比率的调整来调节轮廓。在不同比率的活性物质通过等离子体功率调节的情况下,等离子体功率也可用于调节抑制轮廓。工艺压力可用于调节轮廓,因为压力可造成更多重组(使活性物质失活)以及将活性物质进一步推进特征中。工艺时间也可用于调节抑制轮廓,增加处理时间造成抑制更深入特征中。
在一些实施方式中,选择性抑制可通过在传质受限状态进行操作203来实现。在该状态下,特征内部的抑制速率受到扩散入特征中的不同抑制材料组分(例如,初始抑制物质、活化抑制物质以及重组抑制物质)的量和/或相对组成限制。在某些实例中,抑制速率取决于各种组分在特征内部的不同位置处的浓度。
传质限制条件可部分地通过总体抑制浓度变化来表征。在某些实施方式中,在特征内部的浓度小于其开口附近的浓度,导致开口附近处的抑制速率高于内部。这继而导致特征开口附近的选择性抑制。传质限制工艺条件可通过如下方法实现:将有限量的抑制物质供入处理室中(例如,相对于腔体轮廓和尺寸,使用低抑制气体流率),同时维持特征开口附近的相对高的抑制速率,以在一些活化物质扩散入特征时消耗所述活化物质。在某些实施方式中,浓度梯度是显著的,这可造成相对高的抑制动力学和相对低的抑制供应。在某些实施方式中,开口附近的抑制速率也可以是受传质限制的,但是该条件不是实现选择性抑制所必需的。
除了特征内的总体抑制浓度变化之外,选择性抑制还可受整个特征中的不同抑制物质的相对浓度的影响。这些相对浓度继而可取决于抑制物质的解离和重组过程的相对动力学。如上所述,初始抑制物质(诸如分子氮)可穿过远程等离子体产生器和/或经受原位等离子体作用以产生活化物质(例如,原子氮、氮离子)。然而,活化物质可重组成较少活性的重组物质(例如,氮分子)和/或可沿其扩散路径与W、WN、TiN或其它特征表面进行反应。因此,特征的不同部分可暴露于不同浓度的不同抑制材料,例如初始抑制气体、活化抑制物质、和重组抑制物质。这提供了用于控制选择性抑制的额外的机会。例如,活化物质通常比初始抑制气体和重组抑制物质更具反应性。另外,在一些情况下,活化物质可能比重组物质对温度变化较不敏感。因此,可以以使得移除主要归因于活化物质这样的方式来控制加工条件。如上所述,一些物质可能比其它物质更具反应性。另外,特定加工条件可导致活性物质在特征开口附近的浓度高于在特征内部的浓度。例如,在扩散更深入特征中,尤其是在小的高深宽比特征中时,一些活化物质可被消耗(例如,与特征表面材料反应和/或吸附到表面上)和/或重组。活化物质的重组还可在特征的外部发生,例如,在喷头或处理室中发生,并且可取决于室压力。因此,可具体控制室压力以调节在室和特征的各个点处的活化物质的浓度。
抑制气体的流率可取决于室的大小、反应速率和其它参数。流率可以以使得开口附近比特征内部集中更多的抑制物质这样的方式选择。在某些实施方式中,这些流率造成传质受限的选择性抑制。例如,用于每站195升室的流率可以介于约25sccm和10,000sccm之间,或者在更具体的实施方式中,介于约50sccm和1,000sccm之间。在某些实施方式中,流率小于约2,000sccm,小于约1,000sccm,或更具体地小于约500sccm。应当注意,这些值对于被构造用于加工300mm衬底的一个独立站提出。这些流率可放大或缩小,具体取决于衬底尺寸、装置中的站数(例如,对于四站装置,四倍)、处理室体积和其它因素。
在某些实施方式中,衬底可在选择性抑制之前加热或冷却。可使用各种装置使衬底达到预定温度,所述装置诸如站中的加热或冷却元件(例如,安装在基座内的电阻加热器或通过基座循环的导热流体)、衬底之上的红外灯、点燃等离子体等。
可选择用于衬底的预定温度,以引发特征表面和抑制物质之间的化学反应和/或促进抑制物质的吸附,以及控制反应或吸附的速率。例如,可选择温度以具有高反应速率,使得开口附近比特征内部发生更强的抑制。另外,还可选择温度以控制活化物质的重组(例如,原子氮重组成分子氮)和/或控制哪种物质(例如,活化物质或重组物质)主要用于抑制。在某些实施方式中,将衬底维持在小于约300℃,或更具体地小于约250℃,或小于约150℃,或甚至小于约100℃。在其它实施方式中,将衬底加热至介于约300℃和450℃之间,或在更具体的实施方式中,至介于约350℃和400℃之间。其它温度范围可用于不同类型的抑制化学品。还可选择暴露时间以造成选择性抑制。示例性暴露时间可在约10秒至500秒的范围内,具体取决于期望的选择性和特征深度。
在一些实施方式中,上述抑制处理被调节,以提高选择性并调节抑制轮廓。图3A-3C和4A-4D提供了在特征中选择性抑制钨沉积的流程图的实施例。图3A-3C提供了在将衬底暴露于基于氮的等离子体或其他抑制化学品之前处理衬底的实施例。首先,在图3A中,该处理开始于将包括特征的衬底暴露于受控的真空中断(350)。如本文所使用的,真空中断指的是衬底不处于真空状态下的期间。在方框350中,可以将衬底暴露于大气压下,例如,在存储盒(例如,前开式标准箱或FOUP)或在装载锁内。在一些实施方式中,可以将衬底暴露于大气温度和/或气体(即,空气)。可替代地,可以控制温度和气体组成。可以控制方框350的持续时间,以有效地调节随后的抑制处理。接着,将衬底暴露于如上所述的抑制处理(352)。在一个具体的实施例中,将衬底暴露于基于氮的等离子体。在图3A所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施例中,方框350在特征中沉积薄膜之后执行,例如在图2A的方框301所示的。在一个实施例中,薄的钨膜可以在第一真空室中沉积在特征中,随后在FOUP或装载锁进行受控的真空中断,然后在第二真空室暴露于基于氮的等离子体。
图3B的工艺类似于图3A的工艺,将包括特征的衬底暴露于氧化性化学品(354)。在一些实施方式中,方框354可以在反应室外进行,例如在FOUP或装载锁内进行。可替代地,方框354可包括在处理室中将衬底暴露于氧化性气体,如氧气(O2)、臭氧(O3)、二氧化碳(CO2)、水(H2O)等。方框354可以在真空或在大气压下进行。根据各种实施方式,方框354可以或可以不涉及使用等离子体活化的物质或UV活化的物质。例如,方框354可包括在非等离子体条件下将衬底暴露于O2,使得所述O2不被活化。在方框354之后,将衬底暴露于抑制处理(352)。在一个具体的实施例中,将衬底暴露于基于氮的等离子体。方框354和352可以在相同的室或不同的室中进行。在图3B所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,方框354在特征中沉积薄膜之后执行,例如在图2B的方框301所示的。
在一些实施方式中,在图3A中的方框350或在图3B中的方框354包括在特征内形成氧化膜。例如,在有薄的共形钨膜沉积在特征中(例如,如在图2B的方框301中)的实现方案中,其中,氧化钨(WOX)可以形成在特征内。在一些实施方式中,在特征内的WOX形成是非共形的。
图3D示出了以下的序列之后执行的钨沉积的生长延迟:a)钨层的沉积,b)暴露于空气(真空中断)和c)暴露于基于氮的等离子体抑制处理。延迟时间被示为空气暴露时间的函数。如图3D所示,空气中断通过减小抑制效应来调节氮等离子体的抑制效应。
图3C的工艺涉及将包括特征的衬底暴露于反应性化学品(356)。反应性化学品的实例包括还原性化学品(例如,乙硼烷(B2H6)或硅烷(SiH4))和含钨的化学品(例如,WF6或WCl6)。方框356之后是将衬底暴露于抑制处理(352)。在一个具体的实例中,衬底被暴露于基于氮的等离子体。方框356和352可以在相同的室或不同的室中进行。在图3C所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,方框356例如在图2B的方框301所示的在特征中沉积薄膜之后执行。方框356可以被称作浸泡,并且通常为非等离子体操作。
下面的表1比较了乙硼烷浸泡之后进行的抑制和在无浸泡之后进行的抑制。对于这两种方法,100埃的钨核化层被沉积,接着进行浸泡/无浸泡操作,随后暴露于氮等离子体。抑制处理之后的沉积操作为300秒(包括延迟)。
表1中的结果表明,B2H6丰富的表面通过增加它来调节抑制效应。
图4A-4D提供将衬底暴露于基于氮的等离子体或其他抑制化学品后且在钨沉积前处理衬底的实例。该处理对抑制进行调节。首先,在图4A中,该处理包括将包含特征的衬底暴露于如上所述的抑制处理(450)。在一个具体的实例中,衬底被暴露于基于氮的等离子体。接着,将衬底进行退火(452)。方框452可以包括将温度升高,例如,升高至少50℃、100℃或200℃。例如,该退火可以在惰性环境中进行,或在氧化环境中进行。方框450和452可以在相同的室或不同的室中进行。在图4A所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。方框452可在其中将执行后续的钨沉积操作的室中进行。在一些实施方式中,方框450可以作为如图3A-3C中的方框352的一部分执行,即,在调节预处理后执行。方框450可以沿特征轴线形成差别抑制轮廓,同时方框452沿特征轴线形成改进的差别抑制轮廓。
图4B的工艺涉及将包括特征的衬底暴露于如上所述的抑制处理(450)后再暴露于反应性化学品(454)。反应性化学品的实例包括还原化学品(例如,B2H6,SiH4)和含钨的化学品(例如,WF6,WCl6)。方框450和454可以在相同的室或不同的室中进行。在图4B中所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,在方框454中的反应性化学品是在随后的钨沉积操作中使用的一种或多种化合物。在一些实施例中,方框450可以作为如图3A-3C中的方框352的一部分执行,即,在调节预处理后执行。方框454可以被称为浸泡,通常为非等离子体操作。方框450可以沿特征轴线形成差别抑制轮廓,同时方框454形成沿特征轴线形成改进的差别抑制轮廓。
图4C的工艺涉及将包括特征的衬底暴露于如上所述的抑制处理(450)后再暴露于氧化性化学品(456)。氧化性化学品的实例包括O2、O3、CO2、和H2O。方框456可以与方框450在相同或不同温度下进行。根据多种实施方式,方框456可以涉及或可以不涉及使用等离子体活化的物质或UV活化的物质。例如,方框456可以包括在非等离子体条件下将衬底暴露于O2,使得O2不被活化。方框450和456可以在相同的室或不同的室中进行。在图4C中所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,方框450可以作为如图3A-3C中的方框352的一部分执行,即,在调节预处理后执行。方框450可以沿特征轴线形成差别抑制轮廓,同时方框456沿特征轴线形成改进的差别抑制轮廓。
图4D的工艺涉及将包括特征的衬底暴露于如上所述的抑制处理(450)后再暴露于溅射气体(458)。溅射气体的实例包括Ar和H2。方框450和458可以在相同的室或不同的室中进行。在图4D中所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,方框450可以作为如图3A-3C中的方框352的一部分执行,即,在调节预处理后执行。方框450可以沿特征轴线形成差别抑制轮廓,同时方框458沿特征轴线形成改进的差别抑制轮廓。
图4E示出了以下的序列之后执行的钨沉积的生长延迟:a)沉积钨层,b)暴露于基于氮的等离子体抑制处理,和c)暴露于热退火。如图4E所示,退火通过减小抑制效应来调节氮等离子体的抑制效应。
下面的表2比较了抑制后进行乙硼烷浸泡和进行抑制后不浸泡。对于这两种工艺,钨层被沉积,随后暴露于氮等离子体,随后进行浸泡/无浸泡操作。
表2的结果表明,抑制后B2H6浸泡通过减小它来调节抑制效应。这可能是因为利用反应性气体的浸泡增加了核化位点。
图4F的工艺涉及将包括特征的衬底暴露于如上所述的抑制处理(450)后再暴露于含H等离子体(460)。含H等离子体的实例包括从氢(H2)气体产生的远程和原位等离子体。方框450和460可以在相同的室或不同的室中进行。在图4F中所示的工艺可以作为如图2A-2C中所示的工艺中的方框201的一部分执行。在一些实施方式中,方框450可以作为如图3A-3C中的方框352的一部分执行,即,在调节预处理后执行。方框450可以沿特征轴线形成差别抑制轮廓,同时方框460沿特征轴线形成改进的差别抑制轮廓。图4I示出了与沉积-抑制-H2等离子体-沉积工艺相比,沉积-抑制-沉积工艺的延迟时间。如图4I所示,暴露于H2等离子体使抑制效应降低。
上述各种后抑制处理可被用于降低抑制效应,并且可以被称为“去抑制”处理。图4G和4H是流程图的实例,其显示在使用这样的处理以用钨填充特征中的操作。在图4G中,钨被沉积在特征(449)中。方框449涉及用钨部分填充特征。在一些实施例中,方框449涉及如上参照图2B的方框301所述的那样沉积薄的共形膜。然后将衬底暴露于如上所述的抑制处理(450)。在将衬底暴露于抑制处理后,将衬底暴露于降低抑制效应的去抑制处理。去抑制处理的实例在上文中给出,并且包括含H等离子体、还原剂热浸泡和热退火。然后根据如上所述抑制轮廓执行钨的选择性沉积(203)。
在图4H中,方框449和450如以上参照图4G所述的那样进行。在方框450之后,根据在方框450中获得的抑制轮廓进行选择性沉积(203)。选择性沉积之后将衬底暴露于如上所述的去抑制处理(458)。按照在方框458中获得的抑制轮廓进行钨的另一选择性沉积(203)。在一些实施方式中,方框458可以去除抑制效应,在方框203中的这样的沉积对于特征的特定区域不是优选的也不是选择性的。
在图4G中所示的工艺可用于降低对在衬底上的待被填充的所有特征的抑制效应。图4H所示的工艺使得能够完全填充一些特征,如狭窄的或高深宽比的或者说具有挑战性的特征,然后降低对部分填充的特征的抑制效应。
如上所述,本发明的方面可用于VNAND字元线(WL)填充。虽然下文的讨论提供了各种方法的构架,但是所述方法并不受到如此限制并且还可在其它应用中实施,其它应用包括逻辑和存储器触点填充、DRAM埋入式字元线填充、垂直集成存储器栅极/字元线填充、以及3D集成(TSV)。
上述图1F提供了待填充的VNAND字元线结构的实例。如上所述,这些结构的特征填充可提出多个挑战,包括由支柱放置而出现的收缩部。此外,高特征密度可造成负载效应使得反应物在完全填充之前被耗尽。
下文描述了无空隙填充整个WL的各种方法。在某些实施方式中,沉积低电阻率钨。图5示出了一种顺序,其中非共形选择性抑制用于在夹断之前填充特征内部。在图5中,结构500设置有内衬层表面502。内衬层表面502可以为例如TiN或WN。接着,W核化层504可共形沉积在内衬层502上。可使用如上所述的PNL工艺。值得注意的是在一些实施方式中,可省略这种沉积共形核化层的操作。接着,使结构暴露于抑制化学品以选择性抑制结构500的部分506。在该实例中,选择性抑制通过支柱收缩部151的部分508。抑制可涉及例如暴露于直接(原位)等离子体,所述等离子体由气体生成,该气体如N2、H2、形成气体、NH3、O2、CH4等。上文描述了使特征暴露于抑制物质的其它方法。接着,进行CVD工艺以根据抑制轮廓选择性沉积钨:主体钨510优先沉积到核化层504的非抑制部分,使得收缩部后的难以填充的区域被填充。然后用主体钨510填充特征的剩余部分。如上文参考图2A所述,用于选择性沉积钨的相同的CVD工艺可用于特征的剩余部分,或可利用使用不同化学品或工艺条件的和/或在核化层沉积之后进行的不同CVD工艺。
在一些实施方式中,本文描述的方法可用于钨通孔填充。图6示出特征孔105的实例,其包括内层113,所述内层113可以为例如金属氮化物或其它阻隔层。钨层653例如通过PNL和/或CVD法被共形沉积在特征孔10中。(值得注意的是,虽然在图6的实例中,钨层653共形沉积在特征孔105中,但在一些其它实施方式中,可在选择性沉积钨层653之前,选择性抑制内层113上的钨核化。)然后选择性抑制钨层653上的进一步沉积,形成特征开口附近的钨层653的抑制部655。然后,根据抑制轮廓通过PNL和/或CVD法选择性沉积钨,使得钨优先沉积在特征的底部和中部附近。在一些实施方式中,沉积以一个或多个选择性抑制循环继续进行,直至特征被填充。如上所述,在一些实施方式中,在特征顶部处的抑制效应可通过足够长的沉积时间来克服,然而,在一些实施方式中,一旦期望在此沉积,就可进行附加的核化层沉积或其它处理以减少或除去特征开口处的钝化。值得注意的是,在一些实施方式中,特征填充仍然可包括接缝的形成,诸如图6中描绘的接缝657。在其它实施方式中,特征填充可以为无空隙和无接缝的。即使存在接缝,其也可能小于由常规填充特征所形成的接缝,从而减少CMP期间的去核问题。图6的实例中描绘的顺序以存在相对小的空隙结束后CMP。
在一些实施方式中,甚至对于不具有收缩部或可能的夹断点的特征而言,也可有利地使用本文所述的方法。例如,可将所述工艺用于由下向上的特征填充,而不是共形的特征填充。图7描绘了一种顺序,其中特征700通过根据某些实施方式的方法填充。钨753的薄共形层最初被沉积,然后进行选择性抑制以形成抑制部755,特征底部处的层753未经处理。CVD沉积导致主体膜757沉积在特征的底部上。此后,是选择性CVD沉积和选择性抑制的重复循环直至用主体钨757填充特征。因为除了特征底部附近之外,特征侧壁上的核化被抑制,因此填充是由下向上的。在一些实施方式中,可将不同参数用于连续抑制,以在特征的底部生长接近特征开口时适当调节抑制轮廓。例如,在连续抑制处理中可减小偏置功率和/或处理时间。
实验
在沉积初始的钨种子层之后,使与图1F中的示意性描绘的类似的3DVNAND特征暴露于由N2H2气体生成的等离子体。用DC偏置向衬底施加偏置,其中偏置功率从100W至700W变化,并且暴露时间在介于20秒和200秒之间变化。较长的时间导致较深和较宽的抑制,并且较高的偏置功率导致较深的抑制。
表1示出了处理时间的影响。所使用的所有抑制处理均在衬底上的DC偏置为100W的情况下,暴露于直接LFRF2000WN2H2等离子体。
虽然不同的处理时间导致如表1中所述的抑制轮廓的垂直和横向调节(部分C),但是不同的偏置功率与抑制轮廓的垂直调节相关性较高,而横向变化则为次要效应。
如上所述,可通过某些CVD条件来克服抑制效应,所述CVD条件包括较长的CVD时间和/或较高的温度、较剧烈的化学品等。下表2示出CVD时间对选择性沉积的影响。
表2:CVD时间对选择性沉积的影响
设备
可使用任何适当的室来实现这种新颖的方法。沉积设备的实例包括多种系统,例如,可得自加州弗里蒙特的LamResearch公司的ALTUS和ALTUSMax,或任何各种其他市售的处理系统。
图8示出了根据某些实施方式的用于处理部分加工的半导体衬底的设备800的示意图。设备800包括具有基座820的室818、喷淋头814、以及原位等离子体产生器816。设备800还包括系统控制器822,其接收输入和/或将控制信号供应到各种装置。
在某些实施方式中,从来源802(其可以是储槽)将抑制气体以及例如氩、氦以及其他惰性气体(如果存在的话)供应到远程等离子体产生器806。任何适当的远程等离子体产生器可用于在将蚀刻剂导入到室818内之前活化蚀刻剂。举例而言,可使用远程等离子体清洁(RPC)单元,例如iTypeAX7670、eTypeAX7680、exTypeAX7685、hf-sTypeAX7645,它们都可得自MKSInstruments(安多弗,马萨诸塞州)。RPC单元通常是使用所供应的蚀刻剂来产生弱离子化等离子体的自给式装置。嵌入RPC单元内的高功率RF产生器将能量提供给等离子体中的电子。该能量随后被传递给中性蚀刻剂分子而引起约2000K的温度,以使这些分子产生热解离。RPC单元可因为其高RF能量和特殊通道几何形状使气体吸收大部分的能量,从而使大于60%的引入分子解离。
在某些实施方式中,使抑制气体从远程等离子体产生器806流动通过连接管线808而进入室818内,在此处,混合物通过喷头814进行分配。在其他实施方式中,使抑制气体直接完全绕过远程等离子体产生器806(例如,系统800不包括这种产生器)而流入到室818内。替代地,例如,因为不需要活化抑制气体或会通过原位等离子体产生器供给活化抑制气体,所以在使抑制气体流入到室818内时,可关闭远程等离子体产生器806。
喷头814或基座820通常可具有与其附接的内部等离子体产生器816。在一个实例中,产生器816是能够在介于约1MHz与100MHz之间的频率下在约0W与10,000W之间进行供给的高频率(HF)产生器。在另一实例中,产生器816是能够在低至约100KHz的频率下在介于约0W和10,000W之间进行供给的低频率(LF)产生器。在更具体的实施方式中,此HF产生器可在约13.56MHz下在约0W到5,000W之间进行输送。此RF产生器816可产生原位等离子体,以活化抑制物质。在某些实施方式中,RF产生器816可与远程等离子体产生器806一起使用或不一起使用。在某些实施方式中,在此沉积操作期间不使用等离子体产生器。
室818可包括用于感测各种工艺参数的传感器824,工艺参数例如沉积的程度、浓度、压力、温度、以及其他参数。传感器824可将与此工艺期间的室条件有关的信息提供给系统控制器822。传感器824的实例包括质量流量控制器、压力传感器、热电偶、以及其他。传感器824也可以包括红外线侦测器或光学侦测器,以监视此室中的气体的存在并且控制测量。
沉积和选择性抑制操作可产生各种挥发性物质,其被排出室818。此外,在室818的某些预定压力等级下执行处理。使用真空出口826来获得此两种功能,此真空出口可以是真空泵。
在某些实施方式中,系统控制器822用于控制工艺参数。系统控制器822通常包括一个或多个内存装置以及一个或多个处理器。此处理器可包括CPU或计算机、模拟和/或数字输入/输出连接部、步进式马达控制器板等等。一般来说,可存在与系统控制器822结合的用户接口。此用户接口可包括显示屏、设备和/或工艺条件的图形软件显示器以及例如指向装置、键盘、触控屏幕、麦克风等用户输入设备。
在某些实施方式中,系统控制器822控制衬底温度、抑制气体流率、远程等离子体产生器806和/或原位等离子体产生器816的功率输出、室818内部的压力以及其他工艺参数。系统控制器822执行包括指令组的系统控制软件,这些指令组用于控制时序、气体混合、室压力、室温度、以及特定工艺的其他参数。在某些实施方式中,可使用储存在与此控制器结合的内存装置上的其他计算机程序。
可以使用下列任何常规计算机可读程序化语言来编写用于按照工艺顺序控制这些过程的计算机程序码:例如汇编语言、C、C++、Pascal、Fortran或其他。通过此处理器来执行编译目标码或脚本,以执行在此程序中所识别的工作。可以用许多不同的方式来设计或配置该系统软件。例如,可编写各种室组件子程序或控制对象,以控制执行所述的过程所需的室组件的操作。用于此目的的程序或程序段的实例包括工艺气体控制代码、压力控制代码、以及等离子体控制代码。
举例而言,这些控制器参数涉及例如每一操作的时序、室内部的压力、衬底温度、抑制调节气体流率等等工艺条件。这些参数以配方的形式提供给使用者,并且可利用用户界面输入。可通过系统控制器822的模拟和/或数字输入连接来提供用于监视过程的信号。用于控制过程的信号是通过设备800的模拟和数字输出连接而输出的。下面提供例如系统控制器822之类系统控制器的进一步的描述。
多站设备
图9A示出了多站设备900的实例。设备900包括处理室901以及用于保持待处理的衬底与已完成处理的衬底的一个或多个晶片盒903(例如前开式标准盒)。室901可具有多个站,例如,两站、三站、四站、五站、六站、七站、八站、十站、或任何其他数量的站。通常可通过处理操作的复杂性和可以在共有环境中执行的这些操作的数量来决定站数。图9A说明了包括六个站(标为911到916)的处理室901。具有单一处理室901的多站设备900中的所有站暴露于相同的压力环境。然而,每一个站可具有指定的反应物分配系统以及通过专用等离子体产生器与基座(例如,如图8所示)所获得的本地等离子体与加热条件。
使待处理的衬底从晶片盒903之一通过装载锁905而被装载到站911内。外部机械手907可以用于将衬底从晶片盒903搬运到装载锁905内。在所示实施方式中,存在两个单独的装载锁905。它们一般配备衬底搬运装置,以将衬底从装载锁905(一旦其压力平衡到对应于处理室901的内部环境的等级)移动到站911内,并且将衬底从站916移动回到装载锁905内而从处理室901移除。使用机构909在处理站911至916之间搬运衬底并且在如下所述的过程期间支撑部分衬底。
在某些实施方式中,可以预留一个或多个站来加热衬底。这种站可具有位于衬底上方的加热灯(未图示)和/或与图8所示相似的用于支撑衬底的加热基座。例如,站911可接收来自装载锁的衬底并且用于在进行进一步处理之前预热衬底。其他的站可用于填充高深宽比特征,其包括沉积与选择性抑制操作。
在衬底于站911被加热或以其他方式处理之后,相继地将衬底移动到可以连续排列或不连续排列的处理站912、913、914、915和916。多站设备900可以被设置成使得所有的站暴露于相同的压力环境。如此,在不需要例如装载锁之类的搬运端口的情况下,在室901中将衬底从站911搬运到其他站。
在某些实施方式中,可使用一个或多个站以用含钨材料来填充特征。例如,站912可用于初始沉积操作,站913可用于对应的选择性抑制操作。在重复沉积-抑制循环的实施方式中,站914可用于另一个沉积操作,并且站915可用于另一个抑制操作。站916可用于最终填充操作。应当理解,可使用指定用于特定过程(加热、填充、以及去除)的任何站的配置。在一些实施方案中,任何站可以专用于PNL(或ALD)沉积、选择性抑制、抑制前或抑制后调节处理、和CVD沉积中的一个或多个。
作为上述多站设备的替代方式,此方法可在单一衬底室中实施或在单一处理站中以批量模式(即,非连续)处理衬底的多站室中实施。在本发明的这个方面中,将衬底装载到室内并且设置在单一处理站(不管其是仅具有一个处理站的设备还是具有以批次模式运作的多个站的设备)的基座上。然后可以加热衬底并且可以执行沉积操作。接着可调整室内的工艺条件,然后执行沉积层的选择性抑制。此过程可继续进行一个或多个沉积-抑制循环(如果执行的话)以及最终填充操作,它们全部都在同一个站上执行。替代地,可以先使用单一站设备在多个晶片上执行新方法中的操作(例如沉积、选择性抑制、最终填充)中的仅仅一个,在此之后可使衬底返回到同一个站或移动到(例如不同设备的)不同的站,以执行剩余操作中的一个或多个。
多室设备
图9B是根据某些实施方式的可以使用的多室设备920的示意图。如图所示,设备920具有三个单独的室921、923、以及925。这些室中的每一个被图示为具有两个基座。应当理解,设备可具有任何数量的室(例如一个、两个、三个、四个、五个、六个等),并且每一个室可具有任何数量的站(例如一个、两个、三个、四个、五个、六个等)。每一个室921至925具有其本身的压力环境,此压力环境在室之间不为共有。每一个室可具有一个或多个对应的输送端口(例如装载锁)。此设备也可以具有共有的衬底搬运机械手927,其用于在输送端口与一个或多个晶片盒929之间搬运衬底。
如上所述,单独的室可用于沉积含钨材料以及在后续操作中选择性抑制这些沉积材料。将这两种操作分开在不同室可通过在每一个室内维持相同的环境条件而有助于显著提高处理速度。室不需将其环境从用于沉积的条件改变成用于选择性抑制的条件并且从用于选择性抑制的条件改变回用于沉积的条件,沉积和选择性抑制可能涉及不同的化学品、不同的温度、不同的压力、以及其他工艺参数。在某些实施方式中,在两个或两个以上不同室之间搬运部分制造的半导体衬底比改变这些室的环境条件更快。
图10示出了在清洁沉积室的方法中的操作的工艺示意图。首先,成批的衬底在钨沉积室(1001)中被处理。例如,方框1001可以涉及例如如上所述的CVD工艺。接着,进行抑制处理(1003)。抑制处理的实施例如上给出,并且包括暴露于氮等离子体。可接着处理下一批次衬底,通过抑制处理抑制在该室中的沉积(1005)。可以在室中不存在衬底或存在虚设衬底的情况下执行方框1003。它也可以作为可以包括利用蚀刻剂化学品使室清洁和沉积预涂层或内层中的任何项的常规维护程序的一部分而执行。
在一些实施方案中,控制器是系统的一部分,该系统的一部分可以是上述实施例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个加工工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流动系统等)。这些系统可与电子器件集成,以便在半导体晶片或衬底的处理之后、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器可以被编程,以控制本发明所公开的工艺中的任何一些,包括功率、强度和暴露时间。在集成工具中,控制器还可控制工艺,诸如处理气体、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器的设置、RF匹配电路设置、频率设置,流率设置、流体输送设置、位置和操作的设置、晶片的进出工具和其他转移工具和/或连接到特定系统的或与特定系统接口的负载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。该系统软件可以被设计或构造成许多不同的方式。例如,各种室部件子程序或控制对象可以被写入以控制进行本发明的工艺所需要的室组件的操作。用于此目的的程序或程序段的例子包括衬底定位编码、处理化合物控制编码、压力控制编码、加热器控制编码、以及RF控制编码。在一个实施方式中,控制器包括用于根据上述方法执行所公开的实施方式的过程的指令。可以使用下列任何常规计算机可读程序化语言来编写用于控制这些过程的计算机程序编码:例如汇编语言、C、C++、Pascal、Fortran或其他。通过处理器来执行编译目标码或脚本,以执行在此程序中所识别的工作。
程序指令可以是与各种不同的单独设置(或程序文件)形式的控制器通信的指令,确定在或用于半导体晶片或向系统进行特定处理的操作参数的指令。在一些实施方式中,所述操作参数可以是由工艺工程师定义的以完成晶片的一个(种)或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片加工。计算机可以启用对系统的远程访问以监测制造操作的当前处理,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些输入或编程参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
在非限制的情况下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具中的一个或多个通信。可以存在与系统控制器相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
图案化方法/装置:
上面所描述的各种装置/方法可以与光刻图案化工具或工艺结合使用,例如,以用于制造或生产半导体器件、显示器、发光二极管、光伏电池板等。典型地,但不必然地,此类工具/工艺将在普通的制造设施中一起使用或执行。光刻图案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,例如衬底上;(2)使用热板或炉或其它UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的蚀刻工具将抗蚀剂图案转移到下伏膜或工件;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
Claims (30)
1.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口和特征内部,
选择性抑制所述特征中的钨核化,使得沿特征轴线存在差别抑制轮廓,
调节所述差别抑制轮廓以形成经修饰的差别抑制轮廓;以及
根据所述经修饰的差别抑制轮廓选择性地将钨沉积在所述特征中。
2.根据权利要求1所述的方法,其中选择性抑制所述特征中的钨核化包括在对所述衬底施加偏置的同时,使所述特征暴露于直接等离子体。
3.根据权利要求2所述的方法,其中所述等离子体包含氮、氢、氧和碳活化物质中的一种或多种。
4.根据权利要求2所述的方法,其中所述等离子体是基于氮的和/或基于氢的等离子体。
5.根据权利要求1所述的方法,其中选择性抑制所述特征中的钨核化包括使所述特征暴露于远程产生的等离子体。
6.根据权利要求1所述的方法,其进一步包括在选择性抑制之前将钨层沉积在所述特征中。
7.根据权利要求1所述的方法,其中所述调节所述差别抑制轮廓包括使所述特征浸泡在还原剂或含钨试剂中。
8.根据权利要求1所述的方法,其中所述调节所述差别抑制轮廓包括使所述衬底退火。
9.根据权利要求1所述的方法,其中所述调节所述差别抑制轮廓包括使所述特征暴露于含氢等离子体。
10.一种方法,其包括:
提供包括特征的衬底,所述特征具有一个或多个特征开口和特征内部;
使所述特征暴露于以下情况中的一种:氧化环境、真空中断、还原剂浸泡、或含钨试剂浸泡;
在使所述特征暴露之后,选择性抑制所述特征中的钨核化,使得沿特征轴线存在差别抑制轮廓;以及
根据经修饰的差别抑制轮廓选择性地将钨沉积在所述特征中。
11.根据权利要求10所述的方法,其中选择性抑制所述特征中的钨核化包括在对所述衬底施加偏置的同时,使所述特征暴露于直接等离子体。
12.根据权利要求11所述的方法,其中所述等离子体包含氮、氢、氧和碳活化物质中的一种或多种。
13.根据权利要求11所述的方法,其中所述等离子体是基于氮的和/或基于氢的等离子体。
14.根据权利要求10所述的方法,其中选择性抑制所述特征中的钨核化包括使所述特征暴露于远程产生的等离子体。
15.根据权利要求10所述的方法,其进一步包括在选择性抑制之前将钨层沉积在所述特征中。
16.根据权利要求10所述的方法,其中使所述特征暴露包括使所述特征浸泡在还原剂或含钨试剂中。
17.根据权利要求10所述的方法,其进一步包括调节所述差别抑制轮廓。
18.根据权利要求1-17中任一项所述的方法,其进一步包括,在将钨选择性沉积在所述特征中之后,将钨沉积在所述特征中以完成特征填充。
19.根据权利要求1-17中任一项所述的方法,其进一步包括,在将钨选择性沉积在所述特征中之后,将钨非选择性沉积在所述特征中。
20.根据权利要求19所述的方法,其中从选择性沉积到非选择性沉积的过渡包括允许CVD工艺在不沉积中间钨核化层的情况下继续进行。
21.根据权利要求19所述的方法,其中从选择性沉积到非选择性沉积的过渡包括在经选择性沉积的钨上沉积钨核化层。
22.根据权利要求1-17中任一项所述的方法,其中选择性抑制钨核化包括处理所述特征的钨表面。
23.根据权利要求1-17中任一项所述的方法,其中选择性抑制钨核化包括处理所述特征的金属氮化物表面。
24.根据权利要求1-17中任一项所述的方法,其中选择性抑制在不蚀刻所述特征中的材料的情况下进行。
25.根据权利要求1-17中任一项所述的方法,其中填充所述特征在不蚀刻所述特征中的材料的情况下进行。
26.根据权利要求1-17中任一项所述的方法,其中所述特征是3-D结构的一部分。
27.根据权利要求1-17中任一项所述的方法,其进一步包括使选择性抑制和选择性沉积的循环重复一次或多次以填充所述特征。
28.根据权利要求1-17中任一项所述的方法,其中选择性抑制所述特征中的至少收缩部。
29.一种方法,其包括:
在钨沉积室中处理一个或多个衬底之前,使所述钨沉积室暴露于抑制处理。
30.一种装置,其包括:
一个或多个室,其被配置以支撑衬底;
原位等离子体产生器,其被配置以在所述室中的一个或多个中产生等离子体;
气体入口,其被配置以将气体引导至所述一个或多个室中的每一个室中;以及
控制器,其包括用于执行任何上述方法的程序指令。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201910729470.4A CN110459503B (zh) | 2014-09-30 | 2015-09-30 | 一种填充衬底中的特征的方法和装置 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462058058P | 2014-09-30 | 2014-09-30 | |
US62/058,058 | 2014-09-30 | ||
US14/866,621 | 2015-09-25 | ||
US14/866,621 US9997405B2 (en) | 2014-09-30 | 2015-09-25 | Feature fill with nucleation inhibition |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910729470.4A Division CN110459503B (zh) | 2014-09-30 | 2015-09-30 | 一种填充衬底中的特征的方法和装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105470194A true CN105470194A (zh) | 2016-04-06 |
CN105470194B CN105470194B (zh) | 2019-09-06 |
Family
ID=55585256
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910729470.4A Active CN110459503B (zh) | 2014-09-30 | 2015-09-30 | 一种填充衬底中的特征的方法和装置 |
CN201510644832.1A Active CN105470194B (zh) | 2014-09-30 | 2015-09-30 | 用核化抑制的特征填充 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910729470.4A Active CN110459503B (zh) | 2014-09-30 | 2015-09-30 | 一种填充衬底中的特征的方法和装置 |
Country Status (4)
Country | Link |
---|---|
US (4) | US9997405B2 (zh) |
KR (1) | KR20160039139A (zh) |
CN (2) | CN110459503B (zh) |
TW (1) | TWI712107B (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110359027A (zh) * | 2018-03-26 | 2019-10-22 | 东京毅力科创株式会社 | 钨膜的成膜方法及控制装置 |
CN113921466A (zh) * | 2021-10-08 | 2022-01-11 | 福建华佳彩有限公司 | 一种降TP RC loading方法 |
CN115172268A (zh) * | 2022-07-04 | 2022-10-11 | 中微半导体设备(上海)股份有限公司 | 一种在高深宽比结构中沉积钨的方法及其半导体基片 |
WO2022232995A1 (en) * | 2021-05-06 | 2022-11-10 | Applied Materials, Inc. | Processing system and methods for forming void-free and seam-free tungsten features |
Families Citing this family (54)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9837271B2 (en) | 2014-07-18 | 2017-12-05 | Asm Ip Holding B.V. | Process for forming silicon-filled openings with a reduced occurrence of voids |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
JP7125343B2 (ja) | 2015-10-23 | 2022-08-24 | アプライド マテリアルズ インコーポレイテッド | 表面毒化処理によるボトムアップ式間隙充填 |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
WO2017161236A1 (en) | 2016-03-17 | 2017-09-21 | Applied Materials, Inc. | Methods for gapfill in high aspect ratio structures |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10211099B2 (en) * | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US10460932B2 (en) | 2017-03-31 | 2019-10-29 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
CN111448640A (zh) | 2017-12-07 | 2020-07-24 | 朗姆研究公司 | 在室调节中的抗氧化保护层 |
CN109920760B (zh) * | 2017-12-12 | 2021-01-12 | 联华电子股份有限公司 | 半导体装置的形成方法 |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
US11978666B2 (en) | 2018-12-05 | 2024-05-07 | Lam Research Corporation | Void free low stress fill |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
CN113424308A (zh) * | 2019-02-13 | 2021-09-21 | 朗姆研究公司 | 带有抑制控制的钨特征填充 |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
KR102694436B1 (ko) * | 2019-11-18 | 2024-08-13 | 주식회사 원익아이피에스 | 반도체 집적 회로 장치의 콘택 플러그 형성방법 |
US20220415711A1 (en) * | 2020-02-21 | 2022-12-29 | Lam Research Corporation | Backside reactive inhibition gas |
US20230130557A1 (en) * | 2020-03-04 | 2023-04-27 | Lam Research Corporation | Reactant gas pulse delivery |
US11101128B1 (en) * | 2020-03-12 | 2021-08-24 | Applied Materials, Inc. | Methods for gapfill in substrates |
WO2021194768A1 (en) * | 2020-03-27 | 2021-09-30 | Lam Research Corporation | Feature fill with nucleation inhibition |
JP2024536376A (ja) | 2021-10-05 | 2024-10-04 | アプライド マテリアルズ インコーポレイテッド | 低抵抗率のタングステン特徴を形成するための方法 |
TW202340505A (zh) * | 2021-12-07 | 2023-10-16 | 美商蘭姆研究公司 | 利用成核抑制的特徵部填充 |
CN118383092A (zh) * | 2021-12-13 | 2024-07-23 | 朗姆研究公司 | 高纵横比3d nand结构中的钨字线填充 |
KR20230174883A (ko) * | 2022-06-22 | 2023-12-29 | 한국알박(주) | Pvd 스퍼터링법을 통한 텅스텐의 비저항 및 응력 제어 방법 |
US20240047267A1 (en) * | 2022-08-05 | 2024-02-08 | Applied Materials, Inc. | Tungsten gap fill with hydrogen plasma treatment |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040202786A1 (en) * | 2001-05-22 | 2004-10-14 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
CN102224574A (zh) * | 2008-11-24 | 2011-10-19 | 应用材料股份有限公司 | 利用有机表面钝化及微差电镀延迟进行由底部往上镀层 |
US20140106083A1 (en) * | 2012-10-11 | 2014-04-17 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
Family Cites Families (364)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA868641A (en) | 1971-04-13 | L. Cuomo Jerome | Method for etching silicon nitride films with sharp edge definition | |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
US4895789A (en) | 1988-03-29 | 1990-01-23 | Seiko Instruments Inc. | Method of manufacturing non-linear resistive element array |
DE3811567A1 (de) | 1988-04-07 | 1989-10-19 | Wacker Chemie Gmbh | Verfahren zur herstellung von organopolysilanen |
KR940003787B1 (ko) | 1988-09-14 | 1994-05-03 | 후지쓰 가부시끼가이샤 | 박막 형성장치 및 방법 |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
US5112439A (en) | 1988-11-30 | 1992-05-12 | Mcnc | Method for selectively depositing material on substrates |
JPH02187031A (ja) | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
US5043299B1 (en) * | 1989-12-01 | 1997-02-25 | Applied Materials Inc | Process for selective deposition of tungsten on semiconductor wafer |
EP1069610A2 (en) | 1990-01-08 | 2001-01-17 | Lsi Logic Corporation | Refractory metal deposition process for low contact resistivity to silicon and corresponding apparatus |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
JPH04142061A (ja) | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3216345B2 (ja) | 1993-04-06 | 2001-10-09 | ソニー株式会社 | 半導体装置及びその作製方法 |
US5616208A (en) | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
JP2881371B2 (ja) | 1993-09-20 | 1999-04-12 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理装置集合体のクリーニング方法 |
JP3014019B2 (ja) | 1993-11-26 | 2000-02-28 | 日本電気株式会社 | 半導体装置の製造方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
KR0179677B1 (ko) | 1993-12-28 | 1999-04-15 | 사토 후미오 | 반도체장치 및 그 제조방법 |
JP3291889B2 (ja) | 1994-02-15 | 2002-06-17 | ソニー株式会社 | ドライエッチング方法 |
EP0704551B1 (en) | 1994-09-27 | 2000-09-06 | Applied Materials, Inc. | Method of processing a substrate in a vacuum processing chamber |
US5489552A (en) | 1994-12-30 | 1996-02-06 | At&T Corp. | Multiple layer tungsten deposition process |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5504038A (en) * | 1995-05-25 | 1996-04-02 | United Microelectronics Corporation | Method for selective tungsten sidewall and bottom contact formation |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
KR200160641Y1 (ko) | 1996-08-23 | 1999-11-15 | 맹섭 | 골프백용 등걸이 멜빵 |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
JP3869089B2 (ja) | 1996-11-14 | 2007-01-17 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
US6184158B1 (en) | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JP4151862B2 (ja) | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6262445B1 (en) | 1998-03-30 | 2001-07-17 | Texas Instruments Incorporated | SiC sidewall process |
JPH11307480A (ja) | 1998-04-10 | 1999-11-05 | Applied Materials Inc | 化学気相堆積法によるブランケットタングステン膜の応力を低減する方法 |
US6432830B1 (en) | 1998-05-15 | 2002-08-13 | Applied Materials, Inc. | Semiconductor fabrication process |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6875687B1 (en) | 1999-10-18 | 2005-04-05 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
KR100338941B1 (ko) | 1999-11-26 | 2002-05-31 | 박종섭 | 반도체소자의 컨택 형성방법 |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
JP5184731B2 (ja) | 2000-05-18 | 2013-04-17 | コーニング インコーポレイテッド | 固体酸化物燃料電池用可撓性電極/電解質構造体、燃料電池装置、およびその作成方法 |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
JP2002016066A (ja) | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6365527B1 (en) | 2000-10-06 | 2002-04-02 | United Microelectronics Corp. | Method for depositing silicon carbide in semiconductor devices |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
US6936533B2 (en) | 2000-12-08 | 2005-08-30 | Samsung Electronics, Co., Ltd. | Method of fabricating semiconductor devices having low dielectric interlayer insulation layer |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100399417B1 (ko) | 2001-01-08 | 2003-09-26 | 삼성전자주식회사 | 반도체 집적 회로의 제조 방법 |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
KR20020072996A (ko) | 2001-03-14 | 2002-09-19 | 주성엔지니어링(주) | 금속 플러그 형성방법 |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
JP2002343787A (ja) | 2001-05-17 | 2002-11-29 | Research Institute Of Innovative Technology For The Earth | プラズマ処理装置およびそのクリーニング方法 |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7141494B2 (en) * | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
JP2003022985A (ja) | 2001-07-10 | 2003-01-24 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法およびその製造装置 |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20030064154A1 (en) | 2001-08-06 | 2003-04-03 | Laxman Ravi K. | Low-K dielectric thin films and chemical vapor deposition method of making same |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
TWI253478B (en) | 2001-11-14 | 2006-04-21 | Mitsubishi Heavy Ind Ltd | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
US20030203123A1 (en) | 2002-04-26 | 2003-10-30 | Applied Materials, Inc. | System and method for metal induced crystallization of polycrystalline thin film transistors |
KR100446300B1 (ko) | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6803313B2 (en) | 2002-09-27 | 2004-10-12 | Advanced Micro Devices, Inc. | Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes |
US7749563B2 (en) | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
US6825130B2 (en) | 2002-12-12 | 2004-11-30 | Asm Japan K.K. | CVD of porous dielectric materials |
US7365029B2 (en) | 2002-12-20 | 2008-04-29 | Applied Materials, Inc. | Method for silicon nitride chemical vapor deposition |
US7972663B2 (en) | 2002-12-20 | 2011-07-05 | Applied Materials, Inc. | Method and apparatus for forming a high quality low temperature silicon nitride layer |
US7311944B2 (en) | 2002-12-23 | 2007-12-25 | Applied Thin Films, Inc. | Aluminum phosphate coatings |
US20040134427A1 (en) | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6869542B2 (en) | 2003-03-12 | 2005-03-22 | International Business Machines Corporation | Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
KR100539274B1 (ko) | 2003-07-15 | 2005-12-27 | 삼성전자주식회사 | 코발트 막 증착 방법 |
JP3966249B2 (ja) | 2003-07-30 | 2007-08-29 | 日産自動車株式会社 | 半導体装置及び半導体装置の製造方法 |
US6849561B1 (en) | 2003-08-18 | 2005-02-01 | Asm Japan K.K. | Method of forming low-k films |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
CN1902550B (zh) | 2003-12-26 | 2012-07-18 | 日产化学工业株式会社 | 形成硬掩模用涂布型氮化膜的组合物 |
US20050147762A1 (en) | 2003-12-30 | 2005-07-07 | Dubin Valery M. | Method to fabricate amorphous electroless metal layers |
KR101108304B1 (ko) | 2004-02-26 | 2012-01-25 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
CN100370585C (zh) | 2004-04-12 | 2008-02-20 | 株式会社爱发科 | 隔离膜的形成方法及电极膜的形成方法 |
EP1741119B1 (en) | 2004-04-21 | 2019-04-03 | Lumileds Holding B.V. | Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps |
JP2006013190A (ja) | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | 半導体装置の製造方法 |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7132374B2 (en) | 2004-08-17 | 2006-11-07 | Cecilia Y. Mak | Method for depositing porous films |
US7335980B2 (en) | 2004-11-04 | 2008-02-26 | International Business Machines Corporation | Hardmask for reliability of silicon based dielectrics |
US7879710B2 (en) | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7396732B2 (en) | 2004-12-17 | 2008-07-08 | Interuniversitair Microelektronica Centrum Vzw (Imec) | Formation of deep trench airgaps and related applications |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
RU2008108012A (ru) | 2005-08-02 | 2009-09-10 | Массачусетс Инститьют Оф Текнолоджи (Us) | Способ применения nf3 для удаления поверхностных отложений |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
WO2007075369A1 (en) | 2005-12-16 | 2007-07-05 | Asm International N.V. | Low temperature doped silicon layer formation |
US8110493B1 (en) | 2005-12-23 | 2012-02-07 | Novellus Systems, Inc. | Pulsed PECVD method for modulating hydrogen content in hard mask |
JP4967354B2 (ja) | 2006-01-31 | 2012-07-04 | 東京エレクトロン株式会社 | シード膜の成膜方法、プラズマ成膜装置及び記憶媒体 |
US7276796B1 (en) | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
US8110242B2 (en) | 2006-03-24 | 2012-02-07 | Zimmer, Inc. | Methods of preparing hydrogel coatings |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
US7744746B2 (en) | 2006-03-31 | 2010-06-29 | Exxonmobil Research And Engineering Company | FCC catalyst stripper configuration |
US7528078B2 (en) | 2006-05-12 | 2009-05-05 | Freescale Semiconductor, Inc. | Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer |
US7828504B2 (en) | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
KR100884339B1 (ko) | 2006-06-29 | 2009-02-18 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
US20080124912A1 (en) | 2006-08-01 | 2008-05-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor methods |
SG174752A1 (en) * | 2006-08-30 | 2011-10-28 | Lam Res Corp | Processes and integrated systems for engineering a substrate surface for metal deposition |
KR100757418B1 (ko) | 2006-09-05 | 2007-09-10 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
KR100880310B1 (ko) | 2006-09-06 | 2009-01-28 | 주식회사 하이닉스반도체 | 반도체 소자의 제조방법 |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7550758B2 (en) | 2006-10-31 | 2009-06-23 | Atmel Corporation | Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator |
DE102006058771B4 (de) | 2006-12-12 | 2018-03-01 | Schott Ag | Behälter mit verbesserter Restentleerbarkeit und Verfahren zu dessen Herstellung |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
KR20080061978A (ko) | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
US20080174021A1 (en) | 2007-01-18 | 2008-07-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same |
TW200842950A (en) | 2007-02-27 | 2008-11-01 | Sixtron Advanced Materials Inc | Method for forming a film on a substrate |
JP5140290B2 (ja) | 2007-03-02 | 2013-02-06 | 富士フイルム株式会社 | 絶縁膜 |
TWI333676B (en) | 2007-03-22 | 2010-11-21 | United Microelectronics Corp | Method for manufacturing mos transistor utilizing hybrid a hard mask |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
TWI455203B (zh) | 2007-05-03 | 2014-10-01 | Lam Res Corp | 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制 |
US7955955B2 (en) | 2007-05-10 | 2011-06-07 | International Business Machines Corporation | Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures |
CN101308794B (zh) | 2007-05-15 | 2010-09-15 | 应用材料股份有限公司 | 钨材料的原子层沉积 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US7879222B2 (en) | 2007-08-27 | 2011-02-01 | Eci Technology, Inc. | Detection of additive breakdown products in acid copper plating baths |
US20090061649A1 (en) | 2007-08-28 | 2009-03-05 | International Business Machines Corporation | LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT |
KR101564473B1 (ko) | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
KR100939777B1 (ko) | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
KR100919808B1 (ko) | 2008-01-02 | 2009-10-01 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
US20090258487A1 (en) | 2008-04-14 | 2009-10-15 | Keng-Chu Lin | Method for Improving the Reliability of Low-k Dielectric Materials |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
KR101563763B1 (ko) | 2008-05-07 | 2015-10-27 | 더 트러스티즈 오브 프린스턴 유니버시티 | 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US8754530B2 (en) | 2008-08-18 | 2014-06-17 | International Business Machines Corporation | Self-aligned borderless contacts for high density electronic and memory device integration |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20100072623A1 (en) | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
US20100081293A1 (en) | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US7964502B2 (en) | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US8273634B2 (en) | 2008-12-04 | 2012-09-25 | Micron Technology, Inc. | Methods of fabricating substrates |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
KR101263856B1 (ko) | 2008-12-31 | 2013-05-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
US8236691B2 (en) | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US8623733B2 (en) | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8268722B2 (en) | 2009-06-03 | 2012-09-18 | Novellus Systems, Inc. | Interfacial capping layers for interconnects |
JP2011014872A (ja) | 2009-06-04 | 2011-01-20 | Tokyo Electron Ltd | アモルファスカーボン膜の形成方法および形成装置 |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US10256142B2 (en) * | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US20230041794A1 (en) | 2009-08-04 | 2023-02-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
WO2011017068A1 (en) | 2009-08-07 | 2011-02-10 | Sigma-Aldrich Co. | High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films |
US8747684B2 (en) | 2009-08-20 | 2014-06-10 | Applied Materials, Inc. | Multi-film stack etching with polymer passivation of an overlying etched layer |
JPWO2011027834A1 (ja) | 2009-09-02 | 2013-02-04 | 株式会社アルバック | Co膜の形成方法及びCu配線膜の形成方法 |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
WO2011062560A1 (en) | 2009-11-19 | 2011-05-26 | National University Of Singapore | Method for producing t cell receptor-like monoclonal antibodies and uses thereof |
US8178443B2 (en) | 2009-12-04 | 2012-05-15 | Novellus Systems, Inc. | Hardmask materials |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
US8399350B2 (en) | 2010-02-05 | 2013-03-19 | International Business Machines Corporation | Formation of air gap with protection of metal lines |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US20130012030A1 (en) | 2010-03-17 | 2013-01-10 | Applied Materials, Inc. | Method and apparatus for remote plasma source assisted silicon-containing film deposition |
JP2011199021A (ja) | 2010-03-19 | 2011-10-06 | Renesas Electronics Corp | 半導体装置及びその製造方法 |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US20130157466A1 (en) | 2010-03-25 | 2013-06-20 | Keith Fox | Silicon nitride films for semiconductor device applications |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
JP5123349B2 (ja) | 2010-04-19 | 2013-01-23 | Hoya株式会社 | 多階調マスクの製造方法 |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
WO2012061593A2 (en) | 2010-11-03 | 2012-05-10 | Applied Materials, Inc. | Apparatus and methods for deposition of silicon carbide and silicon carbonitride films |
US20120149213A1 (en) | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
DE102010063611A1 (de) * | 2010-12-20 | 2012-06-21 | Walter Ag | Schneideinsatz mit strukturierten Freiflächen |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
US20120199887A1 (en) | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
JP5638413B2 (ja) | 2011-02-08 | 2014-12-10 | 東京エレクトロン株式会社 | マスクパターンの形成方法 |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
WO2012134605A1 (en) | 2011-03-25 | 2012-10-04 | Applied Materials, Inc. | Method and apparatus for thermocouple installation or replacement in a substrate support |
US8586478B2 (en) | 2011-03-28 | 2013-11-19 | Renesas Electronics Corporation | Method of making a semiconductor device |
US8771807B2 (en) | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US20130017182A1 (en) * | 2011-07-11 | 2013-01-17 | Natalia Lukina | Multi-criteria optimized dietary supplement formulations |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
KR101334640B1 (ko) | 2011-08-22 | 2013-11-29 | 서울시립대학교 산학협력단 | 고강도 실리콘옥시카바이드 결합 탄화규소 소재 제조용 조성물, 탄화규소 소재 및 그 제조방법 |
US8916435B2 (en) | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
US8617985B2 (en) | 2011-10-28 | 2013-12-31 | Applied Materials, Inc. | High temperature tungsten metallization process |
US8629040B2 (en) | 2011-11-16 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for epitaxially growing active regions between STI regions |
JP5912637B2 (ja) | 2012-02-17 | 2016-04-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
JP6195898B2 (ja) | 2012-03-27 | 2017-09-13 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 核形成の抑制を伴うタングステンによるフィーチャ充填 |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
US9269747B2 (en) | 2012-08-23 | 2016-02-23 | Micron Technology, Inc. | Self-aligned interconnection for integrated circuits |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9230815B2 (en) * | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
US9275865B2 (en) * | 2012-10-31 | 2016-03-01 | Applied Materials, Inc. | Plasma treatment of film for impurity removal |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US9514983B2 (en) | 2012-12-28 | 2016-12-06 | Intel Corporation | Cobalt based interconnects and methods of fabrication thereof |
JP2014160757A (ja) | 2013-02-20 | 2014-09-04 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
US8928149B2 (en) | 2013-03-12 | 2015-01-06 | Macronix International Co., Ltd. | Interlayer conductor and method for forming |
US9048299B2 (en) | 2013-03-12 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning approach to reduce via to via minimum spacing |
KR102136769B1 (ko) | 2013-03-14 | 2020-07-22 | 어플라이드 머티어리얼스, 인코포레이티드 | Pecvd 프로세스에서 우수한 접착 강도를 갖고 유전 상수 증가를 최소화하기 위한 접착 층 |
EP2779224A3 (en) | 2013-03-15 | 2014-12-31 | Applied Materials, Inc. | Methods for producing interconnects in semiconductor devices |
JP5826782B2 (ja) | 2013-03-19 | 2015-12-02 | 株式会社東芝 | 半導体装置の製造方法 |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US8927442B1 (en) | 2013-07-25 | 2015-01-06 | International Business Machines Corporation | SiCOH hardmask with graded transition layers |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
WO2015023404A1 (en) | 2013-08-16 | 2015-02-19 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (wf6) etchback |
US9385033B2 (en) | 2013-09-27 | 2016-07-05 | Intel Corporation | Method of forming a metal from a cobalt metal precursor |
US9165824B2 (en) | 2013-09-27 | 2015-10-20 | Intel Corporation | Interconnects with fully clad lines |
US9589799B2 (en) | 2013-09-30 | 2017-03-07 | Lam Research Corporation | High selectivity and low stress carbon hardmask by pulsed low frequency RF power |
CN105814677B (zh) | 2013-10-18 | 2019-06-18 | 布鲁克斯自动化公司 | 处理设备 |
US9371579B2 (en) | 2013-10-24 | 2016-06-21 | Lam Research Corporation | Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films |
TW201525173A (zh) | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US9653352B2 (en) | 2014-04-11 | 2017-05-16 | Applied Materials, Inc. | Methods for forming metal organic tungsten for middle of the line (MOL) applications |
US20150361547A1 (en) | 2014-06-13 | 2015-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for cleaning chemical vapor deposition chamber |
US9362186B2 (en) | 2014-07-18 | 2016-06-07 | Applied Materials, Inc. | Polishing with eddy current feed meaurement prior to deposition of conductive layer |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
CN105405764B (zh) | 2014-07-25 | 2018-07-31 | 中国科学院微电子研究所 | 半导体器件制造方法 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9502263B2 (en) | 2014-12-15 | 2016-11-22 | Applied Materials, Inc. | UV assisted CVD AlN film for BEOL etch stop application |
US9391086B1 (en) | 2015-02-23 | 2016-07-12 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device |
US9617648B2 (en) | 2015-03-04 | 2017-04-11 | Lam Research Corporation | Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
CN104975268A (zh) | 2015-06-03 | 2015-10-14 | 武汉新芯集成电路制造有限公司 | 一种金属钨薄膜的制备方法 |
US9853123B2 (en) | 2015-10-28 | 2017-12-26 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
TWI613845B (zh) | 2016-08-04 | 2018-02-01 | 財團法人工業技術研究院 | 垂直磁化自旋軌道磁性元件 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US10242879B2 (en) | 2017-04-20 | 2019-03-26 | Lam Research Corporation | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
US11978666B2 (en) | 2018-12-05 | 2024-05-07 | Lam Research Corporation | Void free low stress fill |
US20230130557A1 (en) | 2020-03-04 | 2023-04-27 | Lam Research Corporation | Reactant gas pulse delivery |
WO2021194768A1 (en) | 2020-03-27 | 2021-09-30 | Lam Research Corporation | Feature fill with nucleation inhibition |
-
2015
- 2015-09-25 US US14/866,621 patent/US9997405B2/en active Active
- 2015-09-30 KR KR1020150137906A patent/KR20160039139A/ko not_active Application Discontinuation
- 2015-09-30 CN CN201910729470.4A patent/CN110459503B/zh active Active
- 2015-09-30 TW TW104132010A patent/TWI712107B/zh active
- 2015-09-30 CN CN201510644832.1A patent/CN105470194B/zh active Active
-
2018
- 2018-05-29 US US15/991,413 patent/US10580695B2/en active Active
-
2020
- 2020-02-18 US US16/793,464 patent/US20200185273A1/en not_active Abandoned
-
2021
- 2021-10-08 US US17/497,702 patent/US11901227B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040202786A1 (en) * | 2001-05-22 | 2004-10-14 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
CN102224574A (zh) * | 2008-11-24 | 2011-10-19 | 应用材料股份有限公司 | 利用有机表面钝化及微差电镀延迟进行由底部往上镀层 |
US20140106083A1 (en) * | 2012-10-11 | 2014-04-17 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110359027A (zh) * | 2018-03-26 | 2019-10-22 | 东京毅力科创株式会社 | 钨膜的成膜方法及控制装置 |
WO2022232995A1 (en) * | 2021-05-06 | 2022-11-10 | Applied Materials, Inc. | Processing system and methods for forming void-free and seam-free tungsten features |
CN113921466A (zh) * | 2021-10-08 | 2022-01-11 | 福建华佳彩有限公司 | 一种降TP RC loading方法 |
CN113921466B (zh) * | 2021-10-08 | 2024-08-23 | 福建华佳彩有限公司 | 一种降TP RC loading方法 |
CN115172268A (zh) * | 2022-07-04 | 2022-10-11 | 中微半导体设备(上海)股份有限公司 | 一种在高深宽比结构中沉积钨的方法及其半导体基片 |
WO2024007894A1 (zh) * | 2022-07-04 | 2024-01-11 | 中微半导体设备(上海)股份有限公司 | 一种在高深宽比结构中沉积钨的方法及其半导体基片 |
Also Published As
Publication number | Publication date |
---|---|
US20200185273A1 (en) | 2020-06-11 |
US20220102208A1 (en) | 2022-03-31 |
CN105470194B (zh) | 2019-09-06 |
TWI712107B (zh) | 2020-12-01 |
US20160093528A1 (en) | 2016-03-31 |
US9997405B2 (en) | 2018-06-12 |
CN110459503B (zh) | 2024-04-16 |
TW201626503A (zh) | 2016-07-16 |
US11901227B2 (en) | 2024-02-13 |
US20180277431A1 (en) | 2018-09-27 |
CN110459503A (zh) | 2019-11-15 |
KR20160039139A (ko) | 2016-04-08 |
US10580695B2 (en) | 2020-03-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11901227B2 (en) | Feature fill with nucleation inhibition | |
KR102708924B1 (ko) | 멀티-스테이지 핵생성 억제와 함께 피처 충진 | |
US11410883B2 (en) | Tungsten feature fill with nucleation inhibition | |
US20220359280A1 (en) | Tungsten feature fill with nucleation inhibition | |
US10381266B2 (en) | Tungsten feature fill with nucleation inhibition | |
JP6195898B2 (ja) | 核形成の抑制を伴うタングステンによるフィーチャ充填 | |
TW201719756A (zh) | 蝕刻製程中施加脈衝予射頻功率以提升鎢間隙填充功能 | |
KR20210092840A (ko) | 3d nand 구조체 상의 원자 층 증착 | |
KR20210141762A (ko) | 고 단차 커버리지 (step coverage) 텅스텐 증착 | |
US20230041794A1 (en) | Tungsten feature fill with nucleation inhibition | |
JP2024534326A (ja) | 半導体処理の間のプロセスガスランプ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |