US20200185273A1 - Feature fill with nucleation inhibition - Google Patents

Feature fill with nucleation inhibition Download PDF

Info

Publication number
US20200185273A1
US20200185273A1 US16/793,464 US202016793464A US2020185273A1 US 20200185273 A1 US20200185273 A1 US 20200185273A1 US 202016793464 A US202016793464 A US 202016793464A US 2020185273 A1 US2020185273 A1 US 2020185273A1
Authority
US
United States
Prior art keywords
feature
inhibition
tungsten
deposition
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/793,464
Inventor
Anand Chandrashekar
Esther Jeng
Raashina Humayun
Michal Danek
Juwen Gao
Deqi Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US16/793,464 priority Critical patent/US20200185273A1/en
Publication of US20200185273A1 publication Critical patent/US20200185273A1/en
Priority to US17/497,702 priority patent/US11901227B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67138Apparatus for wiring semiconductor or solid state device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • H01L27/11524
    • H01L27/11556
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Deposition of conductive materials using chemical vapor deposition (CVD) techniques is an integral part of many semiconductor fabrication processes. These materials may be used for horizontal interconnects, vias between adjacent metal layers, contacts between first metal layers and devices on the silicon substrate, and high aspect ratio features.
  • CVD chemical vapor deposition
  • a substrate is heated to a predetermined process temperature in a deposition chamber, and a thin layer of tungsten-containing materials that serves as a seed or nucleation layer is deposited. Thereafter, the remainder of the tungsten-containing material (the bulk layer) is deposited on the nucleation layer.
  • the tungsten-containing materials are formed by the reduction of tungsten hexafluoride (WF 6 ) with hydrogen (H 2 ). Tungsten-containing materials are deposited over an entire exposed surface area of the substrate including features and a field region.
  • Depositing tungsten-containing materials into small and, especially, high aspect ratio, features may cause formation of seams and voids inside the filled features.
  • Large seams may lead to high resistance, contamination, loss of filled materials, and otherwise degrade performance of integrated circuits.
  • a seam may extend close to the field region after filling process and then open during chemical-mechanical planarization.
  • the methods involve selective inhibition along a feature profile.
  • Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window.
  • the methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines.
  • the methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.
  • One aspect relates to a method including providing a substrate including a feature having one or more feature openings and a feature interior; selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; modulating the differential inhibition profile to form a modified differential inhibition profile; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate.
  • a direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • the plasma is nitrogen-based and/or hydrogen-based.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma.
  • the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • selective inhibition is performed without etching material in the feature.
  • feature fill is performed without etching material in the feature.
  • the feature may be part of a 3-D structure.
  • the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate.
  • a direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • the plasma is nitrogen-based and/or hydrogen-based.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma.
  • the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • selective inhibition is performed without etching material in the feature.
  • feature fill is performed without etching material in the feature.
  • the feature may be part of a 3-D structure.
  • the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate.
  • a direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • the plasma is nitrogen-based and/or hydrogen-based.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma.
  • the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • selective inhibition is performed without etching material in the feature.
  • feature fill is performed without etching material in the feature.
  • the feature may be part of a 3-D structure.
  • the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate.
  • a direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • the plasma is nitrogen-based and/or hydrogen-based.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma.
  • the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • selective inhibition is performed without etching material in the feature.
  • feature fill is performed without etching material in the feature.
  • the feature may be part of a 3-D structure.
  • the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • Another aspect involves a method including providing a substrate including a feature having one or more feature openings and a feature interior; exposing the feature to one of: an oxidizing environment, a vacuum break, a reducing agent soak, or a tungsten-containing agent soak; after exposing the feature, selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate.
  • the plasma may contains one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • the plasma may be nitrogen-based or hydrogen-based in some embodiments.
  • selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma.
  • the method may further involve depositing a tungsten layer in the feature prior to selective inhibition.
  • exposing the feature includes soaking the feature in a reducing agent or tungsten-containing agent.
  • the method involves modulating the differential inhibition profile.
  • the method involves, after selectively depositing tungsten in the feature, depositing tungsten in the feature to complete feature fill.
  • the method involves, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • selective inhibition is performed without etching material in the feature.
  • feature fill is performed without etching material in the feature.
  • the feature may be part of a 3-D structure.
  • Another aspect is a method involving, prior to processing a one or more substrates in a tungsten deposition chamber, exposing the tungsten deposition chamber to an inhibition treatment.
  • Another aspect is an apparatus including one or more chambers configured to support a substrate; an in situ plasma generator configured to generate a plasma in one or more of the chambers; gas inlets configured to direct gas into each of the one or more chambers; and a controller including program instructions for performing any of the methods above.
  • FIGS. 1A-1G show examples of various structures that can be filled according to the processes described herein.
  • FIGS. 2A-2C are process flow diagrams illustrating certain operations in methods of filling features with tungsten.
  • FIG. 2D is a graph showing growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment.
  • FIGS. 3A-3C, 4A-4D, 4F, and 4G are process flow diagrams illustrating certain operations in methods of selective inhibition.
  • FIG. 3D is a graph showing inhibition modulation as function of pre-inhibition exposure to air duration.
  • FIG. 4E is a graph showing inhibition modulation as a function of post-inhibition anneal duration.
  • FIG. 4I is a bar graph showing the effect of a post-inhibition hydrogen plasma on inhibition.
  • FIGS. 5-7 are schematic diagrams showing features at various stages of feature fill.
  • FIGS. 8, 9A and 9B are schematic diagrams showing examples of apparatus suitable for practicing the methods described herein.
  • FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber.
  • tungsten W
  • Examples of application include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3-D integration with through-silicon vias (TSVs).
  • TSVs through-silicon vias
  • the methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines.
  • the methods may be used for conformal and bottom-up or inside-out fill.
  • a features can be characterized by one or more of narrow and/or re-entrant openings, constrictions within the features, and high aspect ratios. Examples of features that can be filled are depicted in FIGS. 1A-1C .
  • FIG. 1A shows an example of a cross-sectional depiction of a vertical feature 101 to be filled with tungsten.
  • the feature can include a feature hole 105 in a substrate 103 .
  • the substrate may be a silicon wafer, e.g., 200-mm wafer, 300-mm wafer, or 450-mm wafer, including wafers having one or more layers of material such as dielectric, conducting, or semi-conducting material deposited thereon.
  • the feature hole 105 may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1 or higher.
  • the feature hole 105 may also have a dimension near the opening, e.g., an opening diameter or line width, of between about 10 nm to 500 nm.
  • the opening dimension may be between about 25 nm to 300 nm.
  • the feature hole 105 may be referred to as an unfilled feature or simply a feature.
  • the feature, and any feature may be characterized in part by an axis 118 that extends through the length of the feature, with vertically-oriented features having vertical axes and horizontally-oriented features having horizontal axes.
  • FIG. 1B shows an example of a feature 101 that has a re-entrant profile.
  • a re-entrant profile is a profile that narrows from a closed bottom end to the feature opening or from an interior of the feature to the feature opening. According to various embodiments, the profile may narrow gradually and/or include an overhang at the feature opening.
  • FIG. 1B shows an example of the latter, with an underlayer 113 lining the interior surfaces of the feature hole 105 .
  • the underlayer 113 can be for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other appropriate material.
  • underlayers examples include titanium nitride (TiN) underlayers, titanium/titanium nitride (Ti/TiN) underlayers, and tungsten nitride (WN) underlayers.
  • TiN titanium nitride
  • Ti/TiN titanium/titanium nitride
  • WN tungsten nitride
  • the underlayer 113 forms an overhang 115 such that the underlayer 113 is thicker near the opening of the feature 101 than inside the feature 101 .
  • FIG. 1C shows examples of views of various filled features having constrictions.
  • Each of the examples (a), (b) and (c) in FIG. 1C includes a constriction 109 at a midpoint of the feature.
  • the constriction 109 can be, for example, between about 15 nm to 20 nm wide.
  • Constrictions can cause pinch off during deposition of tungsten in the feature using conventional techniques, with deposited tungsten blocking further deposition past the constriction before that portion of the feature is filled, resulting in voids in the feature.
  • Example (b) further includes a liner/barrier overhang 115 at the feature opening. Such an overhang could also be a potential pinch-off point.
  • Example (c) includes a constriction 112 further away from the field region than the overhang 115 in example (b). As described further below, methods described herein allow void-free fill as depicted in FIG. 1C .
  • FIG. 1D shows an example of a word line 150 in a VNAND structure 148 that includes a constriction 151 .
  • the constrictions can be due to the presence of pillars in a VNAND or other structure.
  • FIG. 1E shows a plan view of pillars 125 in a VNAND structure, with FIG. 1F showing a simplified schematic of a cross-sectional depiction of the pillars 125 .
  • Arrows in FIG. 1E represent deposition material; as pillars 125 are disposed between an area 127 and a gas inlet or other deposition source, adjacent pillars can result in constrictions that present challenges in void free fill of the area 127 .
  • FIG. 1G provides another example of a view horizontal feature, for example, of a VNAND or other structure including pillar constrictions 151 .
  • the example in FIG. 1G is open-ended, with material to be deposited able to enter laterally from two sides as indicated by the arrows. (It should be noted that example in FIG. 1G can be seen as a 2-D rendering 3-D features of the structure, with the FIG.
  • 3-D structures can be characterized with the area to be filled extending along three dimensions (e.g., in the X, Y and Z-directions in the example of FIG. 1F ), and can present more challenges for fill than filling holes or trenches that extend along one or two dimensions. For example, controlling fill of a 3-D structure can be challenging as deposition gasses may enter a feature from multiple dimensions.
  • Filling features with tungsten-containing materials may cause formation of voids and seams inside the filled features.
  • a void is region in the feature that is left unfilled.
  • a void can form, for example, when the deposited material forms a pinch point within the feature, sealing off an unfilled space within the feature preventing reactant entry and deposition.
  • An example of an overhang is shown in FIG. 1B .
  • FIG. 1B Another cause of void or seam formation that is not illustrated in FIG. 1B but that nevertheless may lead to seam formation or enlarging seams is curved sidewalls of feature holes.
  • Features having such curved sidewalls are also referred to as bowed features.
  • the cross-sectional dimension of the cavity near the opening is smaller than that inside the feature.
  • Deposition challenges caused by the narrowed openings of bowed features are similar to those caused by overhangs as described above.
  • Constrictions within a feature such as shown in FIGS. 1C, 1D and 1G also present challenges for tungsten fill with few or no voids and seams.
  • tungsten in the feature may contain a seam running through the axis or middle of the via, trench, line or other feature. This is because tungsten growth can begin at the sidewall and continue until the tungsten grains meet with tungsten growing from the opposite sidewall. This seam can allow for trapping of impurities including fluorine-containing compounds such as hydrofluoric acid (HF). During chemical-mechanical planarization (CMP), coring can also propagate from the seam.
  • the methods described herein can reduce or eliminate void and seam formation. The methods described herein may also address one or more of the following:
  • Void free fill can be achieved in most re-entrant features using deposition-etch-deposition (dep-etch-dep) cycles as described in U.S. Pat. No. 8,435,894, incorporated by reference herein. However, depending on the dimensions and geometry, multiple dep-etch-dep cycles may be needed to achieve void-free fill. This can affect process stability and throughput. Embodiments described herein can provide feature fill with fewer or no dep-etch-dep cycles.
  • Reduced via volume for W fill Especially in smaller and newer features, a significant part of the metal contact is used up by the W barrier (e.g., a TiN or WN, etc. barrier). These films are typically higher resistivity than W and negatively impact electrical characteristics like contact resistance.
  • the W barrier e.g., a TiN or WN, etc. barrier.
  • FIG. 2A is a process flow diagram illustrating certain operations in a method of filling a feature with tungsten.
  • the method begins at a block 201 with selective inhibition of a feature.
  • Selective inhibition which may also be referred to as preferential inhibition, preferential passivation, selective passivation, differential inhibition, or differential passivation, involves inhibiting subsequent tungsten nucleation on a portion of the feature, while not inhibiting nucleation (or inhibiting nucleation to a lesser extent) on the remainder of the feature.
  • a feature is selectively inhibited at a feature opening, while nucleation inside the feature is not inhibited.
  • Selective inhibition is described further below, and can involve, for example, selectively exposing a portion of the feature to activated species of a plasma.
  • a feature opening is selectively exposed to a plasma generated from molecular nitrogen gas.
  • a desired inhibition profile in a feature can be formed by appropriately selecting one or more of inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters.
  • Block 203 may involve one or more chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) processes, including thermal and plasma-enhanced CVD and/or ALD processes.
  • the deposition is selective in that the tungsten preferentially grows on the lesser- and non-inhibited portions of the feature.
  • block 203 involves selectively depositing tungsten in a bottom or interior portion of the feature until a constriction is reached or passed.
  • block 205 involves a CVD process in which a tungsten-containing precursor is reduced by hydrogen to deposit tungsten. While tungsten hexafluoride (WF 6 ) is often used, the process may be performed with other tungsten precursors, including, but not limited to, tungsten hexachloride (WCl 6 ), organo-metallic precursors, and precursors that are free of fluorine such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten).
  • WF 6 tungsten hexafluoride
  • WCl 6 tungsten hexachloride
  • EDNOW ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten
  • tungsten hexacarbonyl (W(CO) 6 ) may be used with or without a reducing agent.
  • W(CO) 6 tungsten hexacarbonyl
  • the WF 6 and H 2 or other reactants are simultaneously introduced into the reaction chamber. This produces a continuous chemical reaction of mix reactant gases that continuously forms tungsten film on the substrate surface.
  • block 205 may involve continuing a CVD deposition process started at block 203 . Such a CVD process may result in deposition on the inhibited portions of the feature, with nucleation occurring more slowly than on the non-inhibited portions of the feature. In some embodiments, block 205 may involve deposition of a tungsten nucleation layer over at least the inhibited portions of the feature.
  • the feature surface that is selectively inhibited can be a barrier or liner layer, such as a metal nitride layer, or it can be a layer deposited to promote nucleation of tungsten.
  • FIG. 2B shows an example of a method in which a tungsten nucleation layer is deposited in the feature prior to selective inhibition. The method begins at block 301 with deposition of the thin conformal layer of tungsten in the feature. The layer can facilitate subsequent deposition of bulk tungsten-containing material thereon.
  • the nucleation layer is deposited using a PNL technique.
  • PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including ALD techniques.
  • PNL techniques for depositing tungsten nucleation layers are described in U.S. Pat. Nos. 6,635,965; 7,589,017; 7,141,494; 7,772,114; 8,058,170 and 8,623,733 and in U.S. patent application Ser. No. 12/755,248, which are incorporated by reference herein in their entireties for the purposes of describing tungsten deposition processes.
  • Block 301 is not limited to a particular method of tungsten nucleation layer deposition, but includes PNL, ALD, CVD, and physical vapor deposition (PVD) techniques for depositing a thin conformal layer.
  • the nucleation layer can be sufficiently thick to fully cover the feature to support high quality bulk deposition; however, because the resistivity of the nucleation layer is higher than that of the bulk layer, the thickness of the nucleation layer may be minimized to keep the total resistance as low as possible.
  • Example thicknesses of films deposited in block 301 can range from less than 10 ⁇ to 100 ⁇ .
  • the thickness of the layer deposited in block 301 may be used to modulate the inhibition effect of the subsequent operation.
  • FIG. 2D shows growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment. The thinner the layer, the stronger the inhibiting effect.
  • FIG. 2C shows an example of a method in which completing filling the feature (e.g., block 205 in FIG. 2A ) can involve repeating selective inhibition and deposition operations.
  • the method can begin at block 201 , as described above with respect to FIG. 2A , in which the feature is selectively inhibited, and continue at block 203 with selective deposition according to the inhibition profile. Blocks 201 and 203 are then repeated one or more times (block 401 ) to complete feature fill.
  • selective inhibition can be used in conjunction with selective deposition.
  • Selective deposition techniques are described in U.S. Provisional Patent Application No. 61/616,377, incorporated by reference herein.
  • selective inhibition can involve exposure to activated species that passivate the feature surfaces.
  • a tungsten surface can be passivated by exposure to a nitrogen-based or hydrogen-based plasma.
  • inhibition can involve a chemical reaction between activated species and the feature surface to form a thin layer of a compound material such as tungsten nitride (WN) or tungsten carbide (WC).
  • WN tungsten nitride
  • WC tungsten carbide
  • inhibition can involve a surface effect such as adsorption that passivates the surface without forming a layer of a compound material.
  • Activated species may be formed by any appropriate method including by plasma generation and/or exposure to ultraviolet (UV) radiation.
  • the substrate including the feature is exposed to a plasma generated from one or more gases fed into the chamber in which the substrate sits.
  • one or more gases may be fed into a remote plasma generator, with activated species formed in the remote plasma generator fed into a chamber in which the substrate sits.
  • the plasma source can be any type of source including radio frequency (RF) plasma source or microwave source.
  • the plasma can be inductively and/or capacitively-coupled.
  • Activated species can include atomic species, radical species, and ionic species.
  • exposure to a remotely-generated plasma includes exposure to radical and atomized species, with substantially no ionic species present in the plasma such that the inhibition process is not ion-mediated.
  • ion species may be present in a remotely-generated plasma.
  • exposure to an in-situ plasma involves ion-mediated inhibition.
  • activated species are distinguished from recombined species and from the gases initially fed into a plasma generator.
  • Inhibition chemistries can be tailored to the surface that will be subsequently exposed to deposition gases. For tungsten surfaces, as formed for example in a method described with reference to FIG. 2B , exposure to nitrogen-based and/or hydrogen-based plasmas inhibits subsequent tungsten deposition on the W surfaces. Other chemistries that may be used for inhibition of tungsten surfaces include oxygen-based plasmas and hydrocarbon-based plasmas. For example, molecular oxygen or methane may be introduced to a plasma generator.
  • a nitrogen-based plasma is a plasma in which the main non-inert component is nitrogen.
  • An inert component such as argon, xenon, or krypton may be used as a carrier gas.
  • no other non-inert components are present in the gas from which the plasma is generated except in trace amounts.
  • inhibition chemistries may be nitrogen-containing, hydrogen-containing, oxygen-containing, and/or carbon-containing, with one or more additional reactive species present in the plasma.
  • U.S. Pat. No. 8,124,531 describes passivation of a tungsten surface by exposure to nitrogen trifluoride (NF 3 ).
  • fluorocarbons such as CF 4 or C 2 F 8 may be used.
  • the inhibition species are fluorine-free to prevent etching during selective inhibition.
  • UV radiation may be used in addition to or instead of plasma to provide activated species. Gases may be exposed to UV light upstream of and/or inside a reaction chamber in which the substrate sits.
  • non-plasma, non-UV, thermal inhibition processes may be used.
  • nucleation may be inhibited on liner/barrier layers surfaces such as TiN and/or WN surfaces. Any chemistry that passivates these surfaces may be used. For TiN and WN, this can include exposure to nitrogen-based or nitrogen-containing chemistries. In certain embodiments, the chemistries described above for W may also be employed for TiN, WN, or other liner layer surfaces.
  • Tuning an inhibition profile can involve appropriately controlling an inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters.
  • a bias can be applied to the substrate.
  • Substrate bias can, in some embodiments, significantly affect an inhibition profile, with increasing bias power resulting in active species deeper within the feature. For example, 100 W DC bias on a 300 mm substrate may result inhibition the top half of a 1500 nm deep structure, while a 700 W bias may result in inhibition of the entire structure.
  • bias power can be used to tune top-to-bottom selectivity, with decreasing bias power resulting in higher selectivity.
  • increased bias power can be used to promote top-to-bottom deposition uniformity.
  • bias power can be used in certain embodiments as the primary or only knob to tune an inhibition profile for ionic species
  • performing selective inhibition uses other parameters in addition to or instead of bias power. These include remotely generated non-ionic plasma processes and non-plasma processes.
  • a substrate bias can be easily applied to tune selectivity in vertical but not lateral direction. Accordingly, for 3-D structures in which lateral selectivity is desired, parameters other than bias may be controlled, as described above.
  • Inhibition chemistry can also be used to tune an inhibition profile, with different ratios of active inhibiting species used.
  • nitrogen may have a stronger inhibiting effect than hydrogen; adjusting the ratio of N 2 and H 2 gas in a forming gas-based plasma can be used to tune a profile.
  • the plasma power may also be used to tune an inhibition profile, with different ratios of active species tuned by plasma power.
  • Process pressure can be used to tune a profile, as pressure can cause more recombination (deactivating active species) as well as pushing active species further into a feature.
  • Process time may also be used to tune inhibition profiles, with increasing treatment time causing inhibition deeper into a feature.
  • selective inhibition can be achieved by performing operation 203 in a mass transport limited regime.
  • the inhibition rate inside the feature is limited by amounts of and/or relative compositions of different inhibition material components (e.g., an initial inhibition species, activated inhibition species, and recombined inhibition species) that diffuse into the feature.
  • inhibition rates depend on various components' concentrations at different locations inside the feature.
  • Mass transport limiting conditions may be characterized, in part, by overall inhibition concentration variations.
  • a concentration is less inside the feature than near its opening resulting in a higher inhibition rate near the opening than inside. This in turn leads to selective inhibition near the feature opening.
  • Mass transport limiting process conditions may be achieved by supplying limited amounts of inhibition species into the processing chamber (e.g., use low inhibition gas flow rates relative to the cavity profile and dimensions), while maintaining relative high inhibition rates near the feature opening to consume some activated species as they diffuse into the feature.
  • a concentration gradient is substantial, which may be caused relatively high inhibition kinetics and relatively low inhibition supply.
  • an inhibition rate near the opening may also be mass transport limited, though this condition is not required to achieve selective inhibition.
  • selective inhibition may be influenced by relative concentrations of different inhibition species throughout the feature. These relative concentrations in turn can depend on relative dynamics of dissociation and recombination processes of the inhibition species.
  • an initial inhibition material such as molecular nitrogen
  • an in-situ plasma can be passed through a remote plasma generator and/or subjected to an in-situ plasma to generate activated species (e.g., atomic nitrogen, nitrogen ions).
  • activated species may recombine into less active recombined species (e.g., nitrogen molecules) and/or react with W, WN, TiN, or other feature surfaces along their diffusion paths.
  • different parts of the feature may be exposed to different concentrations of different inhibition materials, e.g., an initial inhibition gas, activated inhibition species, and recombined inhibition species.
  • an initial inhibition gas e.g., an initial inhibition gas
  • activated inhibition species e.g., an initial inhibition gas
  • recombined inhibition species e.g., an initial inhibition gas
  • activated species are generally more reactive than initial inhibition gases and recombined inhibition species.
  • the activated species may be less sensitive to temperature variations than the recombined species. Therefore, process conditions may be controlled in such a way that removal is predominantly attributed to activated species.
  • some species may be more reactive than others.
  • specific process conditions may result in activated species being present at higher concentrations near features' openings than inside the features.
  • activated species may be consumed (e.g., reacted with feature surface materials and/or adsorbed on the surface) and/or recombined while diffusing deeper into the features, especially in small high aspect ratio features.
  • Recombination of activated species can also occur outside of features, e.g., in the showerhead or the processing chamber, and can depends on chamber pressure. Therefore, chamber pressure may be controlled to adjust concentrations of activated species at various points of the chamber and features.
  • Flow rates of the inhibition gas can depend on a size of the chamber, reaction rates, and other parameters.
  • a flow rate can be selected in such a way that more inhibition material is concentrated near the opening than inside the feature.
  • these flow rates cause mass-transport limited selective inhibition.
  • a flow rate for a 195-liter chamber per station may be between about 25 sccm and 10,000 sccm or, in specific embodiments, between about 50 sccm and 1,000 sccm.
  • the flow rate is less than about 2,000 sccm, less than about 1,000 sccm, or more less than about 500 sccm. It should be noted that these values are presented for one individual station configured for processing a 300-mm substrate. These flow rates can be scaled up or down depending on a substrate size, a number of stations in the apparatus (e.g., quadruple for a four station apparatus), a processing chamber volume, and other factors.
  • the substrate can be heated up or cooled down before selective inhibition.
  • Various devices may be used to bring the substrate to the predetermined temperature, such as a heating or cooling element in a station (e.g., an electrical resistance heater installed in a pedestal or a heat transfer fluid circulated through a pedestal), infrared lamps above the substrate, igniting plasma, etc.
  • a predetermined temperature for the substrate can be selected to induce a chemical reaction between the feature surface and inhibition species and/or promote adsorption of the inhibition species, as well as to control the rate of the reaction or adsorption.
  • a temperature may be selected to have high reaction rate such that more inhibition occurs near the opening than inside the feature.
  • a temperature may be also selected to control recombination of activated species (e.g., recombination of atomic nitrogen into molecular nitrogen) and/or control which species (e.g., activated or recombined species) contribute predominantly to inhibition.
  • a substrate is maintained at less than about 300° C., or more particularly at less than about 250° C., or less than about 150° C., or even less than about 100° C.
  • a substrate is heated to between about 300° C. and 450° C. or, in more specific embodiments, to between about 350° C. and 400° C.
  • Other temperature ranges may be used for different types of inhibition chemistries.
  • Exposure time can also be selected to cause selective inhibition. Example exposure times can range from about 10 s to 500 s, depending on desired selectivity and feature depth.
  • FIGS. 3A-3C and 4A-4D provide examples of flow charts of selectively inhibiting tungsten deposition in a feature.
  • FIGS. 3A-3C provide examples of treating a substrate prior to exposing the substrate to an nitrogen-based plasma or other inhibition chemistry.
  • a vacuum break refers to a period wherein the substrate is not under vacuum.
  • the substrate may be exposed to atmospheric pressure, for example, in a storage cassette (e.g., a front opening unified pod or FOUP) or in a loadlock.
  • the substrate may be exposed to atmospheric temperature and/or gasses (i.e., air). Alternatively, temperature and gas composition may be controlled. The duration of block 350 may be controlled to effectively modulate the subsequent inhibition treatment.
  • the substrate is exposed to an inhibition treatment as discussed above ( 352 ). In a particular example, the substrate is exposed to a nitrogen-based plasma.
  • the process shown in FIG. 3A may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • block 350 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2A .
  • a thin tungsten film may be deposited in a feature in a first vacuum chamber, followed by a controlled vacuum break in a FOUP or loadlock, followed by exposure to a nitrogen-based plasma in a second vacuum chamber.
  • block 354 may be performed outside a reaction chamber, for example in a FOUP or loadlock.
  • block 354 may involve exposing a substrate to an oxidizing gas, such as oxygen (O 2 ), ozone (O 3 ), carbon dioxide (CO 2 ), water (H 2 O), etc. in a process chamber.
  • Block 354 may be performed under vacuum or at atmospheric pressure. According to various embodiments, block 354 may or may not involve the use of plasma- or UV-activated species.
  • block 354 may involve exposing the substrate to O 2 under non-plasma conditions such that the O 2 is not activated. Block 354 is followed by exposing the substrate to an inhibition treatment ( 352 ). In a particular example, the substrate is exposed to a nitrogen-based plasma. Blocks 354 and 352 may be performed in the same chamber or different chambers. The process shown in FIG. 3B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C . In some embodiments, block 354 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B .
  • block 350 in FIG. 3A or block 354 in FIG. 3B in involves formation of an oxide film in the feature.
  • tungsten oxide WO x
  • WO x formation in a feature is non-conformal.
  • FIG. 3D shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of tungsten layer, b) exposure to air (vacuum break) and c) exposure to a nitrogen-based plasma inhibiting treatment.
  • the delay time is shown as a function of the air exposure time.
  • an air break modulates the inhibition effect of the nitrogen plasma by lessening the effect.
  • the process of FIG. 3C involves exposing a substrate including a feature to a reactive chemistry ( 356 ).
  • reactive chemistries include reducing chemistries (e.g., diborane (B 2 H 6 ) or silane (SiH 4 )) and tungsten-containing chemistries (e.g., WF 6 or WCl 6 ).
  • Block 356 is followed by exposing the substrate to an inhibition treatment ( 352 ).
  • the substrate is exposed to a nitrogen-based plasma.
  • Blocks 356 and 352 may be performed in the same chamber or different chambers.
  • the process shown in FIG. 3C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • block 356 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B .
  • Block 356 may be referred to as a soak, and is generally a non-plasma operation.
  • Table 1 compares inhibition performed after a diborane soak with inhibition performed after no soak. For both processes, a 100 ⁇ tungsten nucleation layer was deposited, followed by the soak/no soak operation, followed by exposure to a nitrogen plasma. The deposition operation following the inhibition treatment was 300 seconds (including delay).
  • B 2 H 6 deposition deposition: soaking (seconds) thickness ( ⁇ ) delay (seconds) 0 897 221 15 100 >300 s
  • Table 1 The results in Table 1 indicate that the B 2 H 6 rich surface modulates the inhibition effect by increasing it.
  • FIGS. 4A-4D provide examples of treating a substrate after exposing the substrate to an nitrogen-based plasma or other inhibition chemistry and prior to tungsten deposition.
  • the treatment modulates the inhibition.
  • the process includes exposing a substrate including a feature to an inhibition treatment as discussed above ( 450 ).
  • the substrate is exposed to a nitrogen-based plasma.
  • the substrate is annealed ( 452 ).
  • Block 452 may involve raising the temperature, e.g., by at least 50° C., 100° C. or 200° C. The annealing may be performed in an inert ambient, or in an oxidizing environment, for example.
  • Blocks 450 and 452 may be performed in the same chamber or different chambers.
  • Block 452 may be performed in a chamber where a subsequent tungsten deposition operation is to be performed.
  • block 450 may be performed as part of block 352 in FIGS. 3A-3C , i.e., after a modulation pretreatment.
  • Block 450 may form a differential inhibition profile along a feature axis, with block 452 forming a modified differential inhibition profile along the feature axis.
  • the process of FIG. 4B involves exposing a substrate including a feature to a reactive chemistry ( 454 ) after exposing it to an inhibition treatment ( 450 ) as described above.
  • reactive chemistries include reducing chemistries (e.g., B 2 H 6 , SiH 4 ) and tungsten-containing chemistries (e.g., WF 6 , WCl 6 ).
  • Blocks 450 and 454 may be performed in the same chamber or different chambers.
  • the process shown in FIG. 4B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • the reactive chemistry in block 454 is one or more compounds used in a subsequent tungsten deposition operation.
  • block 450 may be performed as part of block 352 in FIGS. 3A-3C , i.e., after a modulation pretreatment.
  • Block 454 may be referred to as a soak, and is generally a non-plasma operation.
  • Block 450 may form a differential inhibition profile along a feature axis, with block 454 forming a modified differential inhibition profile along the feature axis.
  • FIG. 4C involves exposing a substrate including a feature to an oxidizing chemistry ( 456 ) after exposing it to an inhibition treatment ( 450 ) as described above.
  • oxidizing chemistries include O 2 , O 3 , CO 2 , and H 2 O.
  • Block 456 may be performed at the same or different temperature than block 450 .
  • block 456 may or may not involve the use of plasma- or UV-activated species.
  • block 456 may involve exposing the substrate to O 2 under non-plasma conditions such that the O 2 is not activated.
  • Blocks 450 and 456 may be performed in the same chamber or different chambers. The process shown in FIG.
  • Block 4C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • block 450 may be performed as part of block 352 in FIGS. 3A-3C , i.e., after a modulation pretreatment.
  • Block 450 may form a differential inhibition profile along a feature axis, with block 456 forming a modified differential inhibition profile along the feature axis.
  • FIG. 4D involves exposing a substrate including a feature to a sputtering gas ( 458 ) after exposing it to an inhibition treatment ( 450 ) as described above.
  • sputtering gases include Ar and H 2 .
  • Blocks 450 and 458 may be performed in the same chamber or different chambers.
  • the process shown in FIG. 4D may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • block 450 may be performed as part of block 352 in FIGS. 3A-3C , i.e., after a modulation pretreatment.
  • Block 450 may form a differential inhibition profile along a feature axis, with block 458 forming a modified differential inhibition profile along the feature axis.
  • FIG. 4E shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of a tungsten layer, b) exposure to a nitrogen-based plasma inhibiting treatment, and c) exposure to a thermal anneal. As shown in FIG. 4E , annealing modulates the inhibition effect of the nitrogen plasma by lessening the effect.
  • Table 2 compares inhibition prior to a diborane soak with inhibition performed prior to no soak.
  • a tungsten layer was deposited, followed by exposure to a nitrogen plasma, followed by a soak/no soak operation.
  • the results in Table 2 indicate that the post-inhibition B 2 H 6 soaking modulates the inhibition effect by decreasing it. This may be because the soaking with a reactive gas increases the nucleation sites.
  • FIG. 4F involves exposing a substrate including a feature to an H-containing plasma ( 460 ) after exposing it to an inhibition treatment ( 450 ) as described above.
  • H-containing plasmas include remote and in situ plasmas generated from hydrogen (H 2 ) gas.
  • Blocks 450 and 460 may be performed in the same chamber or different chambers.
  • the process shown in FIG. 4F may be performed as part of block 201 in a process as shown in FIGS. 2A-2C .
  • block 450 may be performed as part of block 352 in FIGS. 3A-3C , i.e., after a modulation pretreatment.
  • Block 450 may form a differential inhibition profile along a feature axis, with block 460 forming a modified differential inhibition profile along the feature axis.
  • FIG. 4I shows delay time from a deposition-inhibition-deposition process as compared to a deposition-inhibition-H2 plasma-deposition process. As shown in FIG. 4I , exposure to the H2 plasma reduces the inhibition effect.
  • FIGS. 4G and 4H are examples of flow charts that show operations in using such treatments to fill a feature with tungsten.
  • tungsten is deposited in a feature ( 449 ).
  • Block 449 involves partially filling the feature with tungsten.
  • block 449 involves depositing a thin conformal film as described above with respect to block 301 of FIG. 2B .
  • the substrate is then exposed to an inhibition treatment ( 450 ) as described above.
  • an inhibition treatment ( 450 ) as described above.
  • the substrate is exposed to a de-inhibition treatment that reduces the inhibition effect. Examples of de-inhibition treatments are given above and include an H-containing plasma, a reducing agent thermal soak, and a thermal anneal. Selective deposition of tungsten in then performed in accordance with the inhibition profile ( 203 ) as described above.
  • blocks 449 and 450 are performed as described above with respect to FIG. 4G .
  • a selective deposition is performed in accordance with the inhibition profile obtained in block 450 ( 203 ).
  • the selective deposition is followed by exposing the substrate to a de-inhibition treatment ( 458 ) as described above.
  • Another selective deposition of tungsten is performed in accordance with the inhibition profile obtained in block 458 ( 203 ).
  • block 458 may remove the inhibition effect, such the deposition in block 203 is not preferential or selective to a particular region of the feature.
  • the process shown in FIG. 4G can be used to reduce the inhibition effect across all features to be filled on a substrate.
  • the process shown in FIG. 4H allows complete fill of some features, e.g., narrow or high aspect ratio or otherwise challenging features before reducing the inhibition effect on partially filled features.
  • aspects of the disclosure can be used for VNAND wordline (WL) fill. While the below discussion provides a framework for various methods, the methods are not so limited and can be implemented in other applications as well, including logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3D integration (TSV).
  • WL wordline
  • TSV 3D integration
  • FIG. 1F provides an example of a VNAND wordline structure to be filled.
  • feature fill of these structures can present several challenges including constrictions presented by pillar placement.
  • a high feature density can cause a loading effect such that reactants are used up prior to complete fill.
  • FIG. 5 shows a sequence in which non-conformal selective inhibition is used to fill in the interior of the feature before pinch off.
  • a structure 500 is provided with a liner layer surface 502 .
  • the liner layer surface 502 may be for example, TiN or WN.
  • a W nucleation layer 504 is conformally deposited on the liner layer 502 .
  • a PNL process as described above can be used. Note that in some embodiments, this operation of depositing a conformal nucleation layer may be omitted.
  • the structure is exposed to an inhibition chemistry to selectively inhibit portions 506 of the structure 500 .
  • the portions 508 through the pillar constrictions 151 are selectively inhibited. Inhibition can involve for example, exposure to a direct (in-situ) plasma generated from a gas such as N 2 , H 2 , forming gas, NH 3 , O 2 , CH 4 , etc. Other methods of exposing the feature to inhibition species are described above.
  • a CVD process is performed to selectively deposit tungsten in accordance with the inhibition profile: bulk tungsten 510 is preferentially deposited on the non-inhibited portions of the nucleation layer 504 , such that hard-to-fill regions behind constrictions are filled. The remainder of the feature is then filled with bulk tungsten 510 .
  • the same CVD process used to selectively deposit tungsten may be used to remainder of the feature, or a different CVD process using a different chemistry or process conditions and/or performed after a nucleation layer is deposited may be used.
  • FIG. 6 shows an example of a feature hole 105 including an underlayer 113 , which can be, for example, a metal nitride or other barrier layer.
  • a tungsten layer 653 is conformally deposited in the feature hole 10 , for example, by a PNL and/or CVD method. (Note that while the tungsten layer 653 is conformally deposited in the feature hole 105 in the example of FIG.
  • tungsten nucleation on the underlayer 113 can be selectively inhibited prior to selective deposition of the tungsten layer 653 .
  • Further deposition on the tungsten layer 653 is then selectively inhibited, forming inhibited portion 655 of the tungsten layer 653 near the feature opening.
  • Tungsten is then selectively deposited by a PNL and/or CVD method in accordance with the inhibition profile such that tungsten is preferentially deposited near the bottom and mid-section of the feature. Deposition continues, in some embodiments with one or more selective inhibition cycles, until the feature is filled.
  • the inhibition effect at the feature top can be overcome by a long enough deposition time, while in some embodiments, an additional nucleation layer deposition or other treatment may be performed to lessen or remove the passivation at the feature opening once deposition there is desired.
  • feature fill may still include formation of a seam, such as seam 657 depicted in FIG. 6 .
  • the feature fill may be void-free and seam-free. Even if a seam is present, it may be smaller than obtained with a conventionally filled feature, reducing the problem of coring during CMP.
  • the sequence depicted in the example of FIG. 6 ends post-CMP with a relatively small void present.
  • FIG. 7 depicts a sequence in which a feature 700 is filled by a method according to certain embodiments.
  • a thin conformal layer of tungsten 753 is deposited initially, followed by selective inhibition to form inhibited portions 755 , layer 753 at the bottom of the feature not treated.
  • CVD deposition results in a bulk film 757 deposited on at the bottom of the feature. This is then followed by repeated cycles of selective CVD deposition and selective inhibition until the feature is filled with bulk tungsten 757 .
  • nucleation on the sidewalls of the feature is inhibited except near the bottom of the feature, fill is bottom-up.
  • different parameters may be used in successive inhibitions to tune the inhibition profile appropriately as the bottom of the feature grows closer to the feature opening. For example, a bias power and/or treatment time may be decreased is successive inhibition treatments.
  • 3D VNAND features similar to the schematic depiction in FIG. 1F were exposed to plasmas generated from N 2 H 2 gas after deposition of an initial tungsten seed layer.
  • the substrate was biased with a DC bias, with bias power varied from 100 W to 700 W and exposure time varied between 20 s and 200 s. Longer time resulted in deeper and wider inhibition, with higher bias power resulting in deeper inhibition.
  • Table 1 shows effect of treatment time. All inhibition treatments used exposure to a direct LFRF 2000 W N 2 H 2 plasma with a DC bias of 100 W on the substrate.
  • the inhibition effect may be overcome by certain CVD conditions, including longer CVD time and/or higher temperatures, more aggressive chemistry, etc.
  • Table 2 below shows the effect of CVD time on selective deposition.
  • deposition apparatuses include various systems, e.g., ALTUS and ALTUS Max, available from Lam Research, Inc. of Fremont, Calif., or any of a variety of other commercially available processing systems.
  • FIG. 8 illustrates a schematic representation of an apparatus 800 for processing a partially fabricated semiconductor substrate in accordance with certain embodiments.
  • the apparatus 800 includes a chamber 818 with a pedestal 820 , a shower head 814 , and an in-situ plasma generator 816 .
  • the apparatus 800 also includes a system controller 822 to receive input and/or supply control signals to various devices.
  • a inhibition gas and, if present, inert gases can be supplied to the remote plasma generator 806 from a source 802 , which may be a storage tank.
  • a source 802 which may be a storage tank.
  • Any suitable remote plasma generator may be used for activating the etchant before introducing it into the chamber 818 .
  • a Remote Plasma Cleaning (RPC) units such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645, all available from MKS Instruments of Andover, Mass., may be used.
  • An RPC unit is typically a self-contained device generating weakly ionized plasma using the supplied etchant. Imbedded into the RPC unit a high power RF generator provides energy to the electrons in the plasma. This energy is then transferred to the neutral inhibition gas molecules leading to temperature in the order of 2000K causing thermal dissociation of these molecules.
  • An RPC unit may dissociate more than 60% of incoming molecules because of its high RF energy and special channel geometry causing the gas to adsorb most of this energy.
  • an inhibition gas is flown from the remote plasma generator 806 through a connecting line 808 into the chamber 818 , where the mixture is distributed through the shower head 814 .
  • an inhibition gas is flown into the chamber 818 directly completely bypassing the remote plasma generator 806 (e.g., the system 800 does not include such generator).
  • the remote plasma generator 806 may be turned off while flowing the inhibition gas into the chamber 818 , for example, because activation of the inhibition gas is not needed or will be supplied by an in situ plasma generator.
  • the shower head 814 or the pedestal 820 typically may have an internal plasma generator 816 attached to it.
  • the generator 816 is a High Frequency (HF) generator capable of providing between about 0 W and 10,000 W at frequencies between about 1 MHz and 100 MHz.
  • the generator 816 is a Low Frequency (LF) generator capable of providing between about 0 W and 10,000 W at frequencies as low as about 100 KHz.
  • a HF generator may deliver between about 0 W to 5,000 W at about 13.56 MHz.
  • the RF generator 816 may generate in-situ plasma to active inhibition species.
  • the RF generator 816 can be used with the remote plasma generator 806 or not used. In certain embodiments, no plasma generator is used during deposition.
  • the chamber 818 may include a sensor 824 for sensing various process parameters, such as degree of deposition, concentrations, pressure, temperature, and others.
  • the sensor 824 may provide information on chamber conditions during the process to the system controller 822 . Examples of the sensor 824 include mass flow controllers, pressure sensors, thermocouples, and others.
  • the sensor 824 may also include an infra-red detector or optical detector to monitor presence of gases in the chamber and control measures.
  • Deposition and selective inhibition operations can generate various volatile species that are evacuated from the chamber 818 . Moreover, processing is performed at certain predetermined pressure levels the chamber 818 . Both of these functions are achieved using a vacuum outlet 826 , which may be a vacuum pump.
  • a system controller 822 is employed to control process parameters.
  • the system controller 822 typically includes one or more memory devices and one or more processors.
  • the processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc.
  • a user interface associated with system controller 822 .
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • system controller 822 controls the substrate temperature, inhibition gas flow rate, power output of the remote plasma generator 806 and/or in situ plasma generator 816 , pressure inside the chamber 818 and other process parameters.
  • the system controller 822 executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, and other parameters of a particular process.
  • Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.
  • the computer program code for controlling the processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the described processes. Examples of programs or sections of programs for this purpose include process gas control code, pressure control code, and plasma control code.
  • the controller parameters relate to process conditions such as, for example, timing of each operation, pressure inside the chamber, substrate temperature, inhibition gas flow rates, inhibition modulation gas flow rates, etc. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 822 . The signals for controlling the process are output on the analog and digital output connections of the apparatus 800 . Further description of a system controller such as system controller 822 is provided below.
  • FIG. 9A shows an example of a multi-station apparatus 900 .
  • the apparatus 900 includes a process chamber 901 and one or more cassettes 903 (e.g., Front Opening Unified Pods) for holding substrates to be processed and substrates that have completed processing.
  • the chamber 901 may have a number of stations, for example, two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of stations in usually determined by a complexity of the processing operations and a number of these operations that can be performed in a shared environment.
  • FIG. 9A illustrates the process chamber 901 that includes six stations, labeled 911 through 916 .
  • each station may have a designated reactant distribution system and local plasma and heating conditions achieved by a dedicated plasma generator and pedestal, such as the ones illustrated in FIG. 8 .
  • a substrate to be processed is loaded from one of the cassettes 903 through a load-lock 905 into the station 911 .
  • An external robot 907 may be used to transfer the substrate from the cassette 903 and into the load-lock 905 .
  • a mechanism 909 is used to transfer substrates among the processing stations 911 - 916 and support some of the substrates during the process as described below.
  • one or more stations may be reserved for heating the substrate.
  • Such stations may have a heating lamp (not shown) positioned above the substrate and/or a heating pedestal supporting the substrate similar to one illustrated in FIG. 8 .
  • a station 911 may receive a substrate from a load-lock and be used to pre-heat the substrate before being further processed.
  • Other stations may be used for filling high aspect ratio features including deposition and selective inhibition operations.
  • the substrate is moved successively to the processing stations 912 , 913 , 914 , 915 , and 916 , which may or may not be arranged sequentially.
  • the multi-station apparatus 900 can be configured such that all stations are exposed to the same pressure environment. In so doing, the substrates are transferred from the station 911 to other stations in the chamber 901 without a need for transfer ports, such as load-locks.
  • one or more stations may be used to fill features with tungsten-containing materials.
  • stations 912 may be used for an initial deposition operation
  • station 913 may be used for a corresponding selective inhibition operation.
  • stations 914 may be used for another deposition operations and station 915 may be used for another inhibition operation.
  • Section 916 may be used for the final filling operation. It should be understood that any configurations of station designations to specific processes (heating, filling, and removal) may be used.
  • any of the stations can be dedicated to one or more of PNL (or ALD) deposition, selective inhibition, pre- or post-inhibition modulation treatments, and CVD deposition.
  • the method may be implemented in a single substrate chamber or a multi-station chamber processing a substrate(s) in a single processing station in batch mode (i.e., non-sequential).
  • the substrate is loaded into the chamber and positioned on the pedestal of the single processing station (whether it is an apparatus having only one processing station or an apparatus having multi-stations running in batch mode).
  • the substrate may be then heated and the deposition operation may be conducted.
  • the process conditions in the chamber may be then adjusted and the selective inhibition of the deposited layer is then performed.
  • the process may continue with one or more deposition-inhibition cycles (if performed) and with the final filling operation all performed on the same station.
  • a single station apparatus may be first used to perform only one of the operation in the new method (e.g., depositing, selective inhibition, final filling) on multiple substrates after which the substrates may be returned back to the same station or moved to a different station (e.g., of a different apparatus) to perform one or more of the remaining operations.
  • the new method e.g., depositing, selective inhibition, final filling
  • a different station e.g., of a different apparatus
  • FIG. 9B is a schematic illustration of a multi-chamber apparatus 920 that may be used in accordance with certain embodiments.
  • the apparatus 920 has three separate chambers 921 , 923 , and 925 . Each of these chambers is illustrated with two pedestals. It should be understood that an apparatus may have any number of chambers (e.g., one, two, three, four, five, six, etc.) and each chamber may have any number of chambers (e.g., one, two, three, four, five, six, etc.).
  • Each chamber 921 - 525 has its own pressure environment, which is not shared between chambers.
  • Each chamber may have one or more corresponding transfer ports (e.g., load-locks).
  • the apparatus may also have a shared substrate handling robot 927 for transferring substrates between the transfer ports one or more cassettes 929 .
  • separate chambers may be used for depositing tungsten containing materials and selective inhibition of these deposited materials in later operations. Separating these two operations into different chambers can help to substantially improve processing speeds by maintaining the same environmental conditions in each chamber.
  • a chamber does not need to change its environment from conditions used for deposition to conditions used for selective inhibition and back, which may involve different chemistries, different temperatures, pressures, and other process parameters. In certain embodiments, it is faster to transfer partially manufactured semiconductor substrates between two or more different chambers than changing environmental conditions of these chambers.
  • FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber.
  • a batch of substrates is processed in a tungsten deposition chamber ( 1001 ).
  • Block 1001 may involve a CVD process as described above, for example.
  • an inhibition treatment is performed ( 1003 ). Examples of inhibition treatments are given above, and include exposure to nitrogen plasmas.
  • a next batch of substrates may then be processed, with deposition on the chamber inhibited by the inhibition treatment ( 1005 ).
  • Block 1003 may be performed with no substrate or a dummy substrate present in the chamber. It may also be performed as part of regular maintenance process that may include any of cleaning the chamber using an etchant chemistry and depositing a precoat or undercoat.
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including power, intensity, and exposure times.
  • the controller may also control processes such as processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., radio frequency (RF) generator settings
  • RF matching circuit settings e.g., frequency matching circuit settings
  • frequency settings e.g., frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • DSPs digital signal processors
  • ASICs application specific integrated circuits
  • microcontrollers that execute program instructions (e.g., software).
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive processes.
  • Examples of programs or sections of programs for this purpose include substrate positioning code, treatment compound control code, pressure control code, heater control code, and RF control code.
  • the controller includes instructions for performing processes of the disclosed embodiments according to methods described above.
  • the computer program code for controlling the processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • the user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • the apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility.
  • Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.
  • a tool such as an RF or microwave plasma resist stripper.

Abstract

Described herein are methods of filling features with tungsten, and related systems and apparatus, involving inhibition of tungsten nucleation. In some embodiments, the methods involve selective inhibition along a feature profile. Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window. The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.

Description

    INCORPORATION BY REFERENCE
  • An Application Data Sheet is filed concurrently with this specification as part of the present application. Each application that the present application claims benefit of or priority to as identified in the concurrently filed Application Data Sheet is incorporated by reference herein in its entirety and for all purposes.
  • BACKGROUND
  • Deposition of conductive materials using chemical vapor deposition (CVD) techniques is an integral part of many semiconductor fabrication processes. These materials may be used for horizontal interconnects, vias between adjacent metal layers, contacts between first metal layers and devices on the silicon substrate, and high aspect ratio features. In a conventional tungsten deposition process, a substrate is heated to a predetermined process temperature in a deposition chamber, and a thin layer of tungsten-containing materials that serves as a seed or nucleation layer is deposited. Thereafter, the remainder of the tungsten-containing material (the bulk layer) is deposited on the nucleation layer. Conventionally, the tungsten-containing materials are formed by the reduction of tungsten hexafluoride (WF6) with hydrogen (H2). Tungsten-containing materials are deposited over an entire exposed surface area of the substrate including features and a field region.
  • Depositing tungsten-containing materials into small and, especially, high aspect ratio, features may cause formation of seams and voids inside the filled features. Large seams may lead to high resistance, contamination, loss of filled materials, and otherwise degrade performance of integrated circuits. For example, a seam may extend close to the field region after filling process and then open during chemical-mechanical planarization.
  • SUMMARY
  • Described herein are methods of filling features with tungsten, and related systems and apparatus, involving inhibition of tungsten nucleation. In some embodiments, the methods involve selective inhibition along a feature profile. Methods of selectively inhibiting tungsten nucleation can include exposing the feature to a direct or remote plasma. Pre-inhibition and post-inhibition treatments are used to modulate the inhibition effect, facilitating feature fill using inhibition across a wide process window. The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for both conformal fill and bottom-up/inside-out fill. Examples of applications include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate and wordline fill, and 3-D integration using through-silicon vias.
  • One aspect relates to a method including providing a substrate including a feature having one or more feature openings and a feature interior; selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; modulating the differential inhibition profile to form a modified differential inhibition profile; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.
  • In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.
  • In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.
  • In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. A direct plasma may include one or more of nitrogen, hydrogen, oxygen and carbon activated species. In some embodiments, the plasma is nitrogen-based and/or hydrogen-based. In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. In some embodiments, the method involves depositing a tungsten layer in the feature prior to selective inhibition.
  • Examples of modulating the differential inhibition profile include soaking the feature in a reducing agent or tungsten-containing agent, annealing the substrate, exposing the feature to a hydrogen-containing plasma, and exposing the substrate to a sputtering gas.
  • In some embodiments, the methods involve, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.
  • In some embodiments, the method includes repeating a cycle of selective inhibition and selective deposition one or more times to fill the feature.
  • Another aspect involves a method including providing a substrate including a feature having one or more feature openings and a feature interior; exposing the feature to one of: an oxidizing environment, a vacuum break, a reducing agent soak, or a tungsten-containing agent soak; after exposing the feature, selectively inhibiting tungsten nucleation in the feature such that there is a differential inhibition profile along a feature axis; and selectively depositing tungsten in the feature in accordance with the modified differential inhibition profile.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a direct plasma while applying a bias to the substrate. The plasma may contains one or more of nitrogen, hydrogen, oxygen and carbon activated species.
  • The plasma may be nitrogen-based or hydrogen-based in some embodiments.
  • In some embodiments, selectively inhibiting tungsten nucleation in the feature includes exposing the feature to a remotely-generated plasma. The method may further involve depositing a tungsten layer in the feature prior to selective inhibition.
  • In some embodiments, exposing the feature includes soaking the feature in a reducing agent or tungsten-containing agent.
  • In some embodiments, the method involves modulating the differential inhibition profile.
  • In some embodiments, the method involves, after selectively depositing tungsten in the feature, depositing tungsten in the feature to complete feature fill.
  • In some embodiments, the method involves, after selectively depositing tungsten in the feature, non-selectively depositing tungsten in the feature. Transitioning from selective to non-selective deposition may involve allowing a CVD process to continue without deposition of an intervening tungsten nucleation layer. Transitioning from selective to non-selective deposition may involve deposition of a tungsten nucleation layer on the selectively deposited tungsten.
  • In some embodiments, selectively inhibiting tungsten nucleation includes treating a tungsten surface of the feature. In some embodiments, selectively inhibiting tungsten nucleation includes treating a metal nitride surface of the feature.
  • In some embodiments selective inhibition is performed without etching material in the feature. In some embodiments, feature fill is performed without etching material in the feature. The feature may be part of a 3-D structure.
  • Another aspect is a method involving, prior to processing a one or more substrates in a tungsten deposition chamber, exposing the tungsten deposition chamber to an inhibition treatment.
  • Another aspect is an apparatus including one or more chambers configured to support a substrate; an in situ plasma generator configured to generate a plasma in one or more of the chambers; gas inlets configured to direct gas into each of the one or more chambers; and a controller including program instructions for performing any of the methods above.
  • These and other aspects are described further below with reference to the drawings.
  • BRIEF DESCRIPTION OF DRAWINGS
  • FIGS. 1A-1G show examples of various structures that can be filled according to the processes described herein.
  • FIGS. 2A-2C are process flow diagrams illustrating certain operations in methods of filling features with tungsten.
  • FIG. 2D is a graph showing growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment.
  • FIGS. 3A-3C, 4A-4D, 4F, and 4G are process flow diagrams illustrating certain operations in methods of selective inhibition.
  • FIG. 3D is a graph showing inhibition modulation as function of pre-inhibition exposure to air duration.
  • FIG. 4E is a graph showing inhibition modulation as a function of post-inhibition anneal duration.
  • FIG. 4I is a bar graph showing the effect of a post-inhibition hydrogen plasma on inhibition.
  • FIGS. 5-7 are schematic diagrams showing features at various stages of feature fill.
  • FIGS. 8, 9A and 9B are schematic diagrams showing examples of apparatus suitable for practicing the methods described herein.
  • FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber.
  • DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
  • In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail to not unnecessarily obscure the present invention. While the invention will be described in conjunction with the specific embodiments, it will be understood that it is not intended to limit the invention to the embodiments.
  • Described herein are methods of filling features with tungsten (W) and related systems and apparatus. Examples of application include logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3-D integration with through-silicon vias (TSVs). The methods described herein can be used to fill vertical features, such as in tungsten vias, and horizontal features, such as vertical NAND (VNAND) wordlines. The methods may be used for conformal and bottom-up or inside-out fill.
  • According to various embodiments, a features can be characterized by one or more of narrow and/or re-entrant openings, constrictions within the features, and high aspect ratios. Examples of features that can be filled are depicted in FIGS. 1A-1C. FIG. 1A shows an example of a cross-sectional depiction of a vertical feature 101 to be filled with tungsten. The feature can include a feature hole 105 in a substrate 103. The substrate may be a silicon wafer, e.g., 200-mm wafer, 300-mm wafer, or 450-mm wafer, including wafers having one or more layers of material such as dielectric, conducting, or semi-conducting material deposited thereon. In some embodiments, the feature hole 105 may have an aspect ratio of at least about 2:1, at least about 4:1, at least about 6:1 or higher. The feature hole 105 may also have a dimension near the opening, e.g., an opening diameter or line width, of between about 10 nm to 500 nm. For example, the opening dimension may be between about 25 nm to 300 nm. The feature hole 105 may be referred to as an unfilled feature or simply a feature. The feature, and any feature, may be characterized in part by an axis 118 that extends through the length of the feature, with vertically-oriented features having vertical axes and horizontally-oriented features having horizontal axes.
  • FIG. 1B shows an example of a feature 101 that has a re-entrant profile. A re-entrant profile is a profile that narrows from a closed bottom end to the feature opening or from an interior of the feature to the feature opening. According to various embodiments, the profile may narrow gradually and/or include an overhang at the feature opening. FIG. 1B shows an example of the latter, with an underlayer 113 lining the interior surfaces of the feature hole 105. The underlayer 113 can be for example, a diffusion barrier layer, an adhesion layer, a nucleation layer, a combination of thereof, or any other appropriate material. Examples of such underlayers include titanium nitride (TiN) underlayers, titanium/titanium nitride (Ti/TiN) underlayers, and tungsten nitride (WN) underlayers. The underlayer 113 forms an overhang 115 such that the underlayer 113 is thicker near the opening of the feature 101 than inside the feature 101.
  • In some embodiments, features having one or more constrictions within the feature may be filled. FIG. 1C shows examples of views of various filled features having constrictions. Each of the examples (a), (b) and (c) in FIG. 1C includes a constriction 109 at a midpoint of the feature. The constriction 109 can be, for example, between about 15 nm to 20 nm wide. Constrictions can cause pinch off during deposition of tungsten in the feature using conventional techniques, with deposited tungsten blocking further deposition past the constriction before that portion of the feature is filled, resulting in voids in the feature. Example (b) further includes a liner/barrier overhang 115 at the feature opening. Such an overhang could also be a potential pinch-off point. Example (c) includes a constriction 112 further away from the field region than the overhang 115 in example (b). As described further below, methods described herein allow void-free fill as depicted in FIG. 1C.
  • Horizontal features, such as in 3-D memory structures, can also be filled. FIG. 1D shows an example of a word line 150 in a VNAND structure 148 that includes a constriction 151. In some embodiments, the constrictions can be due to the presence of pillars in a VNAND or other structure. FIG. 1E, for example, shows a plan view of pillars 125 in a VNAND structure, with FIG. 1F showing a simplified schematic of a cross-sectional depiction of the pillars 125. Arrows in FIG. 1E represent deposition material; as pillars 125 are disposed between an area 127 and a gas inlet or other deposition source, adjacent pillars can result in constrictions that present challenges in void free fill of the area 127.
  • FIG. 1G provides another example of a view horizontal feature, for example, of a VNAND or other structure including pillar constrictions 151. The example in FIG. 1G is open-ended, with material to be deposited able to enter laterally from two sides as indicated by the arrows. (It should be noted that example in FIG. 1G can be seen as a 2-D rendering 3-D features of the structure, with the FIG. 1G being a cross-sectional depiction of an area to be filled and pillar constrictions shown in the figure representing constrictions that would be seen in a plan rather than cross-sectional view.) In some embodiments, 3-D structures can be characterized with the area to be filled extending along three dimensions (e.g., in the X, Y and Z-directions in the example of FIG. 1F), and can present more challenges for fill than filling holes or trenches that extend along one or two dimensions. For example, controlling fill of a 3-D structure can be challenging as deposition gasses may enter a feature from multiple dimensions.
  • Filling features with tungsten-containing materials may cause formation of voids and seams inside the filled features. A void is region in the feature that is left unfilled. A void can form, for example, when the deposited material forms a pinch point within the feature, sealing off an unfilled space within the feature preventing reactant entry and deposition.
  • There are multiple potential causes for void and seam formation. One is an overhang formed near the feature opening during deposition of tungsten-containing materials or, more typically, other materials, such as a diffusion barrier layer or a nucleation layer. An example of an overhang is shown in FIG. 1B.
  • Another cause of void or seam formation that is not illustrated in FIG. 1B but that nevertheless may lead to seam formation or enlarging seams is curved sidewalls of feature holes. Features having such curved sidewalls are also referred to as bowed features. In a bowed feature, the cross-sectional dimension of the cavity near the opening is smaller than that inside the feature. Deposition challenges caused by the narrowed openings of bowed features are similar to those caused by overhangs as described above. Constrictions within a feature such as shown in FIGS. 1C, 1D and 1G also present challenges for tungsten fill with few or no voids and seams.
  • Even if void free fill is achieved, tungsten in the feature may contain a seam running through the axis or middle of the via, trench, line or other feature. This is because tungsten growth can begin at the sidewall and continue until the tungsten grains meet with tungsten growing from the opposite sidewall. This seam can allow for trapping of impurities including fluorine-containing compounds such as hydrofluoric acid (HF). During chemical-mechanical planarization (CMP), coring can also propagate from the seam. According to various embodiments, the methods described herein can reduce or eliminate void and seam formation. The methods described herein may also address one or more of the following:
  • 1) Very challenging profiles: Void free fill can be achieved in most re-entrant features using deposition-etch-deposition (dep-etch-dep) cycles as described in U.S. Pat. No. 8,435,894, incorporated by reference herein. However, depending on the dimensions and geometry, multiple dep-etch-dep cycles may be needed to achieve void-free fill. This can affect process stability and throughput. Embodiments described herein can provide feature fill with fewer or no dep-etch-dep cycles.
  • 2) Small features and liner/barrier impact: In cases where the feature sizes are extremely small, tuning the etch process without impacting the integrity of a liner/barrier underlayer can be very difficult. In some cases intermittent titanium (Ti) attack can occur during a W-selective etch. This may be due to formation of a passivating titanium fluoride (TiFx) layer during the etch.
  • 3) Scattering at W grain boundaries: The presence of multiple W grains inside the feature can result in electron loss due to grain boundary scattering. As a result, actual device performance will be degraded compared to theoretical predictions and blanket wafer results.
  • 4) Reduced via volume for W fill: Especially in smaller and newer features, a significant part of the metal contact is used up by the W barrier (e.g., a TiN or WN, etc. barrier). These films are typically higher resistivity than W and negatively impact electrical characteristics like contact resistance.
  • FIG. 2A is a process flow diagram illustrating certain operations in a method of filling a feature with tungsten. The method begins at a block 201 with selective inhibition of a feature. Selective inhibition, which may also be referred to as preferential inhibition, preferential passivation, selective passivation, differential inhibition, or differential passivation, involves inhibiting subsequent tungsten nucleation on a portion of the feature, while not inhibiting nucleation (or inhibiting nucleation to a lesser extent) on the remainder of the feature. For example, in some embodiments, a feature is selectively inhibited at a feature opening, while nucleation inside the feature is not inhibited. Selective inhibition is described further below, and can involve, for example, selectively exposing a portion of the feature to activated species of a plasma. In certain embodiments, for example, a feature opening is selectively exposed to a plasma generated from molecular nitrogen gas. As discussed further below, a desired inhibition profile in a feature can be formed by appropriately selecting one or more of inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters.
  • Once the feature is selectively inhibited, the method can continue at block 203 with selective deposition of tungsten according to the inhibition profile. Block 203 may involve one or more chemical vapor deposition (CVD) and/or atomic layer deposition (ALD) processes, including thermal and plasma-enhanced CVD and/or ALD processes. The deposition is selective in that the tungsten preferentially grows on the lesser- and non-inhibited portions of the feature. In some embodiments, block 203 involves selectively depositing tungsten in a bottom or interior portion of the feature until a constriction is reached or passed.
  • After selective deposition according to the inhibition profile is performed, the method can continue at block 205 with filling the rest of the feature. In certain embodiments, block 205 involves a CVD process in which a tungsten-containing precursor is reduced by hydrogen to deposit tungsten. While tungsten hexafluoride (WF6) is often used, the process may be performed with other tungsten precursors, including, but not limited to, tungsten hexachloride (WCl6), organo-metallic precursors, and precursors that are free of fluorine such as MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) and EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten). In addition, while hydrogen can be used as the reducing agent in the CVD deposition, other reducing agents including silane may be used in addition or instead of hydrogen. In another embodiment, tungsten hexacarbonyl (W(CO)6) may be used with or without a reducing agent. Unlike with ALD and pulsed nucleation layer (PNL) processes described further below, in a CVD technique, the WF6 and H2 or other reactants are simultaneously introduced into the reaction chamber. This produces a continuous chemical reaction of mix reactant gases that continuously forms tungsten film on the substrate surface. Methods of depositing tungsten films using CVD are described in U.S. Pat. Nos. 8,551,885 and 8,623,733, which are incorporated by reference herein in their entireties for the purposes of describing tungsten deposition processes. According to various embodiments, the methods described herein are not limited to a particular method of filling a feature but may include any appropriate deposition technique.
  • In some embodiments, block 205 may involve continuing a CVD deposition process started at block 203. Such a CVD process may result in deposition on the inhibited portions of the feature, with nucleation occurring more slowly than on the non-inhibited portions of the feature. In some embodiments, block 205 may involve deposition of a tungsten nucleation layer over at least the inhibited portions of the feature.
  • According to various embodiments, the feature surface that is selectively inhibited can be a barrier or liner layer, such as a metal nitride layer, or it can be a layer deposited to promote nucleation of tungsten. FIG. 2B shows an example of a method in which a tungsten nucleation layer is deposited in the feature prior to selective inhibition. The method begins at block 301 with deposition of the thin conformal layer of tungsten in the feature. The layer can facilitate subsequent deposition of bulk tungsten-containing material thereon. In certain embodiments, the nucleation layer is deposited using a PNL technique. In a PNL technique, pulses of a reducing agent, purge gases, and tungsten-containing precursor can be sequentially injected into and purged from the reaction chamber. The process is repeated in a cyclical fashion until the desired thickness is achieved. PNL broadly embodies any cyclical process of sequentially adding reactants for reaction on a semiconductor substrate, including ALD techniques. PNL techniques for depositing tungsten nucleation layers are described in U.S. Pat. Nos. 6,635,965; 7,589,017; 7,141,494; 7,772,114; 8,058,170 and 8,623,733 and in U.S. patent application Ser. No. 12/755,248, which are incorporated by reference herein in their entireties for the purposes of describing tungsten deposition processes. Block 301 is not limited to a particular method of tungsten nucleation layer deposition, but includes PNL, ALD, CVD, and physical vapor deposition (PVD) techniques for depositing a thin conformal layer. The nucleation layer can be sufficiently thick to fully cover the feature to support high quality bulk deposition; however, because the resistivity of the nucleation layer is higher than that of the bulk layer, the thickness of the nucleation layer may be minimized to keep the total resistance as low as possible. Example thicknesses of films deposited in block 301 can range from less than 10 Å to 100 Å. After deposition of the thin conformal layer of tungsten in block 301, the method can continue with blocks 201, 203, and 205 as described above with reference to FIG. 2A. An example of filling a feature according to a method of FIG. 2B is described below with reference to FIG. 5.
  • In some embodiments, the thickness of the layer deposited in block 301 may be used to modulate the inhibition effect of the subsequent operation. FIG. 2D shows growth delay time (after inhibition) as a function of thickness of tungsten layer deposited prior to the inhibition treatment. The thinner the layer, the stronger the inhibiting effect.
  • FIG. 2C shows an example of a method in which completing filling the feature (e.g., block 205 in FIG. 2A) can involve repeating selective inhibition and deposition operations. The method can begin at block 201, as described above with respect to FIG. 2A, in which the feature is selectively inhibited, and continue at block 203 with selective deposition according to the inhibition profile. Blocks 201 and 203 are then repeated one or more times (block 401) to complete feature fill.
  • Still further, selective inhibition can be used in conjunction with selective deposition. Selective deposition techniques are described in U.S. Provisional Patent Application No. 61/616,377, incorporated by reference herein.
  • According to various embodiments, selective inhibition can involve exposure to activated species that passivate the feature surfaces. For example, in certain embodiments, a tungsten surface can be passivated by exposure to a nitrogen-based or hydrogen-based plasma. In some embodiments, inhibition can involve a chemical reaction between activated species and the feature surface to form a thin layer of a compound material such as tungsten nitride (WN) or tungsten carbide (WC). In some embodiments, inhibition can involve a surface effect such as adsorption that passivates the surface without forming a layer of a compound material. Activated species may be formed by any appropriate method including by plasma generation and/or exposure to ultraviolet (UV) radiation. In some embodiments, the substrate including the feature is exposed to a plasma generated from one or more gases fed into the chamber in which the substrate sits. In some embodiments, one or more gases may be fed into a remote plasma generator, with activated species formed in the remote plasma generator fed into a chamber in which the substrate sits. The plasma source can be any type of source including radio frequency (RF) plasma source or microwave source. The plasma can be inductively and/or capacitively-coupled. Activated species can include atomic species, radical species, and ionic species. In certain embodiments, exposure to a remotely-generated plasma includes exposure to radical and atomized species, with substantially no ionic species present in the plasma such that the inhibition process is not ion-mediated. In other embodiments, ion species may be present in a remotely-generated plasma. In certain embodiments, exposure to an in-situ plasma involves ion-mediated inhibition. For the purposes of this application, activated species are distinguished from recombined species and from the gases initially fed into a plasma generator.
  • Inhibition chemistries can be tailored to the surface that will be subsequently exposed to deposition gases. For tungsten surfaces, as formed for example in a method described with reference to FIG. 2B, exposure to nitrogen-based and/or hydrogen-based plasmas inhibits subsequent tungsten deposition on the W surfaces. Other chemistries that may be used for inhibition of tungsten surfaces include oxygen-based plasmas and hydrocarbon-based plasmas. For example, molecular oxygen or methane may be introduced to a plasma generator.
  • As used herein, a nitrogen-based plasma is a plasma in which the main non-inert component is nitrogen. An inert component such as argon, xenon, or krypton may be used as a carrier gas. In some embodiments, no other non-inert components are present in the gas from which the plasma is generated except in trace amounts. In some embodiments, inhibition chemistries may be nitrogen-containing, hydrogen-containing, oxygen-containing, and/or carbon-containing, with one or more additional reactive species present in the plasma. For example, U.S. Pat. No. 8,124,531, incorporated by reference herein, describes passivation of a tungsten surface by exposure to nitrogen trifluoride (NF3). Similarly, fluorocarbons such as CF4 or C2F8 may be used. However, in certain embodiments, the inhibition species are fluorine-free to prevent etching during selective inhibition.
  • In certain embodiments, UV radiation may be used in addition to or instead of plasma to provide activated species. Gases may be exposed to UV light upstream of and/or inside a reaction chamber in which the substrate sits. Moreover, in certain embodiments, non-plasma, non-UV, thermal inhibition processes may be used. In addition to tungsten surfaces, nucleation may be inhibited on liner/barrier layers surfaces such as TiN and/or WN surfaces. Any chemistry that passivates these surfaces may be used. For TiN and WN, this can include exposure to nitrogen-based or nitrogen-containing chemistries. In certain embodiments, the chemistries described above for W may also be employed for TiN, WN, or other liner layer surfaces.
  • Tuning an inhibition profile can involve appropriately controlling an inhibition chemistry, substrate bias power, plasma power, process pressure, exposure time, and other process parameters. For in-situ plasma processes (or other processes in which ionic species are present), a bias can be applied to the substrate. Substrate bias can, in some embodiments, significantly affect an inhibition profile, with increasing bias power resulting in active species deeper within the feature. For example, 100 W DC bias on a 300 mm substrate may result inhibition the top half of a 1500 nm deep structure, while a 700 W bias may result in inhibition of the entire structure. The absolute bias power appropriate a particular selective inhibition will depend on the substrate size, the system, plasma type, and other process parameters, as well as the desired inhibition profile; however, bias power can be used to tune top-to-bottom selectivity, with decreasing bias power resulting in higher selectivity. For 3-D structures in which selectivity is desired in a lateral direction (tungsten deposition preferred in the interior of the structure), but not in a vertical direction, increased bias power can be used to promote top-to-bottom deposition uniformity.
  • While bias power can be used in certain embodiments as the primary or only knob to tune an inhibition profile for ionic species, in certain situations, performing selective inhibition uses other parameters in addition to or instead of bias power. These include remotely generated non-ionic plasma processes and non-plasma processes. Also, in many systems, a substrate bias can be easily applied to tune selectivity in vertical but not lateral direction. Accordingly, for 3-D structures in which lateral selectivity is desired, parameters other than bias may be controlled, as described above.
  • Inhibition chemistry can also be used to tune an inhibition profile, with different ratios of active inhibiting species used. For example, for inhibition of W surfaces, nitrogen may have a stronger inhibiting effect than hydrogen; adjusting the ratio of N2 and H2 gas in a forming gas-based plasma can be used to tune a profile. The plasma power may also be used to tune an inhibition profile, with different ratios of active species tuned by plasma power. Process pressure can be used to tune a profile, as pressure can cause more recombination (deactivating active species) as well as pushing active species further into a feature. Process time may also be used to tune inhibition profiles, with increasing treatment time causing inhibition deeper into a feature.
  • In some embodiments, selective inhibition can be achieved by performing operation 203 in a mass transport limited regime. In this regime, the inhibition rate inside the feature is limited by amounts of and/or relative compositions of different inhibition material components (e.g., an initial inhibition species, activated inhibition species, and recombined inhibition species) that diffuse into the feature. In certain examples, inhibition rates depend on various components' concentrations at different locations inside the feature.
  • Mass transport limiting conditions may be characterized, in part, by overall inhibition concentration variations. In certain embodiments, a concentration is less inside the feature than near its opening resulting in a higher inhibition rate near the opening than inside. This in turn leads to selective inhibition near the feature opening. Mass transport limiting process conditions may be achieved by supplying limited amounts of inhibition species into the processing chamber (e.g., use low inhibition gas flow rates relative to the cavity profile and dimensions), while maintaining relative high inhibition rates near the feature opening to consume some activated species as they diffuse into the feature. In certain embodiment, a concentration gradient is substantial, which may be caused relatively high inhibition kinetics and relatively low inhibition supply. In certain embodiments, an inhibition rate near the opening may also be mass transport limited, though this condition is not required to achieve selective inhibition.
  • In addition to the overall inhibition concentration variations inside features, selective inhibition may be influenced by relative concentrations of different inhibition species throughout the feature. These relative concentrations in turn can depend on relative dynamics of dissociation and recombination processes of the inhibition species. As described above, an initial inhibition material, such as molecular nitrogen, can be passed through a remote plasma generator and/or subjected to an in-situ plasma to generate activated species (e.g., atomic nitrogen, nitrogen ions). However, activated species may recombine into less active recombined species (e.g., nitrogen molecules) and/or react with W, WN, TiN, or other feature surfaces along their diffusion paths. As such, different parts of the feature may be exposed to different concentrations of different inhibition materials, e.g., an initial inhibition gas, activated inhibition species, and recombined inhibition species. This provides additional opportunities for controlling selective inhibition. For example, activated species are generally more reactive than initial inhibition gases and recombined inhibition species. Furthermore, in some cases, the activated species may be less sensitive to temperature variations than the recombined species. Therefore, process conditions may be controlled in such a way that removal is predominantly attributed to activated species. As noted above, some species may be more reactive than others. Furthermore, specific process conditions may result in activated species being present at higher concentrations near features' openings than inside the features. For example, some activated species may be consumed (e.g., reacted with feature surface materials and/or adsorbed on the surface) and/or recombined while diffusing deeper into the features, especially in small high aspect ratio features. Recombination of activated species can also occur outside of features, e.g., in the showerhead or the processing chamber, and can depends on chamber pressure. Therefore, chamber pressure may be controlled to adjust concentrations of activated species at various points of the chamber and features.
  • Flow rates of the inhibition gas can depend on a size of the chamber, reaction rates, and other parameters. A flow rate can be selected in such a way that more inhibition material is concentrated near the opening than inside the feature. In certain embodiments, these flow rates cause mass-transport limited selective inhibition. For example, a flow rate for a 195-liter chamber per station may be between about 25 sccm and 10,000 sccm or, in specific embodiments, between about 50 sccm and 1,000 sccm. In certain embodiments, the flow rate is less than about 2,000 sccm, less than about 1,000 sccm, or more less than about 500 sccm. It should be noted that these values are presented for one individual station configured for processing a 300-mm substrate. These flow rates can be scaled up or down depending on a substrate size, a number of stations in the apparatus (e.g., quadruple for a four station apparatus), a processing chamber volume, and other factors.
  • In certain embodiments, the substrate can be heated up or cooled down before selective inhibition. Various devices may be used to bring the substrate to the predetermined temperature, such as a heating or cooling element in a station (e.g., an electrical resistance heater installed in a pedestal or a heat transfer fluid circulated through a pedestal), infrared lamps above the substrate, igniting plasma, etc.
  • A predetermined temperature for the substrate can be selected to induce a chemical reaction between the feature surface and inhibition species and/or promote adsorption of the inhibition species, as well as to control the rate of the reaction or adsorption. For example, a temperature may be selected to have high reaction rate such that more inhibition occurs near the opening than inside the feature. Furthermore, a temperature may be also selected to control recombination of activated species (e.g., recombination of atomic nitrogen into molecular nitrogen) and/or control which species (e.g., activated or recombined species) contribute predominantly to inhibition. In certain embodiments, a substrate is maintained at less than about 300° C., or more particularly at less than about 250° C., or less than about 150° C., or even less than about 100° C. In other embodiments, a substrate is heated to between about 300° C. and 450° C. or, in more specific embodiments, to between about 350° C. and 400° C. Other temperature ranges may be used for different types of inhibition chemistries. Exposure time can also be selected to cause selective inhibition. Example exposure times can range from about 10 s to 500 s, depending on desired selectivity and feature depth.
  • In some embodiments, the inhibition treatments described above are modulated to improve selectivity and tune the inhibition profile. FIGS. 3A-3C and 4A-4D provide examples of flow charts of selectively inhibiting tungsten deposition in a feature. FIGS. 3A-3C provide examples of treating a substrate prior to exposing the substrate to an nitrogen-based plasma or other inhibition chemistry. First, in FIG. 3A, the process begins by exposing a substrate including a feature to a controlled vacuum break (350). As used herein, a vacuum break refers to a period wherein the substrate is not under vacuum. In block 350, the substrate may be exposed to atmospheric pressure, for example, in a storage cassette (e.g., a front opening unified pod or FOUP) or in a loadlock. In some embodiments, the substrate may be exposed to atmospheric temperature and/or gasses (i.e., air). Alternatively, temperature and gas composition may be controlled. The duration of block 350 may be controlled to effectively modulate the subsequent inhibition treatment. Next, the substrate is exposed to an inhibition treatment as discussed above (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. The process shown in FIG. 3A may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 350 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2A. In one example, a thin tungsten film may be deposited in a feature in a first vacuum chamber, followed by a controlled vacuum break in a FOUP or loadlock, followed by exposure to a nitrogen-based plasma in a second vacuum chamber.
  • The process of FIG. 3B is similar to that of FIG. 3A, with a substrate including a feature exposed to an oxidizing chemistry (354). In some embodiments, block 354 may be performed outside a reaction chamber, for example in a FOUP or loadlock. Alternatively, block 354 may involve exposing a substrate to an oxidizing gas, such as oxygen (O2), ozone (O3), carbon dioxide (CO2), water (H2O), etc. in a process chamber. Block 354 may be performed under vacuum or at atmospheric pressure. According to various embodiments, block 354 may or may not involve the use of plasma- or UV-activated species. For example, block 354 may involve exposing the substrate to O2 under non-plasma conditions such that the O2 is not activated. Block 354 is followed by exposing the substrate to an inhibition treatment (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. Blocks 354 and 352 may be performed in the same chamber or different chambers. The process shown in FIG. 3B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 354 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B.
  • In some embodiments, block 350 in FIG. 3A or block 354 in FIG. 3B in involves formation of an oxide film in the feature. For example, in implementations in which there is a thin conformal tungsten film deposited in the feature (e.g., as in block 301 of FIG. 2B), tungsten oxide (WOx) may be formed in the feature. In some embodiments, WOx formation in a feature is non-conformal.
  • FIG. 3D shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of tungsten layer, b) exposure to air (vacuum break) and c) exposure to a nitrogen-based plasma inhibiting treatment. The delay time is shown as a function of the air exposure time. As shown in FIG. 3D, an air break modulates the inhibition effect of the nitrogen plasma by lessening the effect.
  • The process of FIG. 3C involves exposing a substrate including a feature to a reactive chemistry (356). Examples of reactive chemistries include reducing chemistries (e.g., diborane (B2H6) or silane (SiH4)) and tungsten-containing chemistries (e.g., WF6 or WCl6). Block 356 is followed by exposing the substrate to an inhibition treatment (352). In a particular example, the substrate is exposed to a nitrogen-based plasma. Blocks 356 and 352 may be performed in the same chamber or different chambers. The process shown in FIG. 3C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 356 is performed after deposition of a thin film in the feature, for example as shown in block 301 of FIG. 2B. Block 356 may be referred to as a soak, and is generally a non-plasma operation.
  • Table 1, below, compares inhibition performed after a diborane soak with inhibition performed after no soak. For both processes, a 100 Å tungsten nucleation layer was deposited, followed by the soak/no soak operation, followed by exposure to a nitrogen plasma. The deposition operation following the inhibition treatment was 300 seconds (including delay).
  • 300 second W 300 second W
    Pre-inhibition B2H6 deposition: deposition:
    soaking (seconds) thickness (Å) delay (seconds)
    0 897 221
    15 100 >300 s

    The results in Table 1 indicate that the B2H6 rich surface modulates the inhibition effect by increasing it.
  • FIGS. 4A-4D provide examples of treating a substrate after exposing the substrate to an nitrogen-based plasma or other inhibition chemistry and prior to tungsten deposition. The treatment modulates the inhibition. First, in FIG. 4A, the process includes exposing a substrate including a feature to an inhibition treatment as discussed above (450). In a particular example, the substrate is exposed to a nitrogen-based plasma. Next, the substrate is annealed (452). Block 452 may involve raising the temperature, e.g., by at least 50° C., 100° C. or 200° C. The annealing may be performed in an inert ambient, or in an oxidizing environment, for example. Blocks 450 and 452 may be performed in the same chamber or different chambers. The process shown in FIG. 4A may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. Block 452 may be performed in a chamber where a subsequent tungsten deposition operation is to be performed. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 452 forming a modified differential inhibition profile along the feature axis.
  • The process of FIG. 4B involves exposing a substrate including a feature to a reactive chemistry (454) after exposing it to an inhibition treatment (450) as described above. Examples of reactive chemistries include reducing chemistries (e.g., B2H6, SiH4) and tungsten-containing chemistries (e.g., WF6, WCl6). Blocks 450 and 454 may be performed in the same chamber or different chambers. The process shown in FIG. 4B may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, the reactive chemistry in block 454 is one or more compounds used in a subsequent tungsten deposition operation. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 454 may be referred to as a soak, and is generally a non-plasma operation. Block 450 may form a differential inhibition profile along a feature axis, with block 454 forming a modified differential inhibition profile along the feature axis.
  • The process of FIG. 4C involves exposing a substrate including a feature to an oxidizing chemistry (456) after exposing it to an inhibition treatment (450) as described above. Examples of oxidizing chemistries include O2, O3, CO2, and H2O. Block 456 may be performed at the same or different temperature than block 450. According to various embodiments, block 456 may or may not involve the use of plasma- or UV-activated species. For example, block 456 may involve exposing the substrate to O2 under non-plasma conditions such that the O2 is not activated. Blocks 450 and 456 may be performed in the same chamber or different chambers. The process shown in FIG. 4C may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 456 forming a modified differential inhibition profile along the feature axis.
  • The process of FIG. 4D involves exposing a substrate including a feature to a sputtering gas (458) after exposing it to an inhibition treatment (450) as described above. Examples of sputtering gases include Ar and H2. Blocks 450 and 458 may be performed in the same chamber or different chambers. The process shown in FIG. 4D may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 458 forming a modified differential inhibition profile along the feature axis.
  • FIG. 4E shows growth delay of a tungsten deposition performed after the following sequence: a) deposition of a tungsten layer, b) exposure to a nitrogen-based plasma inhibiting treatment, and c) exposure to a thermal anneal. As shown in FIG. 4E, annealing modulates the inhibition effect of the nitrogen plasma by lessening the effect.
  • Table 2, below, compares inhibition prior to a diborane soak with inhibition performed prior to no soak. For both processes, a tungsten layer was deposited, followed by exposure to a nitrogen plasma, followed by a soak/no soak operation.
  • Post-inhibition B2H6 W deposition W growth delay
    soaking (seconds) thickness (Å) (seconds)
    0 564 1044
    3 3187 170

    The results in Table 2 indicate that the post-inhibition B2H6 soaking modulates the inhibition effect by decreasing it. This may be because the soaking with a reactive gas increases the nucleation sites.
  • The process of FIG. 4F involves exposing a substrate including a feature to an H-containing plasma (460) after exposing it to an inhibition treatment (450) as described above. Examples of H-containing plasmas include remote and in situ plasmas generated from hydrogen (H2) gas. Blocks 450 and 460 may be performed in the same chamber or different chambers. The process shown in FIG. 4F may be performed as part of block 201 in a process as shown in FIGS. 2A-2C. In some embodiments, block 450 may be performed as part of block 352 in FIGS. 3A-3C, i.e., after a modulation pretreatment. Block 450 may form a differential inhibition profile along a feature axis, with block 460 forming a modified differential inhibition profile along the feature axis. FIG. 4I shows delay time from a deposition-inhibition-deposition process as compared to a deposition-inhibition-H2 plasma-deposition process. As shown in FIG. 4I, exposure to the H2 plasma reduces the inhibition effect.
  • Various post-inhibition treatments above may be used to decrease the inhibition effect and can be referred to as “de-inhibition” treatments. FIGS. 4G and 4H are examples of flow charts that show operations in using such treatments to fill a feature with tungsten. In FIG. 4G, tungsten is deposited in a feature (449). Block 449 involves partially filling the feature with tungsten. In some embodiments, block 449 involves depositing a thin conformal film as described above with respect to block 301 of FIG. 2B. The substrate is then exposed to an inhibition treatment (450) as described above. After exposing the substrate to an inhibition treatment, the substrate is exposed to a de-inhibition treatment that reduces the inhibition effect. Examples of de-inhibition treatments are given above and include an H-containing plasma, a reducing agent thermal soak, and a thermal anneal. Selective deposition of tungsten in then performed in accordance with the inhibition profile (203) as described above.
  • In FIG. 4H, blocks 449 and 450 are performed as described above with respect to FIG. 4G. After block 450, a selective deposition is performed in accordance with the inhibition profile obtained in block 450 (203). The selective deposition is followed by exposing the substrate to a de-inhibition treatment (458) as described above. Another selective deposition of tungsten is performed in accordance with the inhibition profile obtained in block 458 (203). In some embodiments, block 458 may remove the inhibition effect, such the deposition in block 203 is not preferential or selective to a particular region of the feature.
  • The process shown in FIG. 4G can be used to reduce the inhibition effect across all features to be filled on a substrate. The process shown in FIG. 4H allows complete fill of some features, e.g., narrow or high aspect ratio or otherwise challenging features before reducing the inhibition effect on partially filled features.
  • As described above, aspects of the disclosure can be used for VNAND wordline (WL) fill. While the below discussion provides a framework for various methods, the methods are not so limited and can be implemented in other applications as well, including logic and memory contact fill, DRAM buried wordline fill, vertically integrated memory gate/wordline fill, and 3D integration (TSV).
  • FIG. 1F, described above, provides an example of a VNAND wordline structure to be filled. As discussed above, feature fill of these structures can present several challenges including constrictions presented by pillar placement. In addition, a high feature density can cause a loading effect such that reactants are used up prior to complete fill.
  • Various methods are described below for void-free fill through the entire WL. In certain embodiments, low resistivity tungsten is deposited. FIG. 5 shows a sequence in which non-conformal selective inhibition is used to fill in the interior of the feature before pinch off. In FIG. 5, a structure 500 is provided with a liner layer surface 502. The liner layer surface 502 may be for example, TiN or WN. Next, a W nucleation layer 504 is conformally deposited on the liner layer 502. A PNL process as described above can be used. Note that in some embodiments, this operation of depositing a conformal nucleation layer may be omitted. Next, the structure is exposed to an inhibition chemistry to selectively inhibit portions 506 of the structure 500. In this example, the portions 508 through the pillar constrictions 151 are selectively inhibited. Inhibition can involve for example, exposure to a direct (in-situ) plasma generated from a gas such as N2, H2, forming gas, NH3, O2, CH4, etc. Other methods of exposing the feature to inhibition species are described above. Next, a CVD process is performed to selectively deposit tungsten in accordance with the inhibition profile: bulk tungsten 510 is preferentially deposited on the non-inhibited portions of the nucleation layer 504, such that hard-to-fill regions behind constrictions are filled. The remainder of the feature is then filled with bulk tungsten 510. As described above with reference to FIG. 2A, the same CVD process used to selectively deposit tungsten may be used to remainder of the feature, or a different CVD process using a different chemistry or process conditions and/or performed after a nucleation layer is deposited may be used.
  • In some embodiments, methods described herein may be used for tungsten via fill. FIG. 6 shows an example of a feature hole 105 including an underlayer 113, which can be, for example, a metal nitride or other barrier layer. A tungsten layer 653 is conformally deposited in the feature hole 10, for example, by a PNL and/or CVD method. (Note that while the tungsten layer 653 is conformally deposited in the feature hole 105 in the example of FIG. 6, in some other embodiments, tungsten nucleation on the underlayer 113 can be selectively inhibited prior to selective deposition of the tungsten layer 653.) Further deposition on the tungsten layer 653 is then selectively inhibited, forming inhibited portion 655 of the tungsten layer 653 near the feature opening. Tungsten is then selectively deposited by a PNL and/or CVD method in accordance with the inhibition profile such that tungsten is preferentially deposited near the bottom and mid-section of the feature. Deposition continues, in some embodiments with one or more selective inhibition cycles, until the feature is filled. As described above, in some embodiments, the inhibition effect at the feature top can be overcome by a long enough deposition time, while in some embodiments, an additional nucleation layer deposition or other treatment may be performed to lessen or remove the passivation at the feature opening once deposition there is desired. Note that in some embodiments, feature fill may still include formation of a seam, such as seam 657 depicted in FIG. 6. In other embodiments, the feature fill may be void-free and seam-free. Even if a seam is present, it may be smaller than obtained with a conventionally filled feature, reducing the problem of coring during CMP. The sequence depicted in the example of FIG. 6 ends post-CMP with a relatively small void present.
  • In some embodiments, the processes described herein may be used advantageously even for features that do not have constrictions or possible pinch-off points. For example, the processes may be used for bottom-up, rather than conformal, fill of a feature. FIG. 7 depicts a sequence in which a feature 700 is filled by a method according to certain embodiments. A thin conformal layer of tungsten 753 is deposited initially, followed by selective inhibition to form inhibited portions 755, layer 753 at the bottom of the feature not treated. CVD deposition results in a bulk film 757 deposited on at the bottom of the feature. This is then followed by repeated cycles of selective CVD deposition and selective inhibition until the feature is filled with bulk tungsten 757. Because nucleation on the sidewalls of the feature is inhibited except near the bottom of the feature, fill is bottom-up. In some embodiments, different parameters may be used in successive inhibitions to tune the inhibition profile appropriately as the bottom of the feature grows closer to the feature opening. For example, a bias power and/or treatment time may be decreased is successive inhibition treatments.
  • Experimental
  • 3D VNAND features similar to the schematic depiction in FIG. 1F were exposed to plasmas generated from N2H2 gas after deposition of an initial tungsten seed layer. The substrate was biased with a DC bias, with bias power varied from 100 W to 700 W and exposure time varied between 20 s and 200 s. Longer time resulted in deeper and wider inhibition, with higher bias power resulting in deeper inhibition.
  • Table 1 shows effect of treatment time. All inhibition treatments used exposure to a direct LFRF 2000 W N2H2 plasma with a DC bias of 100 W on the substrate.
  • TABLE 1
    Effect of treatment time on inhibition profile
    Initial
    Tungsten Inhibition Subsequent Selective
    Layer Treatment Time Deposition Deposition
    A Nucleation + 30 s CVD None 400 s CVD Non-selective
    at 300° C. at 300° C. deposition
    B same as A 60 s same as A Non-selective
    deposition
    C same as A 90 s same as A Yes - deposition only
    from bottom of feature
    to slightly less than
    vertical midpoint. Lateral
    deposition (wider) at bottom
    of feature.
    D same as A 140 s  same as A No deposition

    While varying treatment time resulted in vertical and lateral tuning of inhibition profile as described in Table 1 (split C), varying bias power correlated higher to vertical tuning of inhibition profile, with lateral variation a secondary effect.
  • As described above, the inhibition effect may be overcome by certain CVD conditions, including longer CVD time and/or higher temperatures, more aggressive chemistry, etc. Table 2 below, shows the effect of CVD time on selective deposition.
  • TABLE 2
    Effect of CVD time on selective deposition
    Initial Subsequent CVD
    Tungsten Inhibition Deposition Selective
    Layer Treatment Time (300° C.) Deposition
    E Nucleation + 30 s CVD H2N2 2000W RF 0 no deposition
    at 300° C. direct plasma, 90 s,
    100 W DC bias
    F same as E same as E 200 s Yes - small amount of
    deposition extending
    about ⅙ height
    of feature from bottom
    G same as E same as E 400 s Yes - deposition only
    from bottom of feature
    to slightly less than
    vertical midpoint. Lateral
    deposition wider at bottom
    of feature.
    H same as E same as E 700 s Yes - deposition through
    full height of feature,
    with lateral deposition
    wider at bottom of
    feature
  • Apparatus
  • Any suitable chamber may be used to implement this novel method. Examples of deposition apparatuses include various systems, e.g., ALTUS and ALTUS Max, available from Lam Research, Inc. of Fremont, Calif., or any of a variety of other commercially available processing systems.
  • FIG. 8 illustrates a schematic representation of an apparatus 800 for processing a partially fabricated semiconductor substrate in accordance with certain embodiments. The apparatus 800 includes a chamber 818 with a pedestal 820, a shower head 814, and an in-situ plasma generator 816. The apparatus 800 also includes a system controller 822 to receive input and/or supply control signals to various devices.
  • In certain embodiments, a inhibition gas and, if present, inert gases, such as argon, helium and others, can be supplied to the remote plasma generator 806 from a source 802, which may be a storage tank. Any suitable remote plasma generator may be used for activating the etchant before introducing it into the chamber 818. For example, a Remote Plasma Cleaning (RPC) units, such as ASTRON® i Type AX7670, ASTRON® e Type AX7680, ASTRON® ex Type AX7685, ASTRON® hf-s Type AX7645, all available from MKS Instruments of Andover, Mass., may be used. An RPC unit is typically a self-contained device generating weakly ionized plasma using the supplied etchant. Imbedded into the RPC unit a high power RF generator provides energy to the electrons in the plasma. This energy is then transferred to the neutral inhibition gas molecules leading to temperature in the order of 2000K causing thermal dissociation of these molecules. An RPC unit may dissociate more than 60% of incoming molecules because of its high RF energy and special channel geometry causing the gas to adsorb most of this energy.
  • In certain embodiments, an inhibition gas is flown from the remote plasma generator 806 through a connecting line 808 into the chamber 818, where the mixture is distributed through the shower head 814. In other embodiments, an inhibition gas is flown into the chamber 818 directly completely bypassing the remote plasma generator 806 (e.g., the system 800 does not include such generator). Alternatively, the remote plasma generator 806 may be turned off while flowing the inhibition gas into the chamber 818, for example, because activation of the inhibition gas is not needed or will be supplied by an in situ plasma generator.
  • The shower head 814 or the pedestal 820 typically may have an internal plasma generator 816 attached to it. In one example, the generator 816 is a High Frequency (HF) generator capable of providing between about 0 W and 10,000 W at frequencies between about 1 MHz and 100 MHz. In another example, the generator 816 is a Low Frequency (LF) generator capable of providing between about 0 W and 10,000 W at frequencies as low as about 100 KHz. In a more specific embodiment, a HF generator may deliver between about 0 W to 5,000 W at about 13.56 MHz. The RF generator 816 may generate in-situ plasma to active inhibition species. In certain embodiments, the RF generator 816 can be used with the remote plasma generator 806 or not used. In certain embodiments, no plasma generator is used during deposition.
  • The chamber 818 may include a sensor 824 for sensing various process parameters, such as degree of deposition, concentrations, pressure, temperature, and others. The sensor 824 may provide information on chamber conditions during the process to the system controller 822. Examples of the sensor 824 include mass flow controllers, pressure sensors, thermocouples, and others. The sensor 824 may also include an infra-red detector or optical detector to monitor presence of gases in the chamber and control measures.
  • Deposition and selective inhibition operations can generate various volatile species that are evacuated from the chamber 818. Moreover, processing is performed at certain predetermined pressure levels the chamber 818. Both of these functions are achieved using a vacuum outlet 826, which may be a vacuum pump.
  • In certain embodiments, a system controller 822 is employed to control process parameters. The system controller 822 typically includes one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller boards, etc. Typically there will be a user interface associated with system controller 822. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • In certain embodiments, the system controller 822 controls the substrate temperature, inhibition gas flow rate, power output of the remote plasma generator 806 and/or in situ plasma generator 816, pressure inside the chamber 818 and other process parameters. The system controller 822 executes system control software including sets of instructions for controlling the timing, mixture of gases, chamber pressure, chamber temperature, and other parameters of a particular process. Other computer programs stored on memory devices associated with the controller may be employed in some embodiments.
  • The computer program code for controlling the processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program. The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the described processes. Examples of programs or sections of programs for this purpose include process gas control code, pressure control code, and plasma control code.
  • The controller parameters relate to process conditions such as, for example, timing of each operation, pressure inside the chamber, substrate temperature, inhibition gas flow rates, inhibition modulation gas flow rates, etc. These parameters are provided to the user in the form of a recipe, and may be entered utilizing the user interface. Signals for monitoring the process may be provided by analog and/or digital input connections of the system controller 822. The signals for controlling the process are output on the analog and digital output connections of the apparatus 800. Further description of a system controller such as system controller 822 is provided below.
  • Multi-Station Apparatus
  • FIG. 9A shows an example of a multi-station apparatus 900. The apparatus 900 includes a process chamber 901 and one or more cassettes 903 (e.g., Front Opening Unified Pods) for holding substrates to be processed and substrates that have completed processing. The chamber 901 may have a number of stations, for example, two stations, three stations, four stations, five stations, six stations, seven stations, eight stations, ten stations, or any other number of stations. The number of stations in usually determined by a complexity of the processing operations and a number of these operations that can be performed in a shared environment. FIG. 9A illustrates the process chamber 901 that includes six stations, labeled 911 through 916. All stations in the multi-station apparatus 900 with a single process chamber 903 are exposed to the same pressure environment. However, each station may have a designated reactant distribution system and local plasma and heating conditions achieved by a dedicated plasma generator and pedestal, such as the ones illustrated in FIG. 8.
  • A substrate to be processed is loaded from one of the cassettes 903 through a load-lock 905 into the station 911. An external robot 907 may be used to transfer the substrate from the cassette 903 and into the load-lock 905. In the depicted embodiment, there are two separate load locks 905. These are typically equipped with substrate transferring devices to move substrates from the load-lock 905 (once the pressure is equilibrated to a level corresponding to the internal environment of the process chamber 903) into the station 911 and from the station 916 back into the load-lock 905 for removal from the processing chamber 903. A mechanism 909 is used to transfer substrates among the processing stations 911-916 and support some of the substrates during the process as described below.
  • In certain embodiments, one or more stations may be reserved for heating the substrate. Such stations may have a heating lamp (not shown) positioned above the substrate and/or a heating pedestal supporting the substrate similar to one illustrated in FIG. 8. For example, a station 911 may receive a substrate from a load-lock and be used to pre-heat the substrate before being further processed. Other stations may be used for filling high aspect ratio features including deposition and selective inhibition operations.
  • After the substrate is heated or otherwise processed at the station 911, the substrate is moved successively to the processing stations 912, 913, 914, 915, and 916, which may or may not be arranged sequentially. The multi-station apparatus 900 can be configured such that all stations are exposed to the same pressure environment. In so doing, the substrates are transferred from the station 911 to other stations in the chamber 901 without a need for transfer ports, such as load-locks.
  • In certain embodiments, one or more stations may be used to fill features with tungsten-containing materials. For example, stations 912 may be used for an initial deposition operation, station 913 may be used for a corresponding selective inhibition operation. In the embodiments where a deposition-inhibition cycle is repeated, stations 914 may be used for another deposition operations and station 915 may be used for another inhibition operation. Section 916 may be used for the final filling operation. It should be understood that any configurations of station designations to specific processes (heating, filling, and removal) may be used. In some implementations, any of the stations can be dedicated to one or more of PNL (or ALD) deposition, selective inhibition, pre- or post-inhibition modulation treatments, and CVD deposition.
  • As an alternative to the multi-station apparatus described above, the method may be implemented in a single substrate chamber or a multi-station chamber processing a substrate(s) in a single processing station in batch mode (i.e., non-sequential). In this aspect of the invention, the substrate is loaded into the chamber and positioned on the pedestal of the single processing station (whether it is an apparatus having only one processing station or an apparatus having multi-stations running in batch mode). The substrate may be then heated and the deposition operation may be conducted. The process conditions in the chamber may be then adjusted and the selective inhibition of the deposited layer is then performed. The process may continue with one or more deposition-inhibition cycles (if performed) and with the final filling operation all performed on the same station. Alternatively, a single station apparatus may be first used to perform only one of the operation in the new method (e.g., depositing, selective inhibition, final filling) on multiple substrates after which the substrates may be returned back to the same station or moved to a different station (e.g., of a different apparatus) to perform one or more of the remaining operations.
  • Multi-Chamber Apparatus
  • FIG. 9B is a schematic illustration of a multi-chamber apparatus 920 that may be used in accordance with certain embodiments. As shown, the apparatus 920 has three separate chambers 921, 923, and 925. Each of these chambers is illustrated with two pedestals. It should be understood that an apparatus may have any number of chambers (e.g., one, two, three, four, five, six, etc.) and each chamber may have any number of chambers (e.g., one, two, three, four, five, six, etc.). Each chamber 921-525 has its own pressure environment, which is not shared between chambers. Each chamber may have one or more corresponding transfer ports (e.g., load-locks). The apparatus may also have a shared substrate handling robot 927 for transferring substrates between the transfer ports one or more cassettes 929.
  • As noted above, separate chambers may be used for depositing tungsten containing materials and selective inhibition of these deposited materials in later operations. Separating these two operations into different chambers can help to substantially improve processing speeds by maintaining the same environmental conditions in each chamber. A chamber does not need to change its environment from conditions used for deposition to conditions used for selective inhibition and back, which may involve different chemistries, different temperatures, pressures, and other process parameters. In certain embodiments, it is faster to transfer partially manufactured semiconductor substrates between two or more different chambers than changing environmental conditions of these chambers.
  • FIG. 10 shows a process diagram illustrating operations in a method of cleaning a deposition chamber. First, a batch of substrates is processed in a tungsten deposition chamber (1001). Block 1001 may involve a CVD process as described above, for example. Next, an inhibition treatment is performed (1003). Examples of inhibition treatments are given above, and include exposure to nitrogen plasmas. A next batch of substrates may then be processed, with deposition on the chamber inhibited by the inhibition treatment (1005). Block 1003 may be performed with no substrate or a dummy substrate present in the chamber. It may also be performed as part of regular maintenance process that may include any of cleaning the chamber using an etchant chemistry and depositing a precoat or undercoat.
  • In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including power, intensity, and exposure times. In an integrated tool, the controller may also control processes such as processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive processes. Examples of programs or sections of programs for this purpose include substrate positioning code, treatment compound control code, pressure control code, heater control code, and RF control code. In one embodiment, the controller includes instructions for performing processes of the disclosed embodiments according to methods described above. The computer program code for controlling the processes can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran, or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory. There may be a user interface associated with controller. The user interface may include a display screen, graphical software displays of the apparatus and/or process conditions, and user input devices such as pointing devices, keyboards, touch screens, microphones, etc.
  • Patterning Method/Apparatus:
  • The apparatus/process described hereinabove may be used in conjunction with lithographic patterning tools or processes, for example, for the fabrication or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels and the like. Typically, though not necessarily, such tools/processes will be used or conducted together in a common fabrication facility. Lithographic patterning of a film typically comprises some or all of the following steps, each step enabled with a number of possible tools: (1) application of photoresist on a workpiece, i.e., substrate, using a spin-on or spray-on tool; (2) curing of photoresist using a hot plate or furnace or UV curing tool; (3) exposing the photoresist to visible or UV or x-ray light with a tool such as a wafer stepper; (4) developing the resist so as to selectively remove resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern into an underlying film or workpiece by using a dry or plasma-assisted etching tool; and (6) removing the resist using a tool such as an RF or microwave plasma resist stripper.

Claims (20)

1-18. (canceled)
19. A method comprising:
(a) exposing a metal layer in a feature to nitrogen species to form an inhibition profile in the feature, wherein nucleation is inhibited according to the inhibition profile; and
(b) after (a), exposing the feature to oxygen species to modify the inhibition profile.
20. The method of claim 19, further comprising depositing tungsten in the feature in accordance with the modified inhibition profile.
21. The method of claim 19, wherein the metal is tungsten.
22. The method of claim 19, wherein (a) forms a metal nitride layer in the feature.
23. The method of claim 19, wherein (a) comprises exposing the metal layer to a nitrogen-containing plasma.
24. The method of claim 23, wherein the nitrogen-containing plasma is a remotely-generated plasma.
25. The method of claim 19, wherein (b) comprises exposing the metal layer to an oxygen-containing plasma.
26. The method of claim 25, wherein the oxygen-containing plasma is a remotely-generated plasma.
27. The method of claim 19, wherein the inhibition profile varies along a feature axis.
28. The method of claim 20, wherein depositing tungsten is performed in a different chamber than operations (a) and (b).
29. The method of claim 20, wherein operations (a) and (b) and depositing tungsten are performed in the same multi-station chamber.
30. A method comprising:
exposing a tungsten surface in a feature to nitrogen species to form a tungsten nitride surface;
exposing the tungsten nitride surface to oxygen species to form a modified tungsten nitride surface; and
after exposing the tungsten nitride surface to oxygen species, exposing the modified tungsten nitride surface to a tungsten-containing precursor and depositing tungsten in the feature.
31. The method of claim 30, wherein exposing a tungsten surface in a feature to nitrogen species comprises exposing the feature to a nitrogen-containing plasma.
32. The method of claim 31, wherein the nitrogen-containing plasma is a remotely-generated plasma.
33. The method of claim 30, wherein exposing the tungsten nitride surface to oxygen species comprises exposing the feature to an oxygen-containing plasma.
34. The method of claim 33, wherein the oxygen-containing plasma is a remotely-generated plasma.
35. A method comprising:
exposing a metal layer in a feature to an inhibition chemistry to form an inhibition profile in the feature, wherein nucleation is inhibited according to the inhibition profile; and
after (a), exposing the feature to nitrogen and oxygen to modify the inhibition profile.
36. The method of claim 35, wherein (b) decreases the inhibition effect.
37. The method of claim 35, wherein (b) comprises exposing the feature to air.
US16/793,464 2014-09-30 2020-02-18 Feature fill with nucleation inhibition Abandoned US20200185273A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/793,464 US20200185273A1 (en) 2014-09-30 2020-02-18 Feature fill with nucleation inhibition
US17/497,702 US11901227B2 (en) 2014-09-30 2021-10-08 Feature fill with nucleation inhibition

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462058058P 2014-09-30 2014-09-30
US14/866,621 US9997405B2 (en) 2014-09-30 2015-09-25 Feature fill with nucleation inhibition
US15/991,413 US10580695B2 (en) 2014-09-30 2018-05-29 Feature fill with nucleation inhibition
US16/793,464 US20200185273A1 (en) 2014-09-30 2020-02-18 Feature fill with nucleation inhibition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/991,413 Continuation US10580695B2 (en) 2014-09-30 2018-05-29 Feature fill with nucleation inhibition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/497,702 Continuation US11901227B2 (en) 2014-09-30 2021-10-08 Feature fill with nucleation inhibition

Publications (1)

Publication Number Publication Date
US20200185273A1 true US20200185273A1 (en) 2020-06-11

Family

ID=55585256

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/866,621 Active US9997405B2 (en) 2014-09-30 2015-09-25 Feature fill with nucleation inhibition
US15/991,413 Active US10580695B2 (en) 2014-09-30 2018-05-29 Feature fill with nucleation inhibition
US16/793,464 Abandoned US20200185273A1 (en) 2014-09-30 2020-02-18 Feature fill with nucleation inhibition
US17/497,702 Active US11901227B2 (en) 2014-09-30 2021-10-08 Feature fill with nucleation inhibition

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US14/866,621 Active US9997405B2 (en) 2014-09-30 2015-09-25 Feature fill with nucleation inhibition
US15/991,413 Active US10580695B2 (en) 2014-09-30 2018-05-29 Feature fill with nucleation inhibition

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/497,702 Active US11901227B2 (en) 2014-09-30 2021-10-08 Feature fill with nucleation inhibition

Country Status (4)

Country Link
US (4) US9997405B2 (en)
KR (1) KR20160039139A (en)
CN (2) CN110459503B (en)
TW (1) TWI712107B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
WO2021194768A1 (en) * 2020-03-27 2021-09-30 Lam Research Corporation Feature fill with nucleation inhibition
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2023114737A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Tungsten wordline fill in high aspect ratio 3d nand architecture
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP7125343B2 (en) 2015-10-23 2022-08-24 アプライド マテリアルズ インコーポレイテッド Bottom-up gap filling with surface poisoning
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
KR102312824B1 (en) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 Methods for Gap Filling in High Aspect Ratio Structures
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR20200086750A (en) 2017-12-07 2020-07-17 램 리써치 코포레이션 Conditioning the oxidation-resistant protective layer in the chamber
CN109920760B (en) * 2017-12-12 2021-01-12 联华电子股份有限公司 Method for forming semiconductor device
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7023150B2 (en) * 2018-03-26 2022-02-21 東京エレクトロン株式会社 Tungsten film film formation method and control device
SG11202106002VA (en) * 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US20220415711A1 (en) * 2020-02-21 2022-12-29 Lam Research Corporation Backside reactive inhibition gas
WO2021178593A1 (en) * 2020-03-04 2021-09-10 Lam Research Corporation Reactant gas pulse delivery
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
CN117501423A (en) * 2021-05-06 2024-02-02 应用材料公司 Processing system and method for forming void-free and seam-free tungsten features
TW202340505A (en) * 2021-12-07 2023-10-16 美商蘭姆研究公司 Feature fill with nucleation inhibition
KR20230174883A (en) * 2022-06-22 2023-12-29 한국알박(주) A method of controlling the resistivity and stress of tungsten through PVD sputtering method
CN115172268A (en) * 2022-07-04 2022-10-11 中微半导体设备(上海)股份有限公司 Method for depositing tungsten in high aspect ratio structure and semiconductor substrate thereof
US20240047267A1 (en) * 2022-08-05 2024-02-08 Applied Materials, Inc. Tungsten gap fill with hydrogen plasma treatment

Family Cites Families (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
JPS62216224A (en) 1986-03-17 1987-09-22 Fujitsu Ltd Selectively growing method for tungsten
JPS62260340A (en) 1986-05-06 1987-11-12 Toshiba Corp Manufacture of semiconductor device
US4746375A (en) 1987-05-08 1988-05-24 General Electric Company Activation of refractory metal surfaces for electroless plating
US4895789A (en) 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
DE3811567A1 (en) 1988-04-07 1989-10-19 Wacker Chemie Gmbh METHOD FOR PRODUCING ORGANOPOLYSILANES
KR940003787B1 (en) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 Thin film forming method and device
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02187031A (en) 1989-01-14 1990-07-23 Sharp Corp Semiconductor device
US5250329A (en) 1989-04-06 1993-10-05 Microelectronics And Computer Technology Corporation Method of depositing conductive lines on a dielectric
GB8907898D0 (en) 1989-04-07 1989-05-24 Inmos Ltd Semiconductor devices and fabrication thereof
US5028565A (en) 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
DE69033760T2 (en) 1990-01-08 2001-10-25 Lsi Logic Corp Structure for filtering process gases for use in a chemical vapor deposition chamber
KR100209856B1 (en) 1990-08-31 1999-07-15 가나이 쓰도무 Method of manufacturing semiconductor device
JPH04142061A (en) 1990-10-02 1992-05-15 Sony Corp Formation of tungsten plug
US5250467A (en) 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5567583A (en) 1991-12-16 1996-10-22 Biotronics Corporation Methods for reducing non-specific priming in DNA detection
JPH05226280A (en) 1992-02-14 1993-09-03 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5326723A (en) 1992-09-09 1994-07-05 Intel Corporation Method for improving stability of tungsten chemical vapor deposition
KR950012738B1 (en) 1992-12-10 1995-10-20 현대전자산업주식회사 Method of making a tungsten contact plug supply to semiconductor device
JP3216345B2 (en) 1993-04-06 2001-10-09 ソニー株式会社 Semiconductor device and manufacturing method thereof
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP2881371B2 (en) 1993-09-20 1999-04-12 東京エレクトロン株式会社 Vacuum processing apparatus and method of cleaning vacuum processing apparatus assembly
JP3014019B2 (en) 1993-11-26 2000-02-28 日本電気株式会社 Method for manufacturing semiconductor device
KR970009867B1 (en) 1993-12-17 1997-06-18 현대전자산업 주식회사 Forming method of tungsten silicide in the semiconductor device
KR0179677B1 (en) 1993-12-28 1999-04-15 사토 후미오 Semiconductor device wiring or electrode
JP3291889B2 (en) 1994-02-15 2002-06-17 ソニー株式会社 Dry etching method
EP0704551B1 (en) 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
US5489552A (en) 1994-12-30 1996-02-06 At&T Corp. Multiple layer tungsten deposition process
US6001729A (en) 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
JP2737764B2 (en) 1995-03-03 1998-04-08 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5504038A (en) * 1995-05-25 1996-04-02 United Microelectronics Corporation Method for selective tungsten sidewall and bottom contact formation
JPH0922896A (en) 1995-07-07 1997-01-21 Toshiba Corp Method of selective forming of metal film
US5863819A (en) 1995-10-25 1999-01-26 Micron Technology, Inc. Method of fabricating a DRAM access transistor with dual gate oxide technique
US6017818A (en) 1996-01-22 2000-01-25 Texas Instruments Incorporated Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density
US5833817A (en) 1996-04-22 1998-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers
US5963833A (en) 1996-07-03 1999-10-05 Micron Technology, Inc. Method for cleaning semiconductor wafers and
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR200160641Y1 (en) 1996-08-23 1999-11-15 맹섭 Back hanger for golf bag
US5916634A (en) 1996-10-01 1999-06-29 Sandia Corporation Chemical vapor deposition of W-Si-N and W-B-N
US6310300B1 (en) 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
JP3869089B2 (en) 1996-11-14 2007-01-17 株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3090074B2 (en) 1997-01-20 2000-09-18 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5804249A (en) 1997-02-07 1998-09-08 Lsi Logic Corporation Multistep tungsten CVD process with amorphization step
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6037248A (en) 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5956609A (en) 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US5795824A (en) 1997-08-28 1998-08-18 Novellus Systems, Inc. Method for nucleation of CVD tungsten films
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5926720A (en) 1997-09-08 1999-07-20 Lsi Logic Corporation Consistent alignment mark profiles on semiconductor wafers using PVD shadowing
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
JP4151862B2 (en) 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 CVD equipment
JPH11260759A (en) 1998-03-12 1999-09-24 Fujitsu Ltd Manufacture of semiconductor device
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
JPH11307480A (en) 1998-04-10 1999-11-05 Applied Materials Inc Method for reducing stress of blanket tungsten film by chemical vapor deposition
US6432830B1 (en) 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6066366A (en) 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100273767B1 (en) 1998-10-28 2001-01-15 윤종용 Tungsten film manufacturing method of semiconductor device and semiconductor device manufactured accordingly
US6037263A (en) 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6331483B1 (en) 1998-12-18 2001-12-18 Tokyo Electron Limited Method of film-forming of tungsten
KR100296126B1 (en) 1998-12-22 2001-08-07 박종섭 Gate electrode formation method of highly integrated memory device
US20010014533A1 (en) 1999-01-08 2001-08-16 Shih-Wei Sun Method of fabricating salicide
US6306211B1 (en) 1999-03-23 2001-10-23 Matsushita Electric Industrial Co., Ltd. Method for growing semiconductor film and method for fabricating semiconductor device
US6245654B1 (en) 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
US6294468B1 (en) 1999-05-24 2001-09-25 Agere Systems Guardian Corp. Method of chemical vapor depositing tungsten films
US6720261B1 (en) 1999-06-02 2004-04-13 Agere Systems Inc. Method and system for eliminating extrusions in semiconductor vias
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
US6265312B1 (en) 1999-08-02 2001-07-24 Stmicroelectronics, Inc. Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6303480B1 (en) 1999-09-13 2001-10-16 Applied Materials, Inc. Silicon layer to improve plug filling by CVD
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
KR100338941B1 (en) 1999-11-26 2002-05-31 박종섭 Contact forming method for semiconductor device
KR100330163B1 (en) 2000-01-06 2002-03-28 윤종용 A Method of Forming Tungsten Contact Plug in A Semiconductor Devices
US6277744B1 (en) 2000-01-21 2001-08-21 Advanced Micro Devices, Inc. Two-level silane nucleation for blanket tungsten deposition
US6777331B2 (en) 2000-03-07 2004-08-17 Simplus Systems Corporation Multilayered copper structure for improving adhesion property
US6429126B1 (en) 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
EP1290746B1 (en) 2000-05-18 2012-04-25 Corning Incorporated High performance solid electrolyte fuel cells
JP3651360B2 (en) 2000-05-19 2005-05-25 株式会社村田製作所 Method for forming electrode film
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP2002016066A (en) 2000-06-27 2002-01-18 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6365527B1 (en) 2000-10-06 2002-04-02 United Microelectronics Corp. Method for depositing silicon carbide in semiconductor devices
US6740591B1 (en) 2000-11-16 2004-05-25 Intel Corporation Slurry and method for chemical mechanical polishing of copper
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
US6908848B2 (en) 2000-12-20 2005-06-21 Samsung Electronics, Co., Ltd. Method for forming an electrical interconnection providing improved surface morphology of tungsten
KR100399417B1 (en) 2001-01-08 2003-09-26 삼성전자주식회사 A method for preparing of integrated circuit of semiconductor
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
KR20020072996A (en) 2001-03-14 2002-09-19 주성엔지니어링(주) Method for forming a metal plug
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
JP2002343787A (en) 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth Plasma treatment equipment and its cleaning method
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6686278B2 (en) 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
JP2003022985A (en) 2001-07-10 2003-01-24 Matsushita Electric Ind Co Ltd Method and device for producing semiconductor device
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (en) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
JP2003142484A (en) 2001-10-31 2003-05-16 Mitsubishi Electric Corp Method of manufacturing semiconductor device
US6566262B1 (en) 2001-11-01 2003-05-20 Lsi Logic Corporation Method for creating self-aligned alloy capping layers for copper interconnect structures
US20030091739A1 (en) 2001-11-14 2003-05-15 Hitoshi Sakamoto Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus
US20030091870A1 (en) 2001-11-15 2003-05-15 Siddhartha Bhowmik Method of forming a liner for tungsten plugs
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6566250B1 (en) 2002-03-18 2003-05-20 Taiwant Semiconductor Manufacturing Co., Ltd Method for forming a self aligned capping layer
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US20030203123A1 (en) 2002-04-26 2003-10-30 Applied Materials, Inc. System and method for metal induced crystallization of polycrystalline thin film transistors
KR100446300B1 (en) 2002-05-30 2004-08-30 삼성전자주식회사 Method for forming metal interconnections of semiconductor device
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US6905543B1 (en) 2002-06-19 2005-06-14 Novellus Systems, Inc Methods of forming tungsten nucleation layer
TWI287559B (en) 2002-08-22 2007-10-01 Konica Corp Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US6706625B1 (en) 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6962873B1 (en) 2002-12-10 2005-11-08 Novellus Systems, Inc. Nitridation of electrolessly deposited cobalt
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
KR20050110613A (en) 2002-12-23 2005-11-23 어플라이드 씬 필름스 인코포레이티드 Aluminum phosphate coatings
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6869542B2 (en) 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100539274B1 (en) 2003-07-15 2005-12-27 삼성전자주식회사 Method for depositing cobalt layer
JP3966249B2 (en) 2003-07-30 2007-08-29 日産自動車株式会社 Semiconductor device and manufacturing method of semiconductor device
US6849561B1 (en) 2003-08-18 2005-02-01 Asm Japan K.K. Method of forming low-k films
US7754604B2 (en) 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
JP4606006B2 (en) 2003-09-11 2011-01-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR100557626B1 (en) 2003-12-23 2006-03-10 주식회사 하이닉스반도체 Method for Forming Bit-Line of Semiconductor Device
JP4488234B2 (en) 2003-12-26 2010-06-23 日産化学工業株式会社 Coating type nitride film forming composition for hard mask
US20050147762A1 (en) 2003-12-30 2005-07-07 Dubin Valery M. Method to fabricate amorphous electroless metal layers
KR101108304B1 (en) 2004-02-26 2012-01-25 노벨러스 시스템즈, 인코포레이티드 Deposition of tungsten nitride
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
CN100370585C (en) 2004-04-12 2008-02-20 株式会社爱发科 Method of forming barrier film and method of forming electrode film
CN1942999B (en) 2004-04-21 2012-04-25 皇家飞利浦电子股份有限公司 Method for the thermal treatment of tungsten electrodes free from thorium oxide for high-pressure discharge lamps
JP2006013190A (en) 2004-06-28 2006-01-12 Rohm Co Ltd Method of manufacturing semiconductor device
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7879710B2 (en) 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
KR100642750B1 (en) 2005-01-31 2006-11-10 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US7344983B2 (en) 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP4738178B2 (en) 2005-06-17 2011-08-03 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP4945937B2 (en) 2005-07-01 2012-06-06 東京エレクトロン株式会社 Tungsten film forming method, film forming apparatus, and storage medium
WO2007016631A1 (en) 2005-08-02 2007-02-08 Massachusetts Institute Of Technology Method of using nf3 for removing surface deposits
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7524765B2 (en) 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4967354B2 (en) 2006-01-31 2012-07-04 東京エレクトロン株式会社 Seed film formation method, plasma film formation apparatus, and storage medium
US7276796B1 (en) 2006-03-15 2007-10-02 International Business Machines Corporation Formation of oxidation-resistant seed layer for interconnect applications
US8110242B2 (en) 2006-03-24 2012-02-07 Zimmer, Inc. Methods of preparing hydrogel coatings
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7828504B2 (en) 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
KR100884339B1 (en) 2006-06-29 2009-02-18 주식회사 하이닉스반도체 Method for forming W film and method for forming W connection line in semiconductor device
US7355254B2 (en) 2006-06-30 2008-04-08 Intel Corporation Pinning layer for low resistivity N-type source drain ohmic contacts
US20080124912A1 (en) 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
MY148605A (en) * 2006-08-30 2013-05-15 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
KR100757418B1 (en) 2006-09-05 2007-09-10 삼성전자주식회사 Semiconductor device and methods of forming the same
KR100880310B1 (en) 2006-09-06 2009-01-28 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US8153831B2 (en) 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100881391B1 (en) 2006-09-29 2009-02-05 주식회사 하이닉스반도체 Method for forming gate of semiconductor device
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
KR100894769B1 (en) 2006-09-29 2009-04-24 주식회사 하이닉스반도체 Method of forming a metal wire in a semiconductor device
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
DE102006058771B4 (en) 2006-12-12 2018-03-01 Schott Ag Container with improved emptiness and method for its production
US7675119B2 (en) 2006-12-25 2010-03-09 Elpida Memory, Inc. Semiconductor device and manufacturing method thereof
KR20080061978A (en) 2006-12-28 2008-07-03 주식회사 하이닉스반도체 Method for fabricating metal line in semicondutor device
US20080174021A1 (en) 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
KR20090121361A (en) 2007-02-27 2009-11-25 식스트론 어드밴스드 머티리얼즈 인코포레이티드 Method for forming a film on a substrate
JP5140290B2 (en) 2007-03-02 2013-02-06 富士フイルム株式会社 Insulation film
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US20080254619A1 (en) 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
WO2008137670A1 (en) 2007-05-03 2008-11-13 Lam Research Corporation Hardmask open and etch profile control with hardmask open
US7955955B2 (en) 2007-05-10 2011-06-07 International Business Machines Corporation Using crack arrestor for inhibiting damage from dicing and chip packaging interaction failures in back end of line structures
TWI493058B (en) * 2007-05-15 2015-07-21 Applied Materials Inc Atomic layer deposition of tungsten materials
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US7879222B2 (en) 2007-08-27 2011-02-01 Eci Technology, Inc. Detection of additive breakdown products in acid copper plating baths
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
CN101952944B (en) 2007-11-21 2013-01-02 朗姆研究公司 Method of controlling etch microloading for a tungsten-containing layer
KR100939777B1 (en) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 Method for forming tungsten layer and method for forming wiring of semiconductor device using the same
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR100919808B1 (en) 2008-01-02 2009-10-01 주식회사 하이닉스반도체 Method of fabricating tungsten layer in semiconductor device
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
CN102046841B (en) 2008-05-07 2014-05-28 普林斯顿大学理事会 Hybrid layers for use in coatings on electronic devices or other articles
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US8754530B2 (en) 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100072623A1 (en) 2008-09-19 2010-03-25 Advanced Micro Devices, Inc. Semiconductor device with improved contact plugs, and related fabrication methods
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8293647B2 (en) 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US7964502B2 (en) 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
US8236691B2 (en) 2008-12-31 2012-08-07 Micron Technology, Inc. Method of high aspect ratio plug fill
US8071478B2 (en) 2008-12-31 2011-12-06 Applied Materials, Inc. Method of depositing tungsten film with reduced resistivity and improved surface morphology
DE102009015747B4 (en) 2009-03-31 2013-08-08 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg A method of fabricating transistors having metal gate electrode structures and high-k gate dielectric and an intermediate etch stop layer
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP2011014872A (en) 2009-06-04 2011-01-20 Tokyo Electron Ltd Method and device for forming amorphous carbon film
US8039394B2 (en) 2009-06-26 2011-10-18 Seagate Technology Llc Methods of forming layers of alpha-tantalum
US20230041794A1 (en) 2009-08-04 2023-02-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US10256142B2 (en) * 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
CN102574884B (en) 2009-08-07 2016-02-10 西格玛-奥吉奇有限责任公司 High molecular weight alkyl-allyl three carbonylic cobalt compound and the purposes for the preparation of dielectric film thereof
US8747684B2 (en) 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US8809193B2 (en) 2009-09-02 2014-08-19 Ulvac, Inc. Method for the formation of Co film and method for the formation of Cu interconnection film
US8207062B2 (en) 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
EP2501722A4 (en) 2009-11-19 2013-05-01 Univ Singapore Method for producing t cell receptor-like monoclonal antibodies and uses thereof
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
DE102009055392B4 (en) 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Semiconductor component and method for producing the semiconductor device
US8399350B2 (en) 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
WO2011113177A1 (en) 2010-03-17 2011-09-22 Applied Materials, Inc. Method and apparatus for remote plasma source assisted silicon-containing film deposition
JP2011199021A (en) 2010-03-19 2011-10-06 Renesas Electronics Corp Semiconductor device, and method for manufacturing the same
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP5123349B2 (en) 2010-04-19 2013-01-23 Hoya株式会社 Multi-tone mask manufacturing method
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8778797B2 (en) 2010-09-27 2014-07-15 Novellus Systems, Inc. Systems and methods for selective tungsten deposition in vias
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
DE102010063611A1 (en) * 2010-12-20 2012-06-21 Walter Ag Cutting insert with structured free surfaces
US8969823B2 (en) 2011-01-21 2015-03-03 Uchicago Argonne, Llc Microchannel plate detector and methods for their fabrication
US20120199887A1 (en) 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
JP5638413B2 (en) 2011-02-08 2014-12-10 東京エレクトロン株式会社 Method for forming mask pattern
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8865594B2 (en) 2011-03-10 2014-10-21 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
WO2012134605A1 (en) 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
US8586478B2 (en) 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130017182A1 (en) * 2011-07-11 2013-01-17 Natalia Lukina Multi-criteria optimized dietary supplement formulations
US8546250B2 (en) 2011-08-18 2013-10-01 Wafertech Llc Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another
KR101334640B1 (en) 2011-08-22 2013-11-29 서울시립대학교 산학협력단 Composition for high strength siliconoxycarbide bonded silicon carbide ceramics and the producing method of the silicon carbide ceramics
US8916435B2 (en) 2011-09-09 2014-12-23 International Business Machines Corporation Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US8629040B2 (en) 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
JP5912637B2 (en) 2012-02-17 2016-04-27 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272440B (en) * 2012-03-27 2017-02-22 诺发系统公司 Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9269747B2 (en) 2012-08-23 2016-02-23 Micron Technology, Inc. Self-aligned interconnection for integrated circuits
KR101990051B1 (en) 2012-08-31 2019-10-01 에스케이하이닉스 주식회사 Semiconductor device with fluorine free tungsten barrier layer and method for fabricating the same
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9275865B2 (en) * 2012-10-31 2016-03-01 Applied Materials, Inc. Plasma treatment of film for impurity removal
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
JP2014160757A (en) 2013-02-20 2014-09-04 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method of the same
US9048299B2 (en) 2013-03-12 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning approach to reduce via to via minimum spacing
US8928149B2 (en) 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
WO2014143337A1 (en) 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
EP2779224A3 (en) 2013-03-15 2014-12-31 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
JP5826782B2 (en) 2013-03-19 2015-12-02 株式会社東芝 Manufacturing method of semiconductor device
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
KR102291990B1 (en) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 Method for depositing tungsten film with tungsten hexafluoride(wf6) etchback
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9165824B2 (en) 2013-09-27 2015-10-20 Intel Corporation Interconnects with fully clad lines
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
CN105814677B (en) 2013-10-18 2019-06-18 布鲁克斯自动化公司 Processing equipment
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI672737B (en) 2013-12-27 2019-09-21 美商蘭姆研究公司 Tungsten nucleation process to enable low resistivity tungsten feature fill
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150361547A1 (en) 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362186B2 (en) 2014-07-18 2016-06-07 Applied Materials, Inc. Polishing with eddy current feed meaurement prior to deposition of conductive layer
CN105405764B (en) 2014-07-25 2018-07-31 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9502263B2 (en) 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US9391086B1 (en) 2015-02-23 2016-07-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing nonvolatile semiconductor memory device
US9617648B2 (en) 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
CN104975268A (en) 2015-06-03 2015-10-14 武汉新芯集成电路制造有限公司 Preparation method of metal tungsten thin film
US9853123B2 (en) 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI613845B (en) 2016-08-04 2018-02-01 財團法人工業技術研究院 Perpendicularly magnetized spin-orbit magnetic device
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
WO2021178593A1 (en) 2020-03-04 2021-09-10 Lam Research Corporation Reactant gas pulse delivery
WO2021194768A1 (en) 2020-03-27 2021-09-30 Lam Research Corporation Feature fill with nucleation inhibition

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
WO2021194768A1 (en) * 2020-03-27 2021-09-30 Lam Research Corporation Feature fill with nucleation inhibition
WO2023114737A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Tungsten wordline fill in high aspect ratio 3d nand architecture

Also Published As

Publication number Publication date
US20220102208A1 (en) 2022-03-31
CN105470194A (en) 2016-04-06
US11901227B2 (en) 2024-02-13
KR20160039139A (en) 2016-04-08
US9997405B2 (en) 2018-06-12
CN110459503A (en) 2019-11-15
US20160093528A1 (en) 2016-03-31
TW201626503A (en) 2016-07-16
US20180277431A1 (en) 2018-09-27
CN110459503B (en) 2024-04-16
CN105470194B (en) 2019-09-06
US10580695B2 (en) 2020-03-03
TWI712107B (en) 2020-12-01

Similar Documents

Publication Publication Date Title
US11901227B2 (en) Feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US11437269B2 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
KR102100520B1 (en) Tungsten feature fill with nucleation inhibition
US20180254195A1 (en) Pulsing rf power in etch process to enhance tungsten gapfill performance
TWI602283B (en) Tungsten feature fill
US10211099B2 (en) Chamber conditioning for remote plasma process
CN107845572B (en) Continuous and pulsed RF plasma for etching metals
KR20150013086A (en) Void free tungsten fill in different sized features
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION