TWI487107B - 用於半導體電晶體之垂直鰭狀結構及其製造方法 - Google Patents

用於半導體電晶體之垂直鰭狀結構及其製造方法 Download PDF

Info

Publication number
TWI487107B
TWI487107B TW099125304A TW99125304A TWI487107B TW I487107 B TWI487107 B TW I487107B TW 099125304 A TW099125304 A TW 099125304A TW 99125304 A TW99125304 A TW 99125304A TW I487107 B TWI487107 B TW I487107B
Authority
TW
Taiwan
Prior art keywords
layer
fin
semiconductor
semiconductor substrate
semiconductor transistor
Prior art date
Application number
TW099125304A
Other languages
English (en)
Other versions
TW201104867A (en
Inventor
Chih Hsin Ko
Clement Hsingjen Wnn
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201104867A publication Critical patent/TW201104867A/zh
Application granted granted Critical
Publication of TWI487107B publication Critical patent/TWI487107B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

用於半導體電晶體之垂直鰭狀結構及其製造方法
本發明係關於積體電路,且特別是關於鰭型場效應電晶體(FinFET)裝置及/或具有一鰭型結構(fin structure)之多重閘場效應電晶體(multigate FET)裝置。
隨著積體電路裝置尺寸的更為降低,目前存在有數個方法以持續地改善半導體裝置的表現。方法之一採用應變工程(strain engineering)。其可藉由調變位於電晶體之通道內的應變而達成其表現之改善情形,例如為改善了電子遷移率(或電洞遷移率)且進而改善了通過該通道之導電率(conductivity)。
互補型金氧半導體(下稱CMOS)技術中,對於不同類型之應變,P型金氧半導體(下稱PMOS)與N型金氧半導體(NMOS)表現出不同之反應。更明確地,於通道處施加壓縮應變(compressive strain)時,可得到PMOS之最佳表現,而NMOS則由拉伸應變(tensile strain)而得到改善。舉例來說,由例如Si0.3 Ge0.7 之任一莫爾比率之矽與鍺所組成之矽鍺(Si1-x Gex )材料通常可用於積體電路內,以作為用於CMOS電晶體之應變矽之應變誘導膜層(即應變體stressor)。
應變矽為一矽膜層,其內之矽原子係經過伸展至其正常原子距以外之範圍。舉例來說,上述情形可藉由將一矽層放置於一矽鍺層之上。當矽層內之原子對準於下方之矽鍺層之後,由於矽鍺層內之原子相較塊狀矽結晶物之原子將更為分開,因此矽層內原子間的連結情形將更為延展,進而形成了應變矽。
另一方法為採用多重閘(multigate)裝置。多重閘裝置或多重閘場效應電晶體(MuGFET)係指於單一裝置內使用了多於一個閘極(gate)之金氧半導體電晶體。此些多重閘極可為一單一閘電極(gate electrode)所控制且此多重閘極的表面則電性地扮演了單一閘極,或者其亦可藉由各別之閘電極所控制。採用了獨立閘電極之多重閘裝置則有時可稱之為多重獨立閘場效應電晶體(MIGFET)。
於一多重閘結構內,通道為位於多重表面上之多於一個之閘極所環繞,因而表現出了對於”關狀態(off-state)”漏電流之較佳有效抑制情形。多重閘亦有助於增加於”開”狀態(on state)之電流,即所謂之驅動電流(drive current)。介於開/關狀態間之越高對比與更較低漏電流導致了較低之能量損耗與較佳之裝置表現。非平面裝置亦可較習知平面電晶體來的更小,因而有助於更小之整體積體電路內形成更高之電晶體密度。
除了上述方法之外,仍需要包括更高載子遷移率之其他更佳改善與更佳表現。特別地,需要藉由矽鍺/矽應變裝置所達成之更高應變情形,然而高應變之鍺裝置的製作極為不易。此外,介於閘介電物與NMOS裝置內之鍺材料間之不良介面情形亦為問題之一。
如此,便需要用於較佳裝置之包括較高之載子遷移率與介於閘介電物與NMOS裝置之鍺材料間之較佳介面之新的結構與方法。
有鑑於此,本發明提供了一種半導體電晶體之垂直鰭狀結構及其製造方法,藉以解決上述習知問題。
依據一實施例,本發明提供了一種半導體電晶體之垂直鰭狀結構,包括:一半導體基板;一鰭型層,位於該半導體基板之頂部;以及一上蓋層,覆蓋該鰭型層,其中該半導體基板包括一IV族半導體材料,該鰭型層包括一IV族半導體材料,該上蓋層包括一III-V族半導體化合物,該鰭型層作為該半導體電晶體之通道層,而該通道層施加應變至該鰭型層之上以增加通過該通道區之遷移率。
依據另一實施例,本發明提供了一種半導體電晶體之垂直鰭狀結構之製造方法,包括:提供一半導體基板,其中該半導體基板包括一IV族半導體材料;沈積一鰭型層於該半導體基板之頂部上,其中該鰭型層包括了IV族半導體材料且作為該半導體電晶體之一通道;以及沈積一上蓋層於該鰭型層之上,其中該上蓋層包括III-V族半導體化合物且施加應變於該鰭型層之上以增加通過該通道之遷移率。
依據又一實施例,本發明提供了一種半導體電晶體之垂直鰭狀結構,包括:一半導體基板;一鰭型層,位於該半導體基板之頂部;以及一上蓋層,覆蓋該鰭型層,其中該半導體基板包括Si、Ge、SiGe或SiC,該鰭型層包括Ge、SiGe、SiC或上述材料之組合,該上蓋層包括GaAs、InGaAs、InAs、InSb、GaSb、GaN、InP或上述材料之組合,該上蓋層與該半導體基板之間具有多於4%之晶格不相稱情形,該鰭型層作為該半導體電晶體之通道層,而該通道層施加應變至該鰭型層之上以增加通過該通道區之遷移率。
為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉一較佳實施例,並配合所附的圖式,作詳細說明如下:
本發明提供了製作如於矽基板上具有III-V族上蓋層之雙重閘場效應電晶體或三重閘場效應電晶體之高遷移率之應變鍺或應變矽鍺之鰭型場效應電晶體與多重閘場效應電晶體之方法與結構。本發明將透過下文與相關圖式以解說之,其中相同符號代表了相同之元件。
第1圖顯示了具有應變鍺或應變矽鍺之鰭型場效應電晶體及/或多重閘場效應電晶體之一垂直型鰭狀結構之一電晶體閘極區域的製程過程中之一剖面圖。在此,半導體基板102包括了IV族半導體材料,例如Si、Ge、SiGe或SiC,或其他其他材料。而鰭型層104包括IV族半導體材料,例如Ge、SiGe、SiC或上述材料之組合,或其他之適當材料。淺溝槽隔離物106則提供了相鄰裝置間之隔離情形,且可避免了鄰近之半導體裝置構件間之漏電流情形。
於半導體裝置製程中,淺溝槽隔離物106可早於電晶體形成前先形成。淺溝槽隔離製程之主要步驟係關於蝕刻出數個溝槽之圖案、沈積一或多個介電材料(例如二氧化矽)以填滿此些溝槽,以及採用如化學機械研磨之技術以移除過量之介電材料。於第1圖中,淺溝槽隔離物106係首先形成,且接著蝕刻形成用於鰭型層104(例如Ge、SiGe、SiC或上述材料之組合)沈積之空間。或者,可於形成鰭型層104之後,接著蝕刻出溝槽,並接著填入介電材料於溝槽內以形成淺溝槽隔離物106。
第2圖顯示了於具有III-V上蓋層之具有應變鍺或應變矽鍺之鰭型場效應電晶體及/或多重閘場效應電晶體之一垂直型鰭狀結構之一電晶體閘極區域的製程過程中之一剖面圖。相較於第1圖,淺溝槽隔離物106係經過蝕刻而露出鰭型層104,以利後續之裝置製作。接著沈積上蓋層202以覆蓋鰭型層104。上蓋層202環繞了電晶體之閘極區內之電晶體通道周圍的鰭型層104。上蓋層202包括了一III-V族半導體之化合物,例如為GaAs、InGaAs、InAs、InSb、GaSb、GaN、InP或上述材料之組合,或其他之適當材料。更特別地,基於晶格不匹配(lattice matching)之情形,可使用如InGaAs材質之上蓋層202於如Ge材質之鰭型層104之上,或使用如GaAs材質之上蓋層202於如SiGe材質之鰭型層104之上。
介於上蓋層202與基板102間之晶格不匹配情形係高於介於鰭型層104與基板102間之晶格不匹配情形。舉例來說,介於如InGaAs材質之上蓋層202與如矽材質之基板102間之晶格不匹配情形較如Ge材質之鰭型層104與如矽材質之基板102間之晶格不匹配情形高出了約4%。基於上述之較高不匹配情形係起因於III-V族化合物上蓋層202的採用,上蓋層202將施加應變至鰭型層104。而當鰭型層104係作為半導體電晶體之通道時,上述應變可增加通過經拉伸應變之鰭型層(例如為Ge材料)104之遷移率(mobility),因此達成了通道的較高遷移率。
舉例來說,相較鍺之4000 cm2 /V‧s、矽之1400 cm2 /V‧s或GaAs之8500 cm2 /V‧s之電子遷移率,經1.5%拉伸應變之Ge可表現出了約為12000 cm2 /V‧s之電子遷移率。此外,相較於鍺之2000 cm2 /V‧s,矽之450 cm2 /V‧s或GaAs之400 cm2 /V‧s之電子遷移率,1.5%之經拉伸應變之Ge可表現出了約為20000 cm2 /V‧s之電子遷移率。因此,如第2圖所示結構可藉由於鰭型層104之頂部上具有一III-V半導體化合物上蓋層202而提供了一高速CMOS通道。
再者,可於上蓋層202之上沈積一高介電常數介電層(未顯示)。相較於介於高介電常數介電層與鍺材質之鰭型層104間之習知不良介面,此III-V族半導體化合物之上蓋層202可作為緩衝之用並提供了介於高介電常數介電層與鰭型層104間之較佳介面。上述功效係基於材料特性,即位於InGaAs上之閘介電層較位於Ge上閘介電層可表現出較低之介面缺陷密度(interface defect density)。
本發明之優點包括了基於前述之較高晶格不匹配情形所形成之高拉伸應變鰭型場效應電晶體(FinFET)裝置與多重閘場效應電晶體裝置,藉由採用較高晶格不匹配常數材料可造成極高遷移率之通道。此外,可於Ge材質以及高Ge含量之SiGe裝置內採用III-V族上蓋層以改善閘介電物之介面。熟悉此技藝者可以理解本發明之實施例皆可視實際情形而稍作改變。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
102...基板
104...鰭型物
106...淺溝槽隔離物
202...上蓋層
第1圖顯示了於具有應變鍺或應變矽鍺之鰭型場效應電晶體及/或多重閘場效應電晶體之一垂直型鰭狀結構之一電晶體閘極區域的製程過程中之一剖面圖;以及
第2圖顯示了於具有III-V上蓋層之具有應變鍺或應變矽鍺之鰭型場效應電晶體及/或多重閘場效應電晶體之一垂直型鰭狀結構之一電晶體閘極區域的製程過程中之一剖面圖。
102...基板
104...鰭型物
106...淺溝槽隔離物
202...上蓋層

Claims (10)

  1. 一種用於半導體電晶體之垂直鰭狀結構,包括:一半導體基板;一鰭型層,位於該半導體基板之頂部;以及一上蓋層,覆蓋該鰭型層且直接接觸該鰭型層,其中該半導體基板包括一IV族半導體材料,該鰭型層包括一IV族半導體材料,該上蓋層包括一III-V族半導體化合物,該鰭型層作為該半導體電晶體之通道層,而該上蓋層施加應變至該鰭型層之上以增加通過該通道層之遷移率。
  2. 如申請專利範圍第1項所述之用於半導體電晶體之垂直鰭狀結構,其中該鰭型層包括Ge、SiGe、SiC或上述材料之組合,該半導體基板包括Si、Ge、SiGe或SiC,該上蓋層包括GaAs、InGaAs、InAs、InSb、GaSb、GaN、InP或上述材料之組合。
  3. 如申請專利範圍第1項所述之用於半導體電晶體之垂直鰭狀結構,其中該上蓋層與該半導體基板之間具有多於4%之晶格不相稱情形。
  4. 如申請專利範圍第1項所述之用於半導體電晶體之垂直鰭狀結構,其中該鰭型層係位於用於形成鄰近裝置間之隔離情形之數個淺溝槽隔離層之間。
  5. 一種用於半導體電晶體之垂直鰭狀結構之製造方法,包括:提供一半導體基板,其中該半導體基板包括一IV族半導體材料; 沈積一鰭型層於該半導體基板之頂部上且直接接觸該鰭型層,其中該鰭型層包括了IV族半導體材料且作為該半導體電晶體之一通道;以及沈積一上蓋層於該鰭型層之上,其中該上蓋層包括III-V族半導體化合物且施加應變於該鰭型層之上以增加通過該通道之遷移率。
  6. 如申請專利範圍第5項所述之用於半導體電晶體之垂直鰭狀結構之製造方法,其中該鰭型層包括Ge、SiGe、SiC或上述材料之組合,該半導體基板包括Si、Ge、SiGe或SiC,該上蓋層包括GaAs、InGaAs、InAs、InSb、GaSb、GaN、InP或上述材料之組合。
  7. 如申請專利範圍第5項所述之用於半導體電晶體之垂直鰭狀結構之製造方法,其中該上蓋層與該半導體基板之間具有多於4%之晶格不相稱情形。
  8. 如申請專利範圍第5項所述之用於半導體電晶體之垂直鰭狀結構之製造方法,更包括:早於沈積該鰭型層之前,沈積用於隔離鄰近裝置之一淺溝槽隔離層;以及蝕刻該淺溝槽隔離層以形成用於該鰭型層之空間。
  9. 一種用於半導體電晶體之垂直鰭狀結構,包括:一半導體基板;一鰭型層,位於該半導體基板之頂部;以及一上蓋層,覆蓋該鰭型層且直接接觸該鰭型層,其中該半導體基板包括Si、Ge、SiGe或SiC,該鰭型層包括Ge、SiGe、SiC或上述材料之組合,該上蓋層 包括GaAs、InGaAs、InAs、InSb、GaSb、GaN、InP或上述材料之組合,該上蓋層與該半導體基板之間具有多於4%之晶格不相稱情形,該鰭型層作為該半導體電晶體之通道層,而該上蓋層施加應變至該鰭型層之上以增加通過該通道層之遷移率。
  10. 如申請專利範圍第9項所述之用於半導體電晶體之垂直鰭狀結構,其中該鰭型層係位於用於形成鄰近裝置間之隔離之數個淺溝槽隔離層之間。
TW099125304A 2009-07-31 2010-07-30 用於半導體電晶體之垂直鰭狀結構及其製造方法 TWI487107B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23040309P 2009-07-31 2009-07-31
US12/797,839 US8629478B2 (en) 2009-07-31 2010-06-10 Fin structure for high mobility multiple-gate transistor

Publications (2)

Publication Number Publication Date
TW201104867A TW201104867A (en) 2011-02-01
TWI487107B true TWI487107B (zh) 2015-06-01

Family

ID=43526160

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099125304A TWI487107B (zh) 2009-07-31 2010-07-30 用於半導體電晶體之垂直鰭狀結構及其製造方法

Country Status (5)

Country Link
US (1) US8629478B2 (zh)
JP (1) JP2011035391A (zh)
KR (1) KR101287260B1 (zh)
CN (1) CN101989617B (zh)
TW (1) TWI487107B (zh)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5713837B2 (ja) 2011-08-10 2015-05-07 株式会社東芝 半導体装置の製造方法
CN102956701B (zh) * 2011-08-30 2015-04-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的结构及形成方法
US8624326B2 (en) * 2011-10-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
KR101805634B1 (ko) 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
CN103137445B (zh) * 2011-12-05 2015-12-02 中芯国际集成电路制造(上海)有限公司 形成Finfet掺杂鳍状物的方法
US9461160B2 (en) * 2011-12-19 2016-10-04 Intel Corporation Non-planar III-N transistor
US8486770B1 (en) * 2011-12-30 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming CMOS FinFET device
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) * 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US9735239B2 (en) 2012-04-11 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device channel system and method
US8580642B1 (en) * 2012-05-21 2013-11-12 Globalfoundries Inc. Methods of forming FinFET devices with alternative channel materials
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US8841188B2 (en) * 2012-09-06 2014-09-23 International Business Machines Corporation Bulk finFET with controlled fin height and high-K liner
EP2741337B1 (en) 2012-12-07 2018-04-11 IMEC vzw Semiconductor heterostructure field effect transistor and method for making thereof
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US9209066B2 (en) * 2013-03-01 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure of semiconductor device
CN104037085A (zh) * 2013-03-07 2014-09-10 中国科学院微电子研究所 半导体器件制造方法
US9412871B2 (en) * 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with channel backside passivation layer device and method
US9385198B2 (en) 2013-03-12 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostructures for semiconductor devices and methods of forming the same
US20140264607A1 (en) 2013-03-13 2014-09-18 International Business Machines Corporation Iii-v finfets on silicon substrate
US9018054B2 (en) 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
BR112015029855B1 (pt) 2013-06-28 2021-02-23 Intel Corporation método para formar um par de aletas de dispositivo eletrônico
KR20160029005A (ko) * 2013-06-28 2016-03-14 인텔 코포레이션 III-N 에피택시를 위한 Si (100) 웨이퍼들 상의 Si (111) 평면들을 가진 나노구조들 및 나노피처들
US9240342B2 (en) * 2013-07-17 2016-01-19 Globalfoundries Inc. Methods of forming replacement fins for a FinFET semiconductor device by performing a replacement growth process
US9224866B2 (en) * 2013-08-27 2015-12-29 Globalfoundries Inc. Suspended body field effect transistor
US9070770B2 (en) 2013-08-27 2015-06-30 International Business Machines Corporation Low interfacial defect field effect transistor
CN104425270B (zh) * 2013-08-27 2017-07-14 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
US9935107B2 (en) * 2013-12-16 2018-04-03 Intel Corporation CMOS FinFET device with dual strained cladding layers on relaxed SiGe fins, and method of fabricating the same
US9054192B1 (en) 2013-12-20 2015-06-09 International Business Machines Corporation Integration of Ge-containing fins and compound semiconductor fins
US9136357B1 (en) 2014-03-05 2015-09-15 International Business Machines Corporation Fabrication process for mitigating external resistance and interface state density in a multigate device
US9484463B2 (en) 2014-03-05 2016-11-01 International Business Machines Corporation Fabrication process for mitigating external resistance of a multigate device
US9431537B2 (en) 2014-03-26 2016-08-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
KR20160137977A (ko) * 2014-03-28 2016-12-02 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US9263555B2 (en) * 2014-07-03 2016-02-16 Globalfoundries Inc. Methods of forming a channel region for a semiconductor device by performing a triple cladding process
CN105405841A (zh) * 2014-09-10 2016-03-16 中国科学院微电子研究所 一种U型FinFET与非门结构及其制造方法
KR102331913B1 (ko) * 2014-09-26 2021-12-01 인텔 코포레이션 반도체 디바이스들에 대한 선택적 게이트 스페이서들
EP3235009A4 (en) 2014-12-19 2018-07-25 INTEL Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
CN105789301B (zh) * 2014-12-25 2018-09-11 中国科学院微电子研究所 鳍式场效应晶体管、鳍结构及其制造方法
KR102270916B1 (ko) 2015-04-06 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US9761667B2 (en) 2015-07-30 2017-09-12 International Business Machines Corporation Semiconductor structure with a silicon germanium alloy fin and silicon germanium alloy pad structure
US9406566B1 (en) * 2015-12-04 2016-08-02 International Business Machines Corporation Integration of III-V compound materials on silicon
US9614077B1 (en) 2016-03-03 2017-04-04 International Business Machines Corporation Vertical finfet with strained channel
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9755071B1 (en) 2016-06-30 2017-09-05 International Business Machines Corporation Merged gate for vertical transistors
US9748382B1 (en) * 2016-10-24 2017-08-29 International Business Machines Corporation Self aligned top extension formation for vertical transistors
KR20210018573A (ko) 2019-08-05 2021-02-18 삼성전자주식회사 활성 영역 및 게이트 구조물을 갖는 반도체 소자
CN111223928B (zh) * 2020-04-22 2020-08-21 浙江集迈科微电子有限公司 栅指渐宽式GaN FinFET结构及其制备方法
KR20220068283A (ko) 2020-11-18 2022-05-26 삼성전자주식회사 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002057329A (ja) * 2000-08-09 2002-02-22 Toshiba Corp 縦型電界効果トランジスタ及びその製造方法
US6455377B1 (en) * 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
WO2004090992A1 (ja) * 2003-04-09 2004-10-21 Nec Corporation 高移動度シリコンチャネルを有する縦型misfet半導体装置
JP2005012214A (ja) * 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2833946B2 (ja) 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JP3144967B2 (ja) 1993-11-08 2001-03-12 株式会社日立製作所 半導体集積回路およびその製造方法
KR0146203B1 (ko) 1995-06-26 1998-12-01 김광호 반도체 집적회로의 회로소자값 조정회로
US5963789A (en) 1996-07-08 1999-10-05 Kabushiki Kaisha Toshiba Method for silicon island formation
US5949986A (en) 1996-09-05 1999-09-07 California Institute Of Technology Chip connectivity verification program
US6065481A (en) 1997-03-26 2000-05-23 Fsi International, Inc. Direct vapor delivery of enabling chemical for enhanced HF etch process performance
TW468273B (en) 1997-04-10 2001-12-11 Hitachi Ltd Semiconductor integrated circuit device and method for manufacturing the same
JP3660783B2 (ja) 1997-06-30 2005-06-15 松下電器産業株式会社 半導体集積回路
TW466405B (en) * 1998-03-17 2001-12-01 Via Tech Inc Device and method of cache in computer system
US6740247B1 (en) 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP4044721B2 (ja) 2000-08-15 2008-02-06 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
US6830994B2 (en) 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6737302B2 (en) 2001-10-31 2004-05-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for field-effect transistor
US6621131B2 (en) 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
NL1019298C2 (nl) 2001-11-05 2003-05-07 Stork Pmt Werkwijze voor het conserveren van vlees van een geslachte vogel of deel daarvan.
JP4118045B2 (ja) 2001-12-07 2008-07-16 富士通株式会社 半導体装置
US6662350B2 (en) 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
JP3782021B2 (ja) 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
JP2004014737A (ja) 2002-06-06 2004-01-15 Renesas Technology Corp 半導体装置およびその製造方法
US6812103B2 (en) 2002-06-20 2004-11-02 Micron Technology, Inc. Methods of fabricating a dielectric plug in MOSFETS to suppress short-channel effects
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6713365B2 (en) 2002-09-04 2004-03-30 Macronix International Co., Ltd. Methods for filling shallow trench isolations having high aspect ratios
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP4031329B2 (ja) 2002-09-19 2008-01-09 株式会社東芝 半導体装置及びその製造方法
US6791155B1 (en) 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6946373B2 (en) 2002-11-20 2005-09-20 International Business Machines Corporation Relaxed, low-defect SGOI for strained Si CMOS applications
US7087499B2 (en) 2002-12-20 2006-08-08 International Business Machines Corporation Integrated antifuse structure for FINFET and CMOS devices
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US20040192067A1 (en) 2003-02-28 2004-09-30 Bruno Ghyselen Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
DE10310740A1 (de) 2003-03-10 2004-09-30 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer spannungsrelaxierten Schichtstruktur auf einem nicht gitterangepassten Substrat, sowie Verwendung eines solchen Schichtsystems in elektronischen und/oder optoelektronischen Bauelementen
US6762448B1 (en) 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US7906441B2 (en) 2003-05-13 2011-03-15 Texas Instruments Incorporated System and method for mitigating oxide growth in a gate dielectric
TWI242232B (en) 2003-06-09 2005-10-21 Canon Kk Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
JP2005051241A (ja) 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw 多層ゲート半導体デバイス及びその製造方法
US7101742B2 (en) 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP4212435B2 (ja) 2003-08-29 2009-01-21 株式会社東芝 半導体装置およびその製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6881668B2 (en) 2003-09-05 2005-04-19 Mosel Vitel, Inc. Control of air gap position in a dielectric layer
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US7153744B2 (en) 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
KR100513405B1 (ko) 2003-12-16 2005-09-09 삼성전자주식회사 핀 트랜지스터의 형성 방법
KR100702552B1 (ko) 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 이중 게이트 FinFET 디자인을 위한 자동화 레이어생성 방법 및 장치
KR100552058B1 (ko) 2004-01-06 2006-02-20 삼성전자주식회사 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
US6956277B1 (en) 2004-03-23 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Diode junction poly fuse
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050221591A1 (en) 2004-04-06 2005-10-06 International Business Machines Corporation Method of forming high-quality relaxed SiGe alloy layers on bulk Si substrates
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
KR100605104B1 (ko) 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
JP4493398B2 (ja) 2004-05-13 2010-06-30 富士通マイクロエレクトロニクス株式会社 半導体装置
US7157351B2 (en) 2004-05-20 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Ozone vapor clean method
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US6940747B1 (en) 2004-05-26 2005-09-06 Hewlett-Packard Development Company, L.P. Magnetic memory device
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
JP5056011B2 (ja) 2004-06-10 2012-10-24 日本電気株式会社 半導体装置及びその製造方法、FinFETの製造方法
KR100604870B1 (ko) 2004-06-16 2006-07-31 삼성전자주식회사 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법
US7361563B2 (en) 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
KR100594282B1 (ko) 2004-06-28 2006-06-30 삼성전자주식회사 FinFET을 포함하는 반도체 소자 및 그 제조방법
US6991998B2 (en) 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
JP5203558B2 (ja) 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法
TWI283066B (en) 2004-09-07 2007-06-21 Samsung Electronics Co Ltd Field effect transistor (FET) having wire channels and method of fabricating the same
US7067400B2 (en) 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
EP1807545A1 (en) 2004-09-27 2007-07-18 Dow Gloval Technologies Inc. Multilayer coatings by plasma enhanced chemical vapor deposition
US7018901B1 (en) 2004-09-29 2006-03-28 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a strained channel and a heterojunction source/drain
US6949768B1 (en) 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
KR100605499B1 (ko) 2004-11-02 2006-07-28 삼성전자주식회사 리세스된 게이트 전극을 갖는 모스 트랜지스터 및 그제조방법
KR100693783B1 (ko) 2004-11-04 2007-03-12 주식회사 하이닉스반도체 내부전원 발생장치
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
CN100533685C (zh) 2004-12-06 2009-08-26 Nxp股份有限公司 在半导体衬底上制造外延层的方法及用这种方法制造的器件
US7026232B1 (en) 2004-12-23 2006-04-11 Texas Instruments Incorporated Systems and methods for low leakage strained-channel transistor
US20060151808A1 (en) 2005-01-12 2006-07-13 Chien-Hao Chen MOSFET device with localized stressor
US7282766B2 (en) 2005-01-17 2007-10-16 Fujitsu Limited Fin-type semiconductor device with low contact resistance
WO2006090201A2 (en) 2005-02-24 2006-08-31 S.O.I.Tec Silicon On Insulator Technologies Thermal oxidation of a sige layer and applications thereof
JP2006303451A (ja) 2005-03-23 2006-11-02 Renesas Technology Corp 半導体装置及び半導体装置の製造方法
WO2006107942A1 (en) 2005-04-05 2006-10-12 Analog Devices, Inc. Vapor hf etch process mask and method
JP2006324628A (ja) 2005-05-16 2006-11-30 Interuniv Micro Electronica Centrum Vzw 完全ケイ化ゲート形成方法及び当該方法によって得られたデバイス
JP4427489B2 (ja) 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7960791B2 (en) 2005-06-24 2011-06-14 International Business Machines Corporation Dense pitch bulk FinFET process by selective EPI and etch
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
KR100655788B1 (ko) 2005-06-30 2006-12-08 삼성전자주식회사 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
EP1744351A3 (en) 2005-07-11 2008-11-26 Interuniversitair Microelektronica Centrum ( Imec) Method for forming a fully silicided gate MOSFET and devices obtained thereof
JP4774247B2 (ja) 2005-07-21 2011-09-14 Okiセミコンダクタ株式会社 電圧レギュレータ
KR101172853B1 (ko) 2005-07-22 2012-08-10 삼성전자주식회사 반도체 소자의 형성 방법
JP4749076B2 (ja) 2005-07-27 2011-08-17 ルネサスエレクトロニクス株式会社 半導体装置
US20070029576A1 (en) 2005-08-03 2007-02-08 International Business Machines Corporation Programmable semiconductor device containing a vertically notched fusible link region and methods of making and using same
KR101155097B1 (ko) 2005-08-24 2012-06-11 삼성전자주식회사 반도체 장치의 제조 방법 및 그에 의해 제조된 반도체 장치
US7323374B2 (en) 2005-09-19 2008-01-29 International Business Machines Corporation Dense chevron finFET and method of manufacturing same
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7589387B2 (en) 2005-10-05 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. SONOS type two-bit FinFET flash memory cell
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
WO2007046150A1 (ja) * 2005-10-21 2007-04-26 Fujitsu Limited フィン型半導体装置及びその製造方法
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7767541B2 (en) 2005-10-26 2010-08-03 International Business Machines Corporation Methods for forming germanium-on-insulator semiconductor structures using a porous layer and semiconductor structures formed by these methods
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US7525160B2 (en) 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070152276A1 (en) 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7410844B2 (en) 2006-01-17 2008-08-12 International Business Machines Corporation Device fabrication by anisotropic wet etch
JP2007194336A (ja) 2006-01-18 2007-08-02 Sumco Corp 半導体ウェーハの製造方法
KR100827435B1 (ko) 2006-01-31 2008-05-06 삼성전자주식회사 반도체 소자에서 무산소 애싱 공정을 적용한 게이트 형성방법
JP2007258485A (ja) 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
JP4791868B2 (ja) 2006-03-28 2011-10-12 株式会社東芝 Fin−NAND型フラッシュメモリ
US7407847B2 (en) 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
KR100813527B1 (ko) 2006-04-06 2008-03-17 주식회사 하이닉스반도체 반도체 메모리의 내부 전압 발생 장치
US8076189B2 (en) 2006-04-11 2011-12-13 Freescale Semiconductor, Inc. Method of forming a semiconductor device and semiconductor device
US7582516B2 (en) * 2006-06-06 2009-09-01 International Business Machines Corporation CMOS devices with hybrid channel orientations, and methods for fabricating the same using faceted epitaxy
EP1868233B1 (fr) 2006-06-12 2009-03-11 Commissariat A L'energie Atomique Procédé de réalisation de zones à base de Si1-yGey de différentes teneurs en Ge sur un même substrat par condensation de germanium
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US8211761B2 (en) 2006-08-16 2012-07-03 Globalfoundries Singapore Pte. Ltd. Semiconductor system using germanium condensation
US7554110B2 (en) 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7494862B2 (en) 2006-09-29 2009-02-24 Intel Corporation Methods for uniform doping of non-planar transistor structures
US7410854B2 (en) 2006-10-05 2008-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making FUSI gate and resulting structure
CN100527380C (zh) 2006-11-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 硅片浅沟槽隔离刻蚀的方法
US7534689B2 (en) 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7538391B2 (en) 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US7456087B2 (en) 2007-02-09 2008-11-25 United Microelectronics Corp. Semiconductor device and method of fabricating the same
JP2008227026A (ja) 2007-03-12 2008-09-25 Toshiba Corp 半導体装置の製造方法
KR100844938B1 (ko) 2007-03-16 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7727842B2 (en) 2007-04-27 2010-06-01 Texas Instruments Incorporated Method of simultaneously siliciding a polysilicon gate and source/drain of a semiconductor device, and related device
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
TW200901368A (en) 2007-06-23 2009-01-01 Promos Technologies Inc Shallow trench isolation structure and method for forming thereof
JP2009016418A (ja) 2007-07-02 2009-01-22 Nec Electronics Corp 半導体装置
US7851865B2 (en) 2007-10-17 2010-12-14 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US8063437B2 (en) 2007-07-27 2011-11-22 Panasonic Corporation Semiconductor device and method for producing the same
US7692213B2 (en) 2007-08-07 2010-04-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing a condensation process
US20090053883A1 (en) 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
JP4361102B2 (ja) * 2007-09-12 2009-11-11 富士フイルム株式会社 圧電素子の製造方法
US7985633B2 (en) 2007-10-30 2011-07-26 International Business Machines Corporation Embedded DRAM integrated circuits with extremely thin silicon-on-insulator pass transistors
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
US20090166625A1 (en) 2007-12-28 2009-07-02 United Microelectronics Corp. Mos device structure
US8189376B2 (en) 2008-02-08 2012-05-29 Micron Technology, Inc. Integrated circuit having memory cells including gate material having high work function, and method of manufacturing same
CN101960570A (zh) * 2008-02-26 2011-01-26 Nxp股份有限公司 制造半导体器件的方法和半导体器件
US8003466B2 (en) 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
JP5554701B2 (ja) 2008-05-29 2014-07-23 パナソニック株式会社 半導体装置
US8716786B2 (en) 2008-06-17 2014-05-06 Infineon Technologies Ag Semiconductor device having different fin widths
DE102008030864B4 (de) 2008-06-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement als Doppelgate- und Tri-Gatetransistor, die auf einem Vollsubstrat aufgebaut sind und Verfahren zur Herstellung des Transistors
US7923321B2 (en) 2008-11-03 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for gap filling in a gate last process
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US8120063B2 (en) * 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
CA2659912C (en) 2009-03-24 2012-04-24 Sarah Mary Brunet Nasal prong protector
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8236658B2 (en) 2009-06-03 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming a transistor with a strained channel
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8043920B2 (en) 2009-09-17 2011-10-25 International Business Machines Corporation finFETS and methods of making same
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US8785286B2 (en) 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8088685B2 (en) 2010-02-09 2012-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integration of bottom-up metal film deposition
US20110256682A1 (en) 2010-04-15 2011-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple Deposition, Multiple Treatment Dielectric Layer For A Semiconductor Device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002057329A (ja) * 2000-08-09 2002-02-22 Toshiba Corp 縦型電界効果トランジスタ及びその製造方法
US6455377B1 (en) * 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US7205604B2 (en) * 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
WO2004090992A1 (ja) * 2003-04-09 2004-10-21 Nec Corporation 高移動度シリコンチャネルを有する縦型misfet半導体装置
JP2005012214A (ja) * 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法

Also Published As

Publication number Publication date
JP2011035391A (ja) 2011-02-17
KR101287260B1 (ko) 2013-07-17
CN101989617A (zh) 2011-03-23
TW201104867A (en) 2011-02-01
US8629478B2 (en) 2014-01-14
CN101989617B (zh) 2013-03-27
KR20110013257A (ko) 2011-02-09
US20110024794A1 (en) 2011-02-03

Similar Documents

Publication Publication Date Title
TWI487107B (zh) 用於半導體電晶體之垂直鰭狀結構及其製造方法
US10510853B2 (en) FinFET with two fins on STI
US10269969B2 (en) Semiconductor structures and methods with high mobility and high energy bandgap materials
US9614058B2 (en) Methods of forming low defect replacement fins for a FinFET semiconductor device and the resulting devices
KR101131308B1 (ko) 양자 우물 채널을 갖는 비평면 트랜지스터의 형성
US9368578B2 (en) Methods of forming substrates comprised of different semiconductor materials and the resulting device
KR101461348B1 (ko) 계면층을 가지는 비평면 양자 우물 디바이스 및 이를 형성하는 방법
TWI514580B (zh) 半導體元件與其形成方法
US8716156B1 (en) Methods of forming fins for a FinFET semiconductor device using a mandrel oxidation process
TWI642181B (zh) Iii-v族環繞式閘極半導體元件及其製造方法
TWI592983B (zh) 形成iii-v族通道的方法
TW201907453A (zh) 閘極環繞奈米片場效應電晶體及其製造方法
US9954104B2 (en) Multiwidth finFET with channel cladding
US9620506B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon region
US9620507B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon-germanium region
US20200395457A1 (en) Semiconductor structure
CN104835843B (zh) 具有异质结构沟道的场效应晶体管
KR20150059633A (ko) 누설이 감소된 cmos 디바이스 및 그 형성 방법
US20130037821A1 (en) Semiconductor Device and Manufacturing Method thereof
US20150054040A1 (en) Finfets with strained well regions
JP6423696B2 (ja) finFET半導体素子及びその製造方法
CN103594513B (zh) 半导体器件及其制造方法
US9647107B1 (en) Fabrication method for forming vertical transistor on hemispherical or polygonal patterned semiconductor substrate
TWI831172B (zh) 具有不同通道材料之經堆疊場效電晶體
US20170033181A1 (en) Methods of forming replacement fins comprised of multiple layers of different semiconductor materials