TW201907453A - 閘極環繞奈米片場效應電晶體及其製造方法 - Google Patents

閘極環繞奈米片場效應電晶體及其製造方法 Download PDF

Info

Publication number
TW201907453A
TW201907453A TW107106646A TW107106646A TW201907453A TW 201907453 A TW201907453 A TW 201907453A TW 107106646 A TW107106646 A TW 107106646A TW 107106646 A TW107106646 A TW 107106646A TW 201907453 A TW201907453 A TW 201907453A
Authority
TW
Taiwan
Prior art keywords
gate
sacrificial layer
effect transistor
sacrificial
regions
Prior art date
Application number
TW107106646A
Other languages
English (en)
Other versions
TWI701723B (zh
Inventor
馬克 S. 羅德爾
洪俊顧
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201907453A publication Critical patent/TW201907453A/zh
Application granted granted Critical
Publication of TWI701723B publication Critical patent/TWI701723B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種製造閘極環繞奈米片場效應電晶體的方法包括在基底上形成堆疊。所述堆疊包括由通道層與非均勻犧牲區形成的交替排列。所述非均勻犧牲區中的每一者包括上部犧牲層、中間犧牲層及下部犧牲層。所述上部犧牲層及所述下部犧牲層被配置成以第一蝕刻速率進行蝕刻,且所述中間犧牲層被配置成以大於所述第一蝕刻速率的第二蝕刻速率進行蝕刻。

Description

環繞式閘極奈米片場效電晶體及其製造方法
本申請主張在2017年5月8日在美國專利及商標局提出申請的美國臨時申請第62/503,275號以及在2017年8月22日在美國專利及商標局提出申請的美國非臨時申請第15/683,304號的優先權及權利,所述美國臨時申請及美國非臨時申請的全部內容併入本申請供參考。
本公開大體來說涉及場效應電晶體及製造所述場效應電晶體的方法。
根據傳統方法形成的閘極環繞(gate-all-around,GAA)奈米片(nanosheet,NS)場效應電晶體(field effect transistor,FET)具有以下整合困難:需要同時形成源極-汲極(source-drain,SD)應力源區(stressor region),同時還實現低閘極-汲極電容(gate-drain capacitance,Cgd)。傳統的閘極環繞奈米片場效應電晶體是在源極-汲極邊界處利用介電內部間隔物形成的。這些介電內部間隔物在傳統上是在進行蝕刻來形成用於源極-汲極電極的凹槽的任務之後但在凹槽中形成源極-汲極電極的任務之前形成(即,介電內部間隔物在傳統上是在源極-汲極凹槽蝕刻之後且在源極-汲極磊晶再填充之前形成)。因此,當根據這些傳統方法生長磊晶源極-汲極材料以形成源極-汲極區時,在介電/通道介面處會形成缺陷,此會造成有缺陷的源極-汲極區,從而形成非應變源極-汲極區(即,無源極-汲極應力源區)。
傳統的閘極環繞奈米片場效應電晶體具有以下另一個整合困難:需要實現多閾值電壓(multiple threshold voltage,mVt)架構,同時還實現低閘極-汲極電容。傳統的閘極環繞奈米片場效應電晶體是由包繞在每一個半導體通道層(即,每一個奈米片通道層)周圍的閘極堆疊(即,介電材料及金屬)形成,其中各個通道層隔開垂直間距(vertical spacing,VSP)距離。為實現多閾值電壓整合,可增大垂直間距來更容易地使不同的金屬層能夠形成在垂直間距區中,從而能夠實現不同的閾值電壓(Vt)值。然而,增大垂直間距會對應地增大閘極-汲極電容。
傳統的閘極環繞奈米片場效應電晶體具有以下另一個整合困難:需要對寬寬度的通道層實現均勻的通道層厚度。傳統的閘極環繞奈米片場效應電晶體是由上覆在基底上的犧牲層與通道層的交替堆疊形成。在替換金屬閘極(replacement metal gate,RMG)任務期間,犧牲層相對於通道層被選擇性地移除。通道層可為矽(Si),且犧牲層可為Ge%為近似25%到近似60%的矽鍺(SiGe)。然而,如果Ge%處於所述範圍的低端(例如,近似25%),則在通過鑽蝕蝕刻製程(undercut etch process)移除犧牲層的任務期間也可能會對Si通道層進行蝕刻。對Si通道層進行蝕刻會形成不具有所期望電性性質(例如,輸送及閾值電壓)的非均勻通道層。舉例來說,在移除犧牲層的傳統任務期間,也可能會局部地移除Si通道層(例如,可能移除Si通道層的邊緣,從而形成橢圓形狀的Si通道層)。另一方面,如果Ge%處於所述範圍的高端(例如,近似60%或大於60%),則相對於Si的選擇性會增大,但會使更多Ge擴散到相鄰的通道層中(即,形成寬過渡區),使得通道層由Si及Ge形成而非僅由Si形成。因此,在傳統的閘極環繞奈米片場效應晶體管制作期間提供Ge%處於所述範圍的高端處的犧牲層會形成由於Ge向相鄰的通道層中的非均勻擴散而導致的寬過渡區及/或非均勻通道厚度。
本公開涉及製造閘極環繞(GAA)奈米片(NS)場效應電晶體(FET)的各種方法。在一個實施例中,所述方法包括在基底上形成堆疊。所述堆疊包括由通道層與非均勻犧牲區形成的交替排列。所述非均勻犧牲區中的每一者包括上部犧牲層、中間犧牲層及下部犧牲層。所述上部犧牲層及所述下部犧牲層被配置成以第一蝕刻速率進行蝕刻,且所述中間犧牲層被配置成以大於所述第一蝕刻速率的第二蝕刻速率進行蝕刻。
所述方法還可包括對所述堆疊進行蝕刻以形成電極凹槽以及對所述非均勻犧牲區執行側向蝕刻。所述側向蝕刻將所述非均勻犧牲區中的每一者的所述中間犧牲層蝕刻到比所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層更大的程度,以在所述非均勻犧牲區中的每一者的所述上部犧牲層與所述下部犧牲層之間形成一對凹槽。
所述方法還可包括磊晶沉積源極電極的第一部分及第二部分以及汲極電極的第一部分及第二部分。所述第一部分包括填充在所述凹槽中的一者中的側向延伸以及沿側壁延伸的垂直延伸。所述方法還可包括移除犧牲區以在所述側向延伸部分中的每一者的相對兩側上形成上部凹槽區及下部凹槽區,以及通過在凹槽中沉積介電材料來形成反向內部間隔物。在沉積所述介電材料以形成所述反向內部間隔物之後可留下未被填充的間隙,且形成所述反向內部間隔物還可包括移除位於所述上部凹槽區及所述下部凹槽區外的所述介電材料的一些部分。所述介電材料可包括氧化物或氮化物。所述通道層中的每一者可包含矽(Si)。
上部犧牲層、中間犧牲層及下部犧牲層可各自包含矽鍺(SiGe),且所述中間犧牲層的所述SiGe的Ge%可大於所述上部犧牲層及所述下部犧牲層中的每一者的所述SiGe的Ge%。所述中間層的所述Ge%可大於40%,且所述上部犧牲層及所述下部犧牲層中的每一者的所述Ge%可小於35%。所述中間層的所述Ge%可大於50%,且所述上部犧牲層及所述下部犧牲層中的每一者的所述Ge%可小於30%。
所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度可比所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層中的每一者的厚度薄。所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度可為1 nm到5 nm,且所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層的厚度可為3 nm到7 nm。所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度可為2 nm到3 nm,且所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層的厚度可為3 nm到5 nm。
所述方法還可包括在堆疊上形成虛設閘極堆疊及外部間隔物,且在對堆疊進行蝕刻期間形成的電極凹槽可與外部間隔物的邊緣對準。
本公開還涉及閘極環繞(GAA)奈米片(NS)場效應電晶體(FET)的各種實施例。在一個實施例中,閘極環繞奈米片場效應電晶體包括:源極電極;汲極電極;一系列通道區,在所述源極電極與所述汲極電極之間延伸;閘極堆疊,位於所述源極電極與所述汲極電極之間以及所述一系列通道區中的兩個相鄰的通道區之間;以及一對反向內部間隔物,位於所述兩個相鄰的通道區之間。所述源極電極及所述汲極電極各自包括第一部分及第二部分。所述第一部分包括垂直側壁部分及在所述兩個相鄰的通道區之間從所述垂直側壁部分延伸的側向延伸部分。所述一對反向內部間隔物的每一個反向內部間隔物包括第一內部間隔物段及第二內部間隔物段,所述第二內部間隔物段在垂直方向上通過所述源極電極的所述側向延伸部分或所述汲極電極的所述側向延伸部分中的一者而與所述第一內部間隔物段間隔開。所述反向內部間隔物中的每一者的所述第一內部間隔物段及所述第二內部間隔物段與所述源極電極的所述第一部分及所述汲極電極的所述第一部分中的一者的垂直側壁相鄰。
所述源極電極及所述汲極電極中的每一者可包含實質上不含有缺陷的晶體材料。所述源極電極的第一部分及所述汲極電極的第一部分可包含未經摻雜的Si。所述源極電極的第二部分及所述汲極電極的第二部分可包含摻雜質(doping)。
所述側向延伸部分可具有2 nm到10 nm的側向長度及2 nm到6 nm的高度。
所述第一內部間隔物段及所述第二內部間隔物段中的每一者可具有小於或實質上等於所述側向延伸部分的所述側向長度的側向長度,且所述反向內部間隔物中的每一者的所述第一內部間隔物段與所述第二內部間隔物段之間的垂直間距可實質上等於所述側向延伸部分的所述高度。
所述反向內部間隔物中的每一者可包含例如氧化物材料或氮化物材料等介電材料,且所述介電材料可具有介於2到8之間的介電常數(K)值。
所述兩個相鄰的通道區之間的垂直間距可為8 nm到20 nm,所述兩個相鄰的通道區中的每一者的寬度可為6 nm到60 nm,且所述兩個相鄰的通道區中的每一者的厚度可為3 nm到8 nm。
所述閘極環繞奈米片場效應電晶體可為nMOS FET、pMOS FET或其組合。
提供本發明內容是為了介紹以下在具體實施方式中進一步闡述的本公開實施例的一系列所選特徵及概念。本發明內容並非旨在識別所主張主題的關鍵特徵或本質特徵,也不旨在用於限制所主張主題的範圍。可將所闡述特徵中的一者或多者與一個或多個其他所闡述特徵進行組合來提供可行裝置。
本公開涉及閘極環繞(GAA)奈米片(NS)場效應電晶體(FET)以及製造所述閘極環繞奈米片場效應電晶體的方法的各種實施例。根據本公開各種實施例的閘極環繞奈米片場效應電晶體架構被配置成實現源極-汲極(SD)應力源區、低閘極-汲極電容(Cgd)、多閾值電壓(mVt)及均勻的或實質上均勻的通道厚度。根據本公開的各種實施例,在源極-汲極電極的磊晶沉積之後形成介電內部間隔物,此會形成低缺陷源極-汲極電極及相對低的閘極-汲極電容。另外,根據本公開的各種方法,通道層間隔開足以界定多個空腔的垂直間距(VSP)距離,且在垂直間距中的每一者的空腔內形成有多個介電內部間隔物(或多個介電間隔物段)以實現具有相對低的閘極-汲極電容的多閾值電壓(mVt)。根據本公開的各個實施例,利用被配置成以至少兩種不同的蝕刻速率進行蝕刻的具有非均勻組合物的犧牲區在源極-汲極電極的磊晶沉積之前在犧牲區的中間部分中實現比犧牲區的上部部分及下部部分更大的鑽蝕蝕刻(例如,在源極-汲極電極的磊晶沉積之前利用具有非均勻組合物的犧牲區來實現犧牲區的僅或實質上僅中間部分的側向凹槽)。利用具有非均勻蝕刻速率的犧牲區會導致在犧牲區的蝕刻期間較快地移除犧牲區,此會減少對通道層的暴露出的部分的蝕刻。減少犧牲區的蝕刻時間(此轉而會減少對通道層的暴露出的部分的蝕刻)會使得與具有利用傳統方法形成的通道層的閘極環繞奈米片場效應電晶體相比,通道層具有更均勻的厚度及更均勻的組合物。另外,在源極-汲極電極的磊晶沉積之前的僅(或實質上僅)犧牲區的中間部分的側向凹陷被源極-汲極磊晶材料的一部分填充,而非由介電間隔物材料填充,此會使得形成低缺陷源極-汲極應力源區。
在下文中,將參照附圖更詳細地闡述示例性實施例,在所有的附圖中,相同的參考編號指代相同的元件。然而,本發明可被實施為各種不同形式,而不應被視為僅限於本文中所例示的實施例。確切來說,提供這些實施例作為實例是為了使本公開將透徹及完整,並將向所屬領域中的技術人員全面傳達本發明的各個方面及特徵。因此,可不再闡述對於所屬領域的普通技術人員完整地理解本發明的各個方面及特徵而言並非必需的製程、元件及技術。除非另外註明,否則在所有附圖及書面說明通篇中相同的參考編號表示相同的元件,且因此,可不再對其予以重複說明。
在圖式中,為清晰起見,可誇大及/或簡化各元件、各層、及各區的相對大小。為易於解釋,本文中可使用例如「在…之下」、「在…下面」、「下部的」、「在…下方」、「在…上方」、「上部的」等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。應理解,空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。舉例來說,如果圖中所示裝置被翻轉,則被描述為位於其他元件或特徵「下面」或「之下」或者「下方」的元件此時將被取向為位於所述其他元件或特徵「上方」。因此,示例性用語「在…下面」及「在…下方」可囊括「上方」及「下方」兩種取向。裝置可具有其他取向(例如,旋轉90度或處於其他取向)且本文中使用的空間相對性描述語應相應地進行解釋。
應理解,儘管本文中可能使用用語「第一」、「第二」、「第三」等來闡述各種元件、元件、區、層及/或區段,然而這些元件、元件、區、層及/或區段不應受這些用語限制。這些用語用於區分各個元件、元件、區、層或區段。因此,在不背離本發明的精神及範圍的條件下,以下所述第一元件、元件、區、層或區段也可被稱為第二元件、元件、區、層或區段。
應理解,當稱一元件或層位於另一元件或層「上」、「連接到」或「耦合到」另一元件或層時,所述元件或層可直接位於所述另一元件或層上、直接連接到或直接耦合到所述另一元件或層,抑或可存在一個或多個中間元件或層。另外,還應理解,當稱一元件或層位於兩個元件或層「之間」時,所述元件或層可為所述兩個元件或層之間的唯一元件或層,抑或也可存在一個或多個中間元件或層。
本文所用術語僅是出於闡述特定實施例的目的而並非旨在限制本發明。除非上下文清楚地另外指明,否則本文所用單數形式「一」旨在也包括多數形式。還應理解,當在本說明書中使用用語「包括」時,是指明所陳述特徵、整數、步驟、操作、元件及/或組件的存在,但不排除一個或多個其他特徵、整數、步驟、操作、元件、組件及/或其群組的存在或添加。本文所用用語「及/或」包括相關列出項中的一個或多個項的任意及所有組合。當例如「...中的至少一者」等表達位於一系列元件之後時,是修飾整個系列的元件而非修飾所述一系列元件中的各別元件。
本文所用用語「實質上」、「大約」及類似用語用作近似用語而並非作為程度用語,並且旨在考慮到所屬領域的普通技術人員將知的測量值或計算值的固有變化。另外,在闡述本發明的實施例時使用「可」是指「本發明的一個或多個實施例」。本文所用用語「使用」、「正使用」及「被使用」可被視為分別與用語「利用」、「正利用」及「被利用」同義。另外,用語「示例性」旨在指實例或例示。
除非另外定義,否則本文所用所有用語(包括技術及科學用語)的含義均與本發明所屬領域中的普通技術人員所通常理解的含義相同。還應理解,用語(例如在常用字典中所定義的用語)應被解釋為具有與其在相關技術的上下文及/或本說明書中的含義一致的含義,且除非在本文中明確定義,否則不應將其解釋為具有理想化或過於正式的意義。
現參照圖1,根據本公開一個實施例的閘極環繞(GAA)奈米片(NS)場效應電晶體(FET)100(例如,nMOS裝置或pMOS裝置)包括:源極電極101;汲極電極102;一系列閘極堆疊103,位於源極電極101與汲極電極102之間;一系列第一反向內部間隔物104,位於源極電極101與閘極堆疊103之間;一系列第二反向內部間隔物105,位於汲極電極102與閘極堆疊103之間;以及一系列通道層106,位於閘極堆疊103下方且在源極電極101與汲極電極102之間延伸。在所示出的實施例中,閘極堆疊103中的每一者包括金屬閘極電極107及閘極介電質108(即,閘極介電層或閘極介電層的堆疊),例如高介電常數閘極氧化物層及介面層。在所示出的實施例中,閘極環繞奈米片場效應電晶體還包括位於最上部通道層106上且位於最上部閘極堆疊103的相對兩側處的外部間隔物109。
在所示出的實施例中,反向內部間隔物104中的每一者分別包括第一內部間隔物段110(例如,上部內部間隔物段)及位於第一內部間隔物段110之下的第二內部間隔物段112(例如,下部內部間隔物段),反向內部間隔物105中的每一者分別包括第一內部間隔物段111(例如,上部內部間隔物段)及位於第一內部間隔物段111之下的第二內部間隔物段113(例如,下部內部間隔物段)。反向內部間隔物104、105的第一內部間隔物段110、111及第二內部間隔物段112、113是由介電材料形成。在一個或多個實施例中,反向內部間隔物104、105的介電材料可為K值介於近似2到近似8範圍內(例如,K值介於近似3到近似5之間)的氧化物材料或氮化物材料。在一個實施例中,介電材料是K值小於4的氧化物,例如氧化矽。
在一個或多個實施例中,通道層106由矽(Si)形成。在一個或多個實施例中,通道層106可具有近似6 nm到近似60 nm的寬度W。在一個或多個實施例中,通道層106可各自具有近似3 nm到近似8 nm(例如,近似4 nm到近似7 nm)的厚度T。在一個或多個實施例中,位於相鄰的通道層106之間以及位於最下部通道層106與基底114之間的垂直間距VSP為近似8 nm到近似20 nm(例如,近似9 nm到近似14 nm)。儘管在所示出的實施例中存在三個通道層106,然而在一個或多個實施例中,通道層106的數目可為兩個通道層106到六個通道層106(例如,兩個通道層106到四個通道層106)。
繼續參照圖1所示實施例,源極電極101包括第一源極電極區115及第二源極電極區116,且汲極電極102包括第一汲極電極區117及第二汲極電極區118。在所示出的實施例中,第一源極電極區115包括:垂直側壁部分119,分別沿通道層106的垂直表面120以及第一反向內部間隔物104的第一內部間隔物段110的垂直表面121及第一反向內部間隔物104的第二內部間隔物段112的垂直表面122延伸;以及一系列側向延伸部分123,從垂直側壁部分119向內延伸。第一源極電極區115的側向延伸部分123在第一反向內部間隔物104的第一內部間隔物段110與第二內部間隔物段112之間延伸(即,第一源極電極區115的側向延伸部分123在垂直方向上將第一反向內部間隔物104中的每一者的第一內部間隔物段110與第二內部間隔物段112隔開)。在所示出的實施例中,第一源極電極區115還包括沿基底114從垂直側壁部分119向外延伸的水平部分124(即,水平部分124在垂直方向上將第二源極電極區116與基底114隔開)。
在所示出的實施例中,第一汲極電極區117包括:垂直側壁部分125,分別沿通道層106的垂直表面126以及第二反向內部間隔物105的第一內部間隔物段111的垂直表面127及第二反向內部間隔物105的第二內部間隔物段113的垂直表面128延伸;以及一系列側向延伸部分129,從垂直側壁部分125向內延伸。第一汲極電極區117的側向延伸部分129在第二反向內部間隔物105的第一內部間隔物段111與第二內部間隔物段113之間延伸(即,第一汲極電極區117的側向延伸部分129在垂直方向上將第二反向內部間隔物105中的每一者的第一內部間隔物段111與第二內部間隔物段113隔開)。在所示出的實施例中,第一汲極電極區117還包括沿基底114從垂直側壁部分125向外延伸的水平部分130(即,水平部分130在垂直方向上將第二汲極電極區118與基底114隔開)。在一個或多個實施例中,第一源極電極區115及第一汲極電極區117可各自包含未經摻雜的Si,且第二源極電極區116及第二汲極電極區118可各自包含經摻雜的Si。在一個或多個實施例中,第一源極電極區115及第一汲極電極區117可由與通道層106相同的材料形成(例如,第一源極電極區115及第一汲極電極區117可由未經摻雜的Si形成)。
在一個或多個實施例中,側向延伸部分123、129中的每一者的側向長度L(例如,水平長度)可為近似2 nm到近似10 nm(例如,近似4 nm到近似8 nm)。另外,側向延伸部分123、129中的每一者的垂直高度(即,厚度)H可為近似2 nm到近似6 nm(例如,近似2 nm到近似4 nm)。
在一個或多個實施例中,閘極環繞奈米片場效應電晶體100可包括由磊晶源極-汲極材料形成的一個或多個附加層。在一個或多個實施例中,閘極環繞奈米片場效應電晶體100可為nMOS FET及/或pMOS FET。在其中閘極環繞奈米片場效應電晶體100是nMOS場效應電晶體的一個或多個實施例中,由磊晶源極-汲極材料形成的所述一個或多個附加層可包含Si、SiP、SiCP、Si3 P4 或其組合,且由磊晶源極-汲極材料形成的所述一個或多個附加層可對通道層106賦予拉伸應變(tensile strain)分量。在一個或多個實施例中,由磊晶源極-汲極材料形成的所述一個或多個附加層可由Si或SiGe形成,且由磊晶源極-汲極材料形成的所述一個或多個附加層的至少一部分可具有比通道層106的Ge%大的Ge%,以使得由磊晶源極-汲極材料形成的所述一個或多個附加層可對通道層106賦予壓縮應變(compressive strain)分量。在一個或多個實施例中,源極電極101及汲極電極102中的每一者的至少一部分用作在通道層106中賦予應變的應力源。
圖2A至圖2H繪示根據本公開一個實施例的形成閘極環繞(GAA)奈米片場效應電晶體(FET)裝置200(參見圖2H)的方法的任務。如圖2A所示,根據一個實施例的形成閘極環繞奈米片場效應電晶體200(參見圖2H)的方法包括在下伏層或基底202上形成或獲得初始堆疊201。在一個或多個實施例中,基底202可為體矽基底(bulk Si substrate)、應變馳豫緩衝物(strain relaxed buffer,SRB)或絕緣體上矽(silicon on insulator,SOI)基底。在所示出的實施例,初始堆疊201包括由非均勻犧牲區203與通道層204形成的交替排列。在所示出的實施例中,最下部非均勻犧牲區203直接位於基底202上。在一個或多個實施例中,通道層204可由矽(Si)形成。
在所示出的實施例中,非均勻犧牲區203中的每一者包括上部犧牲層205、下部犧牲層206及位於上部犧牲層205與下部犧牲層206之間的中間犧牲層207。上部犧牲層205及下部犧牲層206被配置成以第一蝕刻速率進行蝕刻,且中間犧牲層207被配置成以大於所述第一蝕刻速率的第二蝕刻速率進行蝕刻。在一個或多個實施例中,上部犧牲層205、中間犧牲層206及下部犧牲層207可各自包含矽鍺(SiGe)。另外,在一個或多個實施例中,可通過使中間犧牲層207的SiGe中的Ge%比上部犧牲層205及下部犧牲層206中的每一者的SiGe中的Ge%高來使得與上部犧牲層205及下部犧牲層206相比中間犧牲層207的蝕刻速率更大。在一個或多個實施例中,中間犧牲層207可包含Ge%大於近似40原子百分比(40 at%)(例如,大於近似50 at%)的SiGe,且上部犧牲層205及下部犧牲層206可各自包含Ge%小於近似35 at%(例如,小於近似30 at%)的SiGe。在一個或多個實施例中,中間犧牲層207可比上部犧牲層205及下部犧牲層206中的每一者薄。在一個實施例中,每一個非均勻犧牲區203的中間犧牲層207可具有近似1 nm到近似5 nm(例如,近似2 nm到近似3 nm)的厚度,且每一個非均勻犧牲區203的上部犧牲層205及下部犧牲層206中的每一者可具有近似3 nm到近似7 nm(例如,近似3 nm到近似5 nm)的厚度。
在一個或多個實施例中,相鄰的通道層204之間以及基底202與最下部通道層204之間的垂直間距(VSP)(例如,非均勻犧牲區203的厚度)為近似8 nm到近似20 nm(例如,近似9 nm到近似14 nm)。在一個或多個實施例中,初始堆疊201中的通道層204的數目可為兩個通道層204到六個通道層204(例如,兩個通道層204到四個通道層204)。在一個或多個實施例中,通道層204可具有近似6 nm到近似60 nm的寬度。在一個或多個實施例中,通道層204可各自具有近似3 nm到近似8 nm(例如,近似4 nm到近似7 nm)的厚度。
儘管在所示出的實施例中,初始堆疊201包括三個非均勻犧牲區203及三個通道層204,然而在一個或多個實施例中,初始堆疊201可根據閘極環繞奈米片場效應電晶體200的期望大小而包括任何其他合適數目的非均勻犧牲區203及通道層204。
繼續參照圖2A所示實施例,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法包括以下任務:在初始堆疊201上形成虛設閘極堆疊208及外部間隔物209(例如,在初始堆疊201的最上部通道層204上形成虛設閘極堆疊208以及位於虛設閘極堆疊208的相對兩側上的一對外部間隔物209)。虛設閘極堆疊208及外部間隔物209可通過在所屬領域中已知的或今後將開發的任何製造技術或製程來形成。
現參照圖2B,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法包括以下任務:對初始堆疊201的一些部分進行深度蝕刻(例如,進行蝕刻以移除非均勻犧牲區203及通道層204的一些部分)以分別形成用於源極電極及汲極電極的電極凹槽210、211。在所示出的實施例中,初始堆疊201的被蝕刻掉的一些部分在側向上位於沉積在初始堆疊201的頂部上的外部間隔物209的外部,外部間隔物209是如以上參照圖2A所示任務所闡述來形成的。儘管在所示出的實施例中,電極凹槽210、211在側向上與外部間隔物209的邊緣對準,然而在一個或多個實施例中,電極凹槽210、211可不在側向上與外部間隔物209的邊緣對準。舉例來說,在一個或多個實施例中,電極凹槽210、211可在外部間隔物209的至少一部分之下延伸。在對初始堆疊201進行深度蝕刻的任務之後,位於虛設閘極堆疊208及外部間隔物209之下的非均勻犧牲區203及通道層204的其餘部分以堆疊方式交替地排列。
現參照圖2C,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法包括以下任務:對非均勻犧牲區203中的每一者的面對電極凹槽210、211的相對兩側進行側向蝕刻(例如,對非均勻犧牲區203中的每一者執行側向回蝕(etch-back))。在對非均勻犧牲區203進行側向蝕刻的任務期間,由於中間犧牲層207與上部犧牲層205及下部犧牲層206相比蝕刻速率較大(例如,由於中間犧牲層207的SiGe中Ge%與上部犧牲層205及下部犧牲層206的SiGe中的Ge含量相比較大),因此中間犧牲層207的相對兩側被移除到比上部犧牲層205及下部犧牲層206的相對兩側更大的程度。另外,在對非均勻犧牲區203進行側向蝕刻期間,不會蝕刻或實質上不會蝕刻通道層204(例如,通道層204在對非均勻犧牲區203進行側向蝕刻期間保持完好無損)。在對非均勻犧牲區203進行蝕刻的任務之後,通道層204以及上部犧牲層205及下部犧牲層206延伸超出中間犧牲層207(例如,懸突於中間犧牲層207上)。因此,對於每一個非均勻犧牲區203來說,在對非均勻犧牲區203進行蝕刻的任務之後,在上部犧牲層205與下部犧牲層206之間在中間犧牲層207的相對兩側處形成一對中間側向空腔212、213(即,中間側向凹槽)。在所示出的實施例中,中間側向空腔212、213分別與電極凹槽210、211連通。非均勻犧牲區203的中間犧牲層207可凹陷到任何合適的深度。舉例來說,在一個或多個實施例中,非均勻犧牲區203的中間犧牲層207的相對兩端可各自凹陷近似2 nm到近似10 nm(例如,近似4 nm到近似8 nm)。
現參照圖2D,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法包括以下任務:在如以上參照圖2B所示任務所闡述的對初始堆疊201的一些部分進行深度蝕刻的任務期間形成的電極凹槽210、211中分別磊晶再生長源極電極214及汲極電極215。在所示出的實施例中,磊晶再生長源極電極214及汲極電極215的任務包括分別磊晶再生長源極電極214及汲極電極215中的每一者的第一部分216、217的任務。在此任務期間,源極電極214的第一部分216及汲極電極215的第一部分217分別填充或實質上填充在如以上參照圖2C所闡述對非均勻犧牲區203進行側向蝕刻的任務期間在非均勻犧牲區203中的每一者中形成的中間側向空腔212、213。另外,在此任務期間,源極電極214的第一部分216及汲極電極215的第一部分217沿上部犧牲層205及下部犧牲層206以及通道層204的面對電極凹槽210、211的垂直側表面218、219、220(例如,垂直側壁)形成。在此任務期間,源極電極214的第一部分216及汲極電極215的第一部分217還可沿基底202形成。也就是說,在磊晶再生長源極電極214及汲極電極215的任務期間,從包括以下在內的多個表面生長源極電極214的第一部分216及汲極電極215的第一部分217:上部犧牲層205及下部犧牲層206以及通道層204的面對電極凹槽210、211的垂直側表面218、219、220;基底202的分別位於電極凹槽210、211的底部處的暴露出的表面221、222;以及上部犧牲層205及下部犧牲層206以及中間犧牲層207的面對中間側向空腔212、213的表面。因此,在此任務期間形成的源極電極214的第一部分216及汲極電極215的第一部分217各自包括:垂直側壁部分223、224,分別沿上部犧牲層205及下部犧牲層206以及通道層204的面對電極凹槽210、211的垂直側表面218、219、220延伸;水平部分225、226,分別沿基底202從垂直側壁部分223、224向外延伸;以及一系列側向延伸部分227、228,分別從各個垂直側壁部分223、224向內延伸到在非均勻犧牲區203中的每一者中形成的各個中間側向空腔212、213中。
側向延伸部分227、228具有與在以上參照圖2C所闡述的對非均勻犧牲區203進行側向蝕刻的任務期間中間犧牲層207被蝕刻到的深度對應或實質上對應的側向長度。在一個或多個實施例中,側向延伸部分227、228中的每一者的側向長度可為近似2 nm到近似10 nm(例如,近似4 nm到近似8 nm)。另外,側向延伸部分227、228具有與中間犧牲層207的厚度對應或實質上對應的垂直高度(即,厚度)。在一個或多個實施例中,側向延伸部分227、228中的每一者的厚度可為近似2 nm到近似6 nm(例如,近似2 nm到近似4 nm)。在一個或多個實施例中,源極電極214的第一部分216及汲極電極215的第一部分217可由與通道層204相同的材料形成(例如,源極電極214的第一部分216及汲極電極215的第一部分217可由未經摻雜的Si形成)。在一個或多個實施例中,源極電極214的第一部分216及汲極電極215的第一部分217可由Si形成,實質上由Si形成,或由比非均勻犧牲區203的犧牲層205、206、207高的Si%形成。
繼續參照圖2D所示實施例,所述方法還包括以下任務:在磊晶再生長源極電極214的第一部分216及汲極電極215的第一部分217的任務之後分別對源極電極214及汲極電極215中的每一者磊晶再生長第二部分229、230。
在一個或多個實施例中,閘極環繞奈米片場效應電晶體200可為nMOS FET及/或pMOS FET。在其中閘極環繞奈米片場效應電晶體200是nMOS FET的一個或多個實施例中,磊晶再生長源極電極214及汲極電極215中的每一者的第二部分229、230的任務可包含Si、SiP、SiCP、Si3 P4 或其組合,且第二部分229、230可對通道層204賦予拉伸應變的分量。在其中閘極環繞奈米片場效應電晶體200是pMOS FET的一個或多個實施例中,磊晶再生長源極電極214及汲極電極215中的每一者的第二部分229、230的任務可包含Si或SiGe,且第二部分229、230的至少一部分可具有比通道層204的Ge%大的Ge%,以使得第二部分229、230對通道層204賦予壓縮應變分量。在一個或多個實施例中,源極電極214及汲極電極215中的每一者的至少一部分用作在通道層204中賦予應變的應力源。
現參照圖2E所示實施例,所述方法包括以下任務:在源極電極214及汲極電極215上沉積層間介電質(interlayer dielectric,ILD)231;對虛設閘極堆疊208的頂部執行化學機械平坦化(chemical mechanical planarization,CMP);以及接著移除虛設閘極堆疊208。繼續參照圖2E,所述方法還包括以下任務:通過相對於通道層204以及源極電極214的第一部分216及汲極電極215的第一部分217選擇性地進行濕法蝕刻或乾法蝕刻(例如,相對於Si通道層204以及源極電極214的第一部分216及汲極電極215的第一部分217選擇性地蝕刻)來移除非均勻犧牲區203的其餘部分(即,移除每一個非均勻犧牲區203的上部犧牲層205的其餘部分、中間犧牲層207的其餘部分及下部犧牲層206的其餘部分)。在一個或多個實施例中,對非均勻犧牲區203的選擇性蝕刻將不蝕刻到通道層204或源極電極214的第一部分216以及汲極電極215的第一部分217中,這是因為這些區是由Si形成的。在移除非均勻犧牲區203的其餘部分的任務之後,分別在源極電極214的第一部分216及汲極電極215的第一部分217的側向延伸部分227、228上方及下方形成一對上部凹槽及下部凹槽232、233以及234、235(即,在兩個相鄰的通道層204之間或最下部通道層204與基底202之間界定的每一個區包括位於源極電極214的側向延伸部分227中的一者上方及下方的左邊一對上部凹槽232及下部凹槽233以及位於汲極電極215的側向延伸部分228中的一者上方及下方的右邊一對上部凹槽234及下部凹槽235)。
現參照圖2F所示實施例,所述方法包括以下任務:形成(例如,沉積)介電材料236以填充或實質上填充在如以上參照圖2E所闡述的移除非均勻犧牲區203的其餘部分的任務之後形成的上部凹槽232、234及下部凹槽233、235中的每一者。介電材料236可為K值介於近似2到近似8範圍內(例如,近似3到近似5)的氧化物材料或氮化物材料。在一個實施例中,介電材料236是K值小於4的氧化物,例如氧化矽。在所示出的實施例中,在上部凹槽232、234及下部凹槽233、235中沉積介電材料236的任務之後,留下未被填充的間隙237(例如,不含有介電材料236的空隙或空腔237)。在所示出的實施例中,未被填充的間隙237在側向上界定在側向延伸部分227的最內端部與側向延伸部分228的最內端部之間且在垂直方向上界定在相鄰的通道層204之間(或最下部通道層204與基底202之間)。在所示出的實施例中,在沉積介電材料236的任務期間,沿通道層204及基底202在水平方向上形成介電材料236的薄層238以使得未被填充的間隙237不會在垂直方向上一直延伸到通道層204或基底202。另外,在所示出的實施例中,在沉積介電材料236的任務期間,沿側向延伸部分227、228的最內端部在垂直方向上形成介電材料236的薄層239以使得未被填充的間隙237不會在水平方向上一直延伸到側向延伸部分227、228的最內端部。同時,介電材料236的薄水平層238及薄垂直層239圍繞未被填充的間隙237中的每一者形成畫框(picture frame)。
現參照圖2G,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法包括以下任務:在如以上參照圖2E所闡述的移除非均勻犧牲區203的其餘部分的任務之後形成的上部凹槽232、234及下部凹槽233、235中形成反向內部間隔物240、241。在所示出的實施例中,反向內部間隔物240、241是通過移除介電材料236的未形成在上部凹槽232、234及下部凹槽233、235中的所有部分或實質上所有部分來形成(即,反向內部間隔物240、241是由介電材料的形成在(沉積在)上部凹槽232、234及下部凹槽233、235中的一些部分形成)。在所示出的實施例中,移除介電材料236的一些部分的此任務將沿通道層204及基底202水平延伸的介電材料236的薄層238以及沿側向延伸部分227、228的最內端部垂直延伸的介電材料236的薄層239移除(即,此任務將圍繞未被填充的間隙237中的每一者的畫框移除)。通過移除介電材料236的這些部分238、239,會形成一系列閘極堆疊空腔242,所述一系列閘極堆疊空腔242在側向上界定在側向延伸部分227的最內端部與側向延伸部分228的最內端部之間且在垂直方向上界定在相鄰的通道層204之間(或最下部通道層204與基底202之間)。因此,在移除介電材料236的部分238、239的此任務之後,介電材料236僅留在(或實質上僅留在)界定在源極電極214的側向延伸部分227及汲極電極215的側向延伸部分228中的每一者上方及下方的上部凹槽232、234及下部凹槽233、235中。在所示出的實施例中,反向內部間隔物240、241中的每一者分別包括上部內部間隔物段243、244及下部內部間隔物段245、246,其中下部內部間隔物段245、246通過源極電極214的側向延伸部分227及汲極電極215的側向延伸部分228中的一者在垂直方向上與各個上部內部間隔物段243、244間隔開。
上部內部間隔物段243、244及下部內部間隔物段245、246中的每一者具有小於或等於源極電極214及汲極電極215的對應的側向延伸部分227、228的側向長度的側向長度。在一個或多個實施例中,上部內部間隔物段243、244及下部內部間隔物段245、246中的每一者的側向長度可為近似2 nm到近似10 nm(例如,近似4 nm到近似8 nm)。另外,每一個反向內部間隔物240、241的上部內部間隔物段243、244及下部內部間隔物段245、246在垂直方向上隔開等於或實質上等於對應的側向延伸部分227、228的厚度的距離。在一個或多個實施例中,每一個反向內部間隔物240、241的上部內部間隔物段243、244及下部內部間隔物段245、246垂直隔開的距離可為近似2 nm到近似6 nm(例如,近似2 nm到近似4 nm)。在一個或多個實施例中,所述方法可包括使反向內部間隔物240、241緻密化(densification)(即,使反向內部間隔物240、241的上部內部間隔物段243、244及下部內部間隔物段245、246緻密化)的一個或多個任務。使反向內部間隔物240、241緻密化的所述一個或多個任務可包括任何合適的一種或多種製程,例如(舉例來說)熱製程。
現參照圖2H,根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體200的方法還包括以下任務:在閘極堆疊空腔242中的每一者中形成或沉積閘極堆疊247,閘極堆疊247包括金屬閘極電極248及閘極介電質249(即,閘極介電層或閘極介電層的堆疊),例如高介電常數閘極氧化物層及介面層。在所示出的實施例中,每一個閘極堆疊247的金屬閘極電極248可與對應的閘極介電質249相鄰或實質上相鄰。閘極堆疊247在反向內部間隔物240、241與側向延伸部分227、228之間在側向上延伸,側向延伸部分227、228將每一個反相內部間隔物240、241的上部內部間隔物段243、244與下部內部間隔物段245、246在垂直方向上隔開。閘極堆疊247在相鄰的通道層204之間或最下部通道層204與基底202之間在垂直方向上延伸。形成或沉積包括金屬閘極電極248及閘極介電質249的閘極堆疊247的任務可由現在已知或今後將開發的任何適合的替換金屬閘極(RMG)製程來執行。在形成閘極堆疊247的任務之後,反向內部間隔物240、241將金屬閘極電極248從源極電極214及汲極電極215隔開,從而形成較低的閘極-汲極電容(Cgd)。
所述方法還包括完成通過所屬領域中已知的任務形成閘極環繞奈米片場效應電晶體200以及包括一個或多個閘極環繞奈米片場效應電晶體200的電路,所述形成包括用於使閘極金屬僅位於被移除的虛設閘極區中的化學機械平坦化任務、之後進行的接觸件形成任務以及後道製程(back-end-of-line,BEOL)形成的任務。另外,在一個或多個實施例中,所述方法可包括與根據上述本公開的任務形成的閘極環繞奈米片場效應電晶體200在同一晶片/電路上形成局部閘極環繞(GAA)場效應電晶體、傳統的全閘極環繞場效應電晶體、及/或傳統的鰭型場效應電晶體(Fin-type field effect transistor,finFET)。
100‧‧‧閘極環繞奈米片場效應電晶體
101、214‧‧‧源極電極
102、215‧‧‧汲極電極
103、247‧‧‧閘極堆疊
104、105‧‧‧反向內部間隔物
106‧‧‧溝道層
107、248‧‧‧金屬閘極電極
108、249‧‧‧閘極介電質
109、209‧‧‧外部間隔物
110、111‧‧‧第一內部間隔物段
112、113‧‧‧第二內部間隔物段
114、202‧‧‧基底
115‧‧‧第一源極電極區
116‧‧‧第二源極電極區
117‧‧‧第一汲極電極區
118‧‧‧第二汲極電極區
119、125、223、224‧‧‧垂直側壁部分
120、121、122、126、127、128‧‧‧垂直表面
123、129、227、228‧‧‧側向延伸部分
124、130、225、226‧‧‧水平部分
200‧‧‧閘極環繞奈米片場效應電晶體
201‧‧‧初始堆疊
203‧‧‧非均勻犧牲區
204‧‧‧溝道層
205、206、207‧‧‧上部犧牲層/犧牲層
208‧‧‧虛設閘極堆疊
210、211‧‧‧電極凹槽
212、213‧‧‧中間側向空腔
216、217‧‧‧第一部分
218、219、220‧‧‧垂直側表面
221、222‧‧‧暴露出的表面
229、230‧‧‧第二部分
231‧‧‧層間介電質
232、234‧‧‧上部凹槽
233、235‧‧‧下部凹槽
236‧‧‧介電材料
237‧‧‧間隙
238、239‧‧‧層
240、241‧‧‧反向內部間隔物
242‧‧‧閘極堆疊空腔
243、244‧‧‧上部內部間隔物段
245、246‧‧‧下部內部間隔物段
H‧‧‧垂直高度
L‧‧‧側向長度
VSP‧‧‧垂直間距
W‧‧‧寬度
當結合以下圖式加以考慮時,通過參照以下詳細說明,本公開實施例的這些及其他特徵及優點將變得更顯而易見。在圖式中,在所有圖中使用相同的參考編號來參考相同的特徵及元件。各個圖未必按比例繪製。 圖1是根據本公開一個實施例的閘極環繞(GAA)奈米片(NS)場效應電晶體(FET)的示意性剖視圖。 圖2A至圖2H繪示根據本公開一個實施例的形成閘極環繞奈米片場效應電晶體的方法的任務。

Claims (20)

  1. 一種製造閘極環繞奈米片場效應電晶體的方法,包括: 在基底上形成堆疊,所述堆疊包括由通道層與非均勻犧牲區形成的交替排列, 其中所述非均勻犧牲區中的每一者包括上部犧牲層、中間犧牲層及下部犧牲層,且 其中所述上部犧牲層及所述下部犧牲層被配置成以第一蝕刻速率進行蝕刻,且所述中間犧牲層被配置成以大於所述第一蝕刻速率的第二蝕刻速率進行蝕刻。
  2. 如申請專利範圍第1項所述的製造閘極環繞奈米片場效應電晶體的方法,還包括: 對所述堆疊進行蝕刻,以形成用於源極電極及汲極電極的電極凹槽;以及 對所述非均勻犧牲區執行側向蝕刻,所述側向蝕刻將所述非均勻犧牲區中的每一者的所述中間犧牲層蝕刻到比所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層更大的程度,以在所述非均勻犧牲區中的每一者的所述上部犧牲層與所述下部犧牲層之間形成一對凹槽。
  3. 如申請專利範圍第2項所述的製造閘極環繞奈米片場效應電晶體的方法,還包括: 磊晶沉積源極電極的第一部分及汲極電極的第一部分,所述源極電極及所述汲極電極中的每一者的所述第一部分包括側向延伸部分及垂直側壁部分,所述側向延伸部分至少局部地填充在所述上部犧牲層與所述下部犧牲層之間的所述凹槽中的一者中,所述垂直側壁部分沿所述電極凹槽中的一者的側壁延伸; 在所述電極凹槽中磊晶沉積所述源極電極的第二部分及所述汲極電極的第二部分; 移除所述非均勻犧牲區的其餘部分,移除所述其餘部分會在所述側向延伸部分中的每一者的相對兩側上形成上部凹槽及下部凹槽; 通過在所述上部凹槽及所述下部凹槽中的每一者中沉積介電材料來形成反向內部間隔物;以及 在所述通道層中的兩個相鄰的通道層與所述反向內部間隔物中的兩個對應的反向內部間隔物之間形成多個閘極堆疊。
  4. 如申請專利範圍第3項所述的製造閘極環繞奈米片場效應電晶體的方法,其中在沉積所述介電材料以形成所述反向內部間隔物之後留下未被填充的間隙,且其中形成所述反向內部間隔物還包括移除位於所述上部凹槽及所述下部凹槽外的所述介電材料的部分。
  5. 如申請專利範圍第3項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述介電材料包括氧化物及氮化物中的至少一種。
  6. 如申請專利範圍第1項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述通道層中的每一者包含Si。
  7. 如申請專利範圍第6項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述上部犧牲層、所述中間犧牲層及所述下部犧牲層各自包含SiGe,且其中所述中間犧牲層的SiGe的Ge%大於所述上部犧牲層及所述下部犧牲層中的每一者的SiGe的Ge%。
  8. 如申請專利範圍第6項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述中間犧牲層的Ge%大於40%,且所述上部犧牲層及所述下部犧牲層中的每一者的Ge%小於35%。
  9. 如申請專利範圍第1項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度比所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層中的每一者的厚度薄。
  10. 如申請專利範圍第1項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度為1 nm到5 nm,且其中所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層的厚度為3 nm到7 nm。
  11. 如申請專利範圍第1項所述的製造閘極環繞奈米片場效應電晶體的方法,其中所述非均勻犧牲區中的每一者的所述中間犧牲層的厚度為2 nm到3 nm,且其中所述非均勻犧牲區中的每一者的所述上部犧牲層及所述下部犧牲層的厚度為3 nm到5 nm。
  12. 一種閘極環繞奈米片場效應電晶體,包括: 源極電極; 汲極電極; 多個通道區,在所述源極電極與所述汲極電極之間延伸; 閘極堆疊,位於所述源極電極與所述汲極電極之間以及所述多個通道區中的兩個相鄰的通道區之間;以及 一對反向內部間隔物,位於所述兩個相鄰的通道區之間, 其中所述源極電極及所述汲極電極各自包括第一部分及第二部分,所述第一部分包括垂直側壁部分及在所述兩個相鄰的通道區之間從所述垂直側壁部分延伸的側向延伸部分, 其中所述一對反向內部間隔物的每一個反向內部間隔物包括第一內部間隔物段及第二內部間隔物段,所述第二內部間隔物段在垂直方向上通過所述源極電極的所述側向延伸部分或所述汲極電極的所述側向延伸部分中的一者而與所述第一內部間隔物段間隔開,且 其中所述反向內部間隔物中的每一者的所述第一內部間隔物段及所述第二內部間隔物段與所述源極電極的所述第一部分及所述汲極電極的所述第一部分中的一者的所述垂直側壁部分相鄰。
  13. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述源極電極及所述汲極電極中的每一者包含實質上不含有缺陷的晶體材料。
  14. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述源極電極的所述第一部分及所述汲極電極的所述第一部分包含未經摻雜的Si。
  15. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述源極電極的所述第二部分及所述汲極電極的所述第二部分包含經摻雜的Si。
  16. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述側向延伸部分具有2 nm到10 nm的側向長度及2 nm到6 nm的高度。
  17. 如申請專利範圍第16項所述的製造閘極環繞奈米片場效應電晶體,其中所述第一內部間隔物段及所述第二內部間隔物段中的每一者具有小於或實質上等於所述側向延伸部分的側向長度的側向長度,且其中所述反向內部間隔物中的每一者的所述第一內部間隔物段與所述第二內部間隔物段之間的垂直間距實質上等於所述側向延伸部分的高度。
  18. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述反向內部間隔物中的每一者包含選自由氧化物材料及氮化物材料組成的材料群組的介電材料,且其中所述介電材料具有介於2到8之間的K值。
  19. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中 所述兩個相鄰的通道區之間的垂直間距為8 nm到20 nm, 所述兩個相鄰的通道區中的每一者的寬度為6 nm到60 nm,且 所述兩個相鄰的通道區中的每一者的厚度為3 nm到8 nm。
  20. 如申請專利範圍第12項所述的製造閘極環繞奈米片場效應電晶體,其中所述閘極環繞奈米片場效應電晶體選自由nMOS FET、pMOS FET或其組合組成的群組。
TW107106646A 2017-05-08 2018-02-27 閘極環繞奈米片場效應電晶體及其製造方法 TWI701723B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762503275P 2017-05-08 2017-05-08
US62/503,275 2017-05-08
US15/683,304 US10008583B1 (en) 2017-05-08 2017-08-22 Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US15/683,304 2017-08-22

Publications (2)

Publication Number Publication Date
TW201907453A true TW201907453A (zh) 2019-02-16
TWI701723B TWI701723B (zh) 2020-08-11

Family

ID=62623943

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107106646A TWI701723B (zh) 2017-05-08 2018-02-27 閘極環繞奈米片場效應電晶體及其製造方法

Country Status (4)

Country Link
US (1) US10008583B1 (zh)
KR (1) KR102311155B1 (zh)
CN (1) CN108878277B (zh)
TW (1) TWI701723B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI793622B (zh) * 2020-07-16 2023-02-21 台灣積體電路製造股份有限公司 包含有多層罩幕層之半導體裝置的形成方法

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US10546942B2 (en) * 2017-07-25 2020-01-28 International Business Machines Corporation Nanosheet transistor with optimized junction and cladding defectivity control
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10896956B2 (en) * 2017-12-22 2021-01-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Field effect transistor with reduced contact resistance
US10559656B2 (en) * 2018-05-02 2020-02-11 Globalfoundries Inc. Wrap-all-around contact for nanosheet-FET and method of forming same
US10388755B1 (en) * 2018-06-04 2019-08-20 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US10930755B2 (en) * 2018-11-26 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned inner spacer on gate-all-around structure and methods of forming the same
US11101360B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109599335A (zh) * 2018-12-27 2019-04-09 中国科学院微电子研究所 环栅纳米线晶体管及其制备方法
KR20200084532A (ko) 2019-01-03 2020-07-13 삼성전자주식회사 복수의 채널층을 갖는 반도체 소자 및 그 제조 방법
US10991798B2 (en) 2019-01-21 2021-04-27 International Business Machines Corporation Replacement sacrificial nanosheets having improved etch selectivity
CN111490092B (zh) * 2019-01-29 2023-09-12 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US10833168B2 (en) 2019-03-08 2020-11-10 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10916630B2 (en) 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
KR20200136133A (ko) 2019-05-27 2020-12-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11037832B2 (en) 2019-05-29 2021-06-15 International Business Machines Corporation Threshold voltage adjustment by inner spacer material selection
KR20200137405A (ko) 2019-05-30 2020-12-09 삼성전자주식회사 반도체 장치
CN110246806A (zh) * 2019-06-11 2019-09-17 中国科学院微电子研究所 堆叠式环栅纳米片cmos器件结构及其制造方法
US11049933B2 (en) 2019-07-18 2021-06-29 International Business Machines Corporation Creation of stress in the channel of a nanosheet transistor
US11081568B2 (en) 2019-07-22 2021-08-03 International Business Machines Corporation Protective bilayer inner spacer for nanosheet devices
KR20210018573A (ko) 2019-08-05 2021-02-18 삼성전자주식회사 활성 영역 및 게이트 구조물을 갖는 반도체 소자
US11139372B2 (en) 2019-08-07 2021-10-05 International Business Machines Corporation Dual step etch-back inner spacer formation
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11495540B2 (en) * 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
US11121218B2 (en) 2019-11-14 2021-09-14 International Business Machines Corporation Gate-all-around transistor structure
US11133221B2 (en) * 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11251280B2 (en) 2019-12-17 2022-02-15 International Business Machines Corporation Strained nanowire transistor with embedded epi
US11183561B2 (en) * 2020-01-07 2021-11-23 International Business Machines Corporation Nanosheet transistor with inner spacers
US11164958B2 (en) 2020-01-27 2021-11-02 International Business Machines Corporation Nanosheet transistor having a strained channel with strain-preserving multi-segmented source/drain regions
US11495682B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11581414B2 (en) * 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
CN114093943A (zh) * 2020-08-24 2022-02-25 中国科学院微电子研究所 一种半导体器件及其制造方法
US11469326B2 (en) * 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
KR102395096B1 (ko) * 2020-11-30 2022-05-10 (재)한국나노기술원 수평 에피택시 성장을 이용하여 수직으로 적층된 나노와이어 채널을 갖는 전계효과 트랜지스터 제조방법
US11735628B2 (en) 2021-03-01 2023-08-22 International Business Machines Corporation Nanosheet metal-oxide semiconductor field effect transistor with asymmetric threshold voltage
US11664422B2 (en) 2021-06-14 2023-05-30 International Business Machines Corporation Nanosheet transistor with ultra low-k spacer and improved patterning robustness
TW202338997A (zh) * 2022-03-29 2023-10-01 南韓商Hpsp股份有限公司 半導體器件及半導體器件的製造方法
KR20240001541A (ko) 2022-06-27 2024-01-03 충북대학교 산학협력단 소비전력 감소 및 출력성능 개선을 위한 나노시트 반도체소자 및 그 제조방법

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100630764B1 (ko) * 2005-08-30 2006-10-04 삼성전자주식회사 게이트 올어라운드 반도체소자 및 그 제조방법
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
KR101654443B1 (ko) * 2011-12-23 2016-09-05 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
CN105655334B (zh) * 2011-12-28 2019-01-08 英特尔公司 具有集成的多个栅极电介质晶体管的半导体装置
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
CN104701374B (zh) * 2013-12-10 2017-11-03 中芯国际集成电路制造(上海)有限公司 隧穿场效应晶体管及其形成方法
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
CN106030815B (zh) 2014-03-24 2020-01-21 英特尔公司 制造纳米线器件的内部间隔体的集成方法
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI793622B (zh) * 2020-07-16 2023-02-21 台灣積體電路製造股份有限公司 包含有多層罩幕層之半導體裝置的形成方法
US11855185B2 (en) 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same

Also Published As

Publication number Publication date
US10008583B1 (en) 2018-06-26
CN108878277B (zh) 2023-04-11
CN108878277A (zh) 2018-11-23
KR102311155B1 (ko) 2021-10-12
TWI701723B (zh) 2020-08-11
KR20180123422A (ko) 2018-11-16

Similar Documents

Publication Publication Date Title
TWI701723B (zh) 閘極環繞奈米片場效應電晶體及其製造方法
US10707349B2 (en) FinFETs with source/drain cladding
TWI734831B (zh) 具有堆疊式類奈米線通道的場效電晶體及其製造方法
US9859375B2 (en) Stacked planar double-gate lamellar field-effect transistor
US9263338B2 (en) Semiconductor device including vertically spaced semiconductor channel structures and related methods
US20160225916A1 (en) Stacked nanowire device with variable number of nanowire channels
KR20170109487A (ko) 소오스/드레인 스트레서를 갖는 나노시트 및 나노와이어 장치와 그 제조 방법
TWI782150B (zh) 場效應電晶體、系統晶片以及製造其的方法
CN102810476B (zh) 鳍式场效应晶体管的制造方法
TWI724207B (zh) 半導體裝置及其製程
US9425278B2 (en) Segregated FinFET structure and manufacturing method
US10586852B2 (en) Semiconductor device
US11038039B2 (en) Method of forming a semiconductor device
US9590101B2 (en) FinFET with multiple dislocation planes and method for forming the same
TWI593111B (zh) 半導體裝置
CN106531632B (zh) 堆叠纳米线mos晶体管制作方法
US20170338346A1 (en) Sacrificial epitaxial gate stressors
CN111477548B (zh) 鳍式场效应晶体管的形成方法
TW202349504A (zh) 半導體裝置及其製造方法