US20160225916A1 - Stacked nanowire device with variable number of nanowire channels - Google Patents

Stacked nanowire device with variable number of nanowire channels Download PDF

Info

Publication number
US20160225916A1
US20160225916A1 US15/015,766 US201615015766A US2016225916A1 US 20160225916 A1 US20160225916 A1 US 20160225916A1 US 201615015766 A US201615015766 A US 201615015766A US 2016225916 A1 US2016225916 A1 US 2016225916A1
Authority
US
United States
Prior art keywords
nanowire
nanowire channels
channels
stack
taken along
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/015,766
Inventor
Effendi Leobandung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/015,766 priority Critical patent/US20160225916A1/en
Publication of US20160225916A1 publication Critical patent/US20160225916A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors

Definitions

  • the present invention generally relates to semiconductor device manufacturing, and more particularly to a stacked nanowire device having a varying number of nanowire channels.
  • a nanowire FET includes a source, a drain and one or more nanowire channels between the source and the drain.
  • a gate electrode which wraps around the one or more nanowire channels, regulates electron flow through the nanowire channel between the source and drain.
  • Nanowire channels having one or more nanowire channels stacked vertically may be referred to as a stacked nanowire device or a stacked nanowire FET.
  • a stacked nanowire device may be configured with one or more nanowire channels in one or more layers, each layer having the same number of nanowire channels.
  • a stacked nanowire device may have two layers of six nanowire channels, or a total of twelve nanowire channels.
  • a stacked nanowire device may have four layers of six nanowire channels, and a total of twenty-four nanowire channels.
  • a stacked nanowire device may alternatively be described as having one or more stacks of nanowire channels (hereinafter “nanowire stack”).
  • the number of nanowire stacks corresponds to the number of nanowire channels per layer, as described above.
  • the number of nanowire channels per nanowire stack corresponds to the number of layers, as described above.
  • the current carrying capacity of a nanowire device may be defined and limited by the total number of nanowire channels in a single device.
  • the total number of nanowire channels in a single device is defined by and limited to the number of layers of nanowire channels and the number of nanowire channels in each layer.
  • the total number of nanowire channels may be increased or decreased; however, in devices with more than one nanowire channel per layer, the total number of nanowire channels cannot be increased or decreased by a single nanowire channel. Stated differently, in devices with more than one nanowire channel per layer, the total number of nanowire channels can only be increase or decreased by either, the number of layers, or the number of channels in a nanowire layer.
  • the current carrying capacity of a single nanowire device having a total of twelve nanowire channels, two layers of six nanowire channels, may only be increased or decreased by adding or subtracting either two nanowire channels (e.g. one layer) or by six nanowire channels (e.g. one stack).
  • a method may include forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one or more of the plurality of nanowire channels from an adjacent material stack.
  • a method may include forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and forming a shallow trench isolation regions between the plurality of material stacks such that the plurality of sacrificial spacers remains exposed between the plurality of material stacks.
  • the method may further include removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one of the plurality of nanowire channels from an adjacent material stack, forming a dummy gate over a central portion of each of the plurality of material stacks, and forming a pair of sidewall spacers abutting opposite sides of the dummy gate.
  • the method may further include removing a portion of the plurality of sacrificial spacers not covered by the dummy gate to create one or more openings between the plurality of nanowire channels, depositing a dielectric material in the one or more openings between the plurality of nanowire channels, and forming a source region and a drain region each self-aligned to opposite sidewall spacers, the source region and the drain region being in direct contact with opposite end segments of the plurality of nanowire channels.
  • the method may further include removing the dummy gate to form a trench over the central portion of the plurality of material stacks, removing the plurality of sacrificial spacers exposed in the trench selective to the plurality of nanowire channels, and forming a gate electrode within the trench and all around the plurality of nanowire channels.
  • a structure may include a plurality of vertically stacked and vertically spaced apart nanowire channels located above a substrate, each nanowire channel having two end segments in which one of the end segments is connected to a source region and the other end segment is connected to a drain region, the plurality of vertically stacked and vertically spaced apart nanowire channels are organized in adjacent stacks, and at least one stack of the structure includes a different number of nanowire channels than an adjacent stack, a gate electrode over a central portion of the plurality of vertically stacked and vertically spaced apart nanowire channels, the source region and the drain region is self-aligned with the gate region, and a spacer located between each vertically stacked and vertically spaced apart nanowire channel, the spacer also separating the gate electrode from both the source region and the drain region.
  • FIG. 1 is a cross-sectional view of a structure at an intermediate step of fabrication according to an exemplary embodiment.
  • FIG. 2 is a cross section view of FIG. 1 , taken along section line A-A.
  • FIG. 3 is a cross section view of FIG. 1 , taken along section line B-B.
  • FIG. 4 is a top view of the structure and illustrates selectively removing some of the nano-wires according to an exemplary embodiment.
  • FIG. 5 is a cross section view of FIG. 4 , taken along section line A-A.
  • FIG. 6 is a cross section view of FIG. 4 , taken along section line B-B.
  • FIG. 7 is a cross section view of FIG. 4 , taken along section line B-B, according to an alternative embodiment.
  • FIG. 8 is a top view of the structure and illustrates forming a dummy gate according to an exemplary embodiment.
  • FIG. 9 is a cross section view of FIG. 8 , taken along section line A-A.
  • FIG. 10 is a cross section view of FIG. 8 , taken along section line B-B.
  • FIG. 11 is a cross section view of FIG. 8 , taken along section line C-C.
  • FIG. 12 is a top view of the structure and illustrates forming a pair of sidewall spacers according to an exemplary embodiment.
  • FIG. 13 is a cross section view of FIG. 12 , taken along section line A-A.
  • FIG. 14 is a cross section view of FIG. 12 , taken along section line B-B.
  • FIG. 15 is a cross section view of FIG. 12 , taken along section line C-C.
  • FIG. 16 is a top view of the structure and illustrates the selective removal of a portion of a first sacrificial layer and a portion of a second sacrificial layer according to an exemplary embodiment.
  • FIG. 17 is a cross section view of FIG. 16 , taken along section line A-A.
  • FIG. 18 is a cross section view of FIG. 16 , taken along section line B-B.
  • FIG. 19 is a cross section view of FIG. 16 , taken along section line C-C.
  • FIG. 20 is a cross section view of FIG. 16 , taken along section line D-D.
  • FIG. 21 is a top view of the structure and illustrates the deposition of a dielectric material according to an exemplary embodiment.
  • FIG. 22 is a cross section view of FIG. 21 , taken along section line A-A.
  • FIG. 23 is a cross section view of FIG. 21 , taken along section line B-B.
  • FIG. 24 is a cross section view of FIG. 21 , taken along section line C-C.
  • FIG. 25 is a cross section view of FIG. 21 , taken along section line D-D.
  • FIG. 26 is a top view of the structure and illustrates forming a source-drain region and a gate spacer according to an exemplary embodiment.
  • FIG. 27 is a cross section view of FIG. 26 , taken along section line A-A.
  • FIG. 28 is a cross section view of FIG. 26 , taken along section line B-B.
  • FIG. 29 is a cross section view of FIG. 26 , taken along section line C-C.
  • FIG. 30 is a cross section view of FIG. 26 , taken along section line D-D.
  • FIG. 31 is a top view of the structure and illustrates depositing an inter-level dielectric layer and subsequently removing the dummy gate according to an exemplary embodiment.
  • FIG. 32 is a cross section view of FIG. 31 , taken along section line A-A.
  • FIG. 33 is a cross section view of FIG. 31 , taken along section line B-B.
  • FIG. 34 is a cross section view of FIG. 31 , taken along section line C-C.
  • FIG. 35 is a cross section view of FIG. 31 , taken along section line D-D.
  • FIG. 36 is a top view of the structure and illustrates selective removal of a second portion of the first and second sacrificial layers according to an exemplary embodiment.
  • FIG. 37 is a cross section view of FIG. 36 , taken along section line A-A.
  • FIG. 38 is a cross section view of FIG. 36 , taken along section line B-B.
  • FIG. 39 is a cross section view of FIG. 36 , taken along section line C-C.
  • FIG. 40 is a cross section view of FIG. 36 , taken along section line D-D.
  • FIG. 41 is a top view of the structure and illustrates forming a gate electrode according to an exemplary embodiment.
  • FIG. 42 is a cross section view of FIG. 41 , taken along section line A-A.
  • FIG. 43 is a cross section view of FIG. 41 , taken along section line B-B.
  • FIG. 44 is a cross section view of FIG. 41 , taken along section line C-C.
  • FIG. 45 is a cross section view of FIG. 41 , taken along section line D-D.
  • references in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures.
  • the terms “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element.
  • the term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • One way to fabricate the stacked nanowire device with varying number of nanowire channels may include patterning one or more nanowire stacks, and selectively removing one or more individual nanowire channels from the one or more nanowire stacks.
  • One embodiment by which to fabricate the stacked nanowire device with varying number of nanowire channels is described in detail below by referring to the accompanying drawings FIGS. 1-45 .
  • FIGS. 1, 2, and 3 are a demonstrative illustration of a structure during an intermediate step of a method of fabricating a single stacked nanowire device having a variable number of nanowire channels according to one embodiment. More specifically, the method can begin with patterning one or more stacks of nanowire channels 102 a - 102 f (hereinafter “nanowire stacks”) above a substrate 104 .
  • FIG. 1 illustrates the structure 100 from a top view.
  • FIG. 2 is a cross section view of FIG. 1 taken along section line A-A.
  • FIG. 3 is a cross section view of FIG. 1 taken along section line B-B.
  • FIG. 1 illustrates the structure 100 from a top view.
  • FIG. 2 is a cross section view of FIG. 1 taken along section line A-A.
  • FIG. 3 is a cross section view of FIG. 1 taken along section line B-B.
  • FIG. 2 is a cross sectional view in the center of a single nanowire stack (for example 102 e ), parallel to the length of the nanowire stacks 102 a - 102 f.
  • FIG. 3 is a cross sectional view perpendicular to the nanowire stacks 102 a - 102 f.
  • the substrate 104 may include a bulk semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI), or a SiGe-on-insulator (SGOI).
  • Bulk semiconductor substrate materials may include undoped Si, n-doped Si, p-doped Si, single crystal Si, polycrystalline Si, amorphous Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors.
  • a bulk substrate may be used.
  • a stack of layers may first be formed above the substrate 104 .
  • the stack of layers may include a first semiconductor layer, a first sacrificial layer, a second sacrificial layer, and a second semiconductor layer formed on top of one another and in sequence.
  • the stack of layers may include any number of semiconductor layers.
  • the number of semiconductor layers and sacrificial layers that are formed atop the substrate 104 may vary. It should be noted that the number of semiconductor layers may generally correspond with the number of nanowire channels that may be subsequently formed.
  • the first semiconductor layer and the second semiconductor layer may include any of the semiconducting materials listed above with reference to the substrate 104 .
  • the first semiconductor layer and the second semiconductor layer may be the same material as the substrate 104 .
  • the first sacrificial layer and the second sacrificial layer may include any material that which may be easily removed selective to the substrate 104 , the first semiconductor layer, and the second semiconducting layer.
  • the first and second semiconductor layers may include silicon and the first and second sacrificial layers may include silicon-germanium.
  • Each of the first and second semiconductor layers may be deposited using an epitaxial growth technique. As such, each layer should be single crystalline and have crystalline dimensions close to that of the substrate 104 . Also, each of the first and second sacrificial layers may be deposited using an epitaxial growth technique. As such, each layer should also be single crystalline.
  • the epitaxial growth processes used in forming each semiconductor layer and each sacrificial layer are performed below 800° C., with a temperature of below 650° C. being more preferred.
  • the growth processes can be completed without breaking vacuum between each grown layer, or vacuum can be broken between the growth of each layer to enable extra processing, such as, for example, ex-situ doping of the sacrificial layers for CMOS.
  • the vacuum is not broken during the growth of the alternating layers. Notwithstanding whether the vacuum is broken or non-broken during growth of the alternating layers, a purge step is typically performed between each successive layer formation.
  • the growth pressure employed in forming each of alternating layers should be below 100 torr, with a growth pressure below 50 torr being more preferred.
  • each of the alternating layers may have a height variation that is less than or equal to about 5%. It should be noted that the thickness of each of the first and second sacrificial layers determines the distance that each vertically spaced apart nanowire channel (to be subsequently formed) will be separated by.
  • a first hard mask may be formed atop the uppermost layer of semiconductor material and the nanowire stacks 102 a - 102 f may be patterned into the substrate 104 and the stack of layers using, for example, known photolithography image transfer techniques. Any other method known in the art can be used to form the nanowire stacks 102 a - 102 f. It should be noted that a portion of the substrate 104 may be removed during patterning of the nanowire stacks 102 a - 102 f, as illustrated in the figures. Stated differently, the nanowire stacks 102 a - 102 f may include a portion of the substrate 104 and a portion of the stack of layers.
  • each individual nanowire stack may include a portion of the substrate 104 , a first sacrificial spacer 106 , a first nanowire channel 108 , a second sacrificial spacer 110 , and a second nanowire channel 112 .
  • first and second sacrificial spacers 106 , 110 may be a remaining portion of the first and second sacrificial layers, respectively, and the first and second nanowire channels 108 , 112 may be a remaining portion of the first and second semiconductor layers, respectively.
  • first sacrificial spacer 106 may separate the first nanowire channel 108 from the substrate 104 in each nanowire stack ( 102 a - 102 f ), and the second sacrificial spacer 110 may separate the second nanowire channel 112 from the first nanowire 108 in each nanowire stack ( 102 a - 102 f ).
  • the nanowire stacks 102 a - 102 f may have a width (w) and be spaced by a distance (s), as shown in the figure.
  • the width (w) of the nanowire stacks 102 a - 102 f may range from about 8 nm to about 10 nm, and the nanowire stacks 102 a - 102 f may be spaced by a distance (s) ranging from about 30 nm to about 50 nm, as measured from the edge of one nanowire stack to the edge of another nanowire stack.
  • the first sacrificial spacer 106 and the second sacrificial spacer 110 may have a vertical thickness ranging from about 10 nm to about 20 nm.
  • the thickness of the first and second sacrificial spacers 106 , 110 should be a small as possible while still leaving enough room for two layers of gate dielectric and on layer of gate conductor to fit in the gap formed once the sacrificial spacers 106 , 110 are removed in a subsequent processing step.
  • the first nanowire channel 108 and the second nanowire channel 112 may have a vertical thickness ranging from about 8 nm to about 25 nm.
  • the structure 100 of the present embodiment may include a single nanowire device having six nanowire stacks ( 102 a - 102 f ), and a total of twelve nanowire channels ( 108 , 112 ). It should be noted that the number of nanowire channels formed in each nanowire stack ( 102 a - 102 f ) depends on the number of semiconductor layers originally included in the stack of layers. Also, it should be noted that the maximum number of desired nanowires per device should be contemplated and a corresponding number of semiconductor layers should be included in the stack of layers stack.
  • a dielectric material may be deposited between the fins 102 a - 102 f to form a shallow trench isolator 114 (hereinafter “STI”).
  • the STI 114 may preferably prevent current leakage through the substrate between adjacent nanowire stacks.
  • the STI 114 may be any thickness such that a top surface of the STI 114 is flush with or below a top surface the substrate 104 , as illustrated.
  • the first sacrificial spacer 106 should preferably remain exposed at the sidewalls of the fins 102 a - 102 f.
  • the STI 114 may be made from any conventional dielectric material including, for example, silicon dioxide.
  • the STI 114 may be formed utilizing known deposition processes. For example, a high-density plasma oxide deposition process or deposition utilizing tetraethylorthosilicate (TEOS) can be employed.
  • TEOS tetraethylorthosilicate
  • FIGS. 4, 5, and 6 are a demonstrative illustration of the structure during an intermediate step of the method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include selectively removing some of the nanowire channels from some of the nanowire stacks.
  • FIG. 4 illustrates the structure 100 from a top view.
  • FIG. 5 is a cross section view of FIG. 4 taken along section line A-A.
  • FIG. 6 is a cross section view of FIG. 4 taken along section line B-B.
  • a mask (not shown) may be applied to protect a first region 116 of the structure 100 , and one or more nanowire channels ( 112 ) may be selectively removed from a second region 118 of the structure 100 .
  • the second intermediate spacer 110 and the second nanowire channel 112 may be selectively removed from the nanowire stacks 102 d - 102 f in the second region 118 of the structure 100 . Therefore, the nanowire stacks 102 a - 102 c may each include two nanowire channels, for example the nanowire channels 108 , 112 , and the nanowire stacks 102 d - 102 f may each include one nanowire channel, for example the nanowire channel 108 .
  • any masking and etching technique known in the art may be used to selectively remove one or more of the intermediate spacers and one or more of the nanowire channels.
  • a reactive ion etching technique may be used.
  • the second intermediate spacer 110 and the second nanowire channel 112 may be removed with a similar removal technique in a single processing chamber, but with different etch chemistries.
  • FIG. 7 is a cross section view of FIG. 4 taken along section line B-B, according to an alternative embodiment. More specifically, any number of semiconductor layers may be used to form multiple nanowire stacks each having any number of nanowire channels. In the present embodiment, three semiconductor layers may be used to form three nanowire channels per nanowire stack, for example, the first nanowire channel 108 , the second nanowire channel 112 , and a third nanowire channel 120 . Like above, each nanowire stack ( 102 a - 102 f ) may further include one or more sacrificial spacers, for example the first sacrificial spacer 106 , the second sacrificial spacer 110 , and a third sacrificial spacer 122 . Also like above, the sacrificial spacers ( 106 , 110 , 122 ) may separate each nanowire channel ( 110 , 112 , 120 ) from each other and from the substrate 104 .
  • the substrate 104 may have three designated regions, for example, a first region 116 , a second region 118 , and a third region 124 .
  • the techniques described above with reference to FIG. 4 may be used to selectively remove the third nanowire channel 120 and the third sacrificial spacer 122 from the first region 116 , and to selectively remove the third nanowire channel 120 , the third sacrificial spacer 122 , the second nanowire channel 112 , and the second sacrificial spacer 110 from the second region 118 .
  • the nanowire stacks 102 a - 102 b may be each include three nanowire channels, for example the nanowire channels 108 , 112 , 120 , the nanowire stacks 102 c - 102 d may each include one nanowire channel, for example the nanowire channel 108 , and the nanowire stack 102 e - 102 f may each include two nanowire channels, for example the nanowire channels 108 , 112 .
  • FIGS. 8, 9, 10, and 11 are a demonstrative illustration of the structure during an intermediate step of the method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming a dummy gate 126 above a center portion of the nanowire stacks 102 a - 102 f.
  • FIG. 8 illustrates the structure 100 from a top view.
  • FIG. 9 is a cross section view of FIG. 8 taken along section line A-A.
  • FIG. 10 is a cross section view of FIG. 8 taken along section line B-B.
  • FIG. 11 is a cross section view of FIG. 10 taken along section line C-C.
  • a sacrificial layer may first be deposited above the structure 100 .
  • the sacrificial layer may include any suitable silicon or polysilicon able to be selectively removed.
  • the sacrificial layer may include amorphous silicon.
  • the sacrificial layer may be deposited using typical deposition techniques, for example, atomic layer deposition (ALD), molecular layer deposition (MLD), chemical vapor deposition (CVD), physical vapor deposition (PVD), and spin on techniques.
  • the sacrificial layer may have a vertical thickness, or height, above the STI 114 ranging from about 80 nm to about 150 nm, and ranges there between.
  • the vertical thickness of the sacrificial layer may correspond to the height of the dummy gate 126 , which may be subsequently patterned form the sacrificial layer.
  • a thin layer of dummy oxide (not shown) may be deposited prior to depositing the sacrificial layer.
  • a cap layer may alternatively be fabricated atop the sacrificial layer.
  • the cap layer may include any suitable dielectric material known in the art, for example, a nitride.
  • the cap layer may also be deposited using typical deposition techniques, for example, atomic layer deposition (ALD), molecular layer deposition (MLD), chemical vapor deposition (CVD), physical vapor deposition (PVD), and spin on techniques.
  • the cap layer may include silicon nitride (Si 3 N 4 ) deposited using a chemical vapor deposition technique.
  • the cap layer may have a thickness ranging from about 10 nm to about 50 nm and ranges there between, although a thickness less than 10 nm and greater than 50 nm may be acceptable.
  • the dummy gate 126 may then be patterned from the sacrificial layer by any suitable lithography technique known in the art.
  • the dummy gate 126 may have a width (w 1 ) and a height (h).
  • the width (w 1 ) may range from about 20 nm to about 200 nm, although lesser or greater values may be acceptable.
  • the height (h) may correspond to the thickness of the sacrificial layer. It should be noted that the sacrificial layer, and now the dummy gate 126 covers all three sides of the nanowire stacks 102 a - 102 f.
  • the area of the structure 100 covered by the dummy gate 126 may generally be referred to as a gate region, and the areas of the structure 100 not covered by the dummy gate 126 may generally be referred to as a source-drain region.
  • the location of the dummy gate 126 which is orthogonal to the underlying patterned nanowire stacks 102 a - 102 f, further defines the location of the nanowire channels in the y-direction as well as the location of the gate.
  • FIGS. 12, 13, 14, and 15 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming one or more sidewall spacers 128 (hereinafter “sidewall spacers”) on a sidewall of the dummy gate 126 .
  • FIG. 12 illustrates the structure 100 from a top view.
  • FIG. 13 is a cross section view of FIG. 12 taken along section line A-A.
  • FIG. 14 is a cross section view of FIG. 12 taken along section line B-B.
  • FIG. 15 is a cross section view of FIG. 12 taken along section line C-C.
  • the sidewall spacers 128 may be formed by conformally depositing or growing a blanket dielectric material, followed by an anisotropic etch that removes the dielectric from the horizontal surfaces of the structure 100 as well as the sidewalls of the nanowire stacks 102 a - 102 f while leaving it on the sidewalls of the dummy gate 126 .
  • the sidewall spacers 128 may include any suitable dielectric.
  • the sidewall spacers 128 may include a nitride, an oxynitride, or an oxide.
  • the sidewall spacers 128 may have a horizontal width, or thickness, ranging from about 3 nm to about 30 nm, with 5 nm being most typical.
  • the sidewall spacers 128 may include a similar material as the cap layer above. Typically, the sidewall spacers 128 may include a single layer; however, the sidewall spacers 128 may include multiple layers of dielectric material. It may be noted that the sidewall spacers 128 may generally insulate the gate regions from the source-drain regions. It should be noted that the blanket dielectric layer may preferably be completely removed from above the nanowire stacks 102 a - 102 f, in the source-drain regions. The sidewall spacers 128 reduce parasitic capacitance between the gate and the subsequently formed source-drain regions.
  • FIGS. 16, 17, 18, 19, and 20 a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include removing a first portion of the first and second sacrificial spacers 106 , 110 .
  • FIG. 16 illustrates the structure 100 from a top view.
  • FIG. 17 is a cross section view of FIG. 16 taken along section line A-A.
  • FIG. 18 is a cross section view of FIG. 16 taken along section line B-B.
  • FIG. 19 is a cross section view of FIG. 16 taken along section line C-C.
  • FIG. 20 is a cross section view of FIG. 16 taken along section line D-D.
  • a first portion of the first and second sacrificial spacers 106 , 110 exposed between the nanowire stacks 102 a - 102 f may be removed to create a first opening 130 and a second opening 132 .
  • the first portion of the first and second sacrificial spacers 106 , 110 may be removed selective to the substrate 104 and the first and second nanowire channels 108 , 112 .
  • the first portion of the first and second sacrificial spacers 106 , 110 may include any portion not covered by the dummy gate 126 .
  • an isotropic wet etch may be used to selectively remove the first portion of the first and second sacrificial spacers 106 , 110 .
  • the first portion of the first and second sacrificial spacers 106 , 110 may be selectively removed by chemically utilizing an etchant that exploits the lower oxidation potential of the sacrificial layers compared to the semiconductor layers. Examples of such etchants include, but are not limited to, a 1:2:3 mixture of HF:H 2 O 2 :CH 3 COOH, or a mixture of H 2 SO 4 and H 2 O 2 .
  • the selective removal of the first portion of the first and second sacrificial spacers 106 , 110 can be achieved using a dry etching process such as O 2 plasma etching or plasma chemistries typically used for etching.
  • the first and second openings 130 , 132 may extend beneath the pair of sidewall spacers 128 due to some undercutting during the removal process.
  • the first and second openings 130 , 132 i.e. the undercut
  • the undercut may extend beneath the dummy gate 126 , as illustrated.
  • a second portion of the first and second sacrificial layers may remain beneath the dummy gate 126 or in the gate region of the structure 100 .
  • FIGS. 21, 22, 23, 24, and 25 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include filling the first and second openings 130 , 132 with a dielectric material 134 .
  • FIG. 21 illustrates the structure 100 from a top view.
  • FIG. 22 is a cross section view of FIG. 21 taken along section line A-A.
  • FIG. 23 is a cross section view of FIG. 21 taken along section line B-B.
  • FIG. 24 is a cross section view of FIG. 21 taken along section line C-C.
  • FIG. 25 is a cross section view of FIG. 21 taken along section line D-D.
  • the openings 130 , 132 may be filled with the dielectric material 134 by depositing using any suitable technique known in the art.
  • the dielectric material 134 may optimally fill the first and second openings 130 , 132 .
  • the dielectric material 134 may include a similar material as the sidewall spacers 128 above.
  • the dielectric material 134 may include silicon nitride.
  • the dielectric material 134 may be deposited using and anisotropically etched away from the sidewalls of the nanowire channels 108 , 112 , and from the exposed horizontal surfaces. In one embodiment, the dielectric material 134 may remain on the sidewall of the sidewall spacers 128 .
  • FIGS. 26, 27, 28, 29, and 30 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming source-drain regions 136 , and a gate spacer 138 .
  • FIG. 26 illustrates the structure 100 from a top view.
  • FIG. 27 is a cross section view of FIG. 26 taken along section line A-A.
  • FIG. 28 is a cross section view of FIG. 26 taken along section line B-B.
  • FIG. 29 is a cross section view of FIG. 26 taken along section line C-C.
  • FIG. 30 is a cross section view of FIG. 26 taken along section line D-D.
  • a source-drain opening is defined adjacent to the sidewall spacer 128 by etching the substrate 104 and a portion of the nanowire stacks 102 a - 102 f not covered by the dummy gate 126 or the sidewall spacer 128 . In doing so, a portion of the first and second nanowire channels 108 , 112 not covered by the dummy gate 126 or the sidewall spacer 128 may be removed. Also, a portion of the dielectric material 134 between the substrate 104 and the first nanowire channel 108 , and a portion of the dielectric material 134 between the first and second nanowire channels 108 , 112 may be removed to form a gate spacer 138 .
  • the gate spacer 138 may include any portions of the dielectric material 134 remaining beneath the sidewall spacer 128 and the dummy gate 126 . Therefore, as illustrated, the gate spacer 138 may separate the second portion of the first and second sacrificial spacers 106 , 110 from the subsequently formed source-drain region 136 .
  • a source-drain region 136 may be formed by epitaxially growing a semiconductor material in the source-drain opening.
  • the source-drain region 138 may be in-situ doped with either an n-type dopant or a p-type dopant depending on the desired characteristics of the final structure.
  • the source-drain region 136 may include doped silicon. It should be noted that the source-drain region 136 , of the present embodiment, is in direct contact with the ends of both the first and second nanowire channels 108 , 112 .
  • the source-drain opening may be self-aligned to the sidewall spacer 128 .
  • the gate spacer 138 may generally insulate the subsequently formed gate regions from the source-drain regions 136 .
  • the source-drain regions 136 may be in physical contact, and thus be in electrical contact with, each of the nanowire channels 108 , 112 .
  • each nanowire channel ( 108 , 112 ) and the corresponding source and drain region are of unitary construction and no material interface is located between them.
  • FIGS. 31, 32, 33, 34, and 35 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include depositing an inter-level dielectric 140 followed by removing the dummy gate 126 .
  • FIG. 31 illustrates the structure 100 from a top view.
  • FIG. 32 is a cross section view of FIG. 31 taken along section line A-A.
  • FIG. 33 is a cross section view of FIG. 31 taken along section line B-B.
  • FIG. 34 is a cross section view of FIG. 31 taken along section line C-C.
  • FIG. 35 is a cross section view of FIG. 31 taken along section line D-D.
  • the inter-level dielectric 140 may generally be deposited above the entire structure 100 .
  • the inter-level dielectric 140 may include any suitable dielectric material, for example, silicon oxide (SiO 2 ), silicon nitride (Si 3 N 4 ), hydrogenated silicon carbon oxide (SiCOH), silicon based low-k dielectrics, or porous dielectrics.
  • suitable deposition techniques such as, for example, atomic layer deposition, chemical vapor deposition, plasma enhanced chemical vapor deposition, spin on deposition, or physical vapor deposition may be used to form the inter-level dielectric 140 .
  • a chemical mechanical polishing technique may be applied to remove excess material from a top surface of the structure 100 and expose the dummy gate 126 .
  • the dummy gate 126 may then be substantially removed from the gate region of the structure 100 .
  • the dummy gate 126 may be removed selective to the STI 114 and the nanowire stacks 102 a - 102 f.
  • the chosen inter-level dielectric 140 may be resistant to the etching technique chosen to remove the dummy gate 126 .
  • the selective removal of the dummy gate 126 may be accomplished by using any known etching technique suitable to remove polysilicon selective to silicon oxide or silicon nitride.
  • the dummy gate 126 may be removed using a dry etching technique, for example reactive ion etching. Removal of the dummy gate 126 creates a trench 141 between the sidewall spacers 128 .
  • FIGS. 36, 37, 38, 39, and 40 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include removal of the second portion of the first and second sacrificial spacers 106 , 110 from between the first and second nanowire channels 108 , 112 .
  • FIG. 36 illustrates the structure 100 from a top view.
  • FIG. 37 is a cross section view of FIG. 36 taken along section line A-A.
  • FIG. 38 is a cross section view of FIG. 36 taken along section line B-B.
  • FIG. 39 is a cross section view of FIG. 36 taken along section line C-C.
  • FIG. 40 is a cross section view of FIG. 36 taken along section line D-D.
  • the second portion the first and second sacrificial spacers 106 , 110 may then be substantially removed from between the first and second nanowire channels 108 , 112 in the gate region of the structure 100 .
  • the second portion of the first and second sacrificial spacers 106 , 110 may be removed selective to the first and second nanowire channels 108 , 112 .
  • the selective removal of the second portion of the first and second sacrificial spacers 106 , 110 may be accomplished by using any known etching technique suitable to remove silicon-germanium selective to silicon.
  • the second portion of the first and second sacrificial spacers 106 , 110 may be removed using a, an isotropic wet etch.
  • the second portion of the first and second sacrificial spacers 106 , 110 may be selectively removed by chemically utilizing an etchant that exploits the lower oxidation potential of the sacrificial layers compared to the semiconductor layers, as described above with reference to FIGS. 16, 17, 18, 19, and 20 .
  • FIGS. 41, 42, 43, 44, and 45 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming a gate electrode 142 around the first and second nanowire channels 108 , 112 .
  • FIG. 41 illustrates the structure 100 from a top view.
  • FIG. 42 is a cross section view of FIG. 41 taken along section line A-A.
  • FIG. 43 is a cross section view of FIG. 41 taken along section line B-B.
  • FIG. 44 is a cross section view of FIG. 41 taken along section line C-C.
  • FIG. 45 is a cross section view of FIG. 41 taken along section line D-D.
  • Typical replacement gate fabrication techniques well known in the art may be used to form the gate electrode 142 and complete the formation of the structure 100 .
  • a gate oxide (not shown) may be deposited prior to forming the gate electrode 142 .
  • the gate oxide may include any of the high-k dielectric materials known in the art, for example HfO 2 , and deposited with methods such as atomic layer deposition (ALD), chemical vapor deposition (CVD), or physical vapor deposition (PVD).
  • the gate electrode 142 may include one or more work function metals such as TiN, TaN, or TiC, to achieve the desired device threshold voltage and one or more low resistance metal such as W, Al, or Co.
  • the gate electrode 142 may substantially surround the first and second nanowire channels 108 , 112 exposed after removing the dummy gate 126 in the previous step. More specifically, the gate electrode 142 may be formed between the substrate 104 and the first nanowire channel 108 , and between the first nanowire channel 108 and the second nanowire channel 112 .
  • the structure 100 of the present embodiment may be referred to as a gate-all-around finFET structure because the gate electrode 142 completely surrounds each of the nanowire channels 108 , 112 .
  • the embodiments described above may reduce or eliminate design constraints and improve design flexibility of stacked nanowire devices with respect to their current carrying capacity.
  • the current carrying capacity of a single nanowire device may be defined and limited by the total number of nanowire channels. According to the above description engineers can design a single nanowire device with more flexibility in the current carrying capacities, than previously available. More specifically, the total number of nanowire channels in a single stacked nanowire device may be increased or decreased by a single nanowire channel regardless of the number of layers of nanowire channels or the number of nanowire channels per layer. Alternatively, the total number of nanowire channels in a stacked nanowire device may be increased or decreased by a single nanowire channel regardless of the number of nanowire stacks or the number of nanowire channels per stack.
  • the current carrying capacity of a single nanowire device having a total of twelve nanowire channels, two layers of six nanowire channels, may be increased or decreased by adding or subtracting a single nanowire channel.
  • the techniques described above with reference to the fabrication of the stacked nanowire structure is fully compatible with current silicon-CMOS processing.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)

Abstract

A method of forming a semiconductor structure including forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one or more of the plurality of nanowire channels from an adjacent material stack.

Description

    BACKGROUND
  • The present invention generally relates to semiconductor device manufacturing, and more particularly to a stacked nanowire device having a varying number of nanowire channels.
  • Due to their superior electrostatics gate-all-around nanowire channel field effect transistors (e.g., nanowire FETs) are expected to enable density scaling beyond current planar CMOS technology. In its basic form, a nanowire FET includes a source, a drain and one or more nanowire channels between the source and the drain. A gate electrode, which wraps around the one or more nanowire channels, regulates electron flow through the nanowire channel between the source and drain.
  • As the diameter of nanowire channel(s) is reduced to enable better electrostatics, the current carrying surface (or area, in the case of bulk inversion) of each nanowire channel is reduced as well, meaning that more nanowire channels need to be placed in closer proximity to each other to achieve the same density of the current carrying surface or area. For example, nanowire channels with a diameter of 4 nm would need to be placed at a pitch of 12 nm to yield the same effective width as a planar device with the same layout footprint. One way to increase layout density in the width direction is to stack the nanowire channels vertically, rather than using just one layer of them. This is a solution that is unique to gate-all-around devices such as nanowire FETs. Nanowire devices having one or more nanowire channels stacked vertically may be referred to as a stacked nanowire device or a stacked nanowire FET.
  • A stacked nanowire device may be configured with one or more nanowire channels in one or more layers, each layer having the same number of nanowire channels. For example, a stacked nanowire device may have two layers of six nanowire channels, or a total of twelve nanowire channels. In another example, a stacked nanowire device may have four layers of six nanowire channels, and a total of twenty-four nanowire channels. A stacked nanowire device may alternatively be described as having one or more stacks of nanowire channels (hereinafter “nanowire stack”). In the present example, the number of nanowire stacks corresponds to the number of nanowire channels per layer, as described above. Further, the number of nanowire channels per nanowire stack corresponds to the number of layers, as described above.
  • The current carrying capacity of a nanowire device may be defined and limited by the total number of nanowire channels in a single device. The total number of nanowire channels in a single device is defined by and limited to the number of layers of nanowire channels and the number of nanowire channels in each layer. In order to design nanowire devices with different or varying current carrying capacities, the total number of nanowire channels may be increased or decreased; however, in devices with more than one nanowire channel per layer, the total number of nanowire channels cannot be increased or decreased by a single nanowire channel. Stated differently, in devices with more than one nanowire channel per layer, the total number of nanowire channels can only be increase or decreased by either, the number of layers, or the number of channels in a nanowire layer. For example, the current carrying capacity of a single nanowire device having a total of twelve nanowire channels, two layers of six nanowire channels, may only be increased or decreased by adding or subtracting either two nanowire channels (e.g. one layer) or by six nanowire channels (e.g. one stack).
  • Considerable design constraints exist with respect to the current carrying capacity of a single stacked nanowire device. Such constraints limit the design flexibility of such devices with respect to their current carrying capacity.
  • SUMMARY
  • According to one exemplary embodiment of the present invention, a method is provided. The method may include forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one or more of the plurality of nanowire channels from an adjacent material stack.
  • According to another exemplary embodiment of the present invention, a method is provided. The method may include forming a stack of layers on a top surface of a substrate, the stack of layers including alternating layers of a semiconductor material and a sacrificial material, where a bottommost layer of the stack of layers is a top semiconductor layer of the substrate, patterning a plurality of material stacks from the stack of layers, each material stack including an alternating stack of a plurality of nanowire channels and a plurality of sacrificial spacers, the plurality of nanowire channels including the semiconductor material, and the plurality of sacrificial spacers including the sacrificial material, and forming a shallow trench isolation regions between the plurality of material stacks such that the plurality of sacrificial spacers remains exposed between the plurality of material stacks. The method may further include removing at least one of the plurality of nanowire channels from at least one of the plurality of material stacks without removing one of the plurality of nanowire channels from an adjacent material stack, forming a dummy gate over a central portion of each of the plurality of material stacks, and forming a pair of sidewall spacers abutting opposite sides of the dummy gate. The method may further include removing a portion of the plurality of sacrificial spacers not covered by the dummy gate to create one or more openings between the plurality of nanowire channels, depositing a dielectric material in the one or more openings between the plurality of nanowire channels, and forming a source region and a drain region each self-aligned to opposite sidewall spacers, the source region and the drain region being in direct contact with opposite end segments of the plurality of nanowire channels. The method may further include removing the dummy gate to form a trench over the central portion of the plurality of material stacks, removing the plurality of sacrificial spacers exposed in the trench selective to the plurality of nanowire channels, and forming a gate electrode within the trench and all around the plurality of nanowire channels.
  • According to another exemplary embodiment of the present invention, a structure is provided. The structure may include a plurality of vertically stacked and vertically spaced apart nanowire channels located above a substrate, each nanowire channel having two end segments in which one of the end segments is connected to a source region and the other end segment is connected to a drain region, the plurality of vertically stacked and vertically spaced apart nanowire channels are organized in adjacent stacks, and at least one stack of the structure includes a different number of nanowire channels than an adjacent stack, a gate electrode over a central portion of the plurality of vertically stacked and vertically spaced apart nanowire channels, the source region and the drain region is self-aligned with the gate region, and a spacer located between each vertically stacked and vertically spaced apart nanowire channel, the spacer also separating the gate electrode from both the source region and the drain region.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • The following detailed description, given by way of example and not intended to limit the invention solely thereto, will best be appreciated in conjunction with the accompanying drawings.
  • FIG. 1 is a cross-sectional view of a structure at an intermediate step of fabrication according to an exemplary embodiment.
  • FIG. 2 is a cross section view of FIG. 1, taken along section line A-A.
  • FIG. 3 is a cross section view of FIG. 1, taken along section line B-B.
  • FIG. 4 is a top view of the structure and illustrates selectively removing some of the nano-wires according to an exemplary embodiment.
  • FIG. 5 is a cross section view of FIG. 4, taken along section line A-A.
  • FIG. 6 is a cross section view of FIG. 4, taken along section line B-B.
  • FIG. 7 is a cross section view of FIG. 4, taken along section line B-B, according to an alternative embodiment.
  • FIG. 8 is a top view of the structure and illustrates forming a dummy gate according to an exemplary embodiment.
  • FIG. 9 is a cross section view of FIG. 8, taken along section line A-A.
  • FIG. 10 is a cross section view of FIG. 8, taken along section line B-B.
  • FIG. 11 is a cross section view of FIG. 8, taken along section line C-C.
  • FIG. 12 is a top view of the structure and illustrates forming a pair of sidewall spacers according to an exemplary embodiment.
  • FIG. 13 is a cross section view of FIG. 12, taken along section line A-A.
  • FIG. 14 is a cross section view of FIG. 12, taken along section line B-B.
  • FIG. 15 is a cross section view of FIG. 12, taken along section line C-C.
  • FIG. 16 is a top view of the structure and illustrates the selective removal of a portion of a first sacrificial layer and a portion of a second sacrificial layer according to an exemplary embodiment.
  • FIG. 17 is a cross section view of FIG. 16, taken along section line A-A.
  • FIG. 18 is a cross section view of FIG. 16, taken along section line B-B.
  • FIG. 19 is a cross section view of FIG. 16, taken along section line C-C.
  • FIG. 20 is a cross section view of FIG. 16, taken along section line D-D.
  • FIG. 21 is a top view of the structure and illustrates the deposition of a dielectric material according to an exemplary embodiment.
  • FIG. 22 is a cross section view of FIG. 21, taken along section line A-A.
  • FIG. 23 is a cross section view of FIG. 21, taken along section line B-B.
  • FIG. 24 is a cross section view of FIG. 21, taken along section line C-C.
  • FIG. 25 is a cross section view of FIG. 21, taken along section line D-D.
  • FIG. 26 is a top view of the structure and illustrates forming a source-drain region and a gate spacer according to an exemplary embodiment.
  • FIG. 27 is a cross section view of FIG. 26, taken along section line A-A.
  • FIG. 28 is a cross section view of FIG. 26, taken along section line B-B.
  • FIG. 29 is a cross section view of FIG. 26, taken along section line C-C.
  • FIG. 30 is a cross section view of FIG. 26, taken along section line D-D.
  • FIG. 31 is a top view of the structure and illustrates depositing an inter-level dielectric layer and subsequently removing the dummy gate according to an exemplary embodiment.
  • FIG. 32 is a cross section view of FIG. 31, taken along section line A-A.
  • FIG. 33 is a cross section view of FIG. 31, taken along section line B-B.
  • FIG. 34 is a cross section view of FIG. 31, taken along section line C-C.
  • FIG. 35 is a cross section view of FIG. 31, taken along section line D-D.
  • FIG. 36 is a top view of the structure and illustrates selective removal of a second portion of the first and second sacrificial layers according to an exemplary embodiment.
  • FIG. 37 is a cross section view of FIG. 36, taken along section line A-A.
  • FIG. 38 is a cross section view of FIG. 36, taken along section line B-B.
  • FIG. 39 is a cross section view of FIG. 36, taken along section line C-C.
  • FIG. 40 is a cross section view of FIG. 36, taken along section line D-D.
  • FIG. 41 is a top view of the structure and illustrates forming a gate electrode according to an exemplary embodiment.
  • FIG. 42 is a cross section view of FIG. 41, taken along section line A-A.
  • FIG. 43 is a cross section view of FIG. 41, taken along section line B-B.
  • FIG. 44 is a cross section view of FIG. 41, taken along section line C-C.
  • FIG. 45 is a cross section view of FIG. 41, taken along section line D-D.
  • The drawings are not necessarily to scale. The drawings are merely schematic representations, not intended to portray specific parameters of the invention. The drawings are intended to depict only typical embodiments of the invention. In the drawings, like numbering represents like elements.
  • DETAILED DESCRIPTION
  • Detailed embodiments of the claimed structures and methods are disclosed herein; however, it can be understood that the disclosed embodiments are merely illustrative of the claimed structures and methods that may be embodied in various forms. This invention may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these exemplary embodiments are provided so that this disclosure will be thorough and complete and will fully convey the scope of this invention to those skilled in the art. In the description, details of well-known features and techniques may be omitted to avoid unnecessarily obscuring the presented embodiments.
  • References in the specification to “one embodiment”, “an embodiment”, “an example embodiment”, etc., indicate that the embodiment described may include a particular feature, structure, or characteristic, but every embodiment may not necessarily include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • For purposes of the description hereinafter, the terms “upper”, “lower”, “right”, “left”, “vertical”, “horizontal”, “top”, “bottom”, and derivatives thereof shall relate to the disclosed structures and methods, as oriented in the drawing figures. The terms “overlying”, “atop”, “on top”, “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements, such as an interface structure may be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • In the interest of not obscuring the presentation of embodiments of the present invention, in the following detailed description, some processing steps or operations that are known in the art may have been combined together for presentation and for illustration purposes and in some instances may have not been described in detail. In other instances, some processing steps or operations that are known in the art may not be described at all. It should be understood that the following description is rather focused on the distinctive features or elements of various embodiments of the present invention. Furthermore, it should be noted that while this description may refer to some components of the structure in the singular tense, more than one component may be depicted throughout the figures and like components are labeled with like numerals. The specific number of nanowire stacks depicted in the figures is for illustrative purposes only.
  • The ability to fabricate a stacked nanowire device having a varying number of nanowire channels per nanowire stack would increase design flexibility. One way to fabricate the stacked nanowire device with varying number of nanowire channels may include patterning one or more nanowire stacks, and selectively removing one or more individual nanowire channels from the one or more nanowire stacks. One embodiment by which to fabricate the stacked nanowire device with varying number of nanowire channels is described in detail below by referring to the accompanying drawings FIGS. 1-45.
  • FIGS. 1, 2, and 3 are a demonstrative illustration of a structure during an intermediate step of a method of fabricating a single stacked nanowire device having a variable number of nanowire channels according to one embodiment. More specifically, the method can begin with patterning one or more stacks of nanowire channels 102 a-102 f (hereinafter “nanowire stacks”) above a substrate 104. FIG. 1 illustrates the structure 100 from a top view. FIG. 2 is a cross section view of FIG. 1 taken along section line A-A. FIG. 3 is a cross section view of FIG. 1 taken along section line B-B. FIG. 2 is a cross sectional view in the center of a single nanowire stack (for example 102 e), parallel to the length of the nanowire stacks 102 a-102 f. FIG. 3 is a cross sectional view perpendicular to the nanowire stacks 102 a-102 f.
  • The substrate 104 may include a bulk semiconductor or a layered semiconductor such as Si/SiGe, a silicon-on-insulator (SOI), or a SiGe-on-insulator (SGOI). Bulk semiconductor substrate materials may include undoped Si, n-doped Si, p-doped Si, single crystal Si, polycrystalline Si, amorphous Si, Ge, SiGe, SiC, SiGeC, Ga, GaAs, InAs, InP and all other III/V or II/VI compound semiconductors. In the present embodiment, a bulk substrate may be used. A stack of layers may first be formed above the substrate 104. The stack of layers may include a first semiconductor layer, a first sacrificial layer, a second sacrificial layer, and a second semiconductor layer formed on top of one another and in sequence. In one embodiment, the stack of layers may include any number of semiconductor layers.
  • The number of semiconductor layers and sacrificial layers that are formed atop the substrate 104 may vary. It should be noted that the number of semiconductor layers may generally correspond with the number of nanowire channels that may be subsequently formed.
  • The first semiconductor layer and the second semiconductor layer may include any of the semiconducting materials listed above with reference to the substrate 104. In one embodiment, the first semiconductor layer and the second semiconductor layer may be the same material as the substrate 104. The first sacrificial layer and the second sacrificial layer may include any material that which may be easily removed selective to the substrate 104, the first semiconductor layer, and the second semiconducting layer. In one embodiment, the first and second semiconductor layers may include silicon and the first and second sacrificial layers may include silicon-germanium.
  • Each of the first and second semiconductor layers may be deposited using an epitaxial growth technique. As such, each layer should be single crystalline and have crystalline dimensions close to that of the substrate 104. Also, each of the first and second sacrificial layers may be deposited using an epitaxial growth technique. As such, each layer should also be single crystalline.
  • The epitaxial growth processes used in forming each semiconductor layer and each sacrificial layer are performed below 800° C., with a temperature of below 650° C. being more preferred. The growth processes can be completed without breaking vacuum between each grown layer, or vacuum can be broken between the growth of each layer to enable extra processing, such as, for example, ex-situ doping of the sacrificial layers for CMOS. Preferably, the vacuum is not broken during the growth of the alternating layers. Notwithstanding whether the vacuum is broken or non-broken during growth of the alternating layers, a purge step is typically performed between each successive layer formation. The growth pressure employed in forming each of alternating layers should be below 100 torr, with a growth pressure below 50 torr being more preferred.
  • It should be noted that due to the processing steps mentioned above each of the alternating layers may have a height variation that is less than or equal to about 5%. It should be noted that the thickness of each of the first and second sacrificial layers determines the distance that each vertically spaced apart nanowire channel (to be subsequently formed) will be separated by.
  • After forming the alternating layers of sacrificial material and semiconductor material, a first hard mask may be formed atop the uppermost layer of semiconductor material and the nanowire stacks 102 a-102 f may be patterned into the substrate 104 and the stack of layers using, for example, known photolithography image transfer techniques. Any other method known in the art can be used to form the nanowire stacks 102 a-102 f. It should be noted that a portion of the substrate 104 may be removed during patterning of the nanowire stacks 102 a-102 f, as illustrated in the figures. Stated differently, the nanowire stacks 102 a-102 f may include a portion of the substrate 104 and a portion of the stack of layers.
  • After patterning the nanowire stacks 102 a-102 f from the stack of layers, each individual nanowire stack (102 a-102 f) may include a portion of the substrate 104, a first sacrificial spacer 106, a first nanowire channel 108, a second sacrificial spacer 110, and a second nanowire channel 112. It should be noted that the first and second sacrificial spacers 106, 110 may be a remaining portion of the first and second sacrificial layers, respectively, and the first and second nanowire channels 108, 112 may be a remaining portion of the first and second semiconductor layers, respectively. Further, the first sacrificial spacer 106 may separate the first nanowire channel 108 from the substrate 104 in each nanowire stack (102 a-102 f), and the second sacrificial spacer 110 may separate the second nanowire channel 112 from the first nanowire 108 in each nanowire stack (102 a-102 f).
  • The nanowire stacks 102 a-102 f may have a width (w) and be spaced by a distance (s), as shown in the figure. In one embodiment, the width (w) of the nanowire stacks 102 a-102 f may range from about 8 nm to about 10 nm, and the nanowire stacks 102 a-102 f may be spaced by a distance (s) ranging from about 30 nm to about 50 nm, as measured from the edge of one nanowire stack to the edge of another nanowire stack. In one embodiment, the first sacrificial spacer 106 and the second sacrificial spacer 110 may have a vertical thickness ranging from about 10 nm to about 20 nm. To minimize paracitic capacitance, the thickness of the first and second sacrificial spacers 106, 110 should be a small as possible while still leaving enough room for two layers of gate dielectric and on layer of gate conductor to fit in the gap formed once the sacrificial spacers 106, 110 are removed in a subsequent processing step. In one embodiment, the first nanowire channel 108 and the second nanowire channel 112 may have a vertical thickness ranging from about 8 nm to about 25 nm.
  • Therefore, at this stage of fabrication, the structure 100 of the present embodiment may include a single nanowire device having six nanowire stacks (102 a-102 f), and a total of twelve nanowire channels (108, 112). It should be noted that the number of nanowire channels formed in each nanowire stack (102 a-102 f) depends on the number of semiconductor layers originally included in the stack of layers. Also, it should be noted that the maximum number of desired nanowires per device should be contemplated and a corresponding number of semiconductor layers should be included in the stack of layers stack.
  • After the nanowire stacks 102 a-102 f are patterned a dielectric material may be deposited between the fins 102 a -102 f to form a shallow trench isolator 114 (hereinafter “STI”). The STI 114 may preferably prevent current leakage through the substrate between adjacent nanowire stacks. The STI 114 may be any thickness such that a top surface of the STI 114 is flush with or below a top surface the substrate 104, as illustrated. The first sacrificial spacer 106 should preferably remain exposed at the sidewalls of the fins 102 a-102 f.
  • The STI 114 may be made from any conventional dielectric material including, for example, silicon dioxide. The STI 114 may be formed utilizing known deposition processes. For example, a high-density plasma oxide deposition process or deposition utilizing tetraethylorthosilicate (TEOS) can be employed.
  • FIGS. 4, 5, and 6 are a demonstrative illustration of the structure during an intermediate step of the method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include selectively removing some of the nanowire channels from some of the nanowire stacks. FIG. 4 illustrates the structure 100 from a top view. FIG. 5 is a cross section view of FIG. 4 taken along section line A-A. FIG. 6 is a cross section view of FIG. 4 taken along section line B-B.
  • Next, a mask (not shown) may be applied to protect a first region 116 of the structure 100, and one or more nanowire channels (112) may be selectively removed from a second region 118 of the structure 100. In the present embodiment, the second intermediate spacer 110 and the second nanowire channel 112 may be selectively removed from the nanowire stacks 102 d-102 f in the second region 118 of the structure 100. Therefore, the nanowire stacks 102 a-102 c may each include two nanowire channels, for example the nanowire channels 108, 112, and the nanowire stacks 102 d-102 f may each include one nanowire channel, for example the nanowire channel 108. Any masking and etching technique known in the art may be used to selectively remove one or more of the intermediate spacers and one or more of the nanowire channels. In one embodiment, a reactive ion etching technique may be used. In some embodiments, the second intermediate spacer 110 and the second nanowire channel 112 may be removed with a similar removal technique in a single processing chamber, but with different etch chemistries.
  • FIG. 7 is a cross section view of FIG. 4 taken along section line B-B, according to an alternative embodiment. More specifically, any number of semiconductor layers may be used to form multiple nanowire stacks each having any number of nanowire channels. In the present embodiment, three semiconductor layers may be used to form three nanowire channels per nanowire stack, for example, the first nanowire channel 108, the second nanowire channel 112, and a third nanowire channel 120. Like above, each nanowire stack (102 a-102 f) may further include one or more sacrificial spacers, for example the first sacrificial spacer 106, the second sacrificial spacer 110, and a third sacrificial spacer 122. Also like above, the sacrificial spacers (106, 110, 122) may separate each nanowire channel (110, 112, 120) from each other and from the substrate 104.
  • In the present example, the substrate 104 may have three designated regions, for example, a first region 116, a second region 118, and a third region 124. The techniques described above with reference to FIG. 4 may be used to selectively remove the third nanowire channel 120 and the third sacrificial spacer 122 from the first region 116, and to selectively remove the third nanowire channel 120, the third sacrificial spacer 122, the second nanowire channel 112, and the second sacrificial spacer 110 from the second region 118. Therefore, the nanowire stacks 102 a-102 b may be each include three nanowire channels, for example the nanowire channels 108, 112, 120, the nanowire stacks 102 c-102 d may each include one nanowire channel, for example the nanowire channel 108, and the nanowire stack 102 e-102 f may each include two nanowire channels, for example the nanowire channels 108, 112.
  • FIGS. 8, 9, 10, and 11 are a demonstrative illustration of the structure during an intermediate step of the method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming a dummy gate 126 above a center portion of the nanowire stacks 102 a-102 f. FIG. 8 illustrates the structure 100 from a top view. FIG. 9 is a cross section view of FIG. 8 taken along section line A-A. FIG. 10 is a cross section view of FIG. 8 taken along section line B-B. FIG. 11 is a cross section view of FIG. 10 taken along section line C-C.
  • A sacrificial layer may first be deposited above the structure 100. The sacrificial layer may include any suitable silicon or polysilicon able to be selectively removed. In one embodiment, the sacrificial layer may include amorphous silicon. The sacrificial layer may be deposited using typical deposition techniques, for example, atomic layer deposition (ALD), molecular layer deposition (MLD), chemical vapor deposition (CVD), physical vapor deposition (PVD), and spin on techniques. In one embodiment, the sacrificial layer may have a vertical thickness, or height, above the STI 114 ranging from about 80 nm to about 150 nm, and ranges there between. It should be noted that the vertical thickness of the sacrificial layer may correspond to the height of the dummy gate 126, which may be subsequently patterned form the sacrificial layer. In some embodiments, a thin layer of dummy oxide (not shown) may be deposited prior to depositing the sacrificial layer.
  • In one embodiment, a cap layer (not shown) may alternatively be fabricated atop the sacrificial layer. The cap layer may include any suitable dielectric material known in the art, for example, a nitride. The cap layer may also be deposited using typical deposition techniques, for example, atomic layer deposition (ALD), molecular layer deposition (MLD), chemical vapor deposition (CVD), physical vapor deposition (PVD), and spin on techniques. In one embodiment, the cap layer may include silicon nitride (Si3N4) deposited using a chemical vapor deposition technique. In one embodiment, the cap layer may have a thickness ranging from about 10 nm to about 50 nm and ranges there between, although a thickness less than 10 nm and greater than 50 nm may be acceptable.
  • The dummy gate 126 may then be patterned from the sacrificial layer by any suitable lithography technique known in the art. In one embodiment, the dummy gate 126 may have a width (w1) and a height (h). The width (w1) may range from about 20 nm to about 200 nm, although lesser or greater values may be acceptable. As previously described, the height (h) may correspond to the thickness of the sacrificial layer. It should be noted that the sacrificial layer, and now the dummy gate 126 covers all three sides of the nanowire stacks 102 a-102 f. It should also be noted that the area of the structure 100 covered by the dummy gate 126 may generally be referred to as a gate region, and the areas of the structure 100 not covered by the dummy gate 126 may generally be referred to as a source-drain region.
  • The location of the dummy gate 126, which is orthogonal to the underlying patterned nanowire stacks 102 a-102 f, further defines the location of the nanowire channels in the y-direction as well as the location of the gate.
  • FIGS. 12, 13, 14, and 15 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming one or more sidewall spacers 128 (hereinafter “sidewall spacers”) on a sidewall of the dummy gate 126. FIG. 12 illustrates the structure 100 from a top view. FIG. 13 is a cross section view of FIG. 12 taken along section line A-A. FIG. 14 is a cross section view of FIG. 12 taken along section line B-B. FIG. 15 is a cross section view of FIG. 12 taken along section line C-C.
  • The sidewall spacers 128 may be formed by conformally depositing or growing a blanket dielectric material, followed by an anisotropic etch that removes the dielectric from the horizontal surfaces of the structure 100 as well as the sidewalls of the nanowire stacks 102 a-102 f while leaving it on the sidewalls of the dummy gate 126. In one embodiment, the sidewall spacers 128 may include any suitable dielectric. In one embodiment, the sidewall spacers 128 may include a nitride, an oxynitride, or an oxide. In one embodiment, the sidewall spacers 128 may have a horizontal width, or thickness, ranging from about 3 nm to about 30 nm, with 5 nm being most typical. In one embodiment, the sidewall spacers 128 may include a similar material as the cap layer above. Typically, the sidewall spacers 128 may include a single layer; however, the sidewall spacers 128 may include multiple layers of dielectric material. It may be noted that the sidewall spacers 128 may generally insulate the gate regions from the source-drain regions. It should be noted that the blanket dielectric layer may preferably be completely removed from above the nanowire stacks 102 a-102 f, in the source-drain regions. The sidewall spacers 128 reduce parasitic capacitance between the gate and the subsequently formed source-drain regions.
  • FIGS. 16, 17, 18, 19, and 20 a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include removing a first portion of the first and second sacrificial spacers 106, 110. FIG. 16 illustrates the structure 100 from a top view. FIG. 17 is a cross section view of FIG. 16 taken along section line A-A. FIG. 18 is a cross section view of FIG. 16 taken along section line B-B. FIG. 19 is a cross section view of FIG. 16 taken along section line C-C. FIG. 20 is a cross section view of FIG. 16 taken along section line D-D.
  • A first portion of the first and second sacrificial spacers 106, 110 exposed between the nanowire stacks 102 a-102 f may be removed to create a first opening 130 and a second opening 132. The first portion of the first and second sacrificial spacers 106, 110 may be removed selective to the substrate 104 and the first and second nanowire channels 108, 112. Generally, the first portion of the first and second sacrificial spacers 106, 110 may include any portion not covered by the dummy gate 126.
  • In one embodiment, an isotropic wet etch may be used to selectively remove the first portion of the first and second sacrificial spacers 106, 110. In one embodiment, the first portion of the first and second sacrificial spacers 106, 110 may be selectively removed by chemically utilizing an etchant that exploits the lower oxidation potential of the sacrificial layers compared to the semiconductor layers. Examples of such etchants include, but are not limited to, a 1:2:3 mixture of HF:H2O2:CH3COOH, or a mixture of H2SO4 and H2O2. In one embodiment, the selective removal of the first portion of the first and second sacrificial spacers 106, 110 can be achieved using a dry etching process such as O2 plasma etching or plasma chemistries typically used for etching.
  • After removal of the first portion of the first and second sacrificial spacers 106, 110, the first and second openings 130, 132 may extend beneath the pair of sidewall spacers 128 due to some undercutting during the removal process. In one embodiment, the first and second openings 130, 132 (i.e. the undercut) may extend beneath the dummy gate 126, as illustrated. Further, a second portion of the first and second sacrificial layers may remain beneath the dummy gate 126 or in the gate region of the structure 100.
  • FIGS. 21, 22, 23, 24, and 25 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include filling the first and second openings 130, 132 with a dielectric material 134. FIG. 21 illustrates the structure 100 from a top view. FIG. 22 is a cross section view of FIG. 21 taken along section line A-A. FIG. 23 is a cross section view of FIG. 21 taken along section line B-B. FIG. 24 is a cross section view of FIG. 21 taken along section line C-C. FIG. 25 is a cross section view of FIG. 21 taken along section line D-D.
  • The openings 130, 132 may be filled with the dielectric material 134 by depositing using any suitable technique known in the art. The dielectric material 134 may optimally fill the first and second openings 130, 132. In one embodiment, the dielectric material 134 may include a similar material as the sidewall spacers 128 above. In one embodiment, the dielectric material 134 may include silicon nitride. In one embodiment, the dielectric material 134 may be deposited using and anisotropically etched away from the sidewalls of the nanowire channels 108, 112, and from the exposed horizontal surfaces. In one embodiment, the dielectric material 134 may remain on the sidewall of the sidewall spacers 128.
  • FIGS. 26, 27, 28, 29, and 30 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming source-drain regions 136, and a gate spacer 138. FIG. 26 illustrates the structure 100 from a top view. FIG. 27 is a cross section view of FIG. 26 taken along section line A-A. FIG. 28 is a cross section view of FIG. 26 taken along section line B-B. FIG. 29 is a cross section view of FIG. 26 taken along section line C-C. FIG. 30 is a cross section view of FIG. 26 taken along section line D-D.
  • First, a source-drain opening is defined adjacent to the sidewall spacer 128 by etching the substrate 104 and a portion of the nanowire stacks 102 a-102 f not covered by the dummy gate 126 or the sidewall spacer 128. In doing so, a portion of the first and second nanowire channels 108, 112 not covered by the dummy gate 126 or the sidewall spacer 128 may be removed. Also, a portion of the dielectric material 134 between the substrate 104 and the first nanowire channel 108, and a portion of the dielectric material 134 between the first and second nanowire channels 108, 112 may be removed to form a gate spacer 138. The gate spacer 138 may include any portions of the dielectric material 134 remaining beneath the sidewall spacer 128 and the dummy gate 126. Therefore, as illustrated, the gate spacer 138 may separate the second portion of the first and second sacrificial spacers 106, 110 from the subsequently formed source-drain region 136.
  • Next, a source-drain region 136 may be formed by epitaxially growing a semiconductor material in the source-drain opening. In one embodiment the source-drain region 138 may be in-situ doped with either an n-type dopant or a p-type dopant depending on the desired characteristics of the final structure. In one embodiment, the source-drain region 136 may include doped silicon. It should be noted that the source-drain region 136, of the present embodiment, is in direct contact with the ends of both the first and second nanowire channels 108, 112. In some embodiments, the source-drain opening may be self-aligned to the sidewall spacer 128. It may be noted that the gate spacer 138 may generally insulate the subsequently formed gate regions from the source-drain regions 136. The source-drain regions 136 may be in physical contact, and thus be in electrical contact with, each of the nanowire channels 108, 112. As such, each nanowire channel (108, 112) and the corresponding source and drain region are of unitary construction and no material interface is located between them.
  • FIGS. 31, 32, 33, 34, and 35 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include depositing an inter-level dielectric 140 followed by removing the dummy gate 126. FIG. 31 illustrates the structure 100 from a top view. FIG. 32 is a cross section view of FIG. 31 taken along section line A-A. FIG. 33 is a cross section view of FIG. 31 taken along section line B-B. FIG. 34 is a cross section view of FIG. 31 taken along section line C-C. FIG. 35 is a cross section view of FIG. 31 taken along section line D-D.
  • The inter-level dielectric 140 may generally be deposited above the entire structure 100. The inter-level dielectric 140 may include any suitable dielectric material, for example, silicon oxide (SiO2), silicon nitride (Si3N4), hydrogenated silicon carbon oxide (SiCOH), silicon based low-k dielectrics, or porous dielectrics. Known suitable deposition techniques, such as, for example, atomic layer deposition, chemical vapor deposition, plasma enhanced chemical vapor deposition, spin on deposition, or physical vapor deposition may be used to form the inter-level dielectric 140. Next, a chemical mechanical polishing technique may be applied to remove excess material from a top surface of the structure 100 and expose the dummy gate 126.
  • The dummy gate 126 may then be substantially removed from the gate region of the structure 100. The dummy gate 126 may be removed selective to the STI 114 and the nanowire stacks 102 a-102 f. Furthermore, the chosen inter-level dielectric 140 may be resistant to the etching technique chosen to remove the dummy gate 126. In one embodiment, the selective removal of the dummy gate 126 may be accomplished by using any known etching technique suitable to remove polysilicon selective to silicon oxide or silicon nitride. In one embodiment, for example, the dummy gate 126 may be removed using a dry etching technique, for example reactive ion etching. Removal of the dummy gate 126 creates a trench 141 between the sidewall spacers 128.
  • FIGS. 36, 37, 38, 39, and 40 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include removal of the second portion of the first and second sacrificial spacers 106, 110 from between the first and second nanowire channels 108, 112. FIG. 36 illustrates the structure 100 from a top view. FIG. 37 is a cross section view of FIG. 36 taken along section line A-A. FIG. 38 is a cross section view of FIG. 36 taken along section line B-B. FIG. 39 is a cross section view of FIG. 36 taken along section line C-C. FIG. 40 is a cross section view of FIG. 36 taken along section line D-D.
  • The second portion the first and second sacrificial spacers 106, 110 may then be substantially removed from between the first and second nanowire channels 108, 112 in the gate region of the structure 100. The second portion of the first and second sacrificial spacers 106, 110 may be removed selective to the first and second nanowire channels 108, 112. In one embodiment, the selective removal of the second portion of the first and second sacrificial spacers 106, 110 may be accomplished by using any known etching technique suitable to remove silicon-germanium selective to silicon. In one embodiment, for example, the second portion of the first and second sacrificial spacers 106, 110 may be removed using a, an isotropic wet etch. In one embodiment, the second portion of the first and second sacrificial spacers 106, 110 may be selectively removed by chemically utilizing an etchant that exploits the lower oxidation potential of the sacrificial layers compared to the semiconductor layers, as described above with reference to FIGS. 16, 17, 18, 19, and 20.
  • FIGS. 41, 42, 43, 44, and 45 are a demonstrative illustration of the structure during an intermediate step of a method of fabricating a plurality of finFET devices having a variable number of nano-wires according to one embodiment. More specifically, the method can include forming a gate electrode 142 around the first and second nanowire channels 108, 112. FIG. 41 illustrates the structure 100 from a top view. FIG. 42 is a cross section view of FIG. 41 taken along section line A-A. FIG. 43 is a cross section view of FIG. 41 taken along section line B-B. FIG. 44 is a cross section view of FIG. 41 taken along section line C-C. FIG. 45 is a cross section view of FIG. 41 taken along section line D-D.
  • Typical replacement gate fabrication techniques well known in the art may be used to form the gate electrode 142 and complete the formation of the structure 100. In one embodiment, a gate oxide (not shown) may be deposited prior to forming the gate electrode 142. The gate oxide may include any of the high-k dielectric materials known in the art, for example HfO2, and deposited with methods such as atomic layer deposition (ALD), chemical vapor deposition (CVD), or physical vapor deposition (PVD). The gate electrode 142 may include one or more work function metals such as TiN, TaN, or TiC, to achieve the desired device threshold voltage and one or more low resistance metal such as W, Al, or Co. The gate electrode 142 may substantially surround the first and second nanowire channels 108, 112 exposed after removing the dummy gate 126 in the previous step. More specifically, the gate electrode 142 may be formed between the substrate 104 and the first nanowire channel 108, and between the first nanowire channel 108 and the second nanowire channel 112. The structure 100 of the present embodiment may be referred to as a gate-all-around finFET structure because the gate electrode 142 completely surrounds each of the nanowire channels 108, 112.
  • The embodiments described above may reduce or eliminate design constraints and improve design flexibility of stacked nanowire devices with respect to their current carrying capacity. As previously described, the current carrying capacity of a single nanowire device may be defined and limited by the total number of nanowire channels. According to the above description engineers can design a single nanowire device with more flexibility in the current carrying capacities, than previously available. More specifically, the total number of nanowire channels in a single stacked nanowire device may be increased or decreased by a single nanowire channel regardless of the number of layers of nanowire channels or the number of nanowire channels per layer. Alternatively, the total number of nanowire channels in a stacked nanowire device may be increased or decreased by a single nanowire channel regardless of the number of nanowire stacks or the number of nanowire channels per stack. For example, the current carrying capacity of a single nanowire device having a total of twelve nanowire channels, two layers of six nanowire channels, may be increased or decreased by adding or subtracting a single nanowire channel. Furthermore, the techniques described above with reference to the fabrication of the stacked nanowire structure is fully compatible with current silicon-CMOS processing.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiment, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (15)

1.-14. (canceled)
15. A structure comprising:
a plurality of vertically stacked and vertically spaced apart nanowire channels located above a substrate, each nanowire channel having two end segments in which one of the end segments is connected to a source region and the other end segment is connected to a drain region, the plurality of vertically stacked and vertically spaced apart nanowire channels are organized in adjacent stacks, and at least one stack of the structure includes a different number of nanowire channels than an adjacent stack;
a gate electrode over a central portion of the plurality of vertically stacked and vertically spaced apart nanowire channels, the source region and the drain region is self-aligned with the gate region; and
a spacer located between each vertically stacked and vertically spaced apart nanowire channel, the spacer also separating the gate electrode from both the source region and the drain region.
16. The structure of claim 15, wherein each of the nanowire channels has a pitch of less than 200 nm and a width of less than 40 nm.
17. The structure of claim 15, wherein each of the nanowire channels comprises a Si-containing semiconductor material.
18. The structure of claim 15, wherein each of the nanowire channels has a height variation of less than or equal to 5%.
19. The structure of claim 15, wherein each vertically stacked and vertically spaced apart nanowire channel is separated by a distance of less than 200 nm.
20. The structure of claim 15, further comprising:
a dielectric layer located above the source region and the drain region, and surrounding the gate electrode, the dielectric layer having an upper surface that is substantially flush with an upper surface of the gate electrode.
21. The structure of claim 15, further comprising a shallow trench isolation region located at a footprint of each of the vertically stacked and vertically spaced apart nanowire channels.
22. The structure of claim 15, wherein the substrate comprises a least one semiconductor material.
23. The structure of claim 15, wherein each of the nanowire channels comprises a same semiconductor material as said substrate.
24. The structure of claim 15, wherein each of the nanowire channels is single crystalline and has a same crystalline dimension as the substrate.
25. The structure of claim 15, wherein a middle portion of each of the nanowire channels is suspended.
26. The structure of claim 25, wherein the spacer is present on each end portion of each of the nanowire channels.
27. The structure of claim 15, wherein outermost sidewall surfaces of each nanowire channel of each vertically stacked and vertically spaced apart nanowire channels are vertically aligned with each other.
28. The structure of claim 15, wherein a topmost surface of the gate electrode is located above a topmost nanowire channel of each vertically stacked and vertically spaced apart nanowire channels.
US15/015,766 2013-09-12 2016-02-04 Stacked nanowire device with variable number of nanowire channels Abandoned US20160225916A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/015,766 US20160225916A1 (en) 2013-09-12 2016-02-04 Stacked nanowire device with variable number of nanowire channels

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/024,729 US9257545B2 (en) 2013-09-12 2013-09-12 Stacked nanowire device with variable number of nanowire channels
US15/015,766 US20160225916A1 (en) 2013-09-12 2016-02-04 Stacked nanowire device with variable number of nanowire channels

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/024,729 Division US9257545B2 (en) 2013-09-12 2013-09-12 Stacked nanowire device with variable number of nanowire channels

Publications (1)

Publication Number Publication Date
US20160225916A1 true US20160225916A1 (en) 2016-08-04

Family

ID=52624626

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/024,729 Expired - Fee Related US9257545B2 (en) 2013-09-12 2013-09-12 Stacked nanowire device with variable number of nanowire channels
US15/015,766 Abandoned US20160225916A1 (en) 2013-09-12 2016-02-04 Stacked nanowire device with variable number of nanowire channels

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/024,729 Expired - Fee Related US9257545B2 (en) 2013-09-12 2013-09-12 Stacked nanowire device with variable number of nanowire channels

Country Status (1)

Country Link
US (2) US9257545B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160204228A1 (en) * 2015-01-13 2016-07-14 Tokyo Electron Limited Method for forming a nanowire structure
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US11158730B2 (en) 2016-08-22 2021-10-26 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048301B2 (en) * 2013-10-16 2015-06-02 Taiwan Semiconductor Manufacturing Company Limited Nanowire MOSFET with support structures for source and drain
US9361418B2 (en) 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US10037397B2 (en) * 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US20150370948A1 (en) * 2014-06-23 2015-12-24 Synopsys, Inc. Memory cells having transistors with different numbers of nanowires or 2d material strips
US9496338B2 (en) 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
WO2017044107A1 (en) * 2015-09-10 2017-03-16 Intel Corporation Semiconductor nanowire device having cavity spacer and method of fabricating cavity spacer for semiconductor nanowire device
US9437501B1 (en) 2015-09-22 2016-09-06 International Business Machines Corporation Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
US9608099B1 (en) 2015-09-22 2017-03-28 International Business Machines Corporation Nanowire semiconductor device
US9484405B1 (en) 2015-09-29 2016-11-01 International Business Machines Corporation Stacked nanowire devices formed using lateral aspect ratio trapping
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9660027B2 (en) * 2015-10-20 2017-05-23 Globalfoundries Inc. Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
US20200258740A1 (en) * 2015-11-16 2020-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Forming Stacked Nanowire Transistors
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR102415328B1 (en) 2015-12-03 2022-06-30 삼성전자주식회사 Static Random Access Memory (SRAM) device for improving electrical characteristics, and logic device including the same
KR102367408B1 (en) 2016-01-04 2022-02-25 삼성전자주식회사 Sram device including a channel region having a plurality of sheets
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR102374052B1 (en) 2016-02-26 2022-03-14 삼성전자주식회사 A semiconductor device and methods of manufacturing the same
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
KR102426663B1 (en) * 2016-03-02 2022-07-28 삼성전자주식회사 Semiconductor device and method for fabricating the same
US11588017B2 (en) * 2016-03-30 2023-02-21 Intel Corporation Nanowire for transistor integration
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10236362B2 (en) 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
US9842914B1 (en) 2016-08-19 2017-12-12 International Business Machines Corporation Nanosheet FET with wrap-around inner spacer
US9905643B1 (en) 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
CN111370466A (en) * 2016-11-21 2020-07-03 华为技术有限公司 Field effect transistor and manufacturing method thereof
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US10128347B2 (en) 2017-01-04 2018-11-13 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
WO2018182655A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Removal of a bottom-most nanowire from a nanowire device stack
US10930793B2 (en) 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
KR102388463B1 (en) * 2017-08-21 2022-04-20 삼성전자주식회사 Semiconductor device including channel pattern and manufacturing method thereof
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
FR3073666B1 (en) * 2017-11-14 2019-11-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR MANUFACTURING FIELD EFFECT TRANSISTOR
US10418493B2 (en) 2017-12-19 2019-09-17 International Business Machines Corporation Tight pitch stack nanowire isolation
US10461194B2 (en) * 2018-03-23 2019-10-29 International Business Machines Corporation Threshold voltage control using channel digital etch
KR102515393B1 (en) 2018-06-29 2023-03-30 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10672891B2 (en) 2018-10-04 2020-06-02 International Business Machines Corporation Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors
CN112309864B (en) * 2019-07-31 2023-10-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11631671B2 (en) * 2019-12-31 2023-04-18 Tokyo Electron Limited 3D complementary metal oxide semiconductor (CMOS) device and method of forming the same
US11532617B2 (en) 2020-04-07 2022-12-20 Mediatek Inc. Semiconductor structure and method of forming the same
US20220052206A1 (en) * 2020-08-14 2022-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate Devices with Varying Channel Layers
US11508621B2 (en) * 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11916070B2 (en) * 2020-10-22 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with nanosheets
US11984483B2 (en) 2021-03-26 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing thereof
US20230063087A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US11908743B2 (en) 2021-09-27 2024-02-20 International Business Machines Corporation Planar devices with consistent base dielectric

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8384065B2 (en) 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
CN102646624B (en) 2012-03-31 2014-04-16 上海华力微电子有限公司 Three-dimensional array type back grid type Si-NWFET (Nano Wire Field Effect Transistor) manufacturing method based on SOI (Silicon On Insulator)
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160204228A1 (en) * 2015-01-13 2016-07-14 Tokyo Electron Limited Method for forming a nanowire structure
US9882026B2 (en) * 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
US9647139B2 (en) * 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US20170194510A1 (en) * 2015-09-04 2017-07-06 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US10037885B2 (en) * 2015-09-04 2018-07-31 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US11158730B2 (en) 2016-08-22 2021-10-26 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET

Also Published As

Publication number Publication date
US9257545B2 (en) 2016-02-09
US20150069328A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
US9257545B2 (en) Stacked nanowire device with variable number of nanowire channels
US10084041B2 (en) Method and structure for improving FinFET with epitaxy source/drain
US9954058B1 (en) Self-aligned air gap spacer for nanosheet CMOS devices
US10032915B2 (en) Non-planar transistors and methods of fabrication thereof
US20200357916A1 (en) Source/drain contacts for non-planar transistors
US9595614B2 (en) Semiconductor structures and methods with high mobility and high energy bandgap materials
US9559185B2 (en) Semiconductor devices and methods of manufacturing the same
US9659964B2 (en) Method and structure for preventing epi merging in embedded dynamic random access memory
US20200357911A1 (en) Gate-all-around field effect transistors with inner spacers and methods
US8865560B2 (en) FinFET design with LDD extensions
US20150270348A1 (en) Semiconductor device including superlattice sige/si fin structure
US10622379B2 (en) Structure and method to form defect free high-mobility semiconductor fins on insulator
US9953976B2 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
US10014295B2 (en) Self heating reduction for analog radio frequency (RF) device
CN109473398B (en) Semiconductor device and method for manufacturing the same
US20230037719A1 (en) Methods of forming bottom dielectric isolation layers
US20230223465A1 (en) Semiconductor device method for forming the same
TW202245065A (en) Semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117