CN108878277A - 栅极环绕纳米片场效应晶体管及其制造方法 - Google Patents

栅极环绕纳米片场效应晶体管及其制造方法 Download PDF

Info

Publication number
CN108878277A
CN108878277A CN201810432176.2A CN201810432176A CN108878277A CN 108878277 A CN108878277 A CN 108878277A CN 201810432176 A CN201810432176 A CN 201810432176A CN 108878277 A CN108878277 A CN 108878277A
Authority
CN
China
Prior art keywords
sacrificial layer
fet
around
sacrificial
homogeneous
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810432176.2A
Other languages
English (en)
Other versions
CN108878277B (zh
Inventor
马克·S·罗德尔
洪俊顾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN108878277A publication Critical patent/CN108878277A/zh
Application granted granted Critical
Publication of CN108878277B publication Critical patent/CN108878277B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种栅极环绕纳米片场效应晶体管及其制造方法。制造栅极环绕纳米片场效应晶体管的方法包括在衬底上形成堆叠。所述堆叠包括由沟道层与非均匀牺牲区形成的交替排列。所述非均匀牺牲区中的每一者包括上部牺牲层、中间牺牲层及下部牺牲层。所述上部牺牲层及所述下部牺牲层被配置成以第一蚀刻速率进行蚀刻,且所述中间牺牲层被配置成以大于所述第一蚀刻速率的第二蚀刻速率进行蚀刻。

Description

栅极环绕纳米片场效应晶体管及其制造方法
[相关申请的交叉参考]
本申请主张在2017年5月8日在美国专利及商标局提出申请的美国临时申请第62/503,275号以及在2017年8月22日在美国专利及商标局提出申请的美国非临时申请第15/683,304号的优先权及权利,所述美国临时申请及美国非临时申请的全部内容并入本申请供参考。
技术领域
本公开大体来说涉及场效应晶体管及制造所述场效应晶体管的方法。
背景技术
根据传统方法形成的栅极环绕(gate-all-around,GAA)纳米片(nanosheet,NS)场效应晶体管(field effect transistor,FET)具有以下集成困难:需要同时形成源极-漏极(source-drain,SD)应力源区(stressor region),同时还实现低栅极-漏极电容(gate-drain capacitance,Cgd)。传统的栅极环绕纳米片场效应晶体管是在源极-漏极边界处利用介电内部间隔物形成的。这些介电内部间隔物在传统上是在进行蚀刻来形成用于源极-漏极电极的凹槽的任务之后但在凹槽中形成源极-漏极电极的任务之前形成(即,介电内部间隔物在传统上是在源极-漏极凹槽蚀刻之后且在源极-漏极外延再填充之前形成)。因此,当根据这些传统方法生长外延源极-漏极材料以形成源极-漏极区时,在介电/沟道界面处会形成缺陷,此会造成有缺陷的源极-漏极区,从而形成非应变源极-漏极区(即,无源极-漏极应力源区)。
传统的栅极环绕纳米片场效应晶体管具有以下另一个集成困难:需要实现多阈值电压(multiple threshold voltage,mVt)架构,同时还实现低栅极-漏极电容。传统的栅极环绕纳米片场效应晶体管是由包绕在每一个半导体沟道层(即,每一个纳米片沟道层)周围的栅极堆叠(即,介电材料及金属)形成,其中各个沟道层隔开垂直间距(verticalspacing,VSP)距离。为实现多阈值电压集成,可增大垂直间距来更容易地使不同的金属层能够形成在垂直间距区中,从而能够实现不同的阈值电压(Vt)值。然而,增大垂直间距会对应地增大栅极-漏极电容。
传统的栅极环绕纳米片场效应晶体管具有以下另一个集成困难:需要对宽宽度的沟道层实现均匀的沟道层厚度。传统的栅极环绕纳米片场效应晶体管是由上覆在衬底上的牺牲层与沟道层的交替堆叠形成。在替换金属栅极(replacement metal gate,RMG)任务期间,牺牲层相对于沟道层被选择性地移除。沟道层可为硅(Si),且牺牲层可为Ge%为近似25%到近似60%的硅锗(SiGe)。然而,如果Ge%处于所述范围的低端(例如,近似25%),则在通过钻蚀蚀刻工艺(undercut etch process)移除牺牲层的任务期间也可能会对Si沟道层进行蚀刻。对Si沟道层进行蚀刻会形成不具有所期望电性性质(例如,输送及阈值电压)的非均匀沟道层。举例来说,在移除牺牲层的传统任务期间,也可能会局部地移除Si沟道层(例如,可能移除Si沟道层的边缘,从而形成椭圆形状的Si沟道层)。另一方面,如果Ge%处于所述范围的高端(例如,近似60%或大于60%),则相对于Si的选择性会增大,但会使更多Ge扩散到相邻的沟道层中(即,形成宽过渡区),使得沟道层由Si及Ge形成而非仅由Si形成。因此,在传统的栅极环绕纳米片场效应晶体管制作期间提供Ge%处于所述范围的高端处的牺牲层会形成由于Ge向相邻的沟道层中的非均匀扩散而导致的宽过渡区及/或非均匀沟道厚度。
发明内容
本公开涉及制造栅极环绕(GAA)纳米片(NS)场效应晶体管(FET)的各种方法。在一个实施例中,所述方法包括在衬底上形成堆叠。所述堆叠包括由沟道层与非均匀牺牲区形成的交替排列。所述非均匀牺牲区中的每一者包括上部牺牲层、中间牺牲层及下部牺牲层。所述上部牺牲层及所述下部牺牲层被配置成以第一蚀刻速率进行蚀刻,且所述中间牺牲层被配置成以大于所述第一蚀刻速率的第二蚀刻速率进行蚀刻。
所述方法还可包括对所述堆叠进行蚀刻以形成电极凹槽以及对所述非均匀牺牲区执行侧向蚀刻。所述侧向蚀刻将所述非均匀牺牲区中的每一者的所述中间牺牲层蚀刻到比所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层更大的程度,以在所述非均匀牺牲区中的每一者的所述上部牺牲层与所述下部牺牲层之间形成一对凹槽。
所述方法还可包括外延沉积源极电极的第一部分及第二部分以及漏极电极的第一部分及第二部分。所述第一部分包括填充在所述凹槽中的一者中的侧向延伸部分以及沿侧壁延伸的垂直延伸部分。所述方法还可包括移除牺牲区以在所述侧向延伸部分中的每一者的相对两侧上形成上部凹槽区及下部凹槽区,以及通过在凹槽中沉积介电材料来形成反向内部间隔物。在沉积所述介电材料以形成所述反向内部间隔物之后可留下未被填充的间隙,且形成所述反向内部间隔物还可包括移除位于所述上部凹槽区及所述下部凹槽区外的所述介电材料的一些部分。所述介电材料可包括氧化物或氮化物。所述沟道层中的每一者可包含硅(Si)。
上部牺牲层、中间牺牲层及下部牺牲层可各自包含硅锗(SiGe),且所述中间牺牲层的所述SiGe的Ge%可大于所述上部牺牲层及所述下部牺牲层中的每一者的所述SiGe的Ge%。所述中间牺牲层的所述Ge%可大于40%,且所述上部牺牲层及所述下部牺牲层中的每一者的所述Ge%可小于35%。所述中间牺牲层的所述Ge%可大于50%,且所述上部牺牲层及所述下部牺牲层中的每一者的所述Ge%可小于30%。
所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度可比所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层中的每一者的厚度薄。所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度可为1nm到5nm,且所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层的厚度可为3nm到7nm。所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度可为2nm到3nm,且所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层的厚度可为3nm到5nm。
所述方法还可包括在堆叠上形成虚设栅极堆叠及外部间隔物,且在对堆叠进行蚀刻期间形成的电极凹槽可与外部间隔物的边缘对准。
本公开还涉及栅极环绕(GAA)纳米片(NS)场效应晶体管(FET)的各种实施例。在一个实施例中,栅极环绕纳米片场效应晶体管包括:源极电极;漏极电极;一系列沟道区,在所述源极电极与所述漏极电极之间延伸;栅极堆叠,位于所述源极电极与所述漏极电极之间以及所述一系列沟道区中的两个相邻的沟道区之间;以及一对反向内部间隔物,位于所述两个相邻的沟道区之间。所述源极电极及所述漏极电极各自包括第一部分及第二部分。所述第一部分包括垂直侧壁部分及在所述两个相邻的沟道区之间从所述垂直侧壁部分延伸的侧向延伸部分。所述一对反向内部间隔物的每一个反向内部间隔物包括第一内部间隔物段及第二内部间隔物段,所述第二内部间隔物段在垂直方向上通过所述源极电极的所述侧向延伸部分与所述漏极电极的所述侧向延伸部分中的一者而与所述第一内部间隔物段间隔开。所述反向内部间隔物中的每一者的所述第一内部间隔物段及所述第二内部间隔物段与所述源极电极的所述第一部分及所述漏极电极的所述第一部分中的一者的垂直侧壁相邻。
所述源极电极及所述漏极电极中的每一者可包含实质上不含有缺陷的晶体材料。所述源极电极的第一部分及所述漏极电极的第一部分可包含未经掺杂的Si。所述源极电极的第二部分及所述漏极电极的第二部分可包含掺杂质(doping)。
所述侧向延伸部分可具有2nm到10nm的侧向长度及2nm到6nm的高度。
所述第一内部间隔物段及所述第二内部间隔物段中的每一者可具有小于或实质上等于所述侧向延伸部分的所述侧向长度的侧向长度,且所述反向内部间隔物中的每一者的所述第一内部间隔物段与所述第二内部间隔物段之间的垂直间距可实质上等于所述侧向延伸部分的所述高度。
所述反向内部间隔物中的每一者可包含例如氧化物材料或氮化物材料等介电材料,且所述介电材料可具有介于2到8之间的K值。
所述两个相邻的沟道区之间的垂直间距可为8nm到20nm,所述两个相邻的沟道区中的每一者的宽度可为6nm到60nm,且所述两个相邻的沟道区中的每一者的厚度可为3nm到8nm。
所述栅极环绕纳米片场效应晶体管可为nMOS FET、pMOS FET或其组合。
提供本发明内容是为了介绍以下在具体实施方式中进一步阐述的本公开实施例的一系列所选特征及概念。本发明内容并非旨在识别所主张主题的关键特征或本质特征,也不旨在用于限制所主张主题的范围。可将所阐述特征中的一者或多者与一个或多个其他所阐述特征进行组合来提供可行装置。
附图说明
当结合以下图式加以考虑时,通过参照以下详细说明,本公开实施例的这些及其他特征及优点将变得更显而易见。在图式中,在所有图中使用相同的参考编号来参考相同的特征及组件。各个图未必按比例绘制。
图1是根据本公开一个实施例的栅极环绕(GAA)纳米片(NS)场效应晶体管(FET)的示意性剖视图。
图2A至图2H绘示根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管的方法的任务。
具体实施方式
本公开涉及栅极环绕(GAA)纳米片(NS)场效应晶体管(FET)以及制造所述栅极环绕纳米片场效应晶体管的方法的各种实施例。根据本公开各种实施例的栅极环绕纳米片场效应晶体管架构被配置成实现源极-漏极(SD)应力源区、低栅极-漏极电容(Cgd)、多阈值电压(mVt)及均匀的或实质上均匀的沟道厚度。根据本公开的各种实施例,在源极-漏极电极的外延沉积之后形成介电内部间隔物,此会形成低缺陷源极-漏极电极及相对低的栅极-漏极电容。另外,根据本公开的各种方法,沟道层间隔开足以界定多个空腔的垂直间距(VSP)距离,且在垂直间距中的每一者的空腔内形成有多个介电内部间隔物(或多个介电间隔物段)以实现具有相对低的栅极-漏极电容的多阈值电压(mVt)。根据本公开的各个实施例,利用被配置成以至少两种不同的蚀刻速率进行蚀刻的具有非均匀组合物的牺牲区在源极-漏极电极的外延沉积之前在牺牲区的中间部分中实现比牺牲区的上部部分及下部部分更大的钻蚀蚀刻(例如,在源极-漏极电极的外延沉积之前利用具有非均匀组合物的牺牲区来实现牺牲区的仅或实质上仅中间部分的侧向凹槽)。利用具有非均匀蚀刻速率的牺牲区会导致在牺牲区的蚀刻期间较快地移除牺牲区,此会减少对沟道层的暴露出的部分的蚀刻。减少牺牲区的蚀刻时间(此转而会减少对沟道层的暴露出的部分的蚀刻)会使得与具有利用传统方法形成的沟道层的栅极环绕纳米片场效应晶体管相比,沟道层具有更均匀的厚度及更均匀的组合物。另外,在源极-漏极电极的外延沉积之前的仅(或实质上仅)牺牲区的中间部分的侧向凹陷被源极-漏极外延材料的一部分填充,而非由介电间隔物材料填充,此会使得形成低缺陷源极-漏极应力源区。
在下文中,将参照附图更详细地阐述示例性实施例,在所有的附图中,相同的参考编号指代相同的元件。然而,本发明可被实施为各种不同形式,而不应被视为仅限于本文中所例示的实施例。确切来说,提供这些实施例作为实例是为了使本公开将透彻及完整,并将向所属领域中的技术人员全面传达本发明的各个方面及特征。因此,可不再阐述对于所属领域的普通技术人员完整地理解本发明的各个方面及特征而言并非必需的工艺、元件、及技术。除非另外注明,否则在所有附图及书面说明通篇中相同的参考编号表示相同的元件,且因此,可不再对其予以重复说明。
在图式中,为清晰起见,可夸大及/或简化各元件、各层、及各区的相对大小。为易于解释,本文中可使用例如“在…之下”、“在…下面”、“下部的”、“在…下方”、“在…上方”、“上部的”等空间相对性用语来阐述图中所示一个元件或特征与另一(其他)元件或特征的关系。应理解,空间相对性用语旨在除图中所绘示的取向外还囊括装置在使用或操作中的不同取向。举例来说,如果图中所示装置被翻转,则被描述为位于其他元件或特征“下面”或“之下”或者“下方”的元件此时将被取向为位于所述其他元件或特征“上方”。因此,示例性用语“在…下面”及“在…下方”可囊括“上方”及“下方”两种取向。装置可具有其他取向(例如,旋转90度或处于其他取向)且本文中使用的空间相对性描述语应相应地进行解释。
应理解,尽管本文中可能使用用语“第一”、“第二”、“第三”等来阐述各种元件、组件、区、层及/或区段,然而这些元件、组件、区、层及/或区段不应受这些用语限制。这些用语用于区分各个元件、组件、区、层或区段。因此,在不背离本发明的精神及范围的条件下,以下所述第一元件、组件、区、层或区段也可被称为第二元件、组件、区、层或区段。
应理解,当称一元件或层位于另一元件或层“上”、“连接到”或“耦合到”另一元件或层时,所述元件或层可直接位于所述另一元件或层上、直接连接到或直接耦合到所述另一元件或层,抑或可存在一个或多个中间元件或层。另外,还应理解,当称一元件或层位于两个元件或层“之间”时,所述元件或层可为所述两个元件或层之间的唯一元件或层,抑或也可存在一个或多个中间元件或层。
本文所用术语仅是出于阐述特定实施例的目的而并非旨在限制本发明。除非上下文清楚地另外指明,否则本文所用单数形式“一”旨在也包括多数形式。还应理解,当在本说明书中使用用语“包括”时,是指明所陈述特征、整数、步骤、操作、元件及/或组件的存在,但不排除一个或多个其他特征、整数、步骤、操作、元件、组件及/或其群组的存在或添加。本文所用用语“及/或”包括相关列出项中的一个或多个项的任意及所有组合。当例如“...中的至少一者”等表达位于一系列元件之后时,是修饰整个系列的元件而非修饰所述一系列元件中的各别元件。
本文所用用语“实质上”、“大约”及类似用语用作近似用语而并非作为程度用语,并且旨在考虑到所属领域的普通技术人员将知的测量值或计算值的固有变化。另外,在阐述本发明的实施例时使用“可”是指“本发明的一个或多个实施例”。本文所用用语“使用”、“正使用”及“被使用”可被视为分别与用语“利用”、“正利用”及“被利用”同义。另外,用语“示例性”旨在指实例或例示。
除非另外定义,否则本文所用所有用语(包括技术及科学用语)的含义均与本发明所属领域中的普通技术人员所通常理解的含义相同。还应理解,用语(例如在常用字典中所定义的用语)应被解释为具有与其在相关技术的上下文及/或本说明书中的含义一致的含义,且除非在本文中明确定义,否则不应将其解释为具有理想化或过于正式的意义。
现参照图1,根据本公开一个实施例的栅极环绕(GAA)纳米片(NS)场效应晶体管(FET)100(例如,nMOS装置或pMOS装置)包括:源极电极101;漏极电极102;一系列栅极堆叠103,位于源极电极101与漏极电极102之间;一系列第一反向内部间隔物104,位于源极电极101与栅极堆叠103之间;一系列第二反向内部间隔物105,位于漏极电极102与栅极堆叠103之间;以及一系列沟道层106,位于栅极堆叠103下方且在源极电极101与漏极电极102之间延伸。在所示出的实施例中,栅极堆叠103中的每一者包括金属栅极电极107及栅极介电质108(即,栅极介电层或栅极介电层的堆叠),例如高介电常数栅极氧化物层及界面层。在所示出的实施例中,栅极环绕纳米片场效应晶体管还包括位于最上部沟道层106上且位于最上部栅极堆叠103的相对两侧处的外部间隔物109。
在所示出的实施例中,反向内部间隔物104中的每一者分别包括第一内部间隔物段110(例如,上部内部间隔物段)及位于第一内部间隔物段110之下的第二内部间隔物段112(例如,下部内部间隔物段),反向内部间隔物105中的每一者分别包括第一内部间隔物段111(例如,上部内部间隔物段)及位于第一内部间隔物段111之下的第二内部间隔物段113(例如,下部内部间隔物段)。反向内部间隔物104、105的第一内部间隔物段110、111及第二内部间隔物段112、113是由介电材料形成。在一个或多个实施例中,反向内部间隔物104、105的介电材料可为K值介于近似2到近似8范围内(例如,K值介于近似3到近似5之间)的氧化物材料或氮化物材料。在一个实施例中,介电材料是K值小于4的氧化物,例如氧化硅。
在一个或多个实施例中,沟道层106由硅(Si)形成。在一个或多个实施例中,沟道层106可具有近似6nm到近似60nm的宽度W。在一个或多个实施例中,沟道层106可各自具有近似3nm到近似8nm(例如,近似4nm到近似7nm)的厚度T。在一个或多个实施例中,位于相邻的沟道层106之间以及位于最下部沟道层106与衬底114之间的垂直间距VSP为近似8nm到近似20nm(例如,近似9nm到近似14nm)。尽管在所示出的实施例中存在三个沟道层106,然而在一个或多个实施例中,沟道层106的数目可为两个沟道层106到六个沟道层106(例如,两个沟道层106到四个沟道层106)。
继续参照图1所示实施例,源极电极101包括第一源极电极区115及第二源极电极区116,且漏极电极102包括第一漏极电极区117及第二漏极电极区118。在所示出的实施例中,第一源极电极区115包括:垂直侧壁部分119,分别沿沟道层106的垂直表面120以及第一反向内部间隔物104的第一内部间隔物段110的垂直表面121及第一反向内部间隔物104的第二内部间隔物段112的垂直表面122延伸;以及一系列侧向延伸部分123,从垂直侧壁部分119向内延伸。第一源极电极区115的侧向延伸部分123在第一反向内部间隔物104的第一内部间隔物段110与第二内部间隔物段112之间延伸(即,第一源极电极区115的侧向延伸部分123在垂直方向上将第一反向内部间隔物104中的每一者的第一内部间隔物段110与第二内部间隔物段112隔开)。在所示出的实施例中,第一源极电极区115还包括沿衬底114从垂直侧壁部分119向外延伸的水平部分124(即,水平部分124在垂直方向上将第二源极电极区116与衬底114隔开)。
在所示出的实施例中,第一漏极电极区117包括:垂直侧壁部分125,分别沿沟道层106的垂直表面126以及第二反向内部间隔物105的第一内部间隔物段111的垂直表面127及第二反向内部间隔物105的第二内部间隔物段113的垂直表面128延伸;以及一系列侧向延伸部分129,从垂直侧壁部分125向内延伸。第一漏极电极区117的侧向延伸部分129在第二反向内部间隔物105的第一内部间隔物段111与第二内部间隔物段113之间延伸(即,第一漏极电极区117的侧向延伸部分129在垂直方向上将第二反向内部间隔物105中的每一者的第一内部间隔物段111与第二内部间隔物段113隔开)。在所示出的实施例中,第一漏极电极区117还包括沿衬底114从垂直侧壁部分125向外延伸的水平部分130(即,水平部分130在垂直方向上将第二漏极电极区118与衬底114隔开)。在一个或多个实施例中,第一源极电极区115及第一漏极电极区117可各自包含未经掺杂的Si,且第二源极电极区116及第二漏极电极区118可各自包含经掺杂的Si。在一个或多个实施例中,第一源极电极区115及第一漏极电极区117可由与沟道层106相同的材料形成(例如,第一源极电极区115及第一漏极电极区117可由未经掺杂的Si形成)。
在一个或多个实施例中,侧向延伸部分123、129中的每一者的侧向长度L(例如,水平长度)可为近似2nm到近似10nm(例如,近似4nm到近似8nm)。另外,侧向延伸部分123、129中的每一者的垂直高度(即,厚度)H可为近似2nm到近似6nm(例如,近似2nm到近似4nm)。
在一个或多个实施例中,栅极环绕纳米片场效应晶体管100可包括由外延源极-漏极材料形成的一个或多个附加层。在一个或多个实施例中,栅极环绕纳米片场效应晶体管100可为nMOS FET及/或pMOS FET。在其中栅极环绕纳米片场效应晶体管100是nMOS场效应晶体管的一个或多个实施例中,由外延源极-漏极材料形成的所述一个或多个附加层可包含Si、SiP、SiCP、Si3P4或其组合,且由外延源极-漏极材料形成的所述一个或多个附加层可对沟道层106赋予拉伸应变(tensile strain)分量。在一个或多个实施例中,由外延源极-漏极材料形成的所述一个或多个附加层可由Si或SiGe形成,且由外延源极-漏极材料形成的所述一个或多个附加层的至少一部分可具有比沟道层106的Ge%大的Ge%,以使得由外延源极-漏极材料形成的所述一个或多个附加层可对沟道层106赋予压缩应变(compressive strain)分量。在一个或多个实施例中,源极电极101及漏极电极102中的每一者的至少一部分用作在沟道层106中赋予应变的应力源。
图2A至图2H绘示根据本公开一个实施例的形成栅极环绕(GAA)纳米片场效应晶体管(FET)装置200(参见图2H)的方法的任务。如图2A所示,根据一个实施例的形成栅极环绕纳米片场效应晶体管200(参见图2H)的方法包括在下伏层或衬底202上形成或获得初始堆叠201。在一个或多个实施例中,衬底202可为体硅衬底(bulk Si substrate)、应变驰豫缓冲物(strain relaxed buffer,SRB)或绝缘体上硅(silicon on insulator,SOI)衬底。在所示出的实施例,初始堆叠201包括由非均匀牺牲区203与沟道层204形成的交替排列。在所示出的实施例中,最下部非均匀牺牲区203直接位于衬底202上。在一个或多个实施例中,沟道层204可由硅(Si)形成。
在所示出的实施例中,非均匀牺牲区203中的每一者包括上部牺牲层205、下部牺牲层206及位于上部牺牲层205与下部牺牲层206之间的中间牺牲层207。上部牺牲层205及下部牺牲层206被配置成以第一蚀刻速率进行蚀刻,且中间牺牲层207被配置成以大于所述第一蚀刻速率的第二蚀刻速率进行蚀刻。在一个或多个实施例中,上部牺牲层205、中间牺牲层207及下部牺牲层206可各自包含硅锗(SiGe)。另外,在一个或多个实施例中,可通过使中间牺牲层207的SiGe中的Ge%比上部牺牲层205及下部牺牲层206中的每一者的SiGe中的Ge%高来使得与上部牺牲层205及下部牺牲层206相比中间牺牲层207的蚀刻速率更大。在一个或多个实施例中,中间牺牲层207可包含Ge%大于近似40原子百分比(40at%)(例如,大于近似50at%)的SiGe,且上部牺牲层205及下部牺牲层206可各自包含Ge%小于近似35at%(例如,小于近似30at%)的SiGe。在一个或多个实施例中,中间牺牲层207可比上部牺牲层205及下部牺牲层206中的每一者薄。在一个实施例中,每一个非均匀牺牲区203的中间牺牲层207可具有近似1nm到近似5nm(例如,近似2nm到近似3nm)的厚度,且每一个非均匀牺牲区203的上部牺牲层205及下部牺牲层206中的每一者可具有近似3nm到近似7nm(例如,近似3nm到近似5nm)的厚度。
在一个或多个实施例中,相邻的沟道层204之间以及衬底202与最下部沟道层204之间的垂直间距(VSP)(例如,非均匀牺牲区203的厚度)为近似8nm到近似20nm(例如,近似9nm到近似14nm)。在一个或多个实施例中,初始堆叠201中的沟道层204的数目可为两个沟道层204到六个沟道层204(例如,两个沟道层204到四个沟道层204)。在一个或多个实施例中,沟道层204可具有近似6nm到近似60nm的宽度。在一个或多个实施例中,沟道层204可各自具有近似3nm到近似8nm(例如,近似4nm到近似7nm)的厚度。
尽管在所示出的实施例中,初始堆叠201包括三个非均匀牺牲区203及三个沟道层204,然而在一个或多个实施例中,初始堆叠201可根据栅极环绕纳米片场效应晶体管200的期望大小而包括任何其他合适数目的非均匀牺牲区203及沟道层204。
继续参照图2A所示实施例,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法包括以下任务:在初始堆叠201上形成虚设栅极堆叠208及外部间隔物209(例如,在初始堆叠201的最上部沟道层204上形成虚设栅极堆叠208以及位于虚设栅极堆叠208的相对两侧上的一对外部间隔物209)。虚设栅极堆叠208及外部间隔物209可通过在所属领域中已知的或今后将开发的任何制造技术或工艺来形成。
现参照图2B,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法包括以下任务:对初始堆叠201的一些部分进行深度蚀刻(例如,进行蚀刻以移除非均匀牺牲区203及沟道层204的一些部分)以分别形成用于源极电极及漏极电极的电极凹槽210、211。在所示出的实施例中,初始堆叠201的被蚀刻掉的一些部分在侧向上位于沉积在初始堆叠201的顶部上的外部间隔物209的外部,外部间隔物209是如以上参照图2A所示任务所阐述来形成的。尽管在所示出的实施例中,电极凹槽210、211在侧向上与外部间隔物209的边缘对准,然而在一个或多个实施例中,电极凹槽210、211可不在侧向上与外部间隔物209的边缘对准。举例来说,在一个或多个实施例中,电极凹槽210、211可在外部间隔物209的至少一部分之下延伸。在对初始堆叠201进行深度蚀刻的任务之后,位于虚设栅极堆叠208及外部间隔物209之下的非均匀牺牲区203及沟道层204的其余部分以堆叠方式交替地排列。
现参照图2C,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法包括以下任务:对非均匀牺牲区203中的每一者的面对电极凹槽210、211的相对两侧进行侧向蚀刻(例如,对非均匀牺牲区203中的每一者执行侧向回蚀(etch-back))。在对非均匀牺牲区203进行侧向蚀刻的任务期间,由于中间牺牲层207与上部牺牲层205及下部牺牲层206相比蚀刻速率较大(例如,由于中间牺牲层207的SiGe中Ge%与上部牺牲层205及下部牺牲层206的SiGe中的Ge含量相比较大),因此中间牺牲层207的相对两侧被移除到比上部牺牲层205及下部牺牲层206的相对两侧更大的程度。另外,在对非均匀牺牲区203进行侧向蚀刻期间,不会蚀刻或实质上不会蚀刻沟道层204(例如,沟道层204在对非均匀牺牲区203进行侧向蚀刻期间保持完好无损)。在对非均匀牺牲区203进行蚀刻的任务之后,沟道层204以及上部牺牲层205及下部牺牲层206延伸超出中间牺牲层207(例如,悬突于中间牺牲层207上)。因此,对于每一个非均匀牺牲区203来说,在对非均匀牺牲区203进行蚀刻的任务之后,在上部牺牲层205与下部牺牲层206之间在中间牺牲层207的相对两侧处形成一对中间侧向空腔212、213(即,中间侧向凹槽)。在所示出的实施例中,中间侧向空腔212、213分别与电极凹槽210、211连通。非均匀牺牲区203的中间牺牲层207可凹陷到任何合适的深度。举例来说,在一个或多个实施例中,非均匀牺牲区203的中间牺牲层207的相对两端可各自凹陷近似2nm到近似10nm(例如,近似4nm到近似8nm)。
现参照图2D,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法包括以下任务:在如以上参照图2B所示任务所阐述的对初始堆叠201的一些部分进行深度蚀刻的任务期间形成的电极凹槽210、211中分别外延再生长源极电极214及漏极电极215。在所示出的实施例中,外延再生长源极电极214及漏极电极215的任务包括分别外延再生长源极电极214及漏极电极215中的每一者的第一部分216、217的任务。在此任务期间,源极电极214的第一部分216及漏极电极215的第一部分217分别填充或实质上填充在如以上参照图2C所阐述对非均匀牺牲区203进行侧向蚀刻的任务期间在非均匀牺牲区203中的每一者中形成的中间侧向空腔212、213。另外,在此任务期间,源极电极214的第一部分216及漏极电极215的第一部分217沿上部牺牲层205及下部牺牲层206以及沟道层204的面对电极凹槽210、211的垂直侧表面218、219、220(例如,垂直侧壁)形成。在此任务期间,源极电极214的第一部分216及漏极电极215的第一部分217还可沿衬底202形成。也就是说,在外延再生长源极电极214及漏极电极215的任务期间,从包括以下在内的多个表面生长源极电极214的第一部分216及漏极电极215的第一部分217:上部牺牲层205及下部牺牲层206以及沟道层204的面对电极凹槽210、211的垂直侧表面218、219、220;衬底202的分别位于电极凹槽210、211的底部处的暴露出的表面221、222;以及上部牺牲层205及下部牺牲层206以及中间牺牲层207的面对中间侧向空腔212、213的表面。因此,在此任务期间形成的源极电极214的第一部分216及漏极电极215的第一部分217各自包括:垂直侧壁部分223、224,分别沿上部牺牲层205及下部牺牲层206以及沟道层204的面对电极凹槽210、211的垂直侧表面218、219、220延伸;水平部分225、226,分别沿衬底202从垂直侧壁部分223、224向外延伸;以及一系列侧向延伸部分227、228,分别从各个垂直侧壁部分223、224向内延伸到在非均匀牺牲区203中的每一者中形成的各个中间侧向空腔212、213中。
侧向延伸部分227、228具有与在以上参照图2C所阐述的对非均匀牺牲区203进行侧向蚀刻的任务期间中间牺牲层207被蚀刻到的深度对应或实质上对应的侧向长度。在一个或多个实施例中,侧向延伸部分227、228中的每一者的侧向长度可为近似2nm到近似10nm(例如,近似4nm到近似8nm)。另外,侧向延伸部分227、228具有与中间牺牲层207的厚度对应或实质上对应的垂直高度(即,厚度)。在一个或多个实施例中,侧向延伸部分227、228中的每一者的厚度可为近似2nm到近似6nm(例如,近似2nm到近似4nm)。在一个或多个实施例中,源极电极214的第一部分216及漏极电极215的第一部分217可由与沟道层204相同的材料形成(例如,源极电极214的第一部分216及漏极电极215的第一部分217可由未经掺杂的Si形成)。在一个或多个实施例中,源极电极214的第一部分216及漏极电极215的第一部分217可由Si形成,实质上由Si形成,或由比非均匀牺牲区203的牺牲层205、206、207高的Si%形成。
继续参照图2D所示实施例,所述方法还包括以下任务:在外延再生长源极电极214的第一部分216及漏极电极215的第一部分217的任务之后分别对源极电极214及漏极电极215中的每一者外延再生长第二部分229、230。
在一个或多个实施例中,栅极环绕纳米片场效应晶体管200可为nMOS FET及/或pMOS FET。在其中栅极环绕纳米片场效应晶体管200是nMOS FET的一个或多个实施例中,外延再生长源极电极214及漏极电极215中的每一者的第二部分229、230的任务可包含Si、SiP、SiCP、Si3P4或其组合,且第二部分229、230可对沟道层204赋予拉伸应变的分量。在其中栅极环绕纳米片场效应晶体管200是pMOS FET的一个或多个实施例中,外延再生长源极电极214及漏极电极215中的每一者的第二部分229、230的任务可包含Si或SiGe,且第二部分229、230的至少一部分可具有比沟道层204的Ge%大的Ge%,以使得第二部分229、230对沟道层204赋予压缩应变分量。在一个或多个实施例中,源极电极214及漏极电极215中的每一者的至少一部分用作在沟道层204中赋予应变的应力源。
现参照图2E所示实施例,所述方法包括以下任务:在源极电极214及漏极电极215上沉积层间介电质(interlayer dielectric,ILD)231;对虚设栅极堆叠208的顶部执行化学机械平坦化(chemical mechanical planarization,CMP);以及接着移除虚设栅极堆叠208。继续参照图2E,所述方法还包括以下任务:通过相对于沟道层204以及源极电极214的第一部分216及漏极电极215的第一部分217选择性地进行湿法蚀刻或干法蚀刻(例如,相对于Si沟道层204以及源极电极214的第一部分216及漏极电极215的第一部分217选择性地蚀刻)来移除非均匀牺牲区203的其余部分(即,移除每一个非均匀牺牲区203的上部牺牲层205的其余部分、中间牺牲层207的其余部分及下部牺牲层206的其余部分)。在一个或多个实施例中,对非均匀牺牲区203的选择性蚀刻将不蚀刻到沟道层204或源极电极214的第一部分216以及漏极电极215的第一部分217中,这是因为这些区是由Si形成的。在移除非均匀牺牲区203的其余部分的任务之后,分别在源极电极214的第一部分216及漏极电极215的第一部分217的侧向延伸部分227、228上方及下方形成一对上部凹槽及下部凹槽232、233以及234、235(即,在两个相邻的沟道层204之间或最下部沟道层204与衬底202之间界定的每一个区包括位于源极电极214的侧向延伸部分227中的一者上方及下方的左边一对上部凹槽232及下部凹槽233以及位于漏极电极215的侧向延伸部分228中的一者上方及下方的右边一对上部凹槽234及下部凹槽235)。
现参照图2F所示实施例,所述方法包括以下任务:形成(例如,沉积)介电材料236以填充或实质上填充在如以上参照图2E所阐述的移除非均匀牺牲区203的其余部分的任务之后形成的上部凹槽232、234及下部凹槽233、235中的每一者。介电材料236可为K值介于近似2到近似8范围内(例如,近似3到近似5)的氧化物材料或氮化物材料。在一个实施例中,介电材料236是K值小于4的氧化物,例如氧化硅。在所示出的实施例中,在上部凹槽232、234及下部凹槽233、235中沉积介电材料236的任务之后,留下未被填充的间隙237(例如,不含有介电材料236的空隙或空腔)。在所示出的实施例中,未被填充的间隙237在侧向上界定在侧向延伸部分227的最内端部与侧向延伸部分228的最内端部之间且在垂直方向上界定在相邻的沟道层204之间(或最下部沟道层204与衬底202之间)。在所示出的实施例中,在沉积介电材料236的任务期间,沿沟道层204及衬底202在水平方向上形成介电材料236的薄层238以使得未被填充的间隙237不会在垂直方向上一直延伸到沟道层204或衬底202。另外,在所示出的实施例中,在沉积介电材料236的任务期间,沿侧向延伸部分227、228的最内端部在垂直方向上形成介电材料236的薄层239以使得未被填充的间隙237不会在水平方向上一直延伸到侧向延伸部分227、228的最内端部。同时,介电材料236的薄水平层238及薄垂直层239围绕未被填充的间隙237中的每一者形成画框(picture frame)。
现参照图2G,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法包括以下任务:在如以上参照图2E所阐述的移除非均匀牺牲区203的其余部分的任务之后形成的上部凹槽232、234及下部凹槽233、235中形成反向内部间隔物240、241。在所示出的实施例中,反向内部间隔物240、241是通过移除介电材料236的未形成在上部凹槽232、234及下部凹槽233、235中的所有部分或实质上所有部分来形成(即,反向内部间隔物240、241是由介电材料的形成在(沉积在)上部凹槽232、234及下部凹槽233、235中的一些部分形成)。在所示出的实施例中,移除介电材料236的一些部分的此任务将沿沟道层204及衬底202水平延伸的介电材料236的薄层238以及沿侧向延伸部分227、228的最内端部垂直延伸的介电材料236的薄层239移除(即,此任务将围绕未被填充的间隙237中的每一者的画框移除)。通过移除介电材料236的这些部分,会形成一系列栅极堆叠空腔242,所述一系列栅极堆叠空腔242在侧向上界定在侧向延伸部分227的最内端部与侧向延伸部分228的最内端部之间且在垂直方向上界定在相邻的沟道层204之间(或最下部沟道层204与衬底202之间)。因此,在移除介电材料236的部分的此任务之后,介电材料236仅留在(或实质上仅留在)界定在源极电极214的侧向延伸部分227及漏极电极215的侧向延伸部分228中的每一者上方及下方的上部凹槽232、234及下部凹槽233、235中。在所示出的实施例中,反向内部间隔物240、241中的每一者分别包括上部内部间隔物段243、244及下部内部间隔物段245、246,其中下部内部间隔物段245、246通过源极电极214的侧向延伸部分227及漏极电极215的侧向延伸部分228中的一者在垂直方向上与各个上部内部间隔物段243、244间隔开。
上部内部间隔物段243、244及下部内部间隔物段245、246中的每一者具有小于或等于源极电极214及漏极电极215的对应的侧向延伸部分227、228的侧向长度的侧向长度。在一个或多个实施例中,上部内部间隔物段243、244及下部内部间隔物段245、246中的每一者的侧向长度可为近似2nm到近似10nm(例如,近似4nm到近似8nm)。另外,每一个反向内部间隔物240、241的上部内部间隔物段243、244及下部内部间隔物段245、246在垂直方向上隔开等于或实质上等于对应的侧向延伸部分227、228的厚度的距离。在一个或多个实施例中,每一个反向内部间隔物240、241的上部内部间隔物段243、244及下部内部间隔物段245、246垂直隔开的距离可为近似2nm到近似6nm(例如,近似2nm到近似4nm)。在一个或多个实施例中,所述方法可包括使反向内部间隔物240、241致密化(densification)(即,使反向内部间隔物240、241的上部内部间隔物段243、244及下部内部间隔物段245、246致密化)的一个或多个任务。使反向内部间隔物240、241致密化的所述一个或多个任务可包括任何合适的一种或多种工艺,例如(举例来说)热工艺。
现参照图2H,根据本公开一个实施例的形成栅极环绕纳米片场效应晶体管200的方法还包括以下任务:在栅极堆叠空腔242中的每一者中形成或沉积栅极堆叠247,栅极堆叠247包括金属栅极电极248及栅极介电质249(即,栅极介电层或栅极介电层的堆叠),例如高介电常数栅极氧化物层及界面层。在所示出的实施例中,每一个栅极堆叠247的金属栅极电极248可与对应的栅极介电质249相邻或实质上相邻。栅极堆叠247在反向内部间隔物240、241与侧向延伸部分227、228之间在侧向上延伸,侧向延伸部分227、228将每一个反相内部间隔物240、241的上部内部间隔物段243、244与下部内部间隔物段245、246在垂直方向上隔开。栅极堆叠247在相邻的沟道层204之间或最下部沟道层204与衬底202之间在垂直方向上延伸。形成或沉积包括金属栅极电极248及栅极介电质249的栅极堆叠247的任务可由现在已知或今后将开发的任何适合的替换金属栅极(RMG)工艺来执行。在形成栅极堆叠247的任务之后,反向内部间隔物240、241将金属栅极电极248从源极电极214及漏极电极215隔开,从而形成较低的栅极-漏极电容(Cgd)。
所述方法还包括完成通过所属领域中已知的任务形成栅极环绕纳米片场效应晶体管200以及包括一个或多个栅极环绕纳米片场效应晶体管200的电路,所述形成包括用于使栅极金属仅位于被移除的虚设栅极区中的化学机械平坦化任务、之后进行的接触件形成任务以及后道工艺(back-end-of-line,BEOL)形成的任务。另外,在一个或多个实施例中,所述方法可包括与根据上述本公开的任务形成的栅极环绕纳米片场效应晶体管200在同一芯片/电路上形成局部栅极环绕(GAA)场效应晶体管、传统的全栅极环绕场效应晶体管、及/或传统的鳍型场效应晶体管(Fin-type field effect transistor,finFET)。

Claims (20)

1.一种制造栅极环绕纳米片场效应晶体管的方法,其特征在于,包括:
在衬底上形成堆叠,所述堆叠包括由沟道层与非均匀牺牲区形成的交替排列,
其中所述非均匀牺牲区中的每一者包括上部牺牲层、中间牺牲层及下部牺牲层,且
其中所述上部牺牲层及所述下部牺牲层被配置成以第一蚀刻速率进行蚀刻,且所述中间牺牲层被配置成以大于所述第一蚀刻速率的第二蚀刻速率进行蚀刻。
2.根据权利要求1所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,还包括:
对所述堆叠进行蚀刻,以形成用于源极电极及漏极电极的电极凹槽;以及
对所述非均匀牺牲区执行侧向蚀刻,所述侧向蚀刻将所述非均匀牺牲区中的每一者的所述中间牺牲层蚀刻到比所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层更大的程度,以在所述非均匀牺牲区中的每一者的所述上部牺牲层与所述下部牺牲层之间形成一对凹槽。
3.根据权利要求2所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,还包括:
外延沉积源极电极的第一部分及漏极电极的第一部分,所述源极电极及所述漏极电极中的每一者的所述第一部分包括侧向延伸部分及垂直侧壁部分,所述侧向延伸部分至少局部地填充在所述上部牺牲层与所述下部牺牲层之间的所述凹槽中的一者中,所述垂直侧壁部分沿所述电极凹槽中的一者的侧壁延伸;
在所述电极凹槽中外延沉积所述源极电极的第二部分及所述漏极电极的第二部分;
移除所述非均匀牺牲区的其余部份,移除所述其余部份会在所述侧向延伸部分中的每一者的相对两侧上形成上部凹槽及下部凹槽;
通过在所述上部凹槽及所述下部凹槽中的每一者中沉积介电材料来形成反向内部间隔物;以及
在所述沟道层中的两个相邻的沟道层与所述反向内部间隔物中的两个对应的反向内部间隔物之间形成多个栅极堆叠。
4.根据权利要求3所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,在沉积所述介电材料以形成所述反向内部间隔物之后留下未被填充的间隙,且其中形成所述反向内部间隔物还包括移除位于所述上部凹槽及所述下部凹槽外的所述介电材料的部分。
5.根据权利要求3所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述介电材料包括氧化物及氮化物中的至少一种。
6.根据权利要求1所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述沟道层中的每一者包含Si。
7.根据权利要求6所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述上部牺牲层、所述中间牺牲层及所述下部牺牲层各自包含SiGe,且其中所述中间牺牲层的SiGe的Ge%大于所述上部牺牲层及所述下部牺牲层中的每一者的SiGe的Ge%。
8.根据权利要求6所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述中间牺牲层的Ge%大于40%,且所述上部牺牲层及所述下部牺牲层中的每一者的Ge%小于35%。
9.根据权利要求1所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度比所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层中的每一者的厚度薄。
10.根据权利要求1所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度为1nm到5nm,且其中所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层的厚度为3nm到7nm。
11.根据权利要求1所述的制造栅极环绕纳米片场效应晶体管的方法,其特征在于,所述非均匀牺牲区中的每一者的所述中间牺牲层的厚度为2nm到3nm,且其中所述非均匀牺牲区中的每一者的所述上部牺牲层及所述下部牺牲层的厚度为3nm到5nm。
12.一种栅极环绕纳米片场效应晶体管,其特征在于,包括:
源极电极;
漏极电极;
多个沟道区,在所述源极电极与所述漏极电极之间延伸;
栅极堆叠,位于所述源极电极与所述漏极电极之间以及所述多个沟道区中的两个相邻的沟道区之间;以及
一对反向内部间隔物,位于所述两个相邻的沟道区之间,
其中所述源极电极及所述漏极电极各自包括第一部分及第二部分,所述第一部分包括垂直侧壁部分及在所述两个相邻的沟道区之间从所述垂直侧壁部分延伸的侧向延伸部分,
其中所述一对反向内部间隔物的每一个反向内部间隔物包括第一内部间隔物段及第二内部间隔物段,所述第二内部间隔物段在垂直方向上通过所述源极电极的所述侧向延伸部分或所述漏极电极的所述侧向延伸部分中的一者而与所述第一内部间隔物段间隔开,且
其中所述反向内部间隔物中的每一者的所述第一内部间隔物段及所述第二内部间隔物段与所述源极电极的所述第一部分及所述漏极电极的所述第一部分中的一者的所述垂直侧壁部分相邻。
13.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述源极电极及所述漏极电极中的每一者包含实质上不含有缺陷的晶体材料。
14.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述源极电极的所述第一部分及所述漏极电极的所述第一部分包含未经掺杂的Si。
15.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述源极电极的所述第二部分及所述漏极电极的所述第二部分包含经掺杂的Si。
16.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述侧向延伸部分具有2nm到10nm的侧向长度及2nm到6nm的高度。
17.根据权利要求16所述的栅极环绕纳米片场效应晶体管,其特征在于,所述第一内部间隔物段及所述第二内部间隔物段中的每一者具有小于或实质上等于所述侧向延伸部分的侧向长度的侧向长度,且其中所述反向内部间隔物中的每一者的所述第一内部间隔物段与所述第二内部间隔物段之间的垂直间距实质上等于所述侧向延伸部分的高度。
18.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述反向内部间隔物中的每一者包含选自由氧化物材料及氮化物材料组成的材料群组的介电材料,且其中所述介电材料具有介于2到8之间的K值。
19.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,
所述两个相邻的沟道区之间的垂直间距为8nm到20nm,
所述两个相邻的沟道区中的每一者的宽度为6nm到60nm,且
所述两个相邻的沟道区中的每一者的厚度为3nm到8nm。
20.根据权利要求12所述的栅极环绕纳米片场效应晶体管,其特征在于,所述栅极环绕纳米片场效应晶体管选自由nMOS FET、pMOS FET及其组合组成的群组。
CN201810432176.2A 2017-05-08 2018-05-08 栅极环绕纳米片场效应晶体管及其制造方法 Active CN108878277B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762503275P 2017-05-08 2017-05-08
US62/503,275 2017-05-08
US15/683,304 2017-08-22
US15/683,304 US10008583B1 (en) 2017-05-08 2017-08-22 Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same

Publications (2)

Publication Number Publication Date
CN108878277A true CN108878277A (zh) 2018-11-23
CN108878277B CN108878277B (zh) 2023-04-11

Family

ID=62623943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810432176.2A Active CN108878277B (zh) 2017-05-08 2018-05-08 栅极环绕纳米片场效应晶体管及其制造方法

Country Status (4)

Country Link
US (1) US10008583B1 (zh)
KR (1) KR102311155B1 (zh)
CN (1) CN108878277B (zh)
TW (1) TWI701723B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109599335A (zh) * 2018-12-27 2019-04-09 中国科学院微电子研究所 环栅纳米线晶体管及其制备方法
CN111490092A (zh) * 2019-01-29 2020-08-04 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
WO2020248474A1 (zh) * 2019-06-11 2020-12-17 中国科学院微电子研究所 堆叠式环栅纳米片cmos器件结构及其制造方法
CN114093943A (zh) * 2020-08-24 2022-02-25 中国科学院微电子研究所 一种半导体器件及其制造方法

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US10546942B2 (en) * 2017-07-25 2020-01-28 International Business Machines Corporation Nanosheet transistor with optimized junction and cladding defectivity control
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10896956B2 (en) * 2017-12-22 2021-01-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Field effect transistor with reduced contact resistance
US10559656B2 (en) * 2018-05-02 2020-02-11 Globalfoundries Inc. Wrap-all-around contact for nanosheet-FET and method of forming same
US10388755B1 (en) * 2018-06-04 2019-08-20 International Business Machines Corporation Stacked nanosheets with self-aligned inner spacers and metallic source/drain
US10930755B2 (en) * 2018-11-26 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned inner spacer on gate-all-around structure and methods of forming the same
US11101360B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR20200084532A (ko) 2019-01-03 2020-07-13 삼성전자주식회사 복수의 채널층을 갖는 반도체 소자 및 그 제조 방법
US10991798B2 (en) 2019-01-21 2021-04-27 International Business Machines Corporation Replacement sacrificial nanosheets having improved etch selectivity
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
US10833168B2 (en) 2019-03-08 2020-11-10 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) nanosheet devices with epitaxial source/drains and replacement metal gate structures
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10916630B2 (en) 2019-04-29 2021-02-09 International Business Machines Corporation Nanosheet devices with improved electrostatic integrity
KR20200136133A (ko) 2019-05-27 2020-12-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11037832B2 (en) 2019-05-29 2021-06-15 International Business Machines Corporation Threshold voltage adjustment by inner spacer material selection
KR20200137405A (ko) 2019-05-30 2020-12-09 삼성전자주식회사 반도체 장치
US11049933B2 (en) 2019-07-18 2021-06-29 International Business Machines Corporation Creation of stress in the channel of a nanosheet transistor
US11081568B2 (en) 2019-07-22 2021-08-03 International Business Machines Corporation Protective bilayer inner spacer for nanosheet devices
KR20210018573A (ko) 2019-08-05 2021-02-18 삼성전자주식회사 활성 영역 및 게이트 구조물을 갖는 반도체 소자
US11139372B2 (en) 2019-08-07 2021-10-05 International Business Machines Corporation Dual step etch-back inner spacer formation
US11489063B2 (en) * 2019-08-30 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Method of manufacturing a source/drain feature in a multi-gate semiconductor structure
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
US11495540B2 (en) * 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
US11121218B2 (en) 2019-11-14 2021-09-14 International Business Machines Corporation Gate-all-around transistor structure
US11133221B2 (en) * 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11251280B2 (en) 2019-12-17 2022-02-15 International Business Machines Corporation Strained nanowire transistor with embedded epi
US11183561B2 (en) * 2020-01-07 2021-11-23 International Business Machines Corporation Nanosheet transistor with inner spacers
US11164958B2 (en) 2020-01-27 2021-11-02 International Business Machines Corporation Nanosheet transistor having a strained channel with strain-preserving multi-segmented source/drain regions
US11495682B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11581414B2 (en) * 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
US11855185B2 (en) 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same
US11469326B2 (en) * 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
KR102395096B1 (ko) * 2020-11-30 2022-05-10 (재)한국나노기술원 수평 에피택시 성장을 이용하여 수직으로 적층된 나노와이어 채널을 갖는 전계효과 트랜지스터 제조방법
US11735628B2 (en) 2021-03-01 2023-08-22 International Business Machines Corporation Nanosheet metal-oxide semiconductor field effect transistor with asymmetric threshold voltage
US11664422B2 (en) 2021-06-14 2023-05-30 International Business Machines Corporation Nanosheet transistor with ultra low-k spacer and improved patterning robustness
TW202338997A (zh) * 2022-03-29 2023-10-01 南韓商Hpsp股份有限公司 半導體器件及半導體器件的製造方法
KR20240001541A (ko) 2022-06-27 2024-01-03 충북대학교 산학협력단 소비전력 감소 및 출력성능 개선을 위한 나노시트 반도체소자 및 그 제조방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045725A1 (en) * 2005-08-30 2007-03-01 Eun-Jung Yun Gate-all-around integrated circuit devices
US20110084314A1 (en) * 2009-10-12 2011-04-14 NuPGA Corporation System comprising a semiconductor device and structure
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN104701374A (zh) * 2013-12-10 2015-06-10 中芯国际集成电路制造(上海)有限公司 隧穿场效应晶体管及其形成方法
US20160043225A1 (en) * 2014-08-05 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar Device and Strain-Generating Channel Dielectric
CN105655334A (zh) * 2011-12-28 2016-06-08 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
KR101821672B1 (ko) * 2011-12-23 2018-01-24 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
EP3123515B1 (en) 2014-03-24 2021-04-28 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices and nanowire device with internal spacers
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10396152B2 (en) * 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
US9362355B1 (en) 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045725A1 (en) * 2005-08-30 2007-03-01 Eun-Jung Yun Gate-all-around integrated circuit devices
US20110084314A1 (en) * 2009-10-12 2011-04-14 NuPGA Corporation System comprising a semiconductor device and structure
CN105655334A (zh) * 2011-12-28 2016-06-08 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN104701374A (zh) * 2013-12-10 2015-06-10 中芯国际集成电路制造(上海)有限公司 隧穿场效应晶体管及其形成方法
US20160043225A1 (en) * 2014-08-05 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar Device and Strain-Generating Channel Dielectric

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109599335A (zh) * 2018-12-27 2019-04-09 中国科学院微电子研究所 环栅纳米线晶体管及其制备方法
CN111490092A (zh) * 2019-01-29 2020-08-04 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
CN111490092B (zh) * 2019-01-29 2023-09-12 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
WO2020248474A1 (zh) * 2019-06-11 2020-12-17 中国科学院微电子研究所 堆叠式环栅纳米片cmos器件结构及其制造方法
US11411091B2 (en) 2019-06-11 2022-08-09 Institute of Microelectronics, Chinese Academy of Sciences Structure of stacked gate-all-around nano-sheet CMOS device and method for manufacturing the same
CN114093943A (zh) * 2020-08-24 2022-02-25 中国科学院微电子研究所 一种半导体器件及其制造方法

Also Published As

Publication number Publication date
TW201907453A (zh) 2019-02-16
KR102311155B1 (ko) 2021-10-12
KR20180123422A (ko) 2018-11-16
CN108878277B (zh) 2023-04-11
US10008583B1 (en) 2018-06-26
TWI701723B (zh) 2020-08-11

Similar Documents

Publication Publication Date Title
CN108878277A (zh) 栅极环绕纳米片场效应晶体管及其制造方法
US10950711B2 (en) Fabrication of vertical field effect transistor structure with strained channels
US11387102B2 (en) Stacked nanowire transistors
KR102311163B1 (ko) 나노와이어 형 채널 영역이 적층된 전계 효과 트랜지스터 및 그 제조 방법
US9954063B2 (en) Stacked planar double-gate lamellar field-effect transistor
US11637193B2 (en) Gate-all-around field effect transistor and method for manufacturing same
US20160225916A1 (en) Stacked nanowire device with variable number of nanowire channels
KR20170109487A (ko) 소오스/드레인 스트레서를 갖는 나노시트 및 나노와이어 장치와 그 제조 방법
US8946010B2 (en) Three dimensional FET devices having different device widths
US9947592B2 (en) FinFET devices and methods of forming the same
CN112018184B (zh) 带铁电或负电容材料的器件及其制造方法及电子设备
US9590101B2 (en) FinFET with multiple dislocation planes and method for forming the same
US9437713B2 (en) Devices and methods of forming higher tunability FinFET varactor
CN111653610A (zh) 一种gaa结构的形成方法
JP2024511908A (ja) 本体接触を有するナノシート・トランジスタ
US20200312725A1 (en) Method of forming a semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant