TWI326468B - Plasma processing apparatus, plasma processing method, and tray - Google Patents

Plasma processing apparatus, plasma processing method, and tray Download PDF

Info

Publication number
TWI326468B
TWI326468B TW095137303A TW95137303A TWI326468B TW I326468 B TWI326468 B TW I326468B TW 095137303 A TW095137303 A TW 095137303A TW 95137303 A TW95137303 A TW 95137303A TW I326468 B TWI326468 B TW I326468B
Authority
TW
Taiwan
Prior art keywords
substrate
tray
supporting portion
substrate mounting
mounting surface
Prior art date
Application number
TW095137303A
Other languages
English (en)
Other versions
TW200721304A (en
Inventor
Shogo Okita
Hiromi Asakura
Syouzou Watanabe
Ryuuzou Houtin
Hiroyuki Suzuki
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2005297380A external-priority patent/JP2007109771A/ja
Priority claimed from JP2005297378A external-priority patent/JP4361045B2/ja
Application filed by Panasonic Corp filed Critical Panasonic Corp
Publication of TW200721304A publication Critical patent/TW200721304A/zh
Application granted granted Critical
Publication of TWI326468B publication Critical patent/TWI326468B/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0068Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Description

1326468 九、發明說明: 【發明所屬之技術領域3 技術領域 本發明係有關一種乾式蝕刻裝置、CVD裝置等之電漿 處理裝置、電漿處理方法及電漿處理裝置用之托盤。 C先前技術3 背景技術 特開2000-58514號公報中揭示有一種電漿處理裝置, 係構成將收納有基板之有底托盤配置於具有下部電極功能 之基板基座上,並透過托盤間接地使基板靜電吸附於基板 基座上的結構,並且該電漿處理裝置設有基板基座之冷卻 機構,而基板係藉由與隔著托盤之基板基座的間接熱傳導 進行冷卻。 特開2003-197607號公報中揭示有一種電漿處理裝 置’係構成將收納有基板之有底托盤配置於基板基座上, 並且利用夾環將基板之外周緣附近壓在基板基座側,藉此 將基板固定於基板基座之結構,並且該電漿處理裝置設有 可貫穿托盤並到達基板下面之流路,而基板之裡面係藉由 透過前述流路供給之冷卻氣體進行冷卻。 然而,在前者文獻所記載之電漿處理裝置中,由於基 板係透過托盤間接地靜電吸附於基板基座上,並且只藉由 與隔著托盤之基板基座的間接熱傳導進行冷卻,因此無法 有效率的冷卻基板。 另一方面’在後者文獻所記載之電漿處理裝置中,存 5 1326468 有夾環之基板外周緣附近特別具有電漿狀態不穩定的傾 向’並且基板之中央部分與外周緣附近無法進行均一化的 k 處理。例如’在乾式蝕刻的情況下,存有夾環之基板外周 · 緣附近無法形成蝕刻圖案。 再者’包含前述兩個文獻所揭示者,在採取將收納有 以往提出之基板的托盤配置於基板基座之方式的電漿處理 - 裝置中,無法充分地考慮基板對於基板基座之定位精度。 . 然而’基板對於基板基座之定位精度特別在實現收納於一 個托盤之複數基板的分批處理上是重要的。 · C 曰月内】 發明揭示 發明欲解決之課題 本發明係以在將收納有基板之托盤配置於基板基座上 的電漿處理裝置中,藉由以高密接度將基板固持於基板基 座,而達到提昇基板之冷卻效率、均一地進行包含外周緣 附近之基板表面全區域的處理、及提昇基板對於基板基座 之定位精度之目的為課題。 馨 解決課題之手段 第1發明係提供一種電漿處理裝置,包含有:托盤,係 設有於厚度方向上貫穿之基板收納孔,並具有從該基板收 納孔之孔壁突出,且用以支撐收納於前述基板收納孔内之 基板下面外周緣部分的基板支樓部者;介電體構件,係包 含有可支撐前述托盤之下面的托盤支撐部、及從該托盤支 撐部朝上突出且從前述托盤之下面側插入前述基板收納 - r ·-» 6 ί. d i 孔,並於其上端面之基板載置面上載置有前述基板之下面 的基板載置部,又,該介電體構件内建有用以將前述基板 靜電吸附於前述基板載置面之靜電吸附用電極者;直流電 壓施加機構,係用以施加直流電壓於前述靜電吸附用電極 者;及熱傳氣體供給機構,係用以將熱傳氣體供給至前述 基板與前述基板載置面間者。 基板之下面係在不透過托盤的情況下直接載置於介電 體構件上。詳而言之,本發明係從托盤之下面側將介電體 構件的基板載置部插入基板收納孔中,並且將基板載置於 基板載置部之上端面的基板載置面。這樣一來,當從直流 電壓施加機構施加直流電壓至靜電吸附用電極時,係以高 松接度將基板固持於基板載置面上。結果,透過熱傳氣體 之基板與基板載置面間的熱傳導性佳,並可以高冷卻效率 冷卻基板,亦可以高精度控制基板溫度。 由於基板係直接載置於基板載置面,並且進行靜電吸 附,因此不需要用以將基板上面的外周緣部分機械性地壓 於介電體構件的失環等構件。換言之,在作為錢處理對 象之基板上面,不存有造成其中央部分及外周緣附近之電 漿狀態不穩定化的構件。因此,可在包含外周緣附近之基 板表面全區域實現均一的電漿處理。 藉由將基板載置部放入托盤之基板收納孔内,可使基 板載置於基板載置面。因此,可雜高的定位精度將基板 固持於基板載置面上。 第2發明係提供—種電漿處理方法’絲準備設有於厚 1326468
度t向上貫穿之基板收納孔,並具有從該基板收納孔之孔 f突出之基板切部的托盤,再將基板收納於前述托盤之 月』述基板收納孔’並以前述基板支樓部支撐前述基板下面 之外周緣部分’使從前述托盤之下面侧看時前述基板之下 面從前述基缺納孔露出。接著,將_有前述基板之前 述托盤配置於收納於真空容㈣之介電體構件的上方使 前述托盤朝前述介電體構件下降,独前述絕緣構件之托 盤支樓部支#前述托盤之下面,並且使從前述托盤支撐部 突出之基板載置部從前述托盤之下面侧插人前述基板收納 孔中’再將基板下㈣置於前述基板載置部之上端面的基 板載置面上《後’將直流電壓施加在内建於前述介電體 構件之靜電吸附用電極,並使前述基板靜電⑽於前述基 板載置面。接著’將熱傳氣體供給至前述基板之下面與前 述基板載置面之間,使電漿產生於前述真空容器内。 第3發明係提供一種電漿處理裝置用之托盤,包含有: 托盤本體,係設有於厚度方向上貫穿且可收納基板之基板
收納孔者;及基板支撐部,係從前述基板之收納孔的孔壁 突出,並支撐收納於前述基板收納孔内之基板外周緣部 分,使從前述托盤本體之下面側看時其下面從前述基板收 納孔露出者。 由於基板之下面從基板收納孔露出’因此可在不透過 托盤之情況下將基板直接載置於電漿處理裝置之基板基座 上。因此’可藉由靜電吸附以高密接度將基板固持於基板 基座上。結果,基板與基板基座間的熱傳導性佳,且可以 .1» -Λ -Λ i ^ i 8 1326468 局冷部效率冷卻基板’並可以高精度控制基板溫度。 由於基板係直接載置於基板基座上,因此可藉由靜電 吸附以高密接度固持於基板基座上。因此,不需要用以將 基板上面的外周緣部分機械性地壓於介電體構件的夾環等 構件。換言之,在作為電漿處理對象之基板上面,不存有 造成其中央部分及外周緣附近之電漿狀態不穩定化的構 件因此了在包含外周緣附近之基板表面全區域實現均 一的電漿處理。 藉由使基板基座之一部分進入托盤之基板收納孔内, 可直接將基板載置於基板基座上。因此,可提昇基板對於 基板基座之定位精度。 發明效果 根據本發明,由於基板可在不透過托盤之情況下直接 載置於介電體構件的基板載置面,並且進行靜電吸附,因 此可以較高的密接度將基板固持於基板載置面上,並可提 高基板之冷卻效率,並且可以高精度控制基板溫度。又, 由於不需要用以將基板上面的外周緣部分機械性地壓於介 電體構件的夾環等構件,因此可在包含外周緣附近之基板 表面全區域實現均一的電漿處理。再者,由於藉由將基板 栽置部插入托盤之基板收納孔内可將基板載置於基板載置 面上’因此可提升基板對於介電體構件之定位精度。 圖式簡單說明 第1圖係本發明第1實施形態之乾式蝕刻裝置的模式截 面圖。 9 1326468 第2圖係本發明第1實施形態之乾式蝕刻裝置的模式平 面圖。 第3圖係顯不托盤及介電體板之立體圖。 第4A圖係托盤之平面圖。 第4B圖係第4A圖中直線iv_jv的截面圖。 第5A圖係托盤及介電體板之部分放大截面圖(載置托 盤前)。 第5B圖係托盤及介電體板之部分放大截面圖(載置托 盤後)。 第6A圖係介電體板之平面圖。 第6B圖係第6A圖中直線νι_νΐ的截面圖。 第7圖係托盤及介電體板之第1代替方案的部分放大截 面圖。 第8圖係托盤及介電體板之第2代替方案的部分放大截 面圖。 第9圖係托盤及介電體板之第3代替方案的部分放大截 面圖0 第10圖係係托盤及介電體板之第4代替方案的部分放 大截面圖。 第11圖係顯示具有本發明第2實施形態之乾式餘刻# 置之托盤及介電體板的截面圖。 第12A圖係托盤之平面圖。 第12B係第12A圖中直線XII-XII的截面圖。 第13A圖係介電體板之平面圖。 10 1326468 第13B圖係第13A圖中直線XIII-XIII的截面圖。 ^ 第14圖係顯示托盤之第1代替方案的平面圖。 " 第15圖係顯示托盤之第2代替方案的平面圖。 第16圖係顯示本發明第3實施形態之乾式蝕刻裝置的 模式截面圖。 ' 第17圖係第16圖中XVII部分的部分放大圖。 . 第18A圖係顯示托盤及導板之第1代替方案的部分放大 截面圖。 # 第18B圖係顯示托盤及導板之第2代替方案的部分放大 截面圖。 第19圖係顯示本發明第4實施形態之乾式蝕刻裝置的 模式截面圖。 第20圖係顯示本發明第5實施形態之乾式蝕刻裝置的 模式截面圖。 第21圖係顯示本發明第6實施形態之乾式蝕刻裝置的 模式截面圖。 ® 第2 2圖係顯示本發明第7實施形態之乾式蝕刻裝置的 模式截面圖。 第23圖係顯示本發明第8實施形態之乾式蝕刻裝置的 模式截面圖。 第2 4圖係顯示本發明第9實施形態之乾式蝕刻裝置的 模式截面圖。 第25圖係顯示具有本發明第9實施形態之乾式蝕刻裝 置之托盤及介電體板的模式立體圖。 11 1326468 第26A圖係顯示具有本發明第9實施形態之乾式蝕刻裝 ' 置之托盤的平面圖。 、 第26B圖係第26A圖中直線XXVI-XXVI的截面圖。 w 第27A圖係介電體板之平面圖。 第27B圖係第27八圖中直線又又乂11000^11的截面圖。 第28圖係顯示偏壓功率與基板溫度的關係圖。 . 第29圖係顯示比較例2中姓刻速度分布的圖。
W 第30圖係顯示實驗例中蝕刻速度分布的圖。 【實施方式】 0 實施發明之最佳形態 (第1實施形態) 第1圖及第2圖係顯示本發明第1實施形態之ICP(感應 耦合電漿)型的乾式蝕刻裝置1。 - 乾式钱刻裝置1具有可構成處理室之室(真空容器)3,而 該處理室係於其内部對基板2進行電漿處理者。室3之上端 開口係由石英等介電體所構成之頂板4封閉成密封狀態。頂 板4上配置有ICP線圈5。Icp線圈5上透過匹配電路6電連接 鲁 有高頻電源7。在與頂板4相對之室3内的底部側配設有基板 基座9,而該基板基座9具有施加有偏電壓之下部電極的功 能及基板2之固持台的功能。室3上設有可開關之送入送出 用閘3a ’而該閘3a與相鄰之負載台室1〇(參照第2圖)相連 通。又’ SX於至3絲觀縣給°耻連财侧氣體供 …源12。蚀刻氣體供給源似有厘冗(質流控制器)等 ,並可 從Μ刻σ 3b供給所要流量的钱刻氣體。再者,設 12 1326468 於室3之排氣口 3c連接有具有真空泵等的真空排氣裝置13。 在本實施形態中,在第3圖至第4B圖所示之1個托盤15 上收納有4片基板2,且托盤15通過閘3a從負載台室1〇送入 室3(處理室)内。當參照第2圖時,圖中設有可朝水平方向直 線移動(參照箭頭A)並可在水平面内旋轉(參照箭頭B)之運 送臂16。又,室3内設有貫穿基板基座9並且因驅動裝置门 之驅動而升降的升降銷18。當送入托盤15時,支撐托盤15 之運送臂16會通過閘3a並從負載台室1〇進入室3内。此時, 升降銷18位於第1圖中雙點鏈線所示之上升位置,並使托盤 15從送入室3内之運送臂16移動至升降銷18之上端。在該狀 態下,托盤15係留有間隔地位於基板基座9之上方處。接 著’使升降銷18下降至第1圖之實線所示之下降位置,藉此 使托盤15與基板2載置於基板基座9上。另一方面,當結束 電漿處理後送出托盤15時,升降銷18會上升至上升位置, 並且使把盤15從負載台室10移動至進入室3内之運送臂16 上。 接著’一面參照第3圖至第5 B圖一面針對托盤丨5加以說 明。托盤15具有呈薄圓板狀之托盤本體15a。托盤15之材質 可使用,例如’氧化紹(Al2〇3)、氣化紹(A1N)、氧化錯(Zr〇)、 氧化紀(Y2〇3)、氮化矽(SiN)、及碳化矽(SiC)等陶瓷材料; 或以防蝕鋁覆蓋之鋁 '表面噴焊有陶瓷之鋁、及以樹脂材 料覆蓋之鋁等金屬。C1系處理可採用氧化鋁、氧化釔、碳 化矽、及氮化鋁等,而F系處理可採用石英、水晶、氧化釔' 碳化石夕、及噴焊有防蝕鋁之鋁等。 13 1326468 托盤本體15a上設有4個從上面15b至下面15c於厚度方 向上貫穿的基板收納孔19A〜19D。從上面15b及下面15c看 來,基板收納孔19A~19D係對於托盤本體15a之中心以等角 度間隔設置。如第5A圖及第5B圖清楚所示,於基板收納孔 19A〜19D之孔壁15d下面15c側設有朝基板收納孔19A〜19D 中心突出之基板支撐部21。在本實施形態中,基板支撐部 21係設於孔壁15d之全周,且平面看來呈圓環狀。 各個基板收納孔19A〜19D中分別收納有1片基板2。如 第5A圖所示,收納於基板收納孔19A〜19D之基板2的下面2a 外周緣部分係由基板支撐部21之上面21a所支撐。又,由於 基板收納孔19A〜19D係如前述地於厚度方向上貫穿托盤本 體15a ’因此從托盤本體i5a之下面15c側來看時,基板2之 下面2a會從基板收納孔19A〜19D露出。 托盤本體15a中設有已切除部分外周緣之定位缺口 15e。如第2圖所示,當將托盤載置於前述送入送出用運送 臂16時,運送臂16之定位突起16a會嵌入定位缺口 i5e中。 藉由以設於負載台室10内之感測器22A、22B來檢測定位缺 口 15e及定位突起16a,可檢測托盤15之旋轉角度位置。 接著,一面參照第1圖、第3圖及第5A圖〜第6b圖一面 針對基板基座9加以說明。首先,當參照第丨圖時,基板基 座9包含:由陶瓷等所構成之介電體板(介電體構件)23 ;由 表面形成有防他㈣之料所構成,且在本實施形態中 具有作為基座電極之功能的金屬板(支撐構件)24;由陶究等 所構成之間隔板25 ;由陶究等所構成之引導筒體% :及金
-f ·η I ^ J 14 1326468 • 屬製之接地遮蔽構件27。構成基板基座9之最上部的介電體 板23係固疋於金屬板24上面。又,金屬板24係固定於間隔 板25上。再者,介電體板23與金屬板24之外周覆蓋有引導 筒體2 6 ’而其外側與間隔板2 5外周覆蓋有接地遮蔽構件2 7。 S參照第3圖及第5A圖〜第6B圖時,介電體板23之整體 ' 呈薄圓板狀,且平面看來之外形呈圓形。介電體板23之上 . 端面構成用以支推托盤15下面15c之把盤支擇面(托盤支撑 部)28。又,分別與托盤15之基板收納孔19A〜19D對應的4 β 個短圓柱狀基板載置部29Α〜29D係從托盤支撐面28朝上突 出。 . 基板載置部29Α〜29D之上端面構成可載置基板2下面 2a的基板載置面31。又,基板載置部29A-29D上設有從基 板載置面31之外周緣朝上突出’且以其上端面支樓基板2下 面2a的圓環狀突出部32。又,由基板載置面31之圓環狀突 出部32圍住之部分上設有複數個均一分布且直徑小於基板 載置面31甚多的圓柱狀突起33。圓柱狀突起33與從圓環狀 • 突出部32之基板載置面31突出的量相同,並且不只圓環狀 突出部32 ’圓柱狀突起33之上端面亦可支撐基板2之下面 2a ° 當參照第5A圖及第5B圖時,基板載置部29A〜29D之外 徑R1係設定成小於被基板支撐部21之前端面2lb所圍住之 圓形開口 36的直徑R2。因此,當托盤15在前述送入時朝介 電體板23下降的話,各個基板載置部29A〜29D會從托盤本 體15a之下面15c側進入相對應之基板收納孔19A〜19D内, 15 1326468 且托盤15之下面15c會載置於介電體板23之托盤支撐面28 上。又,從托盤本體15a之下面15c至基板支撐部21之上面 ^ 21a的高度H1係設定成低於從托盤支撐面28至基板載置面 " 31的高度H2。因此,在托盤15之下面15c載置於托盤支撐面 28上的狀態下,基板2會被基板載置部29A〜29D上端之基板 載置面31推起,而相對托盤15之基板支撐部21浮起。換言 - 之,當將於基板收納孔19A〜19D中收納有基板2之托盤15載 . 置於介電體板23上時,收納於基板收納孔19A-19D之基板2 會從基板支撐部21之上面21a浮起,且其下面2a會載置於基 鲁 板載置面31上。 . 又,如第5A圖及第5B圖所示,基板載置部29A〜29D之 外周面38與基板載置面31的連接部分去角成圓形面。因 此,基板載置部29A〜29D上端側之從基板收納孔19A〜19D 之貫穿方向所見的外徑係從基板載置面31侧朝托盤支撐面 28增加。另一方面,基板載置部29A〜29D外周面38下端側 從基板收納孔19A〜19D之貫穿方向所見的外徑可為一定。 當參照第1圖時,介電體板23之各個基板載置部 · 29A〜29D的基板載置面31附近内建有單極型靜電吸附用電 極40。該等靜電吸附用電極4〇係互相電絕緣,並從具有直 流電源41與調整用電阻42等的共通直流電壓施加機構43施 加靜電吸附用之直流電壓。 當參照第3圖、第6 A圖及第6B圖時,各個基板載置部 29A〜29D之基板載置面31上設有熱傳氣體(本實施形態中 係氦)之供給孔44。該等供給孔44連接於共通之熱傳氣體供 16 VS] 1326468 給機構45(如第1圖所示)。熱傳氣體供給機構45包含:熱傳 氣體源(在本實施形態中係氦氣體源)46 ;從熱傳氣體源邾 至供給孔44之供給流路47 ;依序設於供給流路47之熱傳氣 體源46側的流量計48 ;流量控制閥49及測壓計50。又,熱 傳氣體供給機構45具有從供給流路47分歧之排出流路51、 及设於則述排出流路51之斷流閥52。再者,熱傳氣體供給 機構45具有較供給流路47之測壓計5〇靠近供給孔44側並與 排出流路51連接的旁路流路53。在各個基板載置部 29Α〜29D之基板載置面31與載置於其上之基板2下面以 間,詳而言之,在由基板2之下面2a與圓環狀突出部32所圍 住之封閉空間中,藉由熱傳氣體供給機構45供給有熱傳氣 體。當供給熱傳氣體時,便關閉斷流閥52,使熱傳氣體從 熱傳氣體供給源46經過供給流路47再送至供給孔44中。接 著,根據流量計48與測壓計50所檢測之供給流路47的流量 及壓力’以後述控制器63控制流量控制閥49。另一方面, 當排出熱傳氣體時,便開啟斷流閥52,使基板2之下面2a與 基板載置面31間的熱傳氣體經過供給孔44、供給流路47、 及排出流路51並從排氣口 54排出。 金屬板24上電連接有用以施加作為偏電壓之高頻的高 頻施加機構56 〇高頻施加機構56具有高頻電源57與匹配電 路用之可變容量電容器58。 又’本發明設有用以冷卻金屬板24之冷卻機構59。冷 卻機構59具有形成於金屬板24内之冷媒流路6〇、及使業經 調溫之冷媒循環於冷媒流路60中的冷媒循環裝置61。 17 1326468 在第1圖中只模式地顯示之控制器63係根據包含流量 計48及測壓計5〇等種種的感測器及操作輸入控制包含高頻 、 電源7、蝕刻氣體供給源12、運送臂16、真空排氣裝置13、 · 驅動裝置17、直流電壓施加機構43、熱傳氣體供給機構45、 高頻電壓施加機構56、及冷卻機構59等之乾式姓刻裝置}的 整體動作。 - 接著,說明使用有本實施形態之乾式钱刻裝置1的乾式 ^ 姓刻法。 首先’托盤15之基板收納孔19A〜19D中分別收納有基 鲁 板2。由托盤15之基板支樓部21a支標的基板2係在從托盤本 體15a的下面側看來時,透過基板收納孔19A〜19D從托盤本 體15a之下面15c露出。 接著,以運送臂16支撐於基板收納孔19A~19D中分別 收納有基板2的托盤15,並從負載台室1〇通過閘3a送入室3 内。此時,如第1圖之雙點鏈線所示,托盤15係留有間隔地 配置於基板基座9之上方。 接著,驅動驅動裝置7使升降銷18上升,並使托盤15 ® 從輸送臂16移動至升降銷18上端。在移動托盤15之後,運 送臂16便退至負載台室10中,並關閉閘3a。 上端支撐有托盤15之升降銷18係從第1圖中雙點鏈線 所示之上升位置朝基板基座9下降。當參照第5A圖及第5B 圖時,托盤15之下面15c係下降至基板基座9之介電體板23 的托盤支撐面28,並由介電體板23之托盤支撐面28支撐。 當托盤15朝托盤支撐面28下降時,介電體板23之基板載置 18 1326468 部29A〜29D係從托盤15之下面15c側進入與托盤15對應的 * 基板收納孔19A〜19D内。隨著托盤15之下面15c越靠近托盤 " 支撐面28,基板载置部29A〜29D之前端的基板載置面31係 朝托盤15之上面15b進入基板收納孔19A〜19D内。如第5B 圖所示,當托盤15之下面15c載置於介電體板23之托盤支撐 - 面28時,各個基板收納孔19A〜19D内的基板2係由基板載置 . 部29A〜29D從基板支撐部21之上面21a推起。詳而言之,基 板2之下面2a係載置於基板載置部29A〜29D之基板載置面 • 31上,並留有間隔地配置於托盤15之基板支撐部21上面21a 的上方。 這樣一來,藉由使基板載置部29A〜29D進入托盤15之 基板收納孔19A~19D中,基板2會載置於基板載置面31上。 因此’ 4片收納於托盤15之基板2皆會以高定位精度載置於 基板載置部29A〜29D之基板載置面31上。又,如前述,由 於基板載置部29A〜29D之外周面38與基板載置面31之連接 部分去角呈圓形面,因此假設在基板收納孔19A〜19D與基 ® 板載置部29A-29D之平面看來之位置稍微存有偏移的情況 下’基板載置部29A〜29D之去角部分仍可與基板支撐部21 的前端面21b相接觸。結果,基板載置部29A〜29D可平順且 破實的插入基板收納孔19A〜19D内。在這方面,基板2會以 高定位精度載置於基板載置面31上。 接著,從直流電壓施加機構43施加直流電壓至内建於 介電體板23之靜電吸附用電極40,使基板2靜電吸附於各個 基板載置部29A〜29D之基板載置面31上。基板2之下面2a可 19 1326468 在不透過托盤15之情況下直接載置於基板載置面31上。這 樣一來’可以高密接度將基板2固持於基板載置面31上。 接著,將通過供給孔44且從熱傳氣體供給裝置45所供 給之熱傳氣體填充至由各個基板載置部29A〜29D之圓環狀 突出部32與基板2之下面2a所圍住的空間内。 之後,從蝕刻氣體供給源12將蝕刻氣體供給至室3内, 並以真空排氣裝置13將室3内維持於預定壓力。接著,從高 頻電源7施加高頻電壓至ICP線圈5,並藉由高頻施加機構56 施加偏電壓至基板基座9之金屬板24,使電漿產生於室3 内,並利用該電漿蝕刻基板2。由於1片托盤15可將4片基板 2載置於基板基座9上,因此可進行分批處理。 蝕刻中係藉由冷媒循環裝置61使冷媒循環於冷媒流路 60中以冷卻金屬板24 ’藉此可冷卻固持於介電體板23及介 電體板23之基板載置面31上的基板2。如前所述,基板2之 下面2a係在不透過托盤15之情況下直接載置於基板載置面 31上’並以高密接度固持於基板載置面31上,因此可提高 由圓環狀突出部32與基板2之下面2a所圍住且填充有熱傳 氣體之空間的密封度,且透過熱傳氣體之基板2與基板載置 面31間的熱傳導性佳。結果,可以高冷卻效率冷卻固持於 各個基板載置部29A〜29D之基板載置面31的基板2,並可以 高精度控制基板2之溫度。又,每個基板2之由基板載置部 29A〜29D之圓環狀突出部32與下面2a所圍住之空間填充有 熱傳氣體,換句話說,填充有熱傳氣體之空間係每個基板2 皆不同。在這方面’各個基板2與介電體板23之基板載置面 t S1 20 1326468 31的熱傳導性佳,並可實現高冷卻效率與高精度的溫度控 制。 • 如前所述,由於基板2直接載置於各個基板載置部 29A〜29D的基板載置面31上並進行靜電吸附,因此對於基 板載置面31之密接度較高,這樣一來,則不需要用以將基 • 板2上面的外周緣部分機械性地壓於介電體板23的夾環等 - 構件。換句話說,基板2上面不存有造成其中央部分及外周 緣附近之電漿狀態不穩定化的構件,因此可在包含外周緣 • 附近之基板2表面全區域實現均一的電漿處理。 又,基板2之外周緣與托盤15之基板收納孔19A〜19D孔 壁15d間的間隙5 1以O.l-0.2mm左右為佳,而基板2之下面 2a與托盤15之基板支撐部21之上面21a間的間隙<5 2以 0.2〜0.3mm左右為佳,而且基板載置部29A〜29D之側壁與基 板支撐部21之前端間的間隙6 3以0.5mm左右為佳,以確保 基板2對於基板載置面31之定位精度,並防止電漿在蝕刻處 ^ 理中繞入基板2之下面2a側。 在蝕刻結束後’便停止從高頻電源7對於ICP線圈5之高 頻電壓的施加與從高頻施加機構56對於金屬板24之偏電壓 的施加。接著,藉由真空排氣裝置13將蝕刻氣體從室3内排 出,並藉由熱傳氣體供給機構45將熱傳氣體從基板載置面 31與基板2之下面2a排出。接著,停止從直流電壓施加機構 43對於靜電吸附用電極40之直流電壓的施加,並解除基板2 之靜電吸附。 之後,藉由驅動裝置17使升降銷is上升。當升降銷18 21 i i1326468 上升時’其上端會推起托盤15之下面15c ’使其相對介電體 板23之托盤支撐面28浮起。如第5A圖所示,當托盤15與升 降銷18—起上升時,基板2之下面2a會被托盤15之基板支撐 部21推起,而使基板2相對基板載置部29A〜29D之基板載置 面31浮起。又’升降銷18係上升至第丨圖中雙點鏈線所示之 上升位置。 之後,使托盤15移動至運送臂16,而該運送臂16係通 過閘3a並從負載台室1〇進入室3内。又,托盤15係由運送臂 16送至負載台室10。 第7圖至第10圖係表示有關托盤15之基板支撐部21與 介電體板23之基板載置部29A~29D的種種代替方案的圖。 在第7圖之例中,不只基板載置部29A〜29D之外周面38 與基板載置面31的連接部分去角成圓形面,托盤15之基板 支撐部21前端面21b係從孔壁15d突出之量從托盤15之下面 15c側朝上面15b側增加的錐面。若將基板支撐部21之前端 面21b形成錐面的話,即使在基板收納孔19A〜19D與基板載 置部29A〜29D之平面看來之位置處稍微存有偏移的情況, 基板載置部29A〜29D仍可確實且平順的插入基板收納孔 19A 〜19D 内。 在第8圖之例中,基板載置部29A〜29D之外周面38係外 徑尺寸從基板載置面31側朝托盤支撐部21擴大的錐面。 又,托盤15之基板支撐部21的前端面21b係從孔壁I5d突出 之量從托盤15之下面15c側朝上面15b側增加的錐面。即使 基板載置部29A〜29D之外周面與基板支撐部21之前端面 22 [ 'S.] 1326468 21b皆形成錐面,仍可更確實且平順的將基板載置部 29A〜29D插入基板收納孔19A〜19D内。
在第9圖及第10圖之例中,不只基板載置部29A〜29D之 外周面38與基板載置面31的連接部分去角呈圓形面,基板 支撐部21之前端面21b係從孔壁15d突出之量從托盤15之下 面15c側朝上面15b側增加的圓弧狀面。在第9圖之例中,係 將用以構成前端面21b之圓弧的曲率半徑設定為較大,並增 加從基板支撐部21之下面21c至上面21a的高度。另一方 面,在第10圖之例中,係將用以構成前端面21b之圓弧的曲 率半徑設定為較小,並縮小基板支撐部21之高度。
在第1實施形態(第5A圖及第5B圖)或第7圖至第10圖所 示之種種代替方案中,亦可以如氧化釔等較硬的材料覆蓋 基板支撐部21之前端面21b與基板載置部29A〜29D之外周 面38之其中一者或兩者的表面。藉由設置前述覆體,當將 托盤15載置於介電體板23時,或當托盤15從介電體板23下 降時’可防止因與托盤15之基板支撐部21與介電體板23之 基板載置部29A〜29D之接觸而引起的塵埃。 (第2實施形態) 第11圖至第13B圖所示之本發明第2實施形態的托盤15 與基板基座9之介電體板23的結構與第1實施形態不同。 在各個形成於托盤本體15a之基板收納孔19A〜19D的 孔壁15d下面15c側,設有4個於周方向上留有間隔且呈突起 狀的基板支撐部21。詳而言之’當從基板收納孔19A〜19D 之貫穿方向來看時,對於基板收納孔丨9八〜19D之中心以等 23 1326468 角度間隔(90°間隔)設有4個基板支撐部21。另一方面,於介 電體板23之各個基板載置部29A〜29D的外周面38形成有4 個從基板載置面31朝托盤支撐面28延伸的收納溝65。從平 面看來’對於各個基板載置部29A〜29D之中心以等角度間 隔設有4個收納溝65。收納溝65之從平面看來的尺寸及形狀 係設定成稍微大於呈突起狀的基板支撐部21。 如第11圖所示,若使托盤15之任一基板收納孔 19A〜19D位於介電體板23之各個基板載置部29A-29D上方 的話’當托盤15朝介電體板23下降時,4個各基板收納孔 19A〜19D之基板支撐部21會嵌入與其對應之基板載置部 29A〜29D的收納溝65中。因此,在該情況下,托盤15之下 面15c可到達托盤支撐面28,並且可使托盤15下降至基板2 之下面2a載置於基板載置面31上為止。然而,如第11圖中 的箭頭Cl、C2所示,當托盤15本體之中心周圍的角度產生 較大的偏移時,由於基板支撐部21與收納溝65在平面看來 之位置上產生偏移’因此基板支撐部21不會嵌入收納溝 65,並與基板載置部29A〜29D產生干擾,結果妨礙基板載 置部29A〜29D進入基板收納孔19A〜19D内。因此,藉由設 置於周方向上留有間隔且呈突起狀的基板支撐部21與收納 溝65,可提升基板2對於介電體板23之基板載置面31的定位 精度。 由於第2實施形態之其他結構及功用與第1實施形態相 同’因此以同一符號標示同一構件,並省略其說明。 第14圖及第15圖係表示種種有關托盤15之代替方案的 24
L S 圖。在第14圖之例中,托盤本體15a上形成有7個形成外周 緣之一部分切割呈直線狀的定向平面,並用以分別收納基 板的基板收納孔19A〜19G。雖然基板收納孔19A〜19G之孔 壁15d形成與第1實施形態相同的圓筒面,但其一部分係形 成與定向平面對應的平坦面。在第15圖之例中,托盤本體 15a上形成有9個用以收納矩狀基板的基板收納孔 19A〜191。托盤15之基板收納孔的形狀及個數並不限於該等 第14圖及第15圖’亦可根據所收納之基板的形狀與個數作 種種的設定。又,設於基板基座9之介電體板23的基板載置 部的形狀與個數亦可根據基板收納孔之形狀及個數作種種 的設定。 (第3實施形態) 第16圖所示之本發明第3實施形態中具有用以將托盤 15定位於介電體板23的圓環狀引導板67。引導板67係固定 於引導筒體26之上面,並且包圍住介電體板23之4個基板載 置部29A〜29D的周圍。又,引導板67之内周面67a形成下面 67b朝上面67c擴大之錐面。又,引導板67之厚度大致設定 成與托盤15之厚度相同。 當同時參照第17圖時,在本實施形態中,托盤15之外 周面15f係外徑從下面15c朝上面15b擴大之錐面。包含引導 板67之内周面67a與托盤15之外周面i5f之錐度的尺寸及形 狀係設定成在將托盤15之下面15c載置於托盤支撐面28上 時’可藉由弓丨導板67之内周面67a引導並決定托盤15之外周 面15f的位置。 1326468 象 當托盤15從第16圖中兩點鏈線所示之上升位置朝介電 體板23下降時,可將托盤15之外周面15f引導至引導板67之 内周面67a。藉由將基板载置部29A〜29D插入托盤15之基板 收納孔19A〜19D内,不只可決定基板收納孔19A〜19D内之 基板2對於介電體板23之基板載置面31的位置,亦可藉由引 導板67決定固持有基板2之托盤15本體對於引導板67的位 - 置。結果,可更提升基板2對於介電體構件23之基板載置面 31的定位精度。 第18A圖及第18B圖係表示托盤15及引導板67之代替 · 方案的圖。在第18A圖之例中,托盤15之外周面15f係外徑 從下面15c朝上面15b擴大的錐面,但引導板67之内周面67a 亦可形成朝垂直方向延伸的平坦面,且其與上面67c接觸的 部分去角成圓形面。另一方面,在第圖之例中,托盤15 之外周面15f形成朝垂直方向延伸的平坦面’且其與下面 15c接觸的部分去角成圓形面,而引導板67之内周面67a係 外徑從下面67b朝上面67c擴大之錐面。即使採用第18A圖及 第18B圖所示之托盤15外周面i5f與引導板67内周面67a之 _ 形狀組合,仍可提升托盤15對於介電體板23的定位精度。 又’托盤15外周面15f與引導板67之内周面67a的斜面並不 限於圓形面,亦可形成多角面。 由於第3實施形態之其他結構及功用與第1實施形態相 同’因此以同一符號標示同一構件,並省略其說明。 (第4實施形態) 在第19圖所示之本發明第4實施形態中,乾式蝕刻裝置 • -λ ·η L i i 26 1326468 1於4個基板載置部29A〜29D上皆具有熱傳氣體供給機構 • 45A〜45D,而該等基板載置部29A〜29D係具有介電體構件 • 23者。又’熱傳氣體供給機構45A〜45D具有共通熱傳氣體 源46。然而,供給流路47、流量計48、流量控制閥49、測 壓計50、排出流路51、斷流閥52、旁路流路53及排氣口 54 * 係個別設於每個熱傳氣體供給機構45A〜45D上。因此,各 - 個熱傳氣體供給機構45A〜45D可個別控制對於基板載置面 31與基板2間之熱傳氣體的供給與排出。亦可於4片载置於4 • 個基板載置部29A〜29D之基板載置面31的基板2上個別調 整基板載置面31與基板2間之熱傳氣體的供給❶結果,可更 提昇基板2之冷卻效率與基板溫度之控制精度,並可藉此提 昇钮刻精度。 又’乾式飯刻裝置1係於每個内建於基板載置部 29A〜29D之4個靜電吸附用電極4〇上,具有可個別控制之直 流電壓施加機構43A〜43D。又,各個直流電壓施加機構 43A〜43D具有直流電源41與調整用電阻42。由於可個別控 ^ 制施加在内建於各個基板載置部29A〜29D之靜電吸附用電 極40的直流電壓,因此可消除4片載置於4個基板載置部 29A〜29D之基板載置面31的基板2間的靜電密接力不均,並 使其均一化。 由於第4實施形態之其他結構及功用與第丨實施形態相 同,因此以同一符號標示同一構件,並省略其說明。 (第5實施形態) 在第20圖所不之本發明第5實施型態的乾式蝕刻裝置1 27 1326468 中’高頻施加機構56不是與金屬板24電連接,而是與内建 於介電體構件23之各個基板載置部29A〜29D上的靜電吸附 用電極40電連接。各個靜電吸附用電極40上重疊有直流電 壓施加機構43所施加之靜電吸附用的直流電壓,並且以高 頻施加機構56施加作為偏電壓之高頻。由於不將偏電壓施 加於金屬板24,而施加於靜電吸附用電極4〇,因此可減低 托盤15之消耗。又,與第4實施形態相同地,每個基板載置
部29A〜29D上設有可個別控制之熱傳氣體供給機構 45A〜45D。 由於第5實施形態之其他結構及功用與第丨實施形態相 同,因此以同一付號標示同一構件,並省略其說明。 (第6實施形態) 在第21圖所示之本發明第6實施形態之乾式蝕刻裝置 中,在每個内建於各個基板載置部29A〜29D之靜電吸附) 電極40設有高頻絲機構56A〜56D,而該高頻施加機; 5 6A〜5 6D係用以重疊由直流電壓施加機構43所施加之靜, 吸附用的錢f壓,並施加作為偏電壓之高頻者。高頻j 加機構56A〜56D分別具有高頻電源57與可變容量^容; 58,並可進行個別控制。由於可根據4片載置於*片基板, 置部29A〜29D之基板載置面31的基板2之特性,調整施加; 靜電吸附用電極40之作為偏電壓所施加的高頻功率,因1 可在4片基板2間實現均一的餘刻處理。 由於第6實施形態之其他結構及功用與第旧施形熊. 同,因此關—符號標示同—構件,並省略其說明。、 1*1 28 1326468 (第7實施形態) 在第22圖所示之本發明第7實施形態的乾式钱刻裝置工 中,在每個内建於各個基板載置部29A〜29D之靜電吸附用 電極40上具有可個別控制之直流電壓施加機構“A〜。 又,内建於各個基板載置部29A〜29D之靜電吸附用電極 上設有用以施加作為偏電壓之高頻的共通之高頻施加機構 56。由於可個別控制施加在内建於各個基板載置部 29A〜29D之靜電吸附用電極40的直流電壓,因此可消除4片 載置於4個基板載置部29A〜29D之基板载置面31的基板2間 的靜電密接力不均,並使其均一化。 由於第7實施形態之其他結構及功用與第丨實施形態相 同’因此以同一符號標示同一構件,並省略其說明。 (第8實施形態) 在第2 3圖所示之本發明第8實施形態之乾式蝕刻裝置j 中’各個基板載置部29A〜29D内建有靜電吸附用電極4〇。 又’各個基板載置部29A〜29D内建有施加偏壓用電極68, 而前述施加偏壓用電極68較靜電吸附用電極4〇更靠近金屬 板24側(圖中下側)。又’施加偏壓用電極68與靜電吸附用電 極40互相電絕緣’且在内建於各個基板載置部29A〜29D之 施加偏壓用電極68上從共通之高頻施加機構56施加有作為 偏電壓之高頻。 在每個基板載置部29A〜29D之施加偏壓用電極68上亦 可設置可個別控制之高頻施加機構。藉由個別調整作為偏 電壓施加於每個内建於4個基板載置部29A〜29D之施加偏 29 1326468 壓用電極68的高頻,可在4片載置於4個基板載置部 29A〜29D之基板載置面31上的基板2間實現均一的蝕刻處 理。 由於第8實施形態之其他結構及功用與第丨實施形態相 同,因此以同一符號標示同一構件,並省略其說明。 (第9實施形態) 第24圖係顯示本發明第9實施形態之乾式钱刻襄置1的 圖。如第25圖至第26B圖所示,托盤15上形成有於厚度方向 上貫穿之單一的基板收納孔19。又’從基板收納孔19之孔 壁15d突出有圓環狀的基板支撐部21。收納於該基板收納孔 19内之1片基板2係由該基板支撐部21之上面2ia所支撐。 又’如第25圖、第27A圖及第27B圖所示,基板基座9之介 電體板23具有單一基板載置部29。當將托盤15載置於介電 體板23上時,基板載置部29會從托盤15之下面15c側進入基 板收納孔19内,並由介電體板23之托盤支撐面28支撐托盤 15之下面,並且基板2會載置於基板載置部29上端的基板載 置面31上。 用以靜電吸附基板2之靜電吸附用電極係雙極型。具體 而吕,基板載置部29内建有2個靜電吸附用電極4〇A、40B。 又,每個靜電吸附用電極4〇a、40B上設有直流電壓施加機 構43E、43F ’並於各個靜電吸附用電極4〇A、4〇B上施加有 互相為反極性之直流電壓。又,第丨實施形態至第8實施形 態的靜電吸附用電極亦可為雙極型。才目反地,本實施形態 之靜電吸附用電極亦可為單極型。 ·η L & j 30 在本實把形態之乾式敍刻裝置1中,由於收納於托盤l5 之基板2係1片’因此可進行單片處理,並且適合進行面積 較大之基板2的處理。 由於第9實化形癌之其他結構及功用與第1實施形態相 同因此以同一符號標示同一構件,並省略其說明。 (實驗1) 本實驗係進仃用以確認本發明可提昇基板之冷卻效率 的&擬一體而5 ’本實驗係針對實驗例、比較例1及比較 例2換擬偏壓功率之増加與基板溫度之上升的關係。 朴又f驗例與本發明第9實施形態相對應。基板2係2 英于A ]、㈣4 °首先,將托盤15載置於基板基座9之介 電體板23上述托盤15係在於厚度方向上貫穿托盤之 土板收、·1¾孔19内收納有基板2者並將基板2之下面&直接 載置於基板載置面31上,並且以雙極型的靜電吸附用電極 4〇A 4〇B進行靜電吸附。施加於各個靜電吸附用電極·、 40B之直桃電壓係±9⑽v。又基板載置面η與基板2之下 面2a間供給有作為熱傳氣體之氦氣體且其壓力為證^ 比較例1係將载置有基板之有底托盤載置於基板基座 上’並透過托魅〗接地躲基«座靜電韻基板之例。 基板係2英料小_晶片。又,施加於靜電吸_電極之 直流電壓係±9GGV’且於㈣τ面供給有作為熱傳氣體之氦 氣體’而其壓力為8〇〇Pa。 比較例2係將載置有基板之有底托盤载置於基板基座 上’並以夾環機械性地將基板外周緣附近壓於基板基座 31 1326468 側,藉此將基板固定於基板基座之例。基板係4英吋大小的 · 矽晶片。又,基板之下面供給有作為熱傳氣體之氦,且其 . 壓力為600Pa。 . 以下的條件在實驗例及比較例1、2中一樣。蝕刻氣體 係氯氣體(C12),且流量為5〇sccni、壓力為2Pa。輸入icp線 圈之高頻功率為300W,而放電時間為60秒。又,頂板、室 · 及基板基座(電極)之溫度分別為100°c、l〇〇〇c&2〇t>c。 第28圖係表示模擬結果之圖。在比較例1中,當偏壓功 率大約為50W時,基板之溫度則上升至會引起防鍍漆燃燒 · 之約110 C。又,在比較例2中,當偏壓功率大約為200W時, 基板之溫度則上升至會引起防鍍漆燃燒之約l1〇t。相對於 此,在實驗例中,即使將偏壓功率設定為4〇〇w,基板溫度 仍未到達會引起防鍍漆燃燒之約not:。該模擬結果表示, 相較於習知例1及2,實驗例(本發明)之基板冷卻效率大幅地 提昇。 (實驗2) 本實驗係進行用以確認本發明可在基板表面全區域進 ® 行均一的電漿處理的模擬。在前述實驗例與比較例2中,係 將以基板中心為原點之垂直座標(X - Υ座標系)設定於基板 表面上,並針對該等進行姓刻速度(E/R)分布之模擬。又1 實驗例及比較例2中任一基板之材質皆為鎳鈷合金(NiCo)。 第29圖係顯示比較例2之模擬結果,而第30圖係顯示實 驗例之模擬結果。在比較例2中,基板外周緣附近之蝕刻速 度較基板之中心附近慢,並且蝕刻速度之分布因基板外周 32 1326468
緣存有夾環而變得不均。詳而言之,在從基板中心至x方向 5mm與Y方向5mm之位置處的蝕刻速度平均值為 42.5nm/min ’相對於此,在從基板中心至X方向l〇mm與Y 方向10mm之位置處的姓刻速度平均值為43.9nm/min,而兩 者之間相差1.4nm/min。相對於此,在實驗例中,係使蝕刻 速度在從基板中心附近至外周緣附近的全區域中均一化。 詳而言之,在從基板中心至X方向5mm與Y方向5mm之位置 處的钮刻速度平均值為44.5nm/min,而在從基板中心至X方 向1 Omm與Y方向1 〇mm之位置處的银刻速度平均值為 43.9nm/min,而且兩者之差不超過〇.6nm/min。當與比較例 2相比時,實驗例(本發明)之距離基板中心5mn^ 1〇mm之位 置的触刻速度平均值之差降低到未達比較例2的1/2。 本發明未限於前述實施形態中’而可進行種種變形。 例如’本發明係舉ICP型之乾式蝕刻處理裝置為例進行說 明,但本發明亦可使用於RI(反應性離子)型之乾式蝕刻、電 漿CVD用電漿處理裝置及電漿處理方法。
【圓式簡單説明】 第1圖係本發明第1實把形態之乾式钱刻裝置的模式截 面圖。 第2圖係本發明第1實施形態之乾式蝕刻裝置的模式平 面圖。 第3圖係顯示托盤及介電體板之立體圖。 第4A圖係托盤之平面圖。 第4B圖係第4A圖中直線IV-IV的截面圖。 33 1326468 第5A圖係托盤及介電體板之部分放大截面圖(載置托 盤前)。 第5B圖係托盤及介電體板之部分放大截面圖(載置托 盤後)。 第6A圖係介電體板之平面圖。 第6B圖係第6A圖中直線VI-VI的截面圖。 第7圖係托盤及介電體板之第1代替方案的部分放大截 面圖。 第8圖係托盤及介電體板之第2代替方案的部分放大截 面圖。 第9圖係托盤及介電體板之第3代替方案的部分放大截 面圖。 第10圖係係托盤及介電體板之第4代替方案的部分放 大截面圖。 第11圖係顯示具有本發明第2實施形態之乾式蝕刻裝 置之托盤及介電體板的截面圖。 第12A圖係托盤之平面圖。 第12B係第12A圖中直線XII-XII的截面圖。 第13A圖係介電體板之平面圖。 第13B圖係第13A圖中直線XIII-XIII的截面圖。 第14圖係顯示托盤之第1代替方案的平面圖。 第15圖係顯示托盤之第2代替方案的平面圖。 第16圖係顯示本發明第3實施形態之乾式蝕刻裝置的 模式截面圖。 34 1326468 第17圖係第16圖中XVII部分的部分放大圖。 • 第18A圖係顯示托盤及導板之第1代替方案的部分放大 • 截面圖。 第18B圖係顯示托盤及導板之第2代替方案的部分放大 截面圖。 • 第19圖係顯示本發明第4實施形態之乾式蝕刻裝置的 . 模式截面圖。 第2 0圖係顯示本發明第5實施形態之乾式蝕刻裝置的 • 模式截面圖。 第21圖係顯示本發明第6實施形態之乾式蝕刻裝置的 模式截面圖。 第2 2圖係顯示本發明第7實施形態之乾式蝕刻裝置的 模式截面圖。 第23圖係顯示本發明第8實施形態之乾式蝕刻裝置的 模式截面圖。 第2 4圖係顯示本發明第9實施形態之乾式蝕刻裝置的 ® 模式截面圖。 第2 5圖係顯示具有本發明第9實施形態之乾式蝕刻裝 置之托盤及介電體板的模式立體圖。 第26A圖係顯示具有本發明第9實施形態之乾式蝕刻裝 置之托盤的平面圖。 第26B圖係第26A圖中直線XXVI-XXVI的截面圖。 第27A圖係介電體板之平面圖。 第27B圖係第27A圖中直線XXVII-XXVII的截面圖。 35 第28圖係顯示偏壓功率與基板溫度的關係圖。 第29圖係顯示比較例2中飯刻速度分布的圖。 第30圖係顯示實驗例中餘刻速度分布的圖。 【主要元件符號說明】 ··.乾式麵刻裝置 么…下面 3...室 3a..·閘 3b·,.蝕刻氣體供給口 •‘·排氣〇 4··.頂板 5.. .1.P 線圈 6.. .匹配電路 7··.高頻電綠 9.·.勒反基座 1〇·..負載台室 12.·.蝕刻氣體供給源 13···真空排氣裝置 15.. .托盤 15a...托盤本體 l5b...上面 l5e···下面 15d···孔壁 15e…定位缺口 15f..·外周面 16...運送臂 16a··.定位突起 17…驅動裝置 18".升降銷 19,19A〜19D...基板收納孔 21…絲支撑部 21a...上面 21b...前端面 22A、22B...感測器 23…介電體板、介電體構件 24.. .金屬板 25.. .間隔板 26…引導筒體 27…接地屏蔽構件 28·.·托盤支撐面(部) 29529A~29D…基板載置部 31…基板載置面 32·.·圓環狀突出部 1326468
33…圓柱狀突起.- 38.. .外周面 40,40人40B".靜電吸附用電極 41.. .直流電源 42.. .調整用電阻 43,43A~43D,43E,43F...直流電壓 施加麟 44.. .供給孔 45,45A-45D...熱傳氣體供給機 構 46.. .熱傳氣體源 47.. .供給流路 48.. .流量計 49.. .流量控制閥 50.. .測壓計 51.. .排出流路 52.. .斷流閥 53…旁路流路 54.. .排氣口 56,56A〜56D…高頻施加機構 57.. .高頻電源 58.. .可變容量電容器 59…冷卻機構 60·.·雜流路 61.. .冷媒循環裝置 63.. .控制器 65.. .收納溝 67…引導板 67a...内周面 67b...下面 67c. .·上面 68.. .施加偏壓用電極 37

Claims (1)

1326468 第95137303號申請案 巾請專利範圍替換本 修正日期9S年12月 一 . .. « - 十、申請專利範圍月^日修(更)正本 · 1. 一種電漿處理裝置,其特徵在於包含有: , 托盤,係設有收納基板之基板收納孔,並具有從該 _ 基板收納孔之孔壁於周方向留有間隔地突出的複數個 基板支撐部,而可搬送前述基板者; 介電體構件,係具有托盤支撐部、及從該托盤支撐 部朝上突出且前述基板之下面可載置於其上端面之基 板載置面的基板載置部,並内建有用以將前述基板靜電 吸附於前述基板載置面之靜電吸附用電極,而前述基板 鲁 載置部之外周面則形成有從前述基板載置面朝前述托 盤支稽部延伸之複數收納溝; 直流電壓施加機構,係用以施加直流電壓於前述靜 電吸附用電極者;及 ’ 熱傳氣體供給機構,係用以將熱傳氣體供給至前述 基板與前述基板載置面間者, 又,搬送前述基板時,收納於前述基板收納孔之前 述基板之下面外周緣部分係支撐於前述基板支撐部, 0 處理前述基板時,將前述基板載置部由前述托盤之 下面側,插入已構造成前述基板載置面朝前述托盤之厚 度方向進入之前述基板收納孔,而將前述托盤之下面載 置於前述介電體構件之前述托盤支撐部,且從前述托盤 支#部至前述基板支撐部之上面的距離,較從前述托盤 支樓部至前述基板載置面的距離短,藉此使前述基板由 前述基板支撐部之上面浮起’而下面載置於前述基板載 38 1326468 置面上,並^前述基板支撐部收納於前述收納溝。 2. —種電漿處理裝置,其特徵在於包含有: 托盤,係設有分別收納基板之複數基板收納孔,並 具有從該基板收納孔之孔壁突出的基板支撐部,而可搬 送前述基板者;
介電體構件,係具有托盤支撐部、及從該托盤支撐 部朝上突出且前述基板之下面載置於其上端面之基板 載置面的複數基板載置部,並内建有用以將前述基板靜 電吸附於前述基板載置面之靜電吸附用電極; 直流電壓施加機構,係用以施加直流電壓於前述靜 電吸附用電極者; 熱傳氣體供給機構,係用以將熱傳氣體供給至前述 基板與前述基板載置面間者;及 環狀之引導板,係呈留有間隔地包圍前述複數基板 載置部之環狀,且内周面從下面朝上面擴展者,
又,搬送前述基板時,收納於前述基板收納孔之前 述基板下面之外周緣部分係支撐於前述基板支撐部, 處理前述基板時,將前述基板載置部由前述托盤之 下面側,插入已構造成前述基板載置面朝前述托盤之厚 度方向進入之前述基板收納孔,而將前述托盤之下面載 置於前述介電體構件之前述托盤支撐部,且從前述托盤 支撐部至前述基板支撐部之上面的距離,較從前述托盤 支撐部至前述基板載置面的距離短,藉此使前述基板之 下面由前述基板支撐部之上面浮起而載置於前述基板 39 1326468 载置面上, 月u述托盤之外周面係外型尺寸從下面侧往上面側 增大,且將前述托盤之下面載置於前述托財撐部時, 係以前述引導板之前述内周面來引導前述托盤之前述 外周面。 3·種電黎·處理方法,包含以下步驟: 準備托盤’該托細設有收納基板之基板收納孔, 並具有從該基板收納孔之孔壁於周方向留有間隔地突 出的複數個基板支撐部,而可搬送前述基板者; 準備介電體構件’該介電體構件係配置於可減壓之 室内,具有托盤支撐部、及從該托盤支撐部朝上突出之 基板載置部,並内建有靜電吸附用電極,而前述基板載 置部之外周面則形成有從前述基板載置面朝前述托盤 支樓部延伸之複數收納溝; 將前述基板收納於前述基板收納孔,且在以前述基 板支撐部支撐前述基板下面之外周緣部分的狀態下,將 前述托盤搬入前述前述室内; 使前述托盤朝前述介電體構件下降,並使前述基板 載置部從前述托盤之下面側插入基板收納孔,而將前述 托盤之下面載置於前述介電體構件之前述基板托盤支 撐部’使别述基板之下面從刚述基板支榜部之上面浮起 而載置於前述基板載置部之上端面的基板載置面上,並 將前述基板支撐部收納於前述收納溝; 對前述靜電吸附用電極施加直流電壓來將前述基 40 1326468 板靜電吸附於前述基板載置面上; 將熱傳氣體供給至前述基板與前述基板載置面 間;及 一面對前述室内供給蝕刻氣體,一面產生電漿。 4. 一種電聚處理方法,包含以下步驟:
準備托盤’該托盤係設有分別收納基板之複數基板 收納孔,並具有從該基板收納孔之孔壁突出的基板支撐 部’而可搬送前述基板者; 於可減壓之室内收納介電體構件及環狀之引導 板,該介電體構件具有托盤支撐部、及從該托盤支撐部 朝上穴出之複數基板載置部,並内建有靜電吸附用電 極别述環狀之引導板係呈留有間隔地包圍前述複數基 板載置部之環狀,且内周面從下面朝上面擴展;
將月』迷基板收納於前述基板收納孔,且在以前述基 板支撐部支撐前述基板之下面外周緣部分的狀態下將 刚述托盤以前述前述室内; 面以前述引導板之前述内周面引導外型尺寸從 下 彳往上面側增大之前述托盤的外周面,一面使前述 托盤/别述介電體構件下降,並使前述基板載置部從前 述托盤 面側插入前述基板收納孔,而將前述托盤之 下面載置扒4丄 、則述介電體構件之前述基板托盤支撐部,使 前述基;^ <下面從前述基板支撐部之上面浮起而載置 於刖述基板裁置部之上端面的基板載置面上; ⑴述靜電吸附用電極施加直流電壓來將前述基 41 1326468 板靜電吸附於前述基板載置面上; 將熱傳氣體供給至前述基板與前述基板載置面 間;及 一面對前述室内供給姓刻氣體,一面產生電聚。
L * J 42
TW095137303A 2005-10-12 2006-10-11 Plasma processing apparatus, plasma processing method, and tray TWI326468B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005297380A JP2007109771A (ja) 2005-10-12 2005-10-12 プラズマ処理装置用のトレイ
JP2005297378A JP4361045B2 (ja) 2005-10-12 2005-10-12 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW200721304A TW200721304A (en) 2007-06-01
TWI326468B true TWI326468B (en) 2010-06-21

Family

ID=37942764

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098136303A TW201015638A (en) 2005-10-12 2006-10-11 Plasma processing apparatus, plasma processing method, and tray
TW095137303A TWI326468B (en) 2005-10-12 2006-10-11 Plasma processing apparatus, plasma processing method, and tray

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098136303A TW201015638A (en) 2005-10-12 2006-10-11 Plasma processing apparatus, plasma processing method, and tray

Country Status (4)

Country Link
US (4) US7736528B2 (zh)
KR (2) KR101153118B1 (zh)
TW (2) TW201015638A (zh)
WO (1) WO2007043528A1 (zh)

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7736528B2 (en) * 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP2008103403A (ja) * 2006-10-17 2008-05-01 Tokyo Electron Ltd 基板載置台及びプラズマ処理装置
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
KR101465765B1 (ko) * 2008-06-27 2014-12-01 주성엔지니어링(주) 기판처리를 위한 클러스터
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4668323B2 (ja) * 2009-02-06 2011-04-13 シャープ株式会社 シャワー型気相成長装置及びその気相成長方法
JP5406067B2 (ja) * 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
CN102067303B (zh) * 2009-02-18 2012-11-28 株式会社爱发科 晶片搬送用托盘以及在该托盘上固定晶片的方法
TW201118977A (en) * 2009-03-26 2011-06-01 Panasonic Corp Plasma processing apparatus and plasma processing method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP4709945B2 (ja) * 2009-04-13 2011-06-29 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US10026436B2 (en) * 2009-07-01 2018-07-17 Nordson Corporation Apparatus and methods for supporting workpieces during plasma processing
JP5375643B2 (ja) * 2009-07-07 2013-12-25 日新イオン機器株式会社 ウエハ保持機構、ウエハホルダ及び静電チャック
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG10201407637TA (en) * 2009-11-30 2015-01-29 Lam Res Corp An electrostatic chuck with an angled sidewall
KR101377238B1 (ko) * 2009-12-11 2014-03-20 가부시키가이샤 사무코 Cvd용 트레이 및 그것을 이용한 성막 방법
TW201630105A (zh) * 2015-02-12 2016-08-16 漢民科技股份有限公司 晶圓保持器
JP5562065B2 (ja) * 2010-02-25 2014-07-30 Sppテクノロジーズ株式会社 プラズマ処理装置
US20110226419A1 (en) * 2010-03-18 2011-09-22 Yong Hyun Lee Process Chamber, Semiconductor Manufacturing Apparatus and Substrate Processing Method Having the Same
JP5475124B2 (ja) * 2010-05-27 2014-04-16 パナソニック株式会社 プラズマ処理装置
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5638405B2 (ja) * 2010-10-08 2014-12-10 パナソニック株式会社 基板のプラズマ処理方法
CN102468205A (zh) * 2010-11-18 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有它的晶片处理设备
US8562746B2 (en) * 2010-12-15 2013-10-22 Veeco Instruments Inc. Sectional wafer carrier
JP5538291B2 (ja) 2011-04-13 2014-07-02 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6285620B2 (ja) * 2011-08-26 2018-02-28 新光電気工業株式会社 静電チャック及び半導体・液晶製造装置
US8807318B2 (en) * 2011-09-20 2014-08-19 International Business Machines Corporation Multi-generational carrier platform
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI506680B (zh) * 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI672760B (zh) * 2013-03-15 2019-09-21 美商應用材料股份有限公司 用於小批次基板傳送系統的溫度控制系統與方法
JP6348321B2 (ja) 2013-05-17 2018-06-27 キヤノンアネルバ株式会社 エッチング装置
JP6853038B2 (ja) * 2013-06-26 2021-03-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Icpプラズマ処理チャンバ内における高収率・基板最端部欠陥低減のための単一リング設計
CN104425328B (zh) * 2013-09-06 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 托盘原点定位系统及托盘原点定位方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104576484A (zh) * 2013-10-12 2015-04-29 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备中的托盘结构
TWI660452B (zh) * 2014-02-17 2019-05-21 優貝克科技股份有限公司 用於乾式蝕刻裝置之基板托盤組
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
CN104538333A (zh) * 2014-12-16 2015-04-22 瑞德兴阳新能源技术有限公司 一种消除晶圆片翘曲的托盘
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6555656B2 (ja) * 2015-02-17 2019-08-07 パナソニックIpマネジメント株式会社 プラズマ処理装置および電子部品の製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10053774B2 (en) 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102324032B1 (ko) * 2015-10-27 2021-11-09 주식회사 원익아이피에스 기판지지대 및 그가 설치된 기판처리장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102689380B1 (ko) 2016-01-26 2024-07-26 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
CN116110846A (zh) 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN107304473B (zh) * 2016-04-20 2020-08-21 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
CN107305857B (zh) * 2016-04-20 2020-08-21 北京北方华创微电子装备有限公司 晶片支撑组件、反应腔室及半导体加工设备
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6778882B2 (ja) * 2016-06-08 2020-11-04 パナソニックIpマネジメント株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用トレイ
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
CN108004525B (zh) * 2016-11-01 2020-04-28 北京北方华创微电子装备有限公司 托盘、反应腔室、半导体加工设备
EP3989269B1 (en) * 2016-11-03 2023-09-27 Molecular Imprints, Inc. Substrate loading system
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018162070A1 (de) * 2017-03-09 2018-09-13 Ev Group E. Thallner Gmbh Elektrostatische substrathalterung
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP7020311B2 (ja) * 2018-06-14 2022-02-16 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11309177B2 (en) 2018-11-06 2022-04-19 Stmicroelectronics S.R.L. Apparatus and method for manufacturing a wafer
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TWI799315B (zh) 2018-12-14 2023-04-11 美商應用材料股份有限公司 處置與處理易碎基板上的雙面元件
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110223950B (zh) * 2019-07-11 2024-05-14 通威太阳能(成都)有限公司 一种用于化学气相沉积硅基薄膜钝化层的托盘结构及其制作方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
IT201900015416A1 (it) 2019-09-03 2021-03-03 St Microelectronics Srl Apparecchio per la crescita di una fetta di materiale semiconduttore, in particolare di carburo di silicio, e procedimento di fabbricazione associato
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102190925B1 (ko) * 2019-10-30 2020-12-14 광운대학교 산학협력단 챔버 간 매칭 모니터링 시스템
KR102190926B1 (ko) * 2019-10-31 2020-12-14 광운대학교 산학협력단 기판 상태 및 플라즈마 상태를 측정하기 위한 측정 시스템
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
TWI723651B (zh) * 2019-11-29 2021-04-01 財團法人金屬工業研究發展中心 真空腔內的自動水平調整系統以及真空浮動高度調整器
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113851402A (zh) * 2021-05-31 2021-12-28 华灿光电(苏州)有限公司 用于等离子刻蚀机的托盘及等离子刻蚀机
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0730468B2 (ja) * 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5148516A (en) 1988-08-30 1992-09-15 Hewlett-Packard Company Efficient computer terminal system utilizing a single slave processor
JPH02113330U (zh) * 1989-02-27 1990-09-11
JPH04313220A (ja) 1991-04-10 1992-11-05 Sumitomo Electric Ind Ltd 有機金属気相成長装置
JPH0634243A (ja) 1992-07-14 1994-02-08 Mitsubishi Electric Corp 冷却装置
JPH0642331Y2 (ja) * 1993-02-09 1994-11-02 日電アネルバ株式会社 ドライエッチング装置
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JP3165348B2 (ja) 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
JPH11121600A (ja) * 1997-10-20 1999-04-30 Tokyo Electron Ltd 処理装置
JP2000049207A (ja) 1998-07-28 2000-02-18 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP3977935B2 (ja) 1998-08-05 2007-09-19 松下電器産業株式会社 プラズマ処理方法及び装置
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP4463363B2 (ja) 1998-12-28 2010-05-19 東京エレクトロン株式会社 下部電極構造およびそれを用いたプラズマ処理装置
WO2000041229A1 (fr) 1998-12-28 2000-07-13 Tokyo Electron Limited Appareil a plasma et electrode inferieure associee
JP2001007090A (ja) * 1999-06-25 2001-01-12 Mitsubishi Materials Corp プラズマエッチング装置用フォーカスリング
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
JP2001230234A (ja) * 2000-02-16 2001-08-24 Hitachi Ltd プラズマ処理装置及び方法
JP3640385B2 (ja) 2001-12-26 2005-04-20 株式会社アルバック 焦電性高誘電体のエッチング方法及び装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
DE10232731A1 (de) * 2002-07-19 2004-02-05 Aixtron Ag Be- und Entladevorrichtung für eine Beschichtungseinrichtung
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
TWI327336B (en) * 2003-01-13 2010-07-11 Oc Oerlikon Balzers Ag Arrangement for processing a substrate
JP4388287B2 (ja) * 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
JP4128469B2 (ja) 2003-02-25 2008-07-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP3960929B2 (ja) 2003-02-25 2007-08-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP3950806B2 (ja) 2003-03-05 2007-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) * 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP2005048259A (ja) * 2003-07-31 2005-02-24 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4640922B2 (ja) 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7618515B2 (en) * 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7292428B2 (en) * 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
US7736528B2 (en) 2005-10-12 2010-06-15 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP4361045B2 (ja) 2005-10-12 2009-11-11 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
TW201015638A (en) 2010-04-16
US20120256363A1 (en) 2012-10-11
KR20100017681A (ko) 2010-02-16
US7736528B2 (en) 2010-06-15
WO2007043528A1 (ja) 2007-04-19
US8591754B2 (en) 2013-11-26
US20100051584A1 (en) 2010-03-04
KR101153118B1 (ko) 2012-06-07
US20140048527A1 (en) 2014-02-20
US20090255901A1 (en) 2009-10-15
KR100964775B1 (ko) 2010-06-21
US8231798B2 (en) 2012-07-31
TW200721304A (en) 2007-06-01
KR20080059189A (ko) 2008-06-26

Similar Documents

Publication Publication Date Title
TWI326468B (en) Plasma processing apparatus, plasma processing method, and tray
JP4361045B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2007109771A (ja) プラズマ処理装置用のトレイ
JP5638405B2 (ja) 基板のプラズマ処理方法
TWI357091B (zh)
TW201207975A (en) Confined process volume PECVD chamber
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
JP2003152065A (ja) 静電チャック及びその製造方法
KR102264575B1 (ko) 기판 보유 지지 기구 및 성막 장치
WO2005093806A1 (ja) 半導体製造装置および半導体装置の製造方法
JP5595549B2 (ja) プラズマ処理装置用トレイ、プラズマ処理装置、及びプラズマ処理方法
JP2010225775A (ja) プラズマ処理装置
CN112652514A (zh) 基板处理装置和基板处理方法
JP2010232250A (ja) プラズマ処理装置
JP5539436B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4969595B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4781445B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2010232315A (ja) プラズマ処理装置
JP7053809B2 (ja) 堆積の均一性を改善するための、様々なプロファイルを有する側部を有するシャドーフレーム
JP4783440B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20220062186A (ko) 기판 처리 장치 및 반송 로봇 티칭 방법
JP2005116686A (ja) 双極型静電チャック
KR20230138930A (ko) 세라믹 기판, 세라믹 기판의 제조 방법, 정전 척, 기판 고정 장치, 및 반도체 장치용 패키지
CN116325106A (zh) 半导体基板支撑件功率传输部件
JP2009010018A (ja) 縦型基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees