WO2007043528A1 - プラズマ処理装置、プラズマ処理方法、及びトレイ - Google Patents

プラズマ処理装置、プラズマ処理方法、及びトレイ Download PDF

Info

Publication number
WO2007043528A1
WO2007043528A1 PCT/JP2006/320216 JP2006320216W WO2007043528A1 WO 2007043528 A1 WO2007043528 A1 WO 2007043528A1 JP 2006320216 W JP2006320216 W JP 2006320216W WO 2007043528 A1 WO2007043528 A1 WO 2007043528A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
tray
plasma processing
processing apparatus
hole
Prior art date
Application number
PCT/JP2006/320216
Other languages
English (en)
French (fr)
Inventor
Shogo Okita
Hiromi Asakura
Syouzou Watanabe
Ryuuzou Houtin
Hiroyuki Suzuki
Original Assignee
Matsushita Electric Industrial Co., Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2005297380A external-priority patent/JP2007109771A/ja
Priority claimed from JP2005297378A external-priority patent/JP4361045B2/ja
Application filed by Matsushita Electric Industrial Co., Ltd. filed Critical Matsushita Electric Industrial Co., Ltd.
Priority to US12/090,214 priority Critical patent/US7736528B2/en
Publication of WO2007043528A1 publication Critical patent/WO2007043528A1/ja
Priority to US12/578,844 priority patent/US8231798B2/en
Priority to US13/527,807 priority patent/US8591754B2/en
Priority to US14/061,984 priority patent/US20140048527A1/en

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D5/00Supports, screens, or the like for the charge within the furnace
    • F27D5/0068Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • Plasma processing apparatus Plasma processing apparatus, plasma processing method, and tray
  • the present invention relates to a plasma processing apparatus such as a dry etching apparatus and a CVD apparatus, a plasma processing method, and a tray for the plasma processing apparatus.
  • a bottomed tray containing a substrate is placed on a substrate susceptor that functions as a lower electrode, and the substrate is indirectly fixed to the substrate susceptor via the tray.
  • a plasma processing apparatus configured to perform electroadsorption is disclosed.
  • a substrate susceptor cooling mechanism is provided, and the substrate is cooled by indirect heat conduction with the substrate susceptor via the tray.
  • a bottomed tray containing a substrate is disposed on a substrate susceptor, and the vicinity of the outer peripheral edge of the substrate is pressed against the substrate susceptor side by a clamp ring, whereby the substrate
  • a plasma processing apparatus configured to fix a substrate to a substrate susceptor.
  • a flow path that penetrates the tray and reaches the lower surface of the substrate is provided, and the back surface of the substrate is cooled by the cooling gas supplied through the flow path.
  • the substrate is indirectly electrostatically attracted to the substrate susceptor via the tray, and cooled by indirect heat conduction with the substrate susceptor via the tray. Since this is only done, the substrate cannot be cooled efficiently.
  • the positioning accuracy of the substrate with respect to the substrate susceptor is improved. There is not enough consideration. However, the positioning accuracy of the substrate with respect to the substrate susceptor is particularly high when multiple substrates are accommodated in one tray. This is important for realizing the touch processing.
  • the present invention provides a plasma processing apparatus in which a tray containing a substrate is disposed on a substrate susceptor, thereby improving the cooling efficiency of the substrate by holding the substrate with a high degree of adhesion to the substrate susceptor. It is an object to make the processing uniform in the entire region of the substrate surface including the vicinity and improve the positioning accuracy of the substrate with respect to the substrate susceptor.
  • the first invention is provided with a substrate accommodation hole penetrating in the thickness direction, protruding from a hole wall of the substrate accommodation hole, and supporting an outer peripheral edge portion of a lower surface of the substrate accommodated in the substrate accommodation hole.
  • a tray having a substrate support portion, a tray support portion supporting the lower surface of the tray, and protruding upward from the tray support portion, inserted into the substrate receiving hole from the lower surface side of the tray, and at the upper end surface thereof
  • a dielectric having a built-in electrostatic chucking electrode for electrostatically attracting the substrate to the substrate mounting surface; and a substrate mounting portion on which a lower surface of the substrate is mounted on a certain substrate mounting surface
  • a member a DC voltage application mechanism that applies a DC voltage to the electrostatic adsorption electrode, and a heat transfer gas supply mechanism that supplies a heat transfer gas between the substrate and the substrate mounting surface.
  • a plasma processing apparatus is provided.
  • the lower surface of the substrate is directly placed on the dielectric member without passing through the tray. Specifically, the substrate mounting portion of the dielectric member is inserted into the substrate receiving hole from the lower surface side of the tray, and the substrate is mounted on the substrate mounting surface which is the upper end surface of the substrate mounting portion. Therefore, when a DC voltage is applied to the electrostatic chucking electrode from the DC voltage application mechanism, the substrate is held with a high degree of adhesion to the substrate mounting surface. As a result, the thermal conductivity between the substrate and the substrate mounting surface through the heat transfer gas is good, the substrate can be cooled with high cooling efficiency, and the substrate temperature can be controlled with high accuracy.
  • a member such as a clamp ring for mechanically pressing the outer peripheral edge portion of the upper surface of the substrate against the dielectric member is not provided. Not needed.
  • the substrate is placed on the substrate placement surface by the substrate placement portion entering the substrate accommodation hole of the tray. Accordingly, the substrate can be held on the substrate mounting surface with high positioning accuracy.
  • a tray having a substrate receiving hole that penetrates in the thickness direction, and having a substrate supporting portion protruding from a wall wall of the substrate receiving hole, and the substrate receiving hole of the tray
  • the substrate supporting portion supports the outer peripheral edge portion of the lower surface of the substrate so that the lower surface of the substrate is exposed through the substrate receiving hole when viewed from the lower surface side of the tray.
  • the tray accommodating the substrate is disposed above the dielectric member accommodated in the substrate, the tray is lowered toward the dielectric member, and the lower surface of the tray is supported by the tray support portion of the insulating member.
  • the substrate mounting portion protruding from the tray support portion force enters the substrate receiving hole from the lower surface side of the tray, and the lower surface of the substrate is mounted on the substrate mounting surface which is the upper end surface of the substrate mounting portion.
  • a DC voltage is applied to the electrode for electroadsorption, the substrate is electrostatically adsorbed on the substrate mounting surface, a heat transfer gas is supplied between the lower surface of the substrate and the substrate mounting surface, and the vacuum container A plasma processing method for generating plasma in a plasma is provided.
  • a third invention is a tray main body provided with a substrate accommodation hole that penetrates in the thickness direction and accommodates a substrate, and projects from a hole wall of the substrate accommodation hole and is viewed from the lower surface side of the tray main body. Then, a tray for a plasma processing apparatus is provided that includes a substrate support portion that supports an outer peripheral edge portion of a substrate accommodated in the substrate accommodation hole so that a lower surface is exposed by the substrate accommodation hole.
  • the substrate Since the lower surface of the substrate is exposed by the substrate accommodation hole, the substrate can be directly placed on the substrate susceptor of the plasma processing apparatus without passing through the tray. Therefore, the substrate can be held with high adhesion to the substrate susceptor by electrostatic adsorption. As a result, the thermal conductivity between the substrate and the substrate susceptor is good, the substrate can be cooled with high cooling efficiency, and the substrate temperature can be controlled with high accuracy.
  • the substrate Since the substrate is directly placed on the substrate susceptor, it can be fixed with high adhesion to the substrate susceptor by electrostatic attraction. Therefore, the outer peripheral edge of the upper surface of the substrate is Therefore, a member such as a clamp ring for mechanically pressing is not necessary. In other words, there is no member that causes the plasma state to become unstable on the upper surface of the substrate to be subjected to the plasma processing, not only in the central portion but also in the vicinity of the outer peripheral edge. Therefore, uniform plasma processing can be realized in the entire region of the substrate surface including the vicinity of the outer periphery.
  • the substrate When a part of the substrate susceptor enters the substrate accommodation hole of the tray, the substrate can be directly placed on the substrate susceptor. Therefore, the positioning accuracy of the substrate with respect to the substrate susceptor can be improved.
  • the substrate is directly placed on the substrate placement surface of the dielectric member without passing through the tray, and is electrostatically adsorbed, so that the substrate is highly adhered to the substrate placement surface. Can improve the cooling efficiency of the substrate and control the substrate temperature with high accuracy.
  • a member such as a clamp ring for mechanically pressing the outer peripheral edge portion of the upper surface of the substrate against the dielectric member is unnecessary, a uniform plasma in the entire area of the substrate surface including the vicinity of the outer peripheral edge. Processing can be realized.
  • the substrate is placed on the substrate placement surface by inserting the substrate placement portion into the substrate accommodation hole of the tray, the positioning accuracy of the substrate with respect to the dielectric member can be improved.
  • FIG. 1 is a schematic cross-sectional view of a dry etching apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a schematic plan view of the dry etching apparatus according to the first embodiment of the present invention.
  • FIG. 3 is a perspective view showing a tray and a dielectric plate.
  • FIG. 4A is a plan view of the tray.
  • FIG. 4B is a sectional view taken along line IV—IV in FIG. 4A.
  • FIG. 5A is a partially enlarged cross-sectional view of a tray and a dielectric plate (before placing a tray).
  • FIG. 5B is a partially enlarged cross-sectional view of the tray and the dielectric plate (after tray placement).
  • FIG. 6A is a plan view of a dielectric plate.
  • FIG. 6B is a cross-sectional view taken along line VI—VI in FIG.
  • FIG. 7 is a partially enlarged sectional view of a first alternative of a tray and a dielectric plate.
  • FIG. 8 is a partially enlarged sectional view of a second alternative of the tray and the dielectric plate.
  • 9 Partial enlarged sectional view of a third alternative of the tray and dielectric plate.
  • FIG. 11 A sectional view showing a tray and a dielectric plate provided in a dry etching apparatus according to a second embodiment of the present invention.
  • FIG. 12A is a plan view of the tray.
  • FIG. 12B is a cross-sectional view taken along line XII—XXII in FIG. 12A.
  • FIG. 13A is a plan view of a dielectric plate.
  • FIG. 13B is a cross-sectional view taken along line XIII—XIII in FIG.
  • FIG. 16 A schematic cross-sectional view showing a dry etching apparatus according to a third embodiment of the present invention.
  • FIG. 17 is a partially enlarged view of part XVII in FIG.
  • [18B] A partial enlarged cross-sectional view showing a second alternative of the tray and the guide plate.
  • a schematic cross-sectional view showing a dry etching apparatus according to a fourth embodiment of the present invention 20] A schematic cross-sectional view showing a dry etching apparatus according to a fifth embodiment of the present invention.
  • 21 A schematic cross-sectional view showing a dry etching apparatus according to the sixth embodiment of the present invention.
  • 22 A schematic cross-sectional view showing a dry etching apparatus according to a seventh embodiment of the present invention.
  • 25 A schematic perspective view showing a tray and a dielectric plate provided in the dry etching apparatus according to the ninth embodiment of the present invention.
  • FIG. 26A A plan view of a tray provided in the dry etching apparatus according to the ninth embodiment of the present invention.
  • FIG. 26B is a sectional view taken along line XXVI—XXVI in FIG. 26A.
  • FIG. 27A is a plan view of a dielectric plate.
  • FIG. 27B is a sectional view taken along line XXVII—XXVII in FIG. 27A.
  • FIG. 29 is a graph showing the etching rate distribution in Comparative Example 2.
  • FIG. 30 is a graph showing the etching rate distribution in the experimental example.
  • FIG. 1 and 2 show an ICP (inductively coupled plasma) type dry etching apparatus 1 according to a first embodiment of the present invention.
  • the dry etching apparatus 1 includes a chamber (vacuum container) 3 that constitutes a processing chamber in which plasma processing is performed on the substrate 2.
  • the upper end opening of the chamber 3 is closed in a sealed state by a top plate 4 made of a dielectric material such as quartz.
  • An ICP coil 5 is disposed on the top plate 4.
  • a high frequency power source 7 is electrically connected to the ICP coil 5 via a matching circuit 6.
  • a substrate susceptor 9 having a function as a lower electrode to which a bias voltage is applied and a function as a holding table for the substrate 2 is disposed on the bottom side in the chamber 3 facing the top plate 4.
  • the chamber 3 is provided with a loading / unloading gate 3a that can be opened and closed and communicates with the adjacent load dock chamber 10 (see FIG.
  • An etching gas supply source 12 is connected to an etching gas supply port 3 b provided in the chamber 3.
  • the etching gas supply source 12 includes an MFC (mass flow controller) or the like, and can supply the etching gas at a desired flow rate from the etching gas supply port 3b.
  • a vacuum exhaust device 13 having a vacuum pump or the like is connected to an exhaust port 3 c provided in the chamber 3.
  • FIGS. 3 to 4B In the present embodiment, four substrates 2 are accommodated in one tray 15 shown in FIGS. 3 to 4B, and the tray 15 passes through the gate 3a from the load dock chamber 10 to the chamber 3 (processing chamber). ).
  • a transfer arm 16 that can move in a horizontal direction (see arrow A) and rotate in a horizontal plane (see arrow B).
  • lifting pins 18 In the chamber 3, there are provided lifting pins 18 that pass through the substrate susceptor 9 and are driven by a driving device 17 to move up and down.
  • the transfer arm 16 that supports the tray 15 enters the chamber 3 through the gate dock chamber 10 through the gate 3a. At this time, as shown by a two-dot chain line in FIG.
  • the lifting pins 18 are in the raised position, and the tray 15 is transferred from the transfer arm 16 that has entered the chamber 3 to the upper end of the lifting pins 18.
  • the tray 15 is positioned above the substrate susceptor 9 with a gap.
  • the raising / lowering pin 18 is lowered to the lowered position shown by the solid line in FIG. 1, whereby the tray 15 and the substrate 2 are placed on the substrate susceptor 9.
  • the elevating pins 18 are raised to the raised position, and then the tray 15 is transferred from the load dock chamber 10 to the transfer arm 16 that has entered the chamber 3.
  • the tray 15 includes a thin disc-like tray body 15a.
  • Examples of the material of the tray 15 include alumina (A1 0), nitriding
  • metals such as ceramics such as recon (SiC), aluminum coated with alumite, aluminum sprayed with ceramics on the surface, and aluminum coated with a resin material.
  • ceramics such as recon (SiC)
  • aluminum coated with alumite aluminum sprayed with ceramics on the surface
  • aluminum coated with a resin material aluminum coated with a resin material.
  • C1 process it is possible to use alumina, yttria, silicon carbide, aluminum nitride, etc.
  • quartz, quartz, yttria, silicon carbide, aluminum coated with alumite, etc. may be used.
  • the tray body 15a is provided with four substrate storage holes 19A to 19D penetrating in the thickness direction from the upper surface 15b to the lower surface 15c.
  • the substrate accommodation holes 19A to 19D are arranged at equiangular intervals with respect to the center of the tray body 15a when viewed from the upper surface 15b and the lower surface 15c.
  • the substrate support portion 21 protrudes toward the center of the substrate receiving holes 19A to 19D on the lower surface 15c side of the hole wall 15d of the substrate receiving holes 19A to 19D. Is provided.
  • the substrate support portion 21 is provided on the entire circumference of the hole wall 15d and has an annular shape in plan view.
  • One substrate 2 is accommodated in each of the substrate accommodation holes 19A to 19B.
  • the outer peripheral edge portion of the lower surface 2 a of the substrate 2 accommodated in the substrate accommodation holes 19 A to 19 B is supported by the upper surface 21 a of the substrate support portion 21.
  • the substrate receiving holes 19A to 19D are formed so as to penetrate the tray body 15a in the thickness direction! Therefore, when viewed from the lower surface 15c side of the tray body 15a, the substrate receiving holes 19A to 19D As a result, the lower surface 2a of the substrate 2 is exposed.
  • the tray main body 15a is provided with a positioning cutout 15e in which the outer peripheral edge is partially cut out. As shown in FIG. 2, when the tray is placed on the carry-in / out carrying arm 16 described above, the positioning projection 16a of the carrying arm 16 is fitted into the positioning notch 15e. Positioning cutout 15e and positioning projection 16a are provided in the load dock chamber 10 Sensors 22A, 22 By detecting with B, the rotational angle position of the tray 15 can be detected.
  • a substrate susceptor 9 is made of a dielectric plate (dielectric member) 23 made of ceramics or the like, and aluminum or the like with an alumite coating on the surface, and functions as a pedestal electrode in this embodiment. It is equipped with a metal plate (support member) 24, a spacer plate 25 with a ceramic equal force, a guide cylinder 26 with a ceramic equal force, and a metal ground shield 27.
  • the dielectric plate 23 constituting the uppermost part of the substrate susceptor 9 is fixed to the upper surface of the metal plate 24.
  • the metal plate 24 is fixed on the spacer plate 25.
  • the outer periphery of the dielectric plate 23 and the metal plate 24 is covered with a guide tube 26, and the outer periphery thereof and the outer periphery of the spacer plate 25 are covered with a ground shield 27.
  • the dielectric plate 23 has a thin disk shape as a whole and has a circular outer shape in plan view.
  • the upper end surface of the dielectric plate 23 constitutes a tray support surface (tray support portion) 28 that supports the lower surface 15 c of the tray 15.
  • four short columnar substrate placement portions 29A to 29D respectively corresponding to the substrate accommodation holes 19A to 19D of the tray 15 protrude upward from the tray support surface 28.
  • the upper end surfaces of the substrate platforms 29A to 29D constitute a substrate platform 31 on which the lower surface 2a of the substrate 2 is placed.
  • the substrate platforms 29A to 29D are each provided with an annular projection 32 that protrudes upward from the outer peripheral edge of the substrate platform 31 and whose upper end supports the lower surface 2a of the substrate 2.
  • a plurality of cylindrical protrusions 33 having a sufficiently smaller diameter than the substrate mounting surface 31 are provided in a portion surrounded by the annular protrusion 32 of the substrate mounting surface 31 so as to be uniformly distributed. .
  • the protruding amount of the cylindrical protrusion 33 and the annular protrusion 32 from the substrate mounting surface 31 is the same, and not only the annular protrusion 32 but also the upper end surface of the cylindrical protrusion 33 supports the lower surface 2a of the substrate 2.
  • the outer diameter R1 of the substrate platforms 29A to 29D is set smaller than the diameter R2 of the circular opening 36 surrounded by the front end surface 21b of the substrate support 21. . Therefore, when the tray 15 is lowered toward the dielectric plate 23 at the time of carrying in, the individual substrate mounting portions 29A to 29D enter the corresponding substrate receiving holes 19A to 19D from the lower surface 15c side of the tray body 15a.
  • the lower surface 15c of the tray 15 is placed on the tray support surface 28 of the dielectric plate 23.
  • the height HI of the upper surface 21a of the substrate support 21 from the lower surface 15c of the lay body 15a is set lower than the height H2 of the substrate placement surface 31 from the tray support surface 28.
  • the tray 15 in a state where the lower surface 15c of the tray 15 is placed on the tray support surface 28, the tray 15 is pushed up by the substrate placement surface 31 at the upper end of the substrate placement portions 29A to 29D, and is removed from the substrate support portion 21 of the tray 15.
  • the surface is rising.
  • the substrate 2 accommodated in the substrate accommodation holes 19A to 19D becomes the substrate support portion 21. It floats up from the upper surface 21a, and the lower surface 2a is placed on the substrate placement surface 31.
  • the connecting portion between the outer peripheral surface 38 of the substrate platform 29A to 29D and the substrate platform 31 is chamfered into a round surface. Therefore, on the upper end side of the substrate placement portions 29A to 29D, the outer diameter viewed from the penetration direction of the substrate accommodation holes 19A to 19D is increased toward the tray support surface 28 on the substrate placement surface 31 side. On the other hand, on the lower end side of the outer peripheral surface 38 of the substrate placement portions 29A to 29D, the outer diameter viewed from the penetration direction of the substrate accommodation holes 19A to 19D can be constant.
  • a monopolar electrostatic attraction electrode 40 is built in the vicinity of the substrate placement surface 31 of each of the substrate placement portions 29A to 29D of the dielectric plate 23. These electrostatic adsorption electrodes 40 are electrically insulated from each other, and a DC voltage for electrostatic adsorption is applied from a common DC voltage application mechanism 43 including a DC power source 41 and an adjustment resistor 42, etc. Is done.
  • supply holes 44 for heat transfer gas are provided on the substrate placement surfaces 31 of the individual substrate placement portions 29A to 29D. It has been. These supply holes 44 are connected to a common heat transfer gas supply mechanism 45 (shown in FIG. 1).
  • the heat transfer gas supply mechanism 45 includes a heat transfer gas source (in this embodiment, a helium gas source) 46, a supply flow path 47 from the heat transfer gas source 46 to the supply hole 44, and a heat transfer gas source 46 in the supply flow path 47. It is equipped with a flow meter 48, a flow control valve 49, and a pressure gauge 50, which are also provided side by side.
  • the heat transfer gas supply mechanism 45 includes a discharge channel 51 branched from the supply channel 47 and a cutoff valve 52 provided in the discharge channel 51. Furthermore, the heat transfer gas supply mechanism 45 includes a bypass flow path 53 that connects the supply hole 44 side to the discharge flow path 51 with respect to the pressure gauge 50 of the supply flow path 47. Between the substrate placement surface 31 of each of the substrate placement portions 29A to 29D and the lower surface 2a of the substrate 2 placed thereon, in detail, surrounded by the lower surface 2a of the substrate 2 and the annular protrusion 32 Heat transfer gas is supplied to the closed space by the heat transfer gas supply mechanism 45.
  • Cut when supplying heat transfer gas The off valve 52 is closed, and the heat transfer gas is sent from the heat transfer gas supply source 46 to the supply hole 44 through the supply path 47. Based on the flow rate and pressure of the supply flow path 47 detected by the flow meter 48 and the pressure gauge 50, the controller 63 described later controls the flow control valve 49. On the other hand, when the heat transfer gas is discharged, the cut-off valve 52 is opened, and the heat transfer gas between the lower surface 2a of the substrate 2 and the substrate mounting surface 31 is supplied to the supply hole 44, the supply flow path 47, and the discharge flow path. The gas is exhausted from exhaust port 54 via 51.
  • a high-frequency applying mechanism 56 that applies a high frequency as a bias voltage is electrically connected to the metal plate 24.
  • the high frequency application mechanism 56 includes a high frequency power source 57 and a variable capacitor 58 for matching.
  • the cooling mechanism 59 includes a refrigerant channel 60 formed in the metal plate 24 and a refrigerant circulation device 61 that circulates the temperature-controlled refrigerant in the refrigerant channel 60.
  • the controller 63 schematically shown only in FIG. 1 is based on various sensors and operation inputs including a flow meter 48 and a pressure gauge 50, and a high-frequency power source 7, an etching gas supply source 12, a transfer arm 16, It controls the overall operation of the dry etching apparatus 1 including the vacuum exhaust device 13, the drive device 17, the DC voltage application mechanism 43, the heat transfer gas supply mechanism 45, the high-frequency voltage application mechanism 56, and the cooling mechanism 59.
  • the substrates 2 are accommodated in the substrate accommodation holes 19A to 19D of the tray 1, respectively.
  • the substrate 2 supported by the substrate support portion 21a of the tray 1 is exposed from the lower surface 15c of the tray main body 15a through the substrate receiving holes 19A to 19D when viewed from the lower surface side force of the tray main body 15a.
  • the tray 15 in which the substrate 2 is accommodated in each of the substrate accommodation holes 19A to 19D is supported by the transfer arm 16, and is carried into the chamber 3 from the load dock chamber 10 through the gate 3a. As shown by a two-dot chain line in FIG. 1, the tray 1 is disposed above the substrate susceptor 9 with a gap.
  • the lift pins 18 driven by the drive device 7 are raised, and the tray 15 is transferred from the transfer arm 16 to the upper end of the lift pins 18. After transfer of tray 15, transfer arm 16 is in load lock chamber Treatment to 10 and gate 3a is closed.
  • the raising / lowering pins 18 that support the tray 15 at the upper end also lower the rising position force indicated by a two-dot chain line in FIG. 1 toward the substrate susceptor 9. 5A and 5B, the lower surface 15c of the tray 15 is lowered to the tray supporting surface 28 of the dielectric plate 23 of the substrate susceptor 9, and the tray 15 is supported by the tray supporting surface 28 of the dielectric plate 23. .
  • the substrate placement portions 29A to 29D of the dielectric plate 23 enter the corresponding substrate storage holes 19A to 19D of the tray 15 from the lower surface 15c side of the tray 15. To do.
  • the substrate mounting surface 31 at the tip of the substrate mounting portions 29A to 29D moves toward the upper surface 15b of the tray 15 in the substrate receiving holes 19A to 19D. move on.
  • FIG. 5B when the lower surface 15c of the tray 15 is placed on the tray support surface 28 of the dielectric plate 23, the substrates 2 in the respective substrate receiving holes 19A to 19D are moved to the substrate placement portions 29A to 29D. Thus, it is lifted from the upper surface 21a of the substrate support 21. More specifically, the lower surface 2a of the substrate 2 is placed on the substrate placement surface 31 of the substrate placement portions 29A to 29D, and is disposed above the upper surface 21a of the substrate support portion 21 of the tray 15 with a gap.
  • the substrate placement portions 29 A to 29 D enter the substrate accommodation holes 19 A to 19 D of the tray 15, the substrate 2 is placed on the substrate placement surface 31. Accordingly, the four substrates 2 accommodated in the tray 15 are placed on the substrate placement surfaces 31 of the substrate placement portions 29A to 29D with high V positioning accuracy with a high V deviation. Further, as described above, the connection portion between the outer peripheral surface 38 of the substrate placement portions 29A to 29D and the substrate placement surface 31 is chamfered into a round surface, so that the substrate accommodation holes 19A to 19D and the substrate placement portion 29A are provisionally provided.
  • the heat transfer gas from the heat transfer gas supply device 45 passes through the supply hole 44 into the space surrounded by the annular protrusions 32 of the individual substrate placement portions 29A to 29D and the lower surface 2a of the substrate 2. Is supplied, and this space is filled with heat transfer gas.
  • an etching gas is supplied from the etching gas supply source 12 into the chamber 3, and the inside of the chamber 3 is maintained at a predetermined pressure by the vacuum exhaust device 13.
  • the high-frequency power source 7 also applies a high-frequency voltage to the ICP coil 5 and a bias voltage is applied to the metal plate 24 of the substrate susceptor 9 by the high-frequency application mechanism 56 to generate plasma in the chamber 3.
  • the substrate 2 is etched by this plasma. Since four trays 2 can be mounted on the substrate susceptor 9 with one tray 15, notching processing is possible.
  • the refrigerant circulating device 61 circulates the refrigerant in the refrigerant flow path 60 to cool the metal plate 24, so that the dielectric plate 23 and the substrate mounting surface 31 of the dielectric plate 23 are placed on the dielectric plate 23. Cool the held substrate 2.
  • the lower surface 2a of the substrate 2 is directly mounted on the substrate mounting surface 31 without passing through the tray 15, and is held with high adhesion. Therefore, the space between the annular projecting portion 32 and the lower surface 2a of the substrate 2 filled with the heat transfer gas is highly sealed, and the space between the substrate 2 and the substrate mounting surface 31 through the heat transfer gas is high. The thermal conductivity of is good.
  • the substrate 2 held on the substrate placement surfaces 31 of the individual substrate placement units 29A to 29D can be cooled with high cooling efficiency, and the temperature of the substrate 2 can be controlled with high accuracy.
  • the heat transfer gas is filled in the space surrounded by the annular projecting portion 32 and the lower surface 2a of the substrate mounting portions 29A to 29D for each individual substrate 2.
  • the space filled with the heat transfer gas is different for each individual substrate 2.
  • the thermal conductivity between the individual substrate 2 and the substrate mounting surface 31 of the dielectric plate 23 is good, and high cooling efficiency and high-precision temperature control can be realized.
  • the substrate 2 is directly placed on the substrate placement surface 31 of each of the substrate placement portions 29A to 29D and is electrostatically attracted, the degree of adhesion to the substrate placement surface 31 is high. . Therefore, a member such as clamping for mechanically heating the outer peripheral edge portion of the upper surface of the substrate 2 with respect to the dielectric plate 23 is unnecessary. In other words, there is no member on the upper surface of the substrate 2 that causes the plasma state to become unstable not only in the central portion but also in the vicinity of the outer peripheral edge. Therefore, uniform plasma treatment is realized in the entire area of the surface of the substrate 2 including the vicinity of the outer periphery it can.
  • Gap between the substrate housing holes 19A to 19D and the hole wall 15d ⁇ 1 is about 0.1 to 0.2 mm, and the gap between the lower surface 2a of the substrate 2 and the upper surface 21a of the substrate support 21 of the tray 15
  • ⁇ 2 is about 0.2 to 0.3 mm
  • the gap between the side walls of the substrate placement portions 29A to 29D and the tip of the substrate support portion 21 is about ⁇ 3 force SO.
  • the application of the high frequency voltage from the high frequency power source 7 to the ICP coil 5 and the application of the bias voltage from the high frequency application mechanism 56 to the metal plate 24 are stopped. Subsequently, the etching gas is exhausted from the chamber 3 by the vacuum exhaust device 13. Further, the heat transfer gas is exhausted from the substrate mounting surface 31 and the lower surface 2 a of the substrate 2 by the heat transfer gas supply mechanism 45. Furthermore, the application of the DC voltage from the DC voltage application mechanism 43 to the electrostatic adsorption electrode 40 is stopped, and the electrostatic adsorption of the substrate 2 is released.
  • the elevating pin 18 is raised by the driving device 17.
  • the elevating pin 18 is raised, the lower surface 15c of the tray 15 is pushed up at the upper end thereof, and is lifted from the tray supporting surface 28 of the dielectric plate 23.
  • the toilet 15 is further lifted together with the lift pins 18, the lower surface 2c of the substrate 2 is pushed up by the substrate support portion 21 of the tray 15 as shown in FIG. 5A, and the substrate 2 is placed on the substrate placement portions 29A to 29D. Lift from surface 31.
  • the raising / lowering pin 18 ascends to the ascending position indicated by a two-dot chain line in FIG.
  • the tray 15 is transferred to the transfer arm 16 that has entered the chamber 3 from the load dock chamber 10 through the gate 3a.
  • the tray 15 is carried out to the load dock chamber 10 by the transfer arm 16.
  • FIGS. 7 to 10 show various alternatives relating to the substrate support portion 21 of the tray 15 and the substrate placement portion 4 of the dielectric plate 23.
  • the tip of the substrate support portion 21 of the tray 15 is formed by simply chamfering the connection portion between the outer peripheral surface 38 of the substrate placement portions 29A to 29D and the substrate placement surface 31 to a round surface.
  • the surface 21b is a taper surface on which the amount of protrusion from the hole wall 15d increases toward the lower surface 15c side force upper surface 15b of the tray 15. If the tip surface 21b of the substrate support 21 is a tapered surface, Even when the holes 19A to 19D and the substrate placement portions 29A to 29D are slightly misaligned in a plan view, the substrate placement portions 29A to 29D are more accurate than the substrate receiving holes 19A to 19D. Real and smooth insertion.
  • the outer peripheral surfaces 38 of the substrate platforms 29A to 29D are tapered surfaces whose outer diameter increases from the substrate platform 31 side toward the tray support unit 21.
  • the front end surface 21b of the substrate support portion 21 of the tray 15 is a tapered surface in which the amount of protrusion from the hole wall 15d increases from the lower surface 15c side to the upper surface 15b side of the tray 15.
  • the connecting portion between the outer peripheral surface 38 of the substrate platform 29A to 29D and the substrate platform 31 is chamfered into a round surface, and not only the substrate support 21
  • the tip surface 21a is an arcuate surface in which the amount of protrusion from the hole wall 15d increases toward the lower surface 15c side force of the tray 15 toward the upper surface 15b.
  • the radius of curvature of the arc constituting the tip surface 21a is set to be relatively large, and the height from the lower surface 21c to the upper surface 21a of the substrate support portion 21 is set to be large.
  • the radius of curvature of the arc that forms the tip surface 21a is set to be relatively small, and the height of the substrate support 21 is set to be small.
  • the front end surface 21b of the substrate support portion 21 and the outer peripheral surface 38 of the substrate placement portions 29A to 29D One or both surfaces may be coated with a relatively hard material such as yttria.
  • the second embodiment of the present invention shown in FIGS. 11 to 13B is different from the first embodiment in the structure of the dielectric plate 23 of the tray 15 and the substrate susceptor 9.
  • each of the substrate housing holes 19A to 19D formed in the tray body 15a four protruding substrate support portions 21 are provided at intervals in the circumferential direction. Yes. Specifically, when viewed from the penetration direction of the substrate receiving holes 19A to 19D, the substrate receiving holes 19A to 19D Four substrate support portions 21 are provided at equiangular intervals (90 ° intervals) with respect to the center. On the other hand, four receiving grooves 65 extending from the substrate mounting surface 31 toward the tray support surface 28 are formed on the outer peripheral surfaces 38 of the individual substrate mounting portions 29A to 29D of the dielectric plate 23.
  • receiving grooves 65 are provided at equiangular intervals with respect to the centers of the individual substrate placement portions 29A to 29D.
  • the size and shape of the receiving groove 65 in plan view are set slightly larger than those of the protruding substrate support 21.
  • the tray 15 can be lowered until the lower surface 15c of the tray 15 reaches the tray support surface 28 and the lower surface 2a of the substrate 2 is placed on the substrate placement surface 31.
  • the substrate support portion 21 does not fit into the accommodation groove 65 and interferes with the substrate placement portions 29A to 29D.
  • the substrate placement portions 29A to 29D are prevented from entering the substrate accommodation holes 19A to 19D. Therefore, by providing the protruding substrate support portions 21 and the accommodation grooves 65 that are spaced apart in the circumferential direction, the positioning accuracy of the substrate 2 with respect to the substrate mounting surface 31 of the dielectric plate 23 is further improved.
  • FIGS. 14 and 15 show various alternatives for the tray 15.
  • seven substrate housing holes 19A to 19G are formed in the tray main body 15a to accommodate substrates each having an orientation flat in which a part of the outer peripheral edge is cut out linearly.
  • the hole wall 15d of the substrate accommodation holes 19A to 19G is a cylindrical surface similar to that of the first embodiment, and a part of the force is a flat surface corresponding to the orientation flat.
  • nine substrate accommodation holes 19A to 191 for accommodating rectangular substrates are formed in the tray body 15a.
  • the shape and number of substrate receiving holes of the tray 15 can be variously set according to the shape and number of substrates to be stored.
  • substrate support The shape and number of substrate mounting portions provided on the dielectric plate 23 of the septa 9 can be variously set according to the shape and number of substrate receiving holes.
  • the third embodiment of the present invention shown in FIG. 16 includes an annular guide plate 67 for positioning the tray 15 with respect to the dielectric plate 23.
  • the guide plate 67 is fixed to the upper surface of the guide cylinder 26 and surrounds the four substrate placement portions 29A to 29D of the dielectric plate 23.
  • the inner peripheral surface 67a of the guide plate 67 is a tapered surface extending from the lower surface 67b to the upper surface 67c.
  • the thickness of the guide plate 67 is set to be approximately the same as the thickness of the tray 15.
  • the outer peripheral surface 15f of the tray 15 is a tapered surface whose outer diameter increases from the lower surface 15c toward the upper surface 15b.
  • the dimensions and shape including the taper degree of the inner peripheral surface 67a of the guide plate 67 and the outer peripheral surface 15f of the tray 15 are the same as the inner peripheral surface of the guide plate 67 when the lower surface 15c of the tray 15 is placed on the tray support surface 28. 67a is set so that the outer peripheral surface 15f of the tray 15 is positioned and guided.
  • FIG. 18A and FIG. 18B show alternatives to the tray 15 and the guide plate 67.
  • the outer peripheral surface 15f of the tray 15 is a taper surface whose outer diameter increases from the lower surface 15c to the upper surface 15b.
  • the inner peripheral surface 67a of the guide plate 67 is a flat surface extending in the vertical direction.
  • the connection with surface 67b is rounded.
  • the outer peripheral surface 15f of the tray 15 is a flat surface extending in the vertical direction, and the connection portion with the lower surface 15c is chamfered to a round surface, and the inner peripheral surface 67a of the guide plate 67 is the upper surface from the lower surface 67b.
  • the chamfering of the outer peripheral surface 15f of the tray 15 and the inner peripheral surface 67a of the guide plate 67 is not limited to a round surface, and may be chamfered to a square surface.
  • the dry etching apparatus 1 includes the heat transfer gas supply mechanisms 45A to 45D for each of the four substrate mounting portions 29A to 29D included in the dielectric member 4.
  • the heat transfer gas supply mechanisms 45 ⁇ / b> A to 45 ⁇ / b> D include a common heat transfer gas source 46.
  • the supply flow path 47, the flow meter 48, the flow control valve 49, the pressure gauge 50, the discharge flow path 51, the cutoff valve 52, the bypass flow path 53, and the exhaust port 54 are connected to the individual heat transfer gas supply mechanisms 45A to 45A. Separately provided for each 45D.
  • each of the heat transfer gas supply mechanisms 45A to 45D can individually control supply and discharge of the heat transfer gas between the substrate placement surface 31 and the substrate 2.
  • Supplying heat transfer gas between the substrate placement surface 31 and the substrate 2 is performed separately for each of the four substrates 2 placed on the substrate placement surfaces 31 of the four substrate placement portions 29A to 29D. Can be adjusted.
  • the cooling efficiency of the substrate 2 and the control accuracy of the substrate temperature can be further improved, thereby improving the etching accuracy.
  • the dry etching apparatus 1 includes DC voltage application mechanisms 43A to 43D that can be individually controlled for each of the four electrostatic adsorption electrodes 40 built in the substrate placement units 29A to 29D.
  • Each of the DC voltage application mechanisms 43A to 43D includes a DC power supply 41 and an adjusting resistor 42. Since the direct current voltage applied to the electrostatic attraction electrodes 40 incorporated in the individual substrate placement units 29A to 29D can be individually controlled, the substrate placement surfaces 31 of the four substrate placement units 29A to 29D are mounted. It is possible to eliminate the variation in electrostatic attraction force between the four substrates 2 placed and to make it uniform.
  • the high-frequency application mechanism 56 is built in each substrate mounting portion 29A to 29D of the dielectric member 4 that is not the metal plate 24. It is electrically connected to the electrostatic chucking electrode 40. A high frequency as a bias voltage is applied to each of the electrostatic attraction electrodes 40 by a high frequency application mechanism 56 so as to be superimposed on the DC voltage for electrostatic attraction applied by the DC voltage application mechanism 43. By applying a noise voltage to the electrostatic chucking electrode 40 instead of the metal plate 27, the consumption of the tray 15 can be reduced.
  • the heat transfer gas supply mechanisms 45A to 45D that can be individually controlled are provided for the individual substrate placement units 29A to 29D.
  • the electrostatic chucking electrode 40 built in each of the substrate mounting portions 29A to 29D is applied by the DC voltage applying mechanism 43.
  • Each of the high frequency application mechanisms 56A to 56D includes a high frequency power source 57 and a variable capacitor 58, and can be individually controlled. High frequency power applied as a bias voltage to be applied to the electrostatic chucking electrode 40 according to the characteristics of the four substrates 2 placed on the substrate placement surfaces 31 of the four substrate placement parts 29A to 29D Can be adjusted so that there is no variation between the four substrates 2! / Uniform etching process can be realized.
  • the DC voltage application mechanism 43A that can be individually controlled for each of the electrostatic attraction electrodes 40 incorporated in each of the substrate mounting portions 29A to 29D.
  • ⁇ 43D the DC voltage application mechanism 43A that can be individually controlled for each of the electrostatic attraction electrodes 40 incorporated in each of the substrate mounting portions 29A to 29D.
  • a common high-frequency applying mechanism 56 is provided for applying a high frequency as a bias voltage to the electrostatic attraction electrodes 40 built in the individual substrate placement portions 29A to 29D. Since the direct-current voltage applied to the electrostatic adsorption electrodes 40 incorporated in the individual substrate placement units 29A to 29D can be individually controlled, the substrate placement surfaces 31 of the four substrate placement units 29A to 29D are loaded. Dispersion of electrostatic attraction force between the four substrates 2 placed It can be eliminated and made uniform.
  • the electrostatic chucking electrode 40 is built in each of the substrate mounting portions 29A to 29D.
  • Each of the substrate mounting portions 29A to 29D includes a bias application electrode 68 on the metal plate 24 side (lower side in the drawing) from the electrostatic adsorption electrode 40.
  • the bias application voltage 68 is electrically insulated from the electrostatic chucking electrode 40.
  • a high frequency as a bias voltage is applied from a common high frequency applying mechanism 56 to the bias applying electrode 68 incorporated in each of the substrate placement portions 29A to 29D.
  • a high-frequency application mechanism that can be individually controlled may be provided for each bias voltage electrode 68 of each of the substrate placement units 29A to 29D.
  • the substrate placement surfaces 31 of the four substrate placement units 29A to 29D 31 There is no variation among the four substrates 2 placed on the substrate!
  • FIG. 24 shows a dry etching apparatus 1 according to the ninth embodiment of the present invention.
  • the tray 15 is formed with a single substrate accommodation hole 19 penetrating in the thickness direction.
  • an annular substrate support portion 21 protrudes from the hole wall 15 d of the substrate accommodation hole 19.
  • One substrate 2 accommodated in the substrate accommodation hole 19 is supported on the upper surface 21a of the substrate support portion 21.
  • the dielectric plate 23 of the substrate susceptor 9 includes a single substrate mounting portion 29.
  • the electrostatic chucking electrode that electrostatically chucks the substrate 2 is a bipolar type. Specifically, two electrostatic chucking electrodes 40A and 40B are built in the substrate platform 29. Further, DC voltage application mechanisms 43E and 43F are provided for the respective electrostatic attraction electrodes 40A and 40B, and DC voltages having opposite polarities are applied to the individual electrostatic attraction electrodes 40A and 40B.
  • the electrostatic chucking electrode may be a bipolar type.
  • the electrostatic chucking electrode may be a single electrode type according to this embodiment.
  • the dry etching apparatus 1 of the present embodiment since the number of the substrates 2 accommodated in the tray 15 is one, single wafer processing is possible. Further, it is suitable for processing a substrate 2 having a relatively large area.
  • the comparative example 1, and the comparative example 2 the relationship between the increase in bias power and the increase in substrate temperature was simulated.
  • Substrate 2 was a 2 inch silicon wafer.
  • the tray 15 containing the substrate 2 is placed on the dielectric plate 23 of the substrate susceptor 9 in the substrate accommodation hole 19 penetrating the tray 15 in the thickness direction, and the lower surface 2a of the substrate 2 is placed on the substrate placement surface 31. It was placed directly and electrostatically attracted by bipolar electrostatic attraction electrodes 40 A and 40B.
  • the DC voltage applied to each of the electrostatic adsorption electrodes 40A and 40B was ⁇ 900V.
  • helium gas was supplied as a heat transfer gas between the substrate mounting surface 31 and the lower surface 2a of the substrate 2, and the pressure was set to 800 Pa.
  • Comparative Example 1 is an example in which a bottomed tray on which a substrate is placed is placed on a substrate susceptor, and the substrate is indirectly electrostatically attracted to the substrate susceptor via the tray.
  • the substrate was a 2-inch silicon wafer.
  • the DC voltage applied to the electrostatic chucking electrode was ⁇ 900 V, helium gas was supplied as the heat transfer gas to the lower surface of the tray, and the pressure was 800 Pa.
  • Comparative Example 2 the bottomed tray on which the substrate is placed is placed on the substrate susceptor, and the vicinity of the outer peripheral edge of the substrate is mechanically pressed to the substrate susceptor side by a clamp ring. This is an example of fixing the substrate to the substrate susceptor.
  • the substrate was a 4-inch silicon wafer.
  • helium was supplied to the lower surface of the substrate as a heat transfer gas, and the pressure was 6 OOPa.
  • the following conditions were unified for the experimental examples and comparative examples 1 and 2.
  • the etching gas was chlorine gas (C1), the flow rate was 50 sccm, and the pressure was 2 Pa. High frequency power applied to ICP coil
  • the discharge time was 60 seconds.
  • the top plate, chamber, and substrate susceptor (electrode) temperatures were 100 ° C, 100 ° C, and 20 ° C, respectively.
  • FIG. 28 shows the simulation result.
  • Comparative Example 1 when the bias power is about 50 W, the substrate temperature rises to about 110 ° C. where resist burning occurs.
  • Comparative Example 2 when the bias power is about 200 W, the substrate temperature rises to about 110 ° C where resist burning occurs.
  • the substrate temperature does not reach about 110 ° C where resist burning occurs even when the bias power is set to 400W.
  • This simulation result shows that the cooling efficiency of the substrate in the experimental example (the present invention) is significantly higher than in the conventional examples 1 and 2.
  • FIG. 29 shows the simulation result of Comparative Example 2
  • FIG. 30 shows the simulation result of the experimental example.
  • the etching rate is non-uniform due to the presence of the clamp ring at the outer periphery of the substrate, where the etching rate is lower near the outer periphery of the substrate compared to the vicinity of the center of the substrate.
  • the average value of the etching rate at the position of 5 mm in the X direction and 5 mm in the Y direction from the center of the substrate is 42.5 nmZmin, while 1 Omm in the X direction and 1 Y in the Y direction from the center of the substrate.
  • the average value of the etching rate at 1 Omm is 43.9 nmZmin, and there is a difference of 1.4 nmZmin between the two.
  • the etching rate is uniform in the entire region extending around the outer periphery of the substrate near the center force. Specifically, at a position 5mm in the X direction and 5mm in the Y direction from the center of the board The average force of the etching rate of S44.5 nmZmin, the average value of the etching rate at the position of 10 mm in the X direction and 10 mm in the Y direction from the center of the substrate, 3.9 nmZmin, the difference between them being 0.6 nmZmin Only.
  • the difference in the average value of the etching rate at the position of 5 mm and the position of 10 mm from the center of the substrate is reduced to less than 1Z2.
  • the present invention is not limited to the above embodiment, and various modifications can be made.
  • the present invention has been described by taking an ICP type dry etching processing apparatus as an example, but the present invention can be applied to RI (reactive ion) type dry etching, plasma CVD plasma processing apparatus and plasma processing method.
  • RI reactive ion

Abstract

 ドライエッチング装置1のトレイ15は、厚み方向に貫通する基板収容孔19A~19Dと、基板2の下面2aの外周縁部分を支持する基板支持部21を備える。誘電体板23は、トレイ15の下面を支持するトレイ支持面28、トレイ15の下面側から基板収容孔19A~19Dに挿入され、かつその上端面である基板載置面31に基板2が載置される基板載置部29A~29Dを備える。直流電圧印加機構43は静電吸着用電極40に直流電圧を印加する。伝熱ガス供給機構45は基板2と基板載置面31との間に伝熱ガスを供給する。基板載置面31に対して基板2を高い密着度で保持できる。その結果、基板31の冷却効率が向上し、外周縁付近を含む基板表面の全領域で処理が均一化される。

Description

明 細 書
プラズマ処理装置、プラズマ処理方法、及びトレィ
技術分野
[0001] 本発明は、ドライエッチング装置、 CVD装置等のプラズマ処理装置、プラズマ処理 方法、及びプラズマ処理装置用のトレイに関する。
背景技術
[0002] 特開 2000— 58514号公報には、基板を収容した有底のトレィを下部電極として機 能する基板サセプタ上に配置し、トレィを介して間接的に基板を基板サセプタに対し て静電吸着する構成のプラズマ処理装置が開示されて ヽる。基板サセプタの冷却機 構が設けられており、基板はトレイを介した基板サセプタとの間接的な熱伝導により 冷却される。
[0003] 特開 2003— 197607号公報には、基板を収容した有底のトレィを基板サセプタ上 に配置すると共に、基板の外周縁付近をクランプリングにより基板サセプタ側に押し 付け、それによつて基板を基板サセプタに対して固定する構成のプラズマ処理装置 が開示されている。トレィを貫通して基板の下面に達する流路が設けられており、この 流路を介して供給される冷却ガスにより基板の裏面が冷却される。
[0004] しかし、前者の文献に記載のプラズマ処理装置では、基板はトレイを介して間接的 に基板サセプタに対して静電吸着され、トレィを介した基板サセプタとの間接的な熱 伝導により冷却されるに過ぎないので、効率的に基板を冷却できない。
[0005] 一方、後者の文献に記載にプラズマ処理装置では、クランプリングが存在する基板 の外周縁付近で特にプラズマの状態が不安的となる傾向があり、基板の中央部分と 外周縁付近で処理を均一化できない。例えば、ドライエッチングの場合、クランプリン グが存在する基板の外周縁付近にはエッチングパターンを形成できない。
[0006] さらに、前述の 2つの文献に開示されたものを含め、従来提案されている基板を収 容したトレィを基板サセプタに配置する方式のプラズマ処理装置では、基板サセプタ に対する基板の位置決め精度に充分な考慮がなされていない。しかし、基板サセプ タに対する基板の位置決め精度は、特に 1つのトレイに収容された複数の基板のバ ツチ処理を実現する上で重要である。
発明の開示
発明が解決しょうとする課題
[0007] 本発明は、基板を収容したトレィを基板サセプタ上に配置するプラズマ処理装置に おいて、基板サセプタに対して基板を高い密着度で保持することによる基板の冷却 効率の向上、外周縁付近を含む基板表面の全領域での処理の均一化、及び基板サ セプタに対する基板の位置決め精度の向上を図ることを課題とする。
課題を解決するための手段
[0008] 第 1の発明は、厚み方向に貫通する基板収容孔が設けられ、この基板収容孔の孔 壁から突出し、前記基板収容孔内に収容された基板の下面の外周縁部分を支持す る基板支持部を備えるトレイと、前記トレイの下面を支持するトレイ支持部と、このトレ ィ支持部から上向きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、 かつその上端面である基板載置面に前記基板の下面が載置される基板載置部とを 備え、前記基板を前記基板載置面に静電吸着するための静電吸着用電極が内蔵さ れた誘電体部材と、前記静電吸着用電極に直流電圧を印加する直流電圧印加機構 と、前記基板と前記基板載置面との間に伝熱ガスを供給する伝熱ガス供給機構とを 備えることを特徴とする、プラズマ処理装置を提供する。
[0009] 基板の下面は、トレィを介することなく誘電体部材上に直接載置される。詳細には、 トレイの下面側から基板収容孔に誘電体部材の基板載置部が挿入され、基板載置 部の上端面である基板載置面に基板が載置される。従って、直流電圧印加機構から 静電吸着用電極に直流電圧が印加されると、基板は基板載置面に対して高い密着 度で保持される。その結果、伝熱ガスを介した基板と基板載置面との間の熱伝導性 が良好で、高い冷却効率で基板を冷却できると共に、基板温度を高精度で制御でき る。
[0010] 基板は基板載置面に直接載置され、かつ静電吸着されるので、基板の上面の外周 縁部分を誘電体部材に対して機械的に押圧するためのクランプリング等の部材は不 要である。換言すれば、プラズマ処理の対象となる基板の上面には、その中央部分 だけでなく外周縁付近にもプラズマの状態が不安定ィ匕する原因となる部材が存在し ない。従って、外周縁付近を含む基板表面の全領域で均一なプラズマ処理を実現で きる。
[0011] トレイの基板収容孔内に基板載置部が進入することにより、基板が基板載置面に載 置される。従って、基板載置面に高い位置決め精度で基板を保持できる。
[0012] 第 2の発明は、厚み方向に貫通する基板収容孔が設けられ、この基板収容孔の孔 壁カゝら突出する基板支持部を有するトレィを準備し、前記トレイの前記基板収容孔に 基板を収容し、前記トレイの下面側から見ると前記基板収容孔により前記基板の下 面が露出するように、前記基板支持部で前記基板の下面の外周縁部分を支持させ、 真空容器内に収容された誘電体部材の上方に前記基板を収容した前記トレィを配 置し、前記トレィを前記誘電体部材に向けて降下させ、前記トレイの下面を前記絶縁 部材のトレイ支持部で支持させると共に、前記トレィ支持部力 突出する基板載置部 を前記トレイの下面側から前記基板収容孔に侵入させ、前記基板載置部の上端面 である基板載置面に基板の下面を載置し、前記誘電体部材に内蔵された静電吸着 用電極に直流電圧を印加して、前記基板載置面に前記基板を静電吸着させ、前記 基板の下面と前記基板載置面との間に伝熱ガスを供給し、前記真空容器内にプラズ マを発生させる、プラズマ処理方法を提供する。
[0013] 第 3の発明は、厚み方向に貫通し、かつ基板が収容される基板収容孔が設けられ たトレイ本体と、前記基板収容孔の孔壁から突出し、前記トレィ本体の下面側から見 ると前記基板収容孔により下面が露出するように、前記基板収容孔内に収容された 基板の外周縁部分を支持する基板支持部とを備えるプラズマ処理装置用のトレィを 提供する。
[0014] 基板収容孔により基板の下面が露出しているので、トレィを介することになくプラズ マ処理装置の基板サセプタ上に基板を直接載置できる。従って、静電吸着により基 板サセプタに対して高い密着度で基板を保持できる。その結果、基板と基板サセプ タとの間の熱伝導性が良好で、高い冷却効率で基板を冷却できると共に、基板温度 を高精度で制御できる。
[0015] 基板は基板サセプタに直接載置されるので、静電吸着により基板サセプタに対して 高い密着度で固定できる。従って、基板の上面の外周縁部分を基板サセプタに対し て機械的に押圧するためのクランプリング等の部材は不要である。換言すれば、ブラ ズマ処理の対象となる基板の上面には、その中央部分だけでなく外周縁付近にもプ ラズマの状態が不安定となる原因となる部材が存在しない。従って、外周縁付近を含 む基板表面の全領域で均一なプラズマ処理を実現できる。
[0016] トレイの基板収容孔内に基板サセプタの一部が進入することにより、基板を基板サ セプタ上に直接載置できる。従って、基板サセプタに対する基板の位置決め精度を 向上できる。
発明の効果
[0017] 本発明によれば、基板はトレイを介することなく誘電体部材の基板載置面に直接載 置され、かつ静電吸着されるので、基板載置面に対して基板を高い密着度で保持で き、基板の冷却効率を向上し、基板温度を高精度で制御できる。また、基板の上面 の外周縁部分を誘電体部材に対して機械的に押圧するためのクランプリング等の部 材は不要であるので、外周縁付近を含む基板表面の全領域での均一なプラズマ処 理を実現できる。さらに、トレイの基板収容孔内に基板載置部が挿入されることにより 基板が基板載置面に載置されるので、誘電体部材に対する基板の位置決め精度を 向上できる。
図面の簡単な説明
[0018] [図 1]本発明の第 1実施形態に係るドライエッチング装置の模式的な断面図。
[図 2]本発明の第 1実施形態に係るドライエッチング装置の模式的な平面図。
[図 3]トレイ及び誘電体板を示す斜視図。
[図 4A]トレイの平面図。
[図 4B]図 4Aの IV— IV線での断面図。
[図 5A]トレイ及び誘電体板の部分拡大断面図(トレィ載置前)。
[図 5B]トレイ及び誘電体板の部分拡大断面図(トレィ載置後)。
[図 6A]誘電体板の平面図。
[図 6B]図 6の VI— VI線での断面図。
[図 7]トレイ及び誘電体板の第 1の代案の部分拡大断面図。
[図 8]トレイ及び誘電体板の第 2の代案の部分拡大断面図。 圆 9]トレイ及び誘電体板の第 3の代案の部分拡大断面図。
圆 10]トレイ及び誘電体板の第 4の代案の部分拡大断面図。
圆 11]本発明の第 2実施形態に係るドライエッチング装置が備えるトレイ及び誘電体 板を示す断面図。
[図 12A]トレイの平面図。
[図 12B]図 12Aの XII— XXII線での断面図。
[図 13A]誘電体板の平面図。
[図 13B]図 13の XIII— XIII線での断面図。
圆 14]トレイの第 1の代案を示す平面図。
圆 15]トレイの第 2の代案を示す平面図。
圆 16]本発明の第 3実施形態に係るドライエッチング装置を示す模式的な断面図。
[図 17]図 16の部分 XVIIの部分拡大図。
圆 18A]トレイ及びガイドプレートの第 1の代案を示す部分拡大断面図。
圆 18B]トレイ及びガイドプレートの第 2の代案を示す部分拡大断面図。
圆 19]本発明の第 4実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 20]本発明の第 5実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 21]本発明の第 6実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 22]本発明の第 7実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 23]本発明の第 8実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 24]本発明の第 9実施形態に係るドライエッチング装置を示す模式的な断面図。 圆 25]本発明の第 9実施形態に係るドライエッチング装置が備えるトレイ及び誘電体 板を示す模式的な斜視図。
圆 26A]本発明の第 9実施形態に係るドライエッチング装置が備えるトレイの平面図。
[図 26B]図 26 Aの XXVI— XXVI線での断面図。
[図 27A]誘電体板の平面図。
[図 27B]図 27Aの XXVII— XXVII線での断面図。
圆 28]ノ ィァスパワーと基板温度の関係を示すグラフ。
[図 29]比較例 2におけるエッチング速度の分布を示すグラフ。 [図 30]実験例におけるエッチング速度の分布を示すグラフ。
発明を実施するための最良の形態
[0019] (第 1実施形態)
図 1及び図 2は、本発明の第 1実施形態に係る ICP (誘導結合プラズマ)型のドライ エッチング装置 1を示す。
[0020] ドライエッチング装置 1は、その内部が基板 2にプラズマ処理を行う処理室を構成す るチャンバ (真空容器) 3を備える。チャンバ 3の上端開口は石英等の誘電体からなる 天板 4により密閉状態で閉鎖されている。天板 4上には ICPコイル 5が配設されている 。 ICPコイル 5にはマッチング回路 6を介して、高周波電源 7が電気的に接続されてい る。天板 4と対向するチャンバ 3内の底部側には、バイアス電圧が印加される下部電 極としての機能及び基板 2の保持台としての機能を有する基板サセプタ 9が配設され ている。チャンバ 3には、隣接するロードドック室 10 (図 2参照)と連通する開閉可能な 搬入出用のゲート 3aが設けられている。また、チャンバ 3に設けられたエッチングガス 供給口 3bには、エッチングガス供給源 12が接続されている。エッチングガス供給源 1 2は MFC (マスフローコントローラ)等を備え、エッチングガス供給口 3bから所望の流 量でエッチングガスを供給できる。さらに、チャンバ 3に設けられた排気口 3cには、真 空ポンプ等を備える真空排気装置 13が接続されている。
[0021] 本実施形態では、図 3から図 4Bに示す 1個のトレィ 15に 4枚の基板 2が収容され、ト レイ 15はゲート 3aを通ってロードドック室 10からチャンバ 3内(処理室)に搬入される 。図 2を参照すると、水平方向の直進移動 (矢印 A参照)と水平面内での回転 (矢印 B 参照)が可能な搬送アーム 16が設けられている。また、チャンバ 3内には、基板サセ プタ 9を貫通し、かつ駆動装置 17で駆動されて昇降する昇降ピン 18が設けられてい る。トレイ 15の搬入時には、トレイ 15を支持した搬送アーム 16がゲート 3aを通って口 ードドック室 10からチャンバ 3内に進入する。この際、図 1において二点鎖線で示す ように昇降ピン 18は上昇位置にあり、チャンバ 3内に進入した搬送アーム 16から昇降 ピン 18の上端にトレイ 15が移載される。この状態では、トレイ 15は基板サセプタ 9の 上方に間隔をあけて位置している。続いて、昇降ピン 18が図 1において実線で示す 降下位置に降下し、それによつてトレィ 15と基板 2が基板サセプタ 9上に載置される。 一方、プラズマ処理終了後のトレイ 15の搬出時には、昇降ピン 18が上昇位置まで上 昇し、続いてロードドック室 10からチャンバ 3内に進入した搬送アーム 16にトレイ 15 が移載される。
[0022] 次に、図 3から図 5Bを参照して、トレイ 15について説明する。トレイ 15は薄板円板 状のトレィ本体 15aを備える。トレイ 15の材質としては、例えばアルミナ (A1 0 )、窒化
2 3 アルミニウム(A1N)、ジルコユア(Zr〇)、イットリア(Y 0 )、窒化シリコン(SiN)、炭化シ
2 3
リコン (SiC)等のセラミクス材や、アルマイトで被覆したアルミニウム、表面にセラミクス を溶射したアルミニウム、榭脂材料で被覆したアルミニウム等の金属がある。 C1系プロ セスの場合にはアルミナ、イットリア、炭化シリコン、窒化アルミニウム等、 F系プロセス の場合には石英、水晶、イットリア、炭化シリコン、アルマイトを容射したアルミニウム 等を採用することが考えられる。
[0023] トレイ本体 15aには、上面 15bから下面 15cまで厚み方向に貫通する 4個の基板収 容孔 19A〜19Dが設けられている。基板収容孔 19A〜19Dは、上面 15b及び下面 15cから見てトレィ本体 15aの中心に対して等角度間隔で配置されている。図 5A及 び図 5Bに最も明瞭に示すように、基板収容孔 19 A〜 19Dの孔壁 15dの下面 15c側 には、基板収容孔 19 A〜 19Dの中心に向けて突出する基板支持部 21が設けられて いる。本実施形態では、基板支持部 21は孔壁 15dの全周に設けられており、平面視 で円環状である。
[0024] 個々の基板収容孔 19A〜19Bにはそれぞれ 1枚の基板 2が収容される。図 5Aに 示すように、基板収容孔 19A〜19Bに収容された基板 2は、その下面 2aの外周縁部 分が基板支持部 21の上面 21aに支持される。また、前述のように基板収容孔 19A〜 19Dはトレイ本体 15aを厚み方向に貫通するように形成されて!、るので、トレイ本体 1 5aの下面 15c側から見ると、基板収容孔 19A〜19Dにより基板 2の下面 2aが露出し ている。
[0025] トレイ本体 15aには、外周縁を部分的に切り欠いた位置決め切欠 15eが設けられて いる。図 2に示すように、前述の搬入出用の搬送アーム 16にトレィを載置する際に、 位置決め切欠 15eに搬送アーム 16の位置決め突起 16aが嵌め込まれる。位置決め 切欠 15e及び位置決め突起 16aをロードドック室 10内に設けられたセンサ 22A, 22 Bで検出することにより、トレイ 15の回転角度位置を検出できる。
[0026] 次に、図 1、図 3、及び図 5Aから図 6Bを参照して、基板サセプタ 9について説明す る。まず、図 1を参照すると、基板サセプタ 9は、セラミクス等からなる誘電体板 (誘電 体部材) 23、表面にアルマイト被覆を形成したアルミニウム等カゝらなり、本実施形態 ではペデスタル電極として機能する金属板 (支持部材) 24、セラミクス等力 なるスぺ ーサ板 25、セラミクス等力もなるガイド筒体 26、及び金属製のアースシールド 27を備 える。基板サセプタ 9の最上部を構成する誘電体板 23は、金属板 24の上面に固定さ れている。また、金属板 24はスぺーサ板 25上に固定されている。さらに、誘電体板 2 3と金属板 24の外周をガイド筒 26が覆い、その外側とスぺーサ板 25の外周をアース シールド 27が覆っている。
[0027] 図 3及び図 5Aから図 6Bを参照すると、誘電体板 23は全体として薄い円板状であり 、平面視での外形が円形である。誘電体板 23の上端面は、トレイ 15の下面 15cを支 持するトレイ支持面(トレイ支持部) 28を構成する。また、それぞれトレイ 15の基板収 容孔 19A〜19Dと対応する短円柱状の 4個の基板載置部 29A〜29Dがトレイ支持 面 28から上向きに突出している。
[0028] 基板載置部 29A〜29Dの上端面は、基板 2の下面 2aが載置される基板載置面 31 を構成する。また、基板載置部 29A〜29Dには、基板載置面 31の外周縁から上向 きに突出し、その上端面が基板 2の下面 2aを支持する円環状突出部 32が設けられ ている。また、基板載置面 31の円環状突出部 32で囲まれた部分には、基板載置面 31よりも十分径が小さい円柱状突起 33が、均一に分布するように複数個設けられて いる。円柱状突起 33と円環状突出部 32の基板載置面 31からの突出量は同一であり 、円環状突出部 32のみでなく円柱状突起 33の上端面も基板 2の下面 2aを支持する
[0029] 図 5A及び図 5Bを参照すると、基板載置部 29A〜29Dの外径 R1は、基板支持部 21の先端面 21bで囲まれた円形開口 36の径 R2よりも小さく設定されている。従って 、前述の搬入時にトレィ 15が誘電体板 23に向けて降下すると、個々の基板載置部 2 9A〜29Dは対応する基板収容孔 19A〜 19Dにトレイ本体 15aの下面 15c側から進 入し、トレイ 15の下面 15cは誘電体板 23のトレイ支持面 28上に載置される。また、ト レイ本体 15aの下面 15cからの基板支持部 21の上面 21aの高さ HIは、トレイ支持面 28からの基板載置面 31の高さ H2よりも低く設定している。従って、トレイ 15の下面 1 5cがトレイ支持面 28上に載置された状態では、基板載置部 29A〜29Dの上端の基 板載置面 31で押し上げられ、トレイ 15の基板支持部 21から浮き上がつている。換言 すれば、基板収容孔 19A〜19Dに基板 2を収容しているトレィ 15を誘電体板 23上 に載置すると、基板収容孔 19 A〜 19Dに収容された基板 2は基板支持部 21の上面 21aから浮き上がり、下面 2aが基板載置面 31上に載置される。
[0030] また、図 5A及び図 5Bに示すように、基板載置部 29A〜29Dの外周面 38と基板載 置面 31との接続部分は丸面に面取りしている。従って、基板載置部 29A〜29Dの 上端側では基板収容孔 19A〜19Dの貫通方向から見た外径が、基板載置面 31側 カもトレイ支持面 28に向けて増大している。一方、基板載置部 29A〜29Dの外周面 38の下端側では基板収容孔 19A〜19Dの貫通方向から見た外径が一定できる。
[0031] 図 1を参照すると、誘電体板 23の個々の基板載置部 29A〜29Dの基板載置面 31 付近には単極型の静電吸着用電極 40が内蔵されている。これらの静電吸着用電極 40は電気的に互 、に絶縁されており、直流電源 41と調整用の抵抗 42等を備える共 通の直流電圧印加機構 43から静電吸着用の直流電圧が印加される。
[0032] 図 3、図 6A、及び図 6Bを参照すると、個々の基板載置部 29A〜29Dの基板載置 面 31には、伝熱ガス (本実施形態ではヘリウム)の供給孔 44が設けられている。これ らの供給孔 44は共通の伝熱ガス供給機構 45 (図 1に図示する)に接続されている。 伝熱ガス供給機構 45は、伝熱ガス源 (本実施形態ではヘリウムガス源) 46、伝熱ガス 源 46から供給孔 44に到る供給流路 47、供給流路 47の伝熱ガス源 46側力も順に設 けられた流量計 48、流量制御バルブ 49、及び圧力計 50を備える。また、伝熱ガス供 給機構 45は、供給流路 47から分岐する排出流路 51と、この排出流路 51に設けられ たカットオフバルブ 52を備える。さらに、伝熱ガス供給機構 45は、供給流路 47の圧 力計 50よりも供給孔 44側と排出流路 51を接続するバイパス流路 53を備える。個々 の基板載置部 29A〜29Dの基板載置面 31とその上に載置された基板 2の下面 2aと の間、詳細には基板 2の下面 2aと円環状突出部 32で囲まれた閉鎖された空間に、 伝熱ガス供給機構 45によって伝熱ガスが供給される。伝熱ガスの供給時にはカット オフバルブ 52は閉弁され、伝熱ガス供給源 46から供給路 47を経て供給孔 44へ伝 熱ガスが送られる。流量計 48と圧力計 50で検出される供給流路 47の流量及び圧力 に基づき、後述するコントローラ 63が流量制御バルブ 49を制御する。一方、伝熱ガ スの排出時にはカットオフバルブ 52が開弁され、基板 2の下面 2aと基板載置面 31の 間の伝熱ガスは、供給孔 44、供給流路 47、及び排出流路 51を経て排気口 54から 排気される。
[0033] 金属板 24には、バイアス電圧としての高周波を印加する高周波印加機構 56が電 気的に接続されている。高周波印加機構 56は、高周波電源 57とマッチング用の可 変容量コンデンサ 58とを備える。
[0034] また、金属板 24を冷却する冷却機構 59が設けられて 、る。冷却機構 59は金属板 2 4内に形成された冷媒流路 60と、温調された冷媒を冷媒流路 60中で循環させる冷 媒循環装置 61とを備える。
[0035] 図 1にのみ模式的に示すコントローラ 63は、流量計 48及び圧力計 50を含む種々 のセンサや操作入力に基づいて、高周波電源 7、エッチングガス供給源 12、搬送ァ ーム 16、真空排気装置 13、駆動装置 17、直流電圧印加機構 43、伝熱ガス供給機 構 45、高周波電圧印加機構 56、及び冷却機構 59を含むドライエッチング装置 1全 体の動作を制御する。
[0036] 次に、本実施形態のドライエッチング装置 1を使用したドライエッチング方法を説明 する。
[0037] まず、トレイ 1の基板収容孔 19A〜19Dにそれぞれ基板 2が収容される。トレイ 1の 基板支持部 21aで支持された基板 2は、トレイ本体 15aの下面側力 見ると基板収容 孔 19A〜19Dによりトレイ本体 15aの下面 15cから露出している。
[0038] 次に、基板収容孔 19A〜19Dにそれぞれ基板 2が収容されたトレイ 15が搬送ァー ム 16で支持され、ロードドック室 10からゲート 3aを通ってチャンバ 3内に搬入される。 図 1において二点鎖線で示すように、トレイ 1は基板サセプタ 9の上方に間隔をあけて 配置される。
[0039] 駆動装置 7によって駆動された昇降ピン 18が上昇し、搬送アーム 16から昇降ピン 1 8の上端にトレイ 15が移載される。トレイ 15の移載後、搬送アーム 16はロードロック室 10に待避し、ゲート 3aが閉鎖される。
[0040] 上端にトレイ 15を支持した昇降ピン 18は、図 1において二点鎖線で示す上昇位置 力も基板サセプタ 9に向けて降下する。図 5A及び図 5Bを参照すると、トレイ 15は下 面 15cが基板サセプタ 9の誘電体板 23のトレイ支持面 28まで降下し、トレイ 15は誘 電体板 23のトレイ支持面 28によって支持される。トレイ 15がトレイ支持面 28に向けて 降下する際に、誘電体板 23の基板載置部 29A〜29Dがトレイ 15の対応する基板収 容孔 19A〜19D内にトレィ 15の下面 15c側から進入する。トレイ 15の下面 15cがトレ ィ支持面 28に近付くのに伴い、基板載置部 29A〜29Dの先端の基板載置面 31は 基板収容孔 19A〜19D内をトレイ 15の上面 15bに向力つて進む。図 5Bに示すよう に、トレイ 15の下面 15cが誘電体板 23のトレイ支持面 28に載置されると、個々の基 板収容孔 19A〜19D内の基板 2は基板載置部 29A〜29Dによって基板支持部 21 の上面 21aから持ち上げられる。詳細には、基板 2はその下面 2aが基板載置部 29A 〜29Dの基板載置面 31に載置され、トレイ 15の基板支持部 21の上面 21aに対して 間隔をあけて上方に配置される。
[0041] このようにトレイ 15の基板収容孔 19A〜 19D内に基板載置部 29 A〜29Dが進入 することにより、基板 2は基板載置面 31に載置される。従って、トレイ 15に収容された 4枚の基板 2は、 Vヽずれも高 ヽ位置決め精度で基板載置部 29A〜29Dの基板載置 面 31に載置される。また、前述のように基板載置部 29A〜29Dの外周面 38と基板 載置面 31との接続部分は丸面に面取りしているので、仮に基板収容孔 19A〜19D と基板載置部 29A〜29Dの平面視での位置に微細なずれが存在している場合でも 、基板載置部 29A〜29Dの面取りされた部分が基板支持部 21の先端面 21bと接触 する。その結果、基板載置部 29A〜29Dが基板収容孔 19A〜19D内に円滑かつ 確実に挿入される。この点でも基板 2は基板載置面 31に対して高 ヽ位置決め精度で 載置される。
[0042] 次に、誘電体板 23に内蔵された静電吸着用電極 40に対して直流電圧印加機構 4 3から直流電圧が印加され、個々の基板載置部 29A〜29Dの基板載置面 31に基板 2が静電吸着される。基板 2の下面 2aはトレィ 15を介することなく基板載置面 31上に 直接載置されている。従って、基板 2は基板載置面 31に対して高い密着度で保持さ れる。
[0043] 続いて、個々の基板載置部 29A〜29Dの円環状突出部 32と基板 2の下面 2aで囲 まれた空間に、供給孔 44を通って伝熱ガス供給装置 45から伝熱ガスが供給され、こ の空間に伝熱ガスが充填される。
[0044] その後、エッチングガス供給源 12からチャンバ 3内にエッチングガスが供給され、真 空排気装置 13によりチャンバ 3内は所定圧力に維持される。続いて、高周波電源 7 力も ICPコイル 5に高周波電圧を印加すると共に、高周波印加機構 56により基板サ セプタ 9の金属板 24にバイアス電圧を印加し、チャンバ 3内にプラズマを発生させる。 このプラズマにより基板 2がエッチングされる。 1枚のトレィ 15で 4枚の基板 2を基板サ セプタ 9上に載置できるので、ノ ツチ処理が可能である。
[0045] エッチング中は、冷媒循環装置 61によって冷媒流路 60中で冷媒を循環させて金 属板 24を冷却し、それによつて誘電体板 23及び誘電体板 23の基板載置面 31に保 持された基板 2を冷却する。前述のように、基板 2はその下面 2aがトレィ 15を介するこ となく基板載置面 31に直接載置され、高い密着度で保持されている。従って、円環 状突出部 32と基板 2の下面 2aで囲まれた伝熱ガスが充填されている空間の密閉度 が高ぐ伝熱ガスを介した基板 2と基板載置面 31との間の熱伝導性が良好である。そ の結果、個々の基板載置部 29A〜29Dの基板載置面 31に保持された基板 2を高い 冷却効率で冷却できると共に、基板 2の温度を高精度で制御できる。また、個々の基 板 2毎に基板載置部 29A〜29Dの円環状突出部 32と下面 2aで囲まれた空間に伝 熱ガスが充填される。換言すれば、伝熱ガスが充填される空間は個々の基板 2毎に 異なる。この点でも個々の基板 2と誘電体板 23の基板載置面 31との熱伝導性が良 好であり、高い冷却効率と高精度の温度制御を実現できる。
[0046] 前述のように、基板 2は個々の基板載置部 29A〜29Dの基板載置面 31に直接載 置され、かつ静電吸着されるので、基板載置面 31に対する密着度が高い。従って、 基板 2の上面の外周縁部分を誘電体板 23に対して機械的に加熱するためのクラン プリング等の部材は不要である。換言すれば、基板 2の上面には、その中央部分だ けでなく外周縁付近にもプラズマの状態が不安定ィ匕する原因となる部材が存在しな い。従って、外周縁付近を含む基板 2の表面の全領域で均一なプラズマ処理を実現 できる。
[0047] 基板載置面 31に対する基板 2の位置決め精度を確保しつつ、エッチング処理中に プラズマが基板 2の下面 2a側に回り込むのを防止するためには、基板 2の外周縁とト レイ 15の基板収容孔 19A〜19Dの孔壁 15dとの間の隙間 δ 1が 0. 1〜0. 2mm程 度、基板 2の下面 2aとトレイ 15の基板支持部 21の上面 21aとの間の隙間 δ 2が 0. 2 〜0. 3mm程度、基板載置部 29A〜29Dの側壁と基板支持部 21の先端との隙間 δ 3力 SO. 5mm程度であることが好ましい。
[0048] エッチング終了後、高周波電源 7から ICPコイル 5への高周波電圧の印加と、高周 波印加機構 56から金属板 24へのバイアス電圧の印加を停止する。続いて、真空排 気装置 13によりエッチングガスをチャンバ 3内から排気する。また、伝熱ガス供給機 構 45により基板載置面 31と基板 2の下面 2aから伝熱ガスを排気する。さら〖こ、直流 電圧印加機構 43から静電吸着用電極 40への直流電圧の印加を停止して基板 2の 静電吸着を解除する。
[0049] 次に、駆動装置 17により昇降ピン 18を上昇させる。昇降ピン 18が上昇すると、その 上端でトレイ 15の下面 15cが押し上げられ誘電体板 23のトレイ支持面 28から浮き上 がる。昇降ピン 18と共にトイレ 15がさらに上昇すると、図 5Aに示すように、トレイ 15の 基板支持部 21により基板 2の下面 2cが押し上げられ、基板 2は基板載置部 29A〜2 9Dの基板載置面 31から浮き上がる。昇降ピン 18は図 1において二点鎖線で示す上 昇位置に上昇する。
[0050] その後、ゲート 3aを通ってロードドック室 10からチャンバ 3内に進入した搬送アーム 16に、トレイ 15が移載される。トレイ 15は搬送アーム 16によってロードドック室 10へ 搬出される。
[0051] 図 7から図 10は、トレイ 15の基板支持部 21と誘電体板 23の基板載置部 4に関する 種々の代案を示す。
[0052] 図 7の例では、基板載置部 29A〜29Dの外周面 38と基板載置面 31との接続部分 を丸面に面取りしているだけでなぐトレイ 15の基板支持部 21の先端面 21bを、トレ ィ 15の下面 15c側力 上面 15b側に向けて孔壁 15dからの突出量が増大するテー パ面としている。基板支持部 21の先端面 21bを力かるテーパ面とすれば、基板収容 孔 19 A〜 19Dと基板載置部 29A〜29Dの平面視での位置に微細なずれが存在し ている場合でも、基板載置部 29A〜29Dは基板収容孔 19A〜19Dに対してより確 実かつ円滑に挿入できる。
[0053] 図 8の例では、基板載置部 29A〜29Dの外周面 38は、基板載置面 31側からトレイ 支持部 21に向けて外径寸法が拡大するテーパ面である。また、トレイ 15の基板支持 部 21の先端面 21bは、トレイ 15の下面 15c側から上面 15b側に向けて孔壁 15dから の突出量が増大するテーパ面である。このように基板載置部 29A〜29Dの外周面と 基板支持部 21の先端面 21bの両方をテーパ面としても、基板載置部 29A〜29Dを 基板収容孔 19 A〜 19Dに対してより確実かつ円滑に挿入できる。
[0054] 図 9及び図 10の例では、基板載置部 29A〜29Dの外周面 38と基板載置面 31との 接続部分を丸面に面取りして 、るだけでなく、基板支持 21の先端面 21 aをトレィ 15 の下面 15c側力 上面 15b側に向けて孔壁 15dからの突出量が増大する円弧状面と している。図 9の例では、先端面 21aを構成する円弧の曲率半径を比較的大きく設定 し、基板支持部 21の下面 21cから上面 21aまでの高さを大きく設定している。一方、 図 10の例では、先端面 21aを構成する円弧の曲率半径を比較的小さく設定し、基板 支持 21の高さを小さく設定している。
[0055] 第 1実施形態(図 5A及び図 5B)や図 7から図 10に示す種々の代案において、基 板支持部 21の先端面 21bと基板載置部 29A〜29Dの外周面 38のうちの一方又は 両方の表面をイットリアのような比較的硬質な材料で被覆してもよ ヽ。カゝかる被覆を設 けることにより、誘電体板 23にトレイ 15を載置する際や、誘電体板 23からトレィ 15を 降ろす際に、トレイ 15の基板支持部 21と誘電体板 23の基板載置部 29A〜29Dとの 接触によりダストが発生するのを防止できる。
[0056] (第 2実施形態)
図 11から図 13Bに示す本発明の第 2実施形態は、トレイ 15と基板サセプタ 9の誘 電体板 23の構造が第 1実施形態と異なる。
[0057] トレイ本体 15aに形成された個々の基板収容孔 19A〜19Dの孔壁 15dの下面 15c 側には、周方向に間隔をあけて突起状の 4個の基板支持部 21が設けられている。詳 細には、基板収容孔 19A〜19Dの貫通方向から見ると、基板収容孔 19A〜19Dの 中心に対して等角過度間隔 (90° 間隔)で 4個の基板支持部 21が設けられている。 一方、誘電体板 23の個々の基板載置部 29A〜29Dの外周面 38には、基板載置面 31からトレィ支持面 28に向けて延びる 4個の収容溝 65が形成されて 、る。平面視で は、個々の基板載置部 29A〜29Dの中心に対して等角度間隔で 4個の収容溝 65が 設けられている。収容溝 65の平面視での寸法及び形状は、突起状の基板支持部 21 よりもわずかに大きく設定されている。
[0058] 図 11に示すように誘電体板 23の個々の基板載置部 29A〜29Dの上方にトレイ 15 の基板収容孔 19A〜 19Dの!、ずれかが位置して!/、れば、トレイ 15が誘電体板 23に 向けて降下すると、個々の基板収容孔 19A〜19Dの 4個の基板支持部 21が対応す る基板載置部 29A〜29Dの収容溝 65に嵌り込む。従って、この場合、トレイ 15の下 面 15cがトレイ支持面 28に達し、かつ基板 2の下面 2aが基板載置面 31上に載置さ れるまでトレイ 15を降下させることができる。しかし、図 11において矢印 CI, C2で示 すように、トレイ 15のそれ自体の中心周りの角度が比較的大きくずれている場合、基 板支持部 21と収容溝 56の平面視での位置がずれるので、基板支持部 21は収容溝 65に嵌り込まず、基板載置部 29A〜29Dと干渉する。その結果、基板収容孔 19A 〜19Dに対する基板載置部 29A〜29Dの進入が妨げられる。従って、周方向に間 隔をあけて配置した突起状の基板支持部 21と収容溝 65とを設けることにより、誘電 体板 23の基板載置面 31に対する基板 2の位置決め精度がさらに向上する。
[0059] 第 2実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0060] 図 14及び図 15は、トレイ 15に関する種々の代案を示す。図 14の例では、トレィ本 体 15aに、外周縁の一部を直線状に切り欠いたオリエンテーションフラットを備える基 板をそれぞれ収容するための 7個の基板収容孔 19A〜 19Gが形成されて 、る。基板 収容孔 19A〜19Gの孔壁 15dは第 1実施形態と同様の円筒面である力 その一部 はオリエンテーションフラットと対応して平坦面としている。図 15の例では、トレイ本体 15aに矩形状の基板を収容するための 9個の基板収容孔 19 A〜 191が形成されて 、 る。これら図 14及び図 15に限定されず、トレイ 15の基板収容孔の形状及び個数は、 収容する基板の形状や個数に応じて種々設定することが可能である。また、基板サ セプタ 9の誘電体板 23に設ける基板載置部の形状や個数も、基板収容孔の形状及 び個数に応じて種々設定できる。
[0061] (第 3実施形態)
図 16に示す本発明の第 3実施形態は、トレイ 15を誘電体板 23に対して位置決め するための円環状のガイドプレート 67を備える。ガイドプレート 67はガイド筒体 26の 上面に固定されており、誘電体板 23の 4つの基板載置部 29A〜29Dの周囲を取り 囲んでいる。ガイドプレート 67の内周面 67aは下面 67bから上面 67cに向けて拡がる テーパ面である。また、ガイドプレート 67の厚みはトレイ 15の厚みとほぼ同程度に設 定されている。
[0062] 図 17を併せて参照すると、本実施形態では、トレイ 15の外周面 15fは下面 15cから 上面 15bに向けて外径が拡大するテーパ面である。ガイドプレート 67の内周面 67aと トレイ 15の外周面 15fのテーパ度を含む寸法及び形状は、トレイ 15の下面 15cをトレ ィ支持面 28上に載置する時、ガイドプレート 67の内周面 67aによりトレイ 15の外周面 15fが位置決め案内されるように設定されて!、る。
[0063] 図 16にお 、て二点鎖線で示す上昇位置からトレイ 15が誘電体板 23に向けて降下 すると、トレイ 15の外周面 15fがガイドプレート 67の内周面 67aに案内される。基板 載置部 29A〜29Dがトレイ 15の基板収容孔 19A〜19Fに挿入されることにより基板 収容孔 19 A〜 19D内の基板 2が誘電体板 23の基板載置面 31に対して位置決めさ れるだけでなぐ基板 2を保持したトレイ 15自体がガイドプレート 67により誘電体板 2 3に対して位置決めされる。その結果、誘電体部材 23の基板載置面 31に対する基 板 2の位置決め精度がさらに向上する。
[0064] 図 18A及び図 18Bは、トレイ 15及びガイドプレート 67の代案を示す。図 18Aの例 では、トレイ 15の外周面 15fは下面 15cから上面 15bに向けて外径が拡大するテー パ面である力 ガイドプレート 67の内周面 67aは鉛直方向に延びる平坦面であり上 面 67bとの接続部分を丸面に面取りしている。一方、図 18Bの例では、トレイ 15の外 周面 15fは鉛直方向に延びる平坦面であり下面 15cとの接続部分を丸面に面取りし 、ガイドプレート 67の内周面 67aは下面 67bから上面 67aに向けて外径が拡大する テーパ面としている。図 18Aや図 18Bに示すトレィ 15の外周面 15fとガイドプレート 6 7の内周面 67aの形状の組み合わせを採用しても、トレイ 15の誘電体板 23に対して 位置決め精度をさらに向上できる。なお、トレイ 15の外周面 15fとガイドプレート 67の 内周面 67aの面取りは丸面に限定されず、角面に面取りしてもよい。
[0065] 第 3実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0066] (第 4実施形態)
図 19に示す本発明の第 4実施形態では、ドライエッチング装置 1は、誘電体部材 4 が備える 4個の基板載置部 29A〜29D毎に伝熱ガス供給機構 45A〜45Dを備えて いる。伝熱ガス供給機構 45A〜45Dは、共通の伝熱ガス源 46を備える。しかし、供 給流路 47、流量計 48、流量制御バルブ 49、圧力計 50、排出流路 51、カットオフバ ルブ 52、バイパス流路 53、及び排気口 54は、個々の伝熱ガス供給機構 45A〜45D 毎に別個に設けられている。従って、個々の伝熱ガス供給機構 45A〜45Dは、基板 載置面 31と基板 2の間に対する伝熱ガスの供給と排出を個別に制御可能である。基 板載置面 31と基板 2の間への伝熱ガスの供給を、 4個の基板載置部 29A〜29Dの 基板載置面 31に載置された 4枚の基板 2毎に別個に調整できる。その結果、基板 2 の冷却効率と基板温度の制御精度をさらに向上し、それによつてエッチング精度を 向上できる。
[0067] また、ドライエッチング装置 1は、基板載置部 29A〜29Dに内蔵された 4個の静電 吸着用電極 40毎に、個別に制御可能な直流電圧印加機構 43A〜43Dを備える。 個々の直流電圧印加機構 43A〜43Dは、直流電源 41と調整用の抵抗 42を備える 。個々の基板載置部 29A〜29Dに内蔵された静電吸着用電極 40に印加される直 流電圧を個別に制御できるので、 4つの基板載置部 29A〜29Dの基板載置面 31に 載置された 4枚の基板 2間で静電吸着力のばらつきをなくし、均一化できる。
[0068] 第 4実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0069] (第 5実施形態)
図 20に示す本発明の第 5実施形態のドライエッチング装置 1では、高周波印加機 構 56は、金属板 24ではなぐ誘電体部材 4の個々の基板載置部 29A〜29Dに内蔵 された静電吸着用電極 40に電気的に接続されている。個々の静電吸着用電極 40 には、直流電圧印加機構 43により印加される静電吸着用の直流電圧に重畳して、バ ィァス電圧としての高周波が高周波印加機構 56により印加される。ノ ィァス電圧を金 属板 27ではなく静電吸着用電極 40に印加することによりトレイ 15の消耗を低減でき る。また、第 4実施形態と同様に、個々の基板載置部 29A〜29D毎に、個別に制御 可能な伝熱ガス供給機構 45A〜45Dが設けられている。
[0070] 第 5実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0071] (第 6実施形態)
図 21に示す本発明の第 6実施形態のドライエッチング装置 1では、個々の基板載 置部 29A〜29Dに内蔵された静電吸着用電極 40毎に、直流電圧印加機構 43によ り印加される静電吸着用の直流電圧と重畳して、バイアス電圧として高周波を印加す るための高周波印加機構 56A〜56Dが設けられて 、る。高周波印加機構 56A〜56 Dはそれぞれ高周波電源 57と可変容量コンデンサ 58を備え、個別に制御可能であ る。 4つの基板載置部 29A〜29Dの基板載置面 31に載置された 4枚の基板 2の特 性に応じて、静電吸着用電極 40に印加するバイアス電圧として印加される高周波の パワーを調整できるので、 4枚の基板 2間でばらつきのな!/、均一なエッチング処理を 実現できる。
[0072] 第 6実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0073] (第 7実施形態)
図 22に示す本発明の第 7実施形態のドライエッチング装置 1では、個々の基板載 置部 29A〜29Dに内蔵された静電吸着用電極 40毎に、個別に制御可能な直流電 圧印加機構 43A〜43Dを備える。また、個々の基板載置部 29A〜29Dに内蔵され た静電吸着用電極 40にバイアス電圧として高周波を印加するための共通の高周波 印加機構 56が設けられている。個々の基板載置部 29A〜29Dに内蔵された静電吸 着用電極 40に印加される直流電圧を個別に制御できるので、 4つの基板載置部 29 A〜29Dの基板載置面 31に載置された 4枚の基板 2間で静電吸着力のばらつきを なくし、均一化できる。
[0074] 第 7実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0075] (第 8実施形態)
図 23に示す本発明の第 8実施形態のドライエッチング装置 1では、個々の基板載 置部 29A〜29Dに静電吸着用電極 40が内蔵されている。また、個々の基板載置部 29A〜29Dには、静電吸着用電極 40よりも金属板 24側(図において下側)にバイァ ス印加用電極 68が内蔵されている。バイアス印加用電圧 68は静電吸着用電極 40と は電気的に絶縁されている。個々の基板載置部 29A〜29Dに内蔵されたバイアス 印加用電極 68には共通の高周波印加機構 56からバイアス電圧としての高周波が印 加される。
[0076] 個々の基板載置部 29A〜29Dのバイアス電圧用電極 68毎に、個別に制御可能な 高周波印加機構を設けてもよい。 4個の基板載置部 29A〜29Dに内蔵されたバイァ ス電極 68毎にバイアス電圧として印加される高周波を個別に調整することで、 4つの 基板載置部 29A〜29Dの基板載置面 31に載置された 4枚の基板 2間でばらつきの な!、均一なエッチング処理を実現できる。
[0077] 第 8実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0078] (第 9実施形態)
図 24は本発明の第 9実施形態のドライエッチング装置 1を示す。図 25から図 26B に示すように、トレイ 15には厚み方向に貫通する単一の基板収容孔 19が形成されて いる。また、基板収容孔 19の孔壁 15dから円環状の基板支持部 21が突出している。 この基板収容孔 19内に収容された 1枚の基板 2は、この基板支持部 21の上面 21a に支持される。また、図 25、図 27A、及び図 27Bに示すように、基板サセプタ 9の誘 電体板 23は、単一の基板載置部 29を備える。トレイ 15を誘電体板 23上に載置する と、基板載置部 29がトレイ 15の下面 15c側力も基板収容孔 19に進入し、トレイ 15の 下面が誘電体板 23のトレイ支持面 28で支持されると共に、基板載置部 29の上端の 基板載置面 31上に基板 2が載置される。 [0079] 基板 2を静電吸着する静電吸着用電極は双極型である。具体的には、基板載置部 29には 2個の静電吸着用電極 40A, 40Bが内蔵されている。また、個々の静電吸着 用電極 40A, 40B毎に直流電圧印加機構 43E, 43Fが設けられており、個々の静電 吸着用電極 40A, 40Bには互いに逆極性の直流電圧が印加される。なお、第 1から 第 8実施形態において静電吸着用電極を双極型としてもよい。逆に、本実施形態に お!ヽて静電吸着用電極を単極型としてもよ 、。
[0080] 本実施形態のドライエッチング装置 1では、トレイ 15に収容される基板 2は 1枚であ るので、枚葉処理が可能である。また、比較的面積の大きい基板 2の処理に適してい る。
[0081] 第 9実施形態のその他の構成及び作用は第 1実施形態と同様であるので、同一の 要素には同一の符号を付して説明を省略する。
[0082] (実験 1)
本発明により基板の冷却効率が向上することを確認するためのシミュレーションを 行った。具体的には、実験例、比較例 1、及び比較例 2についてバイアスパワーの増 カロと基板温度の上昇の関係をシミュレーションした。
[0083] 実験例は、本発明の第 9実施形態に対応する。基板 2は 2インチサイズのシリコンゥ ェハとした。トレイ 15を厚み方向に貫通した基板収容孔 19内に基板 2を収容したトレ ィ 15を基板サセプタ 9の誘電体板 23上に載置し、基板 2の下面 2aを基板載置面 31 上に直接載置し、双極型の静電吸着用電極 40 A, 40Bで静電吸着した。個々の静 電吸着用電極 40A, 40Bに印加する直流電圧は ± 900Vとした。また、基板載置面 31と基板 2の下面 2aとの間に伝熱ガスとしてヘリウムガスを供給し、その圧力は 800 Paとした。
[0084] 比較例 1は、基板が載置された有底のトレィを基板サセプタ上に配置し、トレィを介 して間接的に基板を基板サセプタに対して静電吸着する例である。基板は 2インチサ ィズのシリコンウェハとした。また、静電吸着用電極に印加する直流電圧は ± 900Vと し、トレイの下面に伝熱ガスとしてヘリウムガスを供給し、その圧力は 800Paとした。
[0085] 比較例 2は、基板が載置された有底のトレィを基板サセプタ上に配置すると共に、 基板の外周縁付近をクランプリングにより基板サセプタ側に機械的に押し付け、それ によって基板を基板サセプタに対して固定する例である。基板は 4インチサイズのシリ コンウェハとした。また、基板の下面に伝熱ガスとしてヘリウムを供給し、その圧力は 6 OOPaとした。
[0086] 以下の条件は、実験例及び比較例 1、 2について統一した。エッチングガスは塩素 ガス(C1 )で流量は 50sccm、圧力は 2Paとした。 ICPコイルに投入する高周波パワー
2
は 300Wとした。放電時間は 60秒とした。また、天板、チャンバ、及び基板サセプタ( 電極)の温度をそれぞれ、 100°C、 100°C、及び 20°Cとした。
[0087] 図 28にシミュレーション結果を示す。比較例 1ではバイアスパワーを約 50Wとすると 、レジスト焼けが起こる約 110°Cに基板温度が上昇する。また、比較例 2では、バイァ スパワーを約 200Wとすると、レジスト焼けが起こる約 110°Cに基板温度が上昇する。 これに対して実験例では、バイアスパワーを 400Wに設定しても基板温度は、レジス ト焼けが起こる約 110°Cに達しない。このシミュレーション結果は、従来例 1及び 2と比 較して、実験例 (本発明)における基板の冷却効率が大幅に高いことを示している。
[0088] (実験 2)
本発明により基板表面の全領域でのプラズマ処理が均一化されることを確認するた めのシミュレーションを行った。前述の実験例と比較例 2につ 、て基板表面に基板の 中心を原点とした直交座標 (X— Y座標系)を設定し、これらについてエッチング速度 (EZR)の分布をシミュレートした。また、実験例及び比較例 2のいずれについても基 板の材質はニッケルコバルト(NiCo)とした。
[0089] 図 29に比較例 2のシミュレーション結果を示し、図 30に実験例のシミュレーション結 果を示す。比較例 2では、基板の中心付近と比較すると基板の外周縁付近でエッチ ング速度が低ぐ基板の外周縁にクランプリングが存在することによりエッチング速度 の分布が不均一となっている。詳細には、基板の中心から X方向に 5mmと Y方向に 5mmの位置でのエッチング速度の平均値が 42. 5nmZminであるのに対して、基板 の中心から X方向に 1 Ommと Y方向に 1 Ommの位置でのエッチング速度の平均値が 43. 9nmZminであり、両者の間には 1. 4nmZminの差がある。これに対して、実験 例では、基板の中心付近力 外周縁付近にわたる全領域でエッチング速度が均一 化されている。詳細には、基板の中心から X方向に 5mmと Y方向に 5mmの位置で のエッチング速度の平均値力 S44. 5nmZmin、基板の中心から X方向に 10mmと Y方 向に 10mmの位置でのエッチング速度の平均値力 3. 9nmZminであり、両者の差 は 0. 6nmZminの差に過ぎない。比較例 2と比較すると、実験例 (本発明)では基板 の中心から 5mmの位置と 10mmの位置におけるエッチング速度の平均値の差が 1Z 2未満に低減されている。
本発明は前記実施形態に限定されず、種々の変形が可能である。例えば、 ICP型 のドライエッチング処理装置を例に本発明を説明したが、 RI (リアクティブイオン)型の ドライエッチング、プラズマ CVD用プラズマ処理装置及びプラズマ処理方法に本発 明を適用できる。

Claims

請求の範囲
[1] 厚み方向に貫通する基板収容孔(19, 19A〜 191)が設けられ、この基板収容孔の 孔壁(15d)力も突出し、前記基板収容孔内に収容された基板 (2)の下面(2a)の外 周縁部分を支持する基板支持部 (21)を備えるトレイ(15)と、
前記トレイの下面(15c)を支持するトレイ支持部(28)と、このトレィ支持部から上向 きに突出し、前記トレイの下面側から前記基板収容孔に挿入され、かつその上端面 である基板載置面(31)に前記基板の下面が載置される基板載置部(29, 29A〜29 D)とを備え、前記基板を前記基板載置面に静電吸着するための静電吸着用電極 (4 0, 40A, 40B)が内蔵された誘電体部材(23)と、
前記静電吸着用電極に直流電圧を印加する直流電圧印加機構 (43, 43A〜43F )と、
前記基板と前記基板載置面との間に伝熱ガスを供給する伝熱ガス供給機構 (45, 45A〜45D)と
を備えることを特徴とする、プラズマ処理装置。
[2] 前記トレイの下面力も前記基板支持部の上面(21a)までの距離 (HI)は、前記トレ ィ支持部から前記基板載置面までの距離 (H2)よりも短 、ことを特徴とする請求項 1 に記載のプラズマ処理装置。
[3] 上面に前記誘電体部材が固定された支持部材 (24)と、
前記支持部材を冷却する冷却機構 (59)と
をさらに備えることを特徴とする請求項 1又は請求項 2に記載のプラズマ処理装置。
[4] 前記基板支持部は、前記トレイの下面側から上面側に向けて、前記基板収容孔の 孔壁からの突出量が増大していることを特徴とする請求項 1から請求項 3のいずれか
1項に記載プラズマ処理装置。
[5] 前記基板載置部は、前記基板載置面側から前記トレィ支持部に向けて前記基板 収容孔の貫通方向力 見た外形寸法が増大していることを特徴とする請求項 1から 請求項 4のいずれか 1項に記載のプラズマ処理装置。
[6] 前記基板支持部は、前記基板収容孔の孔壁の全周に設けられた環状であることを 特徴とする、請求項 1から請求項 5のいずれか 1項に記載のプラズマ処理装置。
[7] 前記基板支持部は、前記基板収容孔の前記孔壁に周方向に間隔を開けて複数個 設けられて 、ることを特徴とする、請求項 1から請求項 5の 、ずれ力 1項に記載のブラ ズマ処理装置。
[8] 前記基板載置部の外周面に、前記基板載置面から前記トレィ支持部に向けて延び 、前記基板支持部が収容される収容溝 (65)が形成されていることを特徴とする、請 求項 7に記載のプラズマ処理装置。
[9] 前記基板載置部を取り囲む環状であって、かつ内周面が下面から上面に向けて拡 がる環状のガイドプレート (67)をさらに備え、
前記トレイの外周面は、下面側から上面側に向けて外形寸法が増大し、かつ前記ト レイの下面を前記トレィ支持部に載置すると前記ガイドプレートの内周面と密接する ことを特徴とする、請求項 1から請求項 8のいずれか 1項に記載のプラズマ処理装置
[10] 前記直流電圧印加機構により印加される前記直流電圧に重畳して、バイアス電圧 としての高周波を前記静電吸着用電極に印加する高周波印加機構(56A〜56D)を さらに備えることを特徴とする請求項 1から請求項 9のいずれか 1項に記載のプラズマ 処理装置。
[11] 前記誘電体部材に内蔵され、前記静電吸着用電極と電気的に絶縁されたバイアス 印加用電極 (68)と、
前記バイアス印加用電極に高周波を印加する高周波印加機構 (56)と
をさらに備えることを特徴とする、請求項 1から請求項 9のいずれか 1項に記載のプ ラズマ処理装置。
[12] 前記静電吸着用電極は単極型であることを特徴とする、請求項 1から請求項 11の
Vヽずれか 1項に記載のプラズマ処理装置。
[13] 前記静電吸着用電極は双極型であることを特徴とする、請求項 1から請求項 11の
Vヽずれか 1項に記載のプラズマ処理装置。
[14] 前記トレイに前記基板収容孔が 1個設けられ、
前記誘電体部材には前記基板載置部が 1個設けられていることを特徴とする、請求 項 1から請求項 13のいずれか 1項に記載プラズマ処理装置。
[15] 前記トレイに前記基板収容孔が複数個設けられ、
前記誘電体部材は前記基板載置部を複数個備え、個々の前記基板載置部がそれ ぞれ個々の前記基板収容孔に挿入されることを特徴とする、請求項 1から請求項 13 の!、ずれか 1項に記載のプラズマ処理装置。
[16] 前記基板載置部は、前記基板載置面の外周縁から上向きに突出し、その上端面で 前記基板の下面を支持する環状突出部(32)を備え、
前記基板の下面と前記環状突出部で囲まれた空間に前記伝熱ガス供給機構によ つて前記伝熱ガスが供給されることを特徴とする、請求項 15に記載のプラズマ処理 装置。
[17] 前記基板載置部毎に、個別に制御可能な前記伝熱ガス供給機構が設けられてい ることを特徴とする、請求項 15又は請求項 16に記載のプラズマ処理装置。
[18] 前記複数の基板載置部に電気的に絶縁された前記静電吸着用電極がそれぞれ内 蔵されていることを特徴とする請求項 15から請求項 17のいずれか 1項に記載プラズ マ処理装置。
[19] 前記静電吸着用電極毎に、個別に制御可能な前記直流電圧印加機構が設けられ ていることを特徴とする、請求項 18に記載のプラズマ処理装置。
[20] 前記静電吸着用電極毎に、前記直流電圧印加機構により印加される前記直流電 圧に重畳して、プラズマ発生用の高周波を前記静電吸着用電圧に印加する個別に 制御可能な高周波印加機構をさらに備えることを特徴とする、請求項 18又は請求項
19に記載のプラズマ処理装置。
[21] 厚み方向に貫通する基板収容孔(19, 19A〜19D)が設けられ、この基板収容孔 の孔壁(15d)から突出する基板支持部(21)を有するトレイ(15)を準備し、
前記トレイの前記基板収容孔に基板(2)を収容し、前記トレイの下面側から見ると 前記基板収容孔により前記基板の下面 (2a)が露出するように、前記基板支持部で 前記基板の下面 (2a)の外周縁部分を支持させ、
真空容器 (3)内に収容された誘電体部材 (23)の上方に前記基板を収容した前記 トレィを配置し、
前記トレィを前記誘電体部材に向けて降下させ、前記トレイの下面を前記絶縁部材 のトレイ支持部(28)で支持させると共に、前記トレィ支持部から突出する基板載置部 (29, 29A〜29D)を前記トレイの下面側力も前記基板収容孔に侵入させ、前記基 板載置部の上端面である基板載置面(31)に基板の下面を載置し、
前記誘電体部材に内蔵された静電吸着用電極 (40, 40A, 40B)に直流電圧を印 カロして、前記基板載置面に前記基板を静電吸着させ、
前記基板の下面と前記基板載置面との間に伝熱ガスを供給し、
前記真空容器内にプラズマを発生させる、プラズマ処理方法。
[22] 前記真空容器内で前記プラズマが発生中に、前記誘電体部材が固定された支持 部材を冷却することを特徴とする請求項 21に記載のプラズマ処理方法。
[23] 前記トレイには前記基板収容孔が複数個設けられると共に、前記誘電体部材は前 記基板載置部を複数個備え、
前記基板を前記誘電体部材に載置すると、個々の前記基板載置部がそれぞれ個 々の基板収容孔に挿入されることを特徴とする、請求項 21又は請求項 22に記載の プラズマ処理方法。
[24] 前記基板の下面と前記基板載置面との間への前記伝熱ガスの供給を、前記基板 載置部毎に個別に制御することを特徴とする請求項 23に記載のプラズマ処理方法。
[25] 前記複数の基板載置部に電気的に絶縁された前記静電吸着用電極がそれぞれ内 蔵され、
個々の前記基板載置部に内蔵された前記静電吸着用電極に印加する直流電圧を 個別に制御することを特徴とする請求項 23又は請求項 24に記載のプラズマ処理方 法。
[26] 前記複数の基板載置部に電気的に絶縁された前記静電吸着用電極がそれぞれ内 蔵され、
個々の前記基板載置部に内蔵された前記静電吸着用電極にバイアス電圧としての 高周波を印加し、かつ個々の前記基板載置部に内蔵された前記静電吸着用電極に 印加される高周波を個別に制御することを特徴とする請求項 23から請求項 25のい ずれ力 1項に記載のプラズマ処理方法。
[27] 厚み方向に貫通し、かつ基板(2)が収容される基板収容孔(19, 19A〜19D)が 設けられたトレイ本体( 15a)と、
前記基板収容孔の孔壁(15d)から突出し、前記トレィ本体の下面(15c)側力も見 ると前記基板収容孔により下面(2a)が露出するように、前記基板収容孔内に収容さ れた基板の外周縁部分を支持する基板支持部(21)と
を備えるプラズマ処理装置用のトレイ。
PCT/JP2006/320216 2005-10-12 2006-10-10 プラズマ処理装置、プラズマ処理方法、及びトレイ WO2007043528A1 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/090,214 US7736528B2 (en) 2005-10-12 2006-10-10 Plasma processing apparatus and plasma processing method
US12/578,844 US8231798B2 (en) 2005-10-12 2009-10-14 Plasma processing apparatus and plasma processing method
US13/527,807 US8591754B2 (en) 2005-10-12 2012-06-20 Plasma processing apparatus and plasma processing method
US14/061,984 US20140048527A1 (en) 2005-10-12 2013-10-24 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005-297380 2005-10-12
JP2005-297378 2005-10-12
JP2005297380A JP2007109771A (ja) 2005-10-12 2005-10-12 プラズマ処理装置用のトレイ
JP2005297378A JP4361045B2 (ja) 2005-10-12 2005-10-12 プラズマ処理装置及びプラズマ処理方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/090,214 A-371-Of-International US7736528B2 (en) 2005-10-12 2006-10-10 Plasma processing apparatus and plasma processing method
US12/578,844 Division US8231798B2 (en) 2005-10-12 2009-10-14 Plasma processing apparatus and plasma processing method

Publications (1)

Publication Number Publication Date
WO2007043528A1 true WO2007043528A1 (ja) 2007-04-19

Family

ID=37942764

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/320216 WO2007043528A1 (ja) 2005-10-12 2006-10-10 プラズマ処理装置、プラズマ処理方法、及びトレイ

Country Status (4)

Country Link
US (4) US7736528B2 (ja)
KR (2) KR101153118B1 (ja)
TW (2) TWI326468B (ja)
WO (1) WO2007043528A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1914788A1 (en) * 2006-10-17 2008-04-23 Tokyo Electron Limited Substrate stage and plasma processing apparatus
WO2010089978A1 (ja) * 2009-02-06 2010-08-12 シャープ株式会社 シャワー型気相成長装置及びその気相成長方法
US20110000882A1 (en) * 2009-07-01 2011-01-06 Nordson Corporation Apparatus and methods for supporting workpieces during plasma processing
JP2011035369A (ja) * 2009-07-07 2011-02-17 Nissin Ion Equipment Co Ltd ウエハ保持機構、ウエハホルダ及び静電チャック
JP4709945B2 (ja) * 2009-04-13 2011-06-29 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US20120006489A1 (en) * 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
JP2020511776A (ja) * 2017-03-09 2020-04-16 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 静電式基板保持ユニット

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101153118B1 (ko) * 2005-10-12 2012-06-07 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
KR101465765B1 (ko) * 2008-06-27 2014-12-01 주성엔지니어링(주) 기판처리를 위한 클러스터
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5406067B2 (ja) * 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
EP2400536B1 (en) * 2009-02-18 2020-04-15 Ulvac, Inc. Wafer conveying tray and method of securing wafer on tray
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5808750B2 (ja) * 2009-11-30 2015-11-10 ラム リサーチ コーポレーションLam Research Corporation 傾斜側壁を備える静電チャック
WO2011070741A1 (ja) * 2009-12-11 2011-06-16 株式会社Sumco Cvd用トレーおよびそれを用いた成膜方法
TW201630105A (zh) * 2015-02-12 2016-08-16 漢民科技股份有限公司 晶圓保持器
JP5562065B2 (ja) * 2010-02-25 2014-07-30 Sppテクノロジーズ株式会社 プラズマ処理装置
US20110226419A1 (en) * 2010-03-18 2011-09-22 Yong Hyun Lee Process Chamber, Semiconductor Manufacturing Apparatus and Substrate Processing Method Having the Same
US20130068726A1 (en) * 2010-05-27 2013-03-21 Shogo Okita Plasma processing apparatus
JP5101665B2 (ja) * 2010-06-30 2012-12-19 東京エレクトロン株式会社 基板載置台、基板処理装置および基板処理システム
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
JP5638405B2 (ja) * 2010-10-08 2014-12-10 パナソニック株式会社 基板のプラズマ処理方法
CN102468205A (zh) * 2010-11-18 2012-05-23 北京北方微电子基地设备工艺研究中心有限责任公司 托盘及具有它的晶片处理设备
US8562746B2 (en) * 2010-12-15 2013-10-22 Veeco Instruments Inc. Sectional wafer carrier
JP5538291B2 (ja) 2011-04-13 2014-07-02 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6285620B2 (ja) * 2011-08-26 2018-02-28 新光電気工業株式会社 静電チャック及び半導体・液晶製造装置
US8807318B2 (en) * 2011-09-20 2014-08-19 International Business Machines Corporation Multi-generational carrier platform
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI506680B (zh) * 2013-02-22 2015-11-01 Nissin Ion Equipment Co Ltd Substrate cooling means and irradiating ion beam
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
TWI672760B (zh) * 2013-03-15 2019-09-21 美商應用材料股份有限公司 用於小批次基板傳送系統的溫度控制系統與方法
JP6348321B2 (ja) 2013-05-17 2018-06-27 キヤノンアネルバ株式会社 エッチング装置
CN111180305A (zh) * 2013-06-26 2020-05-19 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
CN104425328B (zh) * 2013-09-06 2017-02-08 北京北方微电子基地设备工艺研究中心有限责任公司 托盘原点定位系统及托盘原点定位方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104576484A (zh) * 2013-10-12 2015-04-29 北京北方微电子基地设备工艺研究中心有限责任公司 半导体设备中的托盘结构
TWI660452B (zh) * 2014-02-17 2019-05-21 優貝克科技股份有限公司 用於乾式蝕刻裝置之基板托盤組
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10242848B2 (en) * 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
CN104538333A (zh) * 2014-12-16 2015-04-22 瑞德兴阳新能源技术有限公司 一种消除晶圆片翘曲的托盘
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6555656B2 (ja) * 2015-02-17 2019-08-07 パナソニックIpマネジメント株式会社 プラズマ処理装置および電子部品の製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10053774B2 (en) * 2015-06-12 2018-08-21 Asm Ip Holding B.V. Reactor system for sublimation of pre-clean byproducts and method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102324032B1 (ko) * 2015-10-27 2021-11-09 주식회사 원익아이피에스 기판지지대 및 그가 설치된 기판처리장치
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN107305857B (zh) * 2016-04-20 2020-08-21 北京北方华创微电子装备有限公司 晶片支撑组件、反应腔室及半导体加工设备
CN107304473B (zh) * 2016-04-20 2020-08-21 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6778882B2 (ja) * 2016-06-08 2020-11-04 パナソニックIpマネジメント株式会社 プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用トレイ
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
CN108004525B (zh) * 2016-11-01 2020-04-28 北京北方华创微电子装备有限公司 托盘、反应腔室、半导体加工设备
WO2018084965A1 (en) 2016-11-03 2018-05-11 Molecular Imprints, Inc. Substrate loading system
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP7020311B2 (ja) * 2018-06-14 2022-02-16 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11309177B2 (en) 2018-11-06 2022-04-19 Stmicroelectronics S.R.L. Apparatus and method for manufacturing a wafer
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20210092321A (ko) * 2018-12-14 2021-07-23 어플라이드 머티어리얼스, 인코포레이티드 취성 기판들 상의 양면 디바이스들의 핸들링 및 프로세싱
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN110223950A (zh) * 2019-07-11 2019-09-10 中威新能源(成都)有限公司 一种用于化学气相沉积硅基薄膜钝化层的托盘结构及其制作方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
IT201900015416A1 (it) 2019-09-03 2021-03-03 St Microelectronics Srl Apparecchio per la crescita di una fetta di materiale semiconduttore, in particolare di carburo di silicio, e procedimento di fabbricazione associato
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102190925B1 (ko) * 2019-10-30 2020-12-14 광운대학교 산학협력단 챔버 간 매칭 모니터링 시스템
KR102190926B1 (ko) * 2019-10-31 2020-12-14 광운대학교 산학협력단 기판 상태 및 플라즈마 상태를 측정하기 위한 측정 시스템
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
TWI723651B (zh) * 2019-11-29 2021-04-01 財團法人金屬工業研究發展中心 真空腔內的自動水平調整系統以及真空浮動高度調整器
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0634243U (ja) * 1993-02-09 1994-05-06 日電アネルバ株式会社 ドライエッチング装置
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JPH11121600A (ja) * 1997-10-20 1999-04-30 Tokyo Electron Ltd 処理装置
JP2000252267A (ja) * 1998-12-28 2000-09-14 Tokyo Electron Ltd 下部電極構造およびそれを用いたプラズマ処理装置
JP2001007090A (ja) * 1999-06-25 2001-01-12 Mitsubishi Materials Corp プラズマエッチング装置用フォーカスリング
JP2001230234A (ja) * 2000-02-16 2001-08-24 Hitachi Ltd プラズマ処理装置及び方法
JP2003503841A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション エッチング速度の均一性を改良する技術
JP2005064460A (ja) * 2003-04-24 2005-03-10 Tokyo Electron Ltd プラズマ処理装置、フォーカスリング及び被処理体の載置装置

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0730468B2 (ja) * 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5148516A (en) 1988-08-30 1992-09-15 Hewlett-Packard Company Efficient computer terminal system utilizing a single slave processor
JPH02113330U (ja) * 1989-02-27 1990-09-11
JPH04313220A (ja) 1991-04-10 1992-11-05 Sumitomo Electric Ind Ltd 有機金属気相成長装置
JPH0634243A (ja) 1992-07-14 1994-02-08 Mitsubishi Electric Corp 冷却装置
JP3165348B2 (ja) * 1995-05-18 2001-05-14 ワイエイシイ株式会社 プラズマ処理装置およびその運転方法
JP2000049207A (ja) 1998-07-28 2000-02-18 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP3977935B2 (ja) 1998-08-05 2007-09-19 松下電器産業株式会社 プラズマ処理方法及び装置
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6492612B1 (en) 1998-12-28 2002-12-10 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
JP3640385B2 (ja) 2001-12-26 2005-04-20 株式会社アルバック 焦電性高誘電体のエッチング方法及び装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
DE10232731A1 (de) * 2002-07-19 2004-02-05 Aixtron Ag Be- und Entladevorrichtung für eine Beschichtungseinrichtung
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
TWI327336B (en) * 2003-01-13 2010-07-11 Oc Oerlikon Balzers Ag Arrangement for processing a substrate
JP4388287B2 (ja) * 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
JP3960929B2 (ja) 2003-02-25 2007-08-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4128469B2 (ja) 2003-02-25 2008-07-30 株式会社日立ハイテクノロジーズ プラズマ処理装置
US6825617B2 (en) * 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP3950806B2 (ja) 2003-03-05 2007-08-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP2005048259A (ja) * 2003-07-31 2005-02-24 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP4640922B2 (ja) 2003-09-05 2011-03-02 東京エレクトロン株式会社 プラズマ処理装置
US7658816B2 (en) 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7670436B2 (en) * 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US7618515B2 (en) * 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
US7292428B2 (en) * 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
KR101153118B1 (ko) 2005-10-12 2012-06-07 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
JP4361045B2 (ja) 2005-10-12 2009-11-11 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0634243U (ja) * 1993-02-09 1994-05-06 日電アネルバ株式会社 ドライエッチング装置
JPH07335616A (ja) * 1994-06-06 1995-12-22 Hitachi Ltd ウエハ処理装置
JPH11121600A (ja) * 1997-10-20 1999-04-30 Tokyo Electron Ltd 処理装置
JP2000252267A (ja) * 1998-12-28 2000-09-14 Tokyo Electron Ltd 下部電極構造およびそれを用いたプラズマ処理装置
JP2001007090A (ja) * 1999-06-25 2001-01-12 Mitsubishi Materials Corp プラズマエッチング装置用フォーカスリング
JP2003503841A (ja) * 1999-06-30 2003-01-28 ラム リサーチ コーポレーション エッチング速度の均一性を改良する技術
JP2001230234A (ja) * 2000-02-16 2001-08-24 Hitachi Ltd プラズマ処理装置及び方法
JP2005064460A (ja) * 2003-04-24 2005-03-10 Tokyo Electron Ltd プラズマ処理装置、フォーカスリング及び被処理体の載置装置

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1914788A1 (en) * 2006-10-17 2008-04-23 Tokyo Electron Limited Substrate stage and plasma processing apparatus
WO2010089978A1 (ja) * 2009-02-06 2010-08-12 シャープ株式会社 シャワー型気相成長装置及びその気相成長方法
JP2010182971A (ja) * 2009-02-06 2010-08-19 Sharp Corp シャワー型気相成長装置及びその気相成長方法
CN102272898A (zh) * 2009-02-06 2011-12-07 夏普株式会社 喷淋型气相生长装置及其气相生长方法
US20120006489A1 (en) * 2009-03-26 2012-01-12 Shogo Okita Plasma processing apparatus and plasma processing method
JP4709945B2 (ja) * 2009-04-13 2011-06-29 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JPWO2010109848A1 (ja) * 2009-04-13 2012-09-27 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US20110000882A1 (en) * 2009-07-01 2011-01-06 Nordson Corporation Apparatus and methods for supporting workpieces during plasma processing
US10026436B2 (en) * 2009-07-01 2018-07-17 Nordson Corporation Apparatus and methods for supporting workpieces during plasma processing
JP2011035369A (ja) * 2009-07-07 2011-02-17 Nissin Ion Equipment Co Ltd ウエハ保持機構、ウエハホルダ及び静電チャック
JP2020511776A (ja) * 2017-03-09 2020-04-16 エーファウ・グループ・エー・タルナー・ゲーエムベーハー 静電式基板保持ユニット
US11270902B2 (en) 2017-03-09 2022-03-08 Ev Group E. Thallner Gmbh Electrostatic substrate holder

Also Published As

Publication number Publication date
US8591754B2 (en) 2013-11-26
KR20100017681A (ko) 2010-02-16
US20090255901A1 (en) 2009-10-15
KR101153118B1 (ko) 2012-06-07
TWI326468B (en) 2010-06-21
KR100964775B1 (ko) 2010-06-21
US20120256363A1 (en) 2012-10-11
KR20080059189A (ko) 2008-06-26
US8231798B2 (en) 2012-07-31
US20140048527A1 (en) 2014-02-20
US7736528B2 (en) 2010-06-15
TW200721304A (en) 2007-06-01
US20100051584A1 (en) 2010-03-04
TW201015638A (en) 2010-04-16

Similar Documents

Publication Publication Date Title
WO2007043528A1 (ja) プラズマ処理装置、プラズマ処理方法、及びトレイ
JP4361045B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2007109771A (ja) プラズマ処理装置用のトレイ
WO2010109848A1 (ja) プラズマ処理装置及びプラズマ処理方法
CN105355585B (zh) 基板处理装置的基板载置台
JP4841686B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5243465B2 (ja) プラズマ処理装置
JP2010225775A (ja) プラズマ処理装置
JP5595549B2 (ja) プラズマ処理装置用トレイ、プラズマ処理装置、及びプラズマ処理方法
WO2005093806A1 (ja) 半導体製造装置および半導体装置の製造方法
KR102264575B1 (ko) 기판 보유 지지 기구 및 성막 장치
JP2010232250A (ja) プラズマ処理装置
JP5324975B2 (ja) プラズマ処理装置
JP4969595B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4781445B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP5539436B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP7110020B2 (ja) 基板支持装置およびプラズマ処理装置
JP4783440B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR20220062186A (ko) 기판 처리 장치 및 반송 로봇 티칭 방법
JP6778882B2 (ja) プラズマ処理装置、プラズマ処理方法、及びプラズマ処理装置用トレイ
KR20110051686A (ko) 기판 트레이 유닛

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020087008556

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 12090214

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 06811528

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1020097025501

Country of ref document: KR