TW525217B - Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device - Google Patents

Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device Download PDF

Info

Publication number
TW525217B
TW525217B TW090132509A TW90132509A TW525217B TW 525217 B TW525217 B TW 525217B TW 090132509 A TW090132509 A TW 090132509A TW 90132509 A TW90132509 A TW 90132509A TW 525217 B TW525217 B TW 525217B
Authority
TW
Taiwan
Prior art keywords
film
aforementioned
gas
item
silicon
Prior art date
Application number
TW090132509A
Other languages
English (en)
Inventor
Tadahiro Ohmi
Shigetoshi Sugawa
Masaki Hirayama
Yasuyuki Shirai
Original Assignee
Tadahiro Ohmi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tadahiro Ohmi filed Critical Tadahiro Ohmi
Application granted granted Critical
Publication of TW525217B publication Critical patent/TW525217B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/2822Making the insulator with substrate doping, e.g. N, Ge, C implantation, before formation of the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Insulating Materials (AREA)

Description

525217 五、發明説明( 技^标領i成 本發明係關於於料導體上形成氧化膜、氮化膜、氧氮 化膜等之半導體裝置及其形成方法。 背景技術 - MIS (金屬/.絕緣膜/矽)電晶體之閘絕緣膜要求低漏電流特 性、低界面準位密度、高耐壓性、高熱載子耐性、均一之 臨限性電壓特性等各種高性能電氣特性及高可靠性特性。 滿足該等要求之閘絕緣膜形成技術以往係採用使用氧分 子、水分子之約800。(:以上之熱氧化技術。 熱氧化工序係將有機物、金屬、微粒等表面附著污染物 以洗淨工序作為其前置工序予以去除後再進行者。此種習 知,洗淨工序在其最後係使用稀氟酸或添加氳之水等進行 洗淨,將矽表面之矽未結合鍵以氫予以未端化,以抑制矽 表面形成自然氧化膜,將具有清潔的表面之矽基板,導入 接著的熱氧化工序。熱氧化工序中,並 _ 、 " τ 具表面末端氫係於Ar
寺惰性氣體環境下,將碎基體予以升溫的過程中在約6⑽。C 以上之溫度脫離者。石夕表面之氧化係於其後於約綱。c以上 在導入氧分子或水分子之環境下進行者。 以往制此種熱氧化技術於$表面形成q化膜之情 /兄’只有在表面係使用配向於(丨〇 〇 ) 、 、 乃权足碎時,方可得 到良好的氧化膜/石夕界面特性、氧化膜 、 杜α — 膜又耐壓特性及漏電流 特性寺。又,習知之熱氧化技術所作成 r ; 成又攻氧化膜若其膜 厚在約2 nm以下,便會招致漏電流顯著 貧恶化,而造成要求 閘絕緣膜之薄膜化之高性能微細的雷曰 見日曰體的實現上之障 裝 訂 線 -4 - 525217 A7 B7 五 發明説明(2 ) 礙。
又,配向於(1 00 )面以外之其他面方位之結晶矽、或絕緣 膜上主要配向於(111)面之多晶石夕等,即使使用熱氧化技術 形成碎氧化膜,其與配向於(10 0)面方位之碎之碎氧化膜相 比,氧化膜/石夕界面之界面準位密度顯著升高,因此膜厚較 薄的矽氧化膜之耐壓特性、漏電流特性等電性特性低劣, 若要使用勢必需要增大矽氧化膜之膜厚。 另一方面,近年來為了提升半導體裝置之生產效率,漸 使用大口徑之矽晶圓基板或大面積之玻璃基板。此種大型 基板要以高生產率來生產全面性特性均一之電晶體,需要 有升溫降溫之溫度變化幅度小的低溫且溫序依附性低的絕 緣膜形成工序。習知之熱氧化工序中,氧化反應速度之溫 度誤差所相對的變化大,難以使用大面積基板以高生產率 進行半導體裝置之生產。
為解決上述習知熱氧化工序之課題,嘗試多種低溫成膜 製程。其中,日本專利特開平1 1-279773號公報記載之技 術、國際電子裝置會議之技術摘要,1999( Technical Digest of International Electron Devices Meeting 1999) pp.249-252 記載之技術,或技術論文之2000座談會VLSI技術摘要 (2000 Symposium on VLSI Technology Digest of Technical Papers)、pp.76-1 77記載之技術,係於電衆中導入惰性氣體 及氧氣分子,使具有高的準安定準位之惰性氣體有效率的 進行氧分子之原子狀化,依原子狀氧Ο *進行矽表面之氧 化,得到較良好之電性特性。 -5 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 五 、發明説明(3 射二Γ! 惰性氣體之(Kr)與(〇2)之混合氣體照 泣與〇2之混合«,大量產生原子狀氧〇* …0C之溫度下進行石夕之氧化,而實現與習知之散氧化 相匹配之低漏電-流特性、低界面準位密度、高耐壓性。 ::此氧化技術,即使在具有(100)面以外之其他面方位 的矽表面,亦可得高品質之氧化膜。 惟,此種習知之依微波激勵電衆之矽氧化膜形成技術, =論是否進行使用原子狀氧W的氧化,只獲得與f知使用 乳t子或水分子之熱氧工序具同等程度之電性特性之石夕氧 化膜:特別是於矽基板表面中具有約2 _以下之氧化膜厚 ^珍氧化膜無法獲得良好的低漏電流特性,其與習知之熱 氧化技術相同的,難以實現閘絕緣膜要求進一步薄膜化: 咼性能微細的電晶體。 又,對電晶體之氧化膜佈植熱載子的影響導致導電性劣 化、’或於㈣記憶ft等切氧化財使電切道(tunnel)傳 導I兀件中漏電流之增加等電性特性隨時間劣化問題,比 習知依熱工序形成矽氧化膜之情況更形顯著。 圖1為習知快閃記憶體元件1 〇之概略構成。 、參照圖1,快閃記憶體元件10係形成於滲雜成卩型或11型 :石夕基板11上,於前述石夕基板n上隔著隨道氧化膜12形成 I動閘電極13。前述浮動閘電極! 3係被電極間絕緣膜14所 覆蓋,又於前述浮動問電極13上,隔著前述電極間絕緣膜 1 4以形成控制閘電極1 5。又於前述矽基板丨丨中,於前述浮 動閘電極13正下方之通道區域n a兩側,形成n型或p型之 -6 - 525217 A7 B7 五、發明説明 源區域1 1 B及汲區域1 1 C。 :之快閃記憶體元件10中,前述控制問電極15經前过 电極間絕緣膜14而與前述浮動間電極產生電容核合, I由施加至前述控制閘極15之控制電壓,控制前述浮動開 電極之電位。 此處’於圖i之快閃記憶體元件10中,在將資訊寫入前述 汙動閘電極之情況下’於前述汲區域nc與源區域"B之 間施加特定之驅動電壓’再對前述控制閘電極㈠施加特定 的正的窝人電壓,藉此可將前述汲區域nc附近因加速所 形成之熱電子經前述通道氧化膜12佈植於前述浮動問電極 1 3中。 又,在消去所寫入之資訊之情況τ,對前述碎基板"或 源區=UB施加料之消去電壓,#出前述浮動閘電極13 中〈電子。又在讀出前述寫入之資訊之情況下,對前述控 制閘電極1 5施加特定之讀出電壓,檢知前述通道區域丨工A 中自W述源區域11 b向汲區域1丨C之電子流。 圖2A係於圖1之快閃記憶體元件10中,將包含前述浮動 閘電極13、前述隧道氧化膜12及矽基板丨丨之帶(band)構造 圖以對於削述控制閘電極1 5未施加控制電壓之狀態予以 表示之圖。 參照圖2 A可知前述隧道絕緣膜丨2形成位障(p〇tent〇al barner)可有效防止前述矽基板11中之傳導帶Ec上之電子 体植入前述浮動閘電極! 3。 相對毛此’圖2 B係表示對於前述控制閘電極1 5施加寫入 丨X 297公釐)
525217 A7 B7 五、發明説明(5 ) 電壓之狀態之帶構造圖。 參照圖2 B,因施加前述寫入電壓,使得前述隧道絕緣膜 12之帶構造變形,傳導帶以形成三角位階(p〇tentiai)。而 形成於前述通道區域A之熱電子形成fn(富勒)型隧道電流 通過相關二角位障’而佈植入前述浮動閘電極1 3。 於此種快閃記憶體元件1 〇中為了提升寫入速度,必須增 大圖2 B之狀態中通過前述三角位階之隧道電流之隧穿確 率要達成此目的雖只要減少前述隧道氧化膜1 2之膜厚即 可,但在減少前述隧道氧化膜12之膜厚的情況下,前述隧 道中之電子有即使在圖2A所示之非寫入狀態中亦藉由將前 述隨返氧化膜1 2予以隧穿通過而形成漏電流之虞。 圖3表示施加於前述隧道氧化膜12之電場,與通過前述隧 迢氧化膜1 2之隧道電流之電流密度的關係圖。 參照圖3,於前述快閃記憶體元件1 0中若欲實現1〜1 〇 # 秒的寫入時間,在圖2B之窝入狀態中對前述隧道氧化膜12 她加約10 MV/Cmi電場的情況下,須有約i A/cm2之隧道電 流述隧道氧化膜12中流動。另一方面,圖2A之非寫入 狀怨中’在前述隧道氧化膜1 2中流到之漏電流的大小,則 係$施加電場為1 MVW時須為10-i5 A/cm2以下。因此, 於習知之快閃記憶體元件1〇中,係使用膜厚為數nm之孰氧 化膜作為前述暖道氧化膜12,以實現圖3中之直線所示之 電% -電流特性。 士糸此在思欲縮短寫入時間而減少前述隧道氧化膜 、# θ之U /兄,隧道氧化膜1 2之電場_電流特性係如圖3 本紙張尺錢财 525217 A7 B7 五、發明説明(6 ) 中之曲線所示而變化,在施加1 0 MV/cm2之電場之情況之隧 道電流大小大幅增大,又在施加較低的電場之情況可實現 習知之1 A/cm2之隧道電流密度,但非寫入狀態之漏電流大 幅增加,而造成-無法於前述浮動閘電極1 3中以電荷之形態 保持住被寫入之資訊。 發明之揭示 本發明之概括性課題在提供一新穎有用之半導體裝置及 其製造方法,其係可解決上述課題者。 本發明之其他課題在提供一該電體膜及其形成方法,其 係可減少漏電流且於施加電場時可得到大電流密度之隧道 電流者。 又,本發明之其他課題在提供使用前述介電體膜之半導 體裝置及非揮發性半導體裝置,以及該半導體裝置之製造 方法。 本發明之其他課題在提供一種介電體膜,其特徵在於: 其係形成於矽表面上者;前述介電體膜包含氮,氮濃度係 具有於介電體膜表面,以介電體膜中央部大之濃度分佈 者。 本發明之其他課題在提供一種半導體裝置,其係具有: 矽基板;絕緣膜,其係形成於前述矽基板上;及電極,其 係形成於前述絕緣膜上;其特徵在於:前述絕緣膜所具有 之氮濃度分佈係為氮濃度在與前述電極相接之膜表面比膜 中央部大。 本發明之其他課題在提供一種非揮發性半導體記憶體裝 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
線 525217 A7 B7 五、發明説明(7 )
V 置,其係具有:矽基板;隧道絕緣膜,其係形成於前述矽 基板上;浮動閘電極,其係形成於前述隧道絕緣膜上;及 控制閘電極,其係隔著電極間絕緣膜,設於前述浮動閘電 極上;其特徵在於:前述絕緣膜中任一者具有氮濃度在與 前述電極相接之膜表面比膜中央部大的氮濃度分佈。
本發明之其他課題在提供一種介電體膜之形成方法,其 特徵在於包含:於表面上形成矽氧化膜之工序;及將前述 矽氧化膜之表面曝露於氮化氫自由基NH *中,予以改變之 工序。 本發明之其他課題在提供一種介電體膜之形成方法,其 特徵在於包含:於表面上形成矽氧化膜之工序;及將前述 矽氧化膜之表面曝露於混合氣體中所形成之微波電漿中, 改變前述矽氧化膜之表面之工序,該混合氣體係選自Ar或 Kr之惰性氣體,與包含氮及氫為構成成分元素之氣體所混 合而成者。
本發明之其他課題在提供一種介電體膜之形成方法,其 特徵在於包含:將矽表面曝露於混合氣體中所形成之微波 電漿中,於前述矽表面形成氧氮化膜之工序,該混合氣體 係由以Kr為主之惰性氣體與包含氮為構成元素之氣體與包 含氧為構成成分元素之氣體所混合而成者。 本發明之其他課題在提供一種半導體裝置之製造方法, 其特徵在於包含:將矽基板上依氧化處理形成矽氧化膜之 工序;將前述矽氧化膜之表面曝露於氮化氫自由基NH* 中,以予以改變之工序;及於前述已改變之矽氧化膜上形 -10 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 525217
成間電極之工序。 月〈其他課題在提供-種半導體裝置之製造方法, :说在於包含··於矽基板上由氧化處理形成矽氧化膜之 2 ;將前述錢化膜之表面曝露於混合氣體中所形成之 Li:漿中,以改變前述石夕氧化膜表面之工序,該混合氣 、二選自Ar或Kr(惰性氣體及以氮及氯為構成成分元素 =體所混合而成者;及於前述已改變之矽氧化膜上形成 閘電極之工序。 本發明之其他課題在提供一種半導體裝置之製造方法, 其特徵在於包含:料基板表面曝露於混合氣體中所形成 =波電漿中’以於前述石夕表面形成氧氮化膜之工序;及 於則述氧氮化膜上形成閘電極之工序。 依本1明’藉由將矽基板等表面上所形成之氧化膜表 面’《於以ΑβΚΓ為主之惰性氣體與含氮及氫之氣體之 此口氣中所形成之微波電漿中,藉以改變前述氧化膜表 面I〖生貝,於則述氧化膜表面數奈米之範圍内聚集大量的 氮。^«集於該氧化膜表面之氮於前述氧化膜表面中, 形成實質的層狀氮化區域’ ^是前述氧化膜之構造發生變 化’而可得到與於石夕氧化膜表面層積了氮化膜者近似之同 樣的介電體膜構造。 該介電體膜構造係於㈣化膜區域對應之大帶間隙區域 相都接’形成與前述氮化區域對應之較小之帶間隙區域之 故’又前述氮化區域係具有比矽氧化膜大的比介電率之 故’於圖!之快閃記憶體10中’在未對前述控制閘電極15 -11 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297*^57 525217 A7
施加控制電壓之狀態下,前述介電體構造具有對通遒區域 1 1 A中之包子而τ貫效性大的膜厚,而有效的阻止前述電 子之隧穿。 對此,在對前述控制閘電極丨5施加寫入電壓之情況下, 構成前述介電體膜構造之氧化膜區域及氮化區域之帶構造 雖變形,但此時,隨著前述氮化區域之形成,前述氧化膜 區域之實效性厚度減少,於是通道區域nA中之熱電子可 有效率的於前述介電體膜構造中隧穿。前述氧化膜區域表 面所形成之氮化區域之帶間隙小之故,對於被佈植之熱電 子並無能階屏障之效果。 i 於疋,藉由將該介電體膜構造在以快閃記憶體為基礎之 非揮發性半導體記憶體裝置中係為隧道絕緣膜使用,可減 低漏電流,同時可提升寫入速度,或可減低動作電壓。 又,在表面上大1聚集氮而構成之氧化膜,亦可藉由將 矽表面曝露於&Kr為主之惰性氣體及含有以氮為構成元素 I氣體與含有以氧為構成元素之氣體之混合氣體中所形成 义微波電漿而形成。如此形成之氧化膜整體而言雖具有氧 氮化膜之組成,但一部份之氮大量聚集於前述氧氮化膜與 矽表面之界面而緩和應力,剩餘之氮大量聚集於膜表面/,、 形成所期望之氮化物層區域。該構造之氧氮化膜被聚集於 與矽表面界面之氮緩和應力,隨之減低了膜中被捕獲之電 荷或界面準位之密度,有效的切斷隧穿以外之機構所造2 <漏電流路徑。即,此種方法形成之氧氮化膜具有非常優 異 < 膜質。又,該氧氮化膜之膜中所含之氫濃度較佳為換 12 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
裝 訂
异為表面密度在1〇〗2 cm·2以下,以i〇h cm、2以下更佳。 本發明之介電體膜之形成方法可在55〇 〇c以下之低溫進行 又故’不必將氧化膜中之懸空鍵予以末端化使氫脫離,可 回復膜中所欠缺之氧。此在後述之氮化膜或氧氮化膜之形 成中亦相同。 簡單說明 圖1係習知快閃記憶體元件的構造圖。 圖2 A、2 B係快閃記憶體元件的動作說明圖。 圖3係習知快閃記憶體元件的課題之說明圖。 圖4 A〜4C係本發明之第1實施形態之氧化膜形成方法及 半導體裝置的製造方法表示圖。 圖5係本發明所用之使用輻射狀線隙縫天線之電漿裝置概 念圖。 圖6係以紅外分光器測量之矽表面末端氫及矽的結合之 K r電滎:曝露依賴度特性圖。 圖7係石夕氧化膜厚之處理室氣壓依賴性特性圖。 圖8係矽氧化膜中之Krs度的深度方向分佈特性圖。 圖9係矽氧化膜之電流電壓特性圖。 圖1 0係矽氧化膜及矽氧氮化膜之漏電流特性及膜厚關係 圖。 圖1 1 A〜1 1 C係本發明之第2實施形態之氮化膜形成方法 及半導體裝置的製造方法表示圖。 圖12係矽氮化膜厚之處理室氣壓依賴性特性圖。 圖13 A〜1 3D係本發明之第3實施形態之氧化心氮化膜層 -13 - 525217 五、發明説明(11 積介電體膜形成/驟及半導體裝置的製造方法表示圖。 圖1 4係氧化膜/氮化膜層積介電體膜的氮素分佈圖。 圖1 5係氧化膜/氮化膜層積介電體膜的帶構造圖。 圖1 6 A〜1 6 C係本於昍士々々 ^ 月又弟4貫施形態之氧氮化膜形成方 法及半導體裝置的製造方法表示圖。 圖1 7係石夕氧氮化膜形成 特性圖。 ㈣《原子⑨及原子氫的發光強度 圖18係碎氧氮化膜之元素分佈特性圖。 之電流電壓特性圖。 圖20係矽氮化膜之氮分佈之時間變化概略圖。 圖2 1 A至2 1 C係本發明}贫 a 剖面圖。 月又罘5貫訑形態之淺溝分離之概念 的 圖2 2係本發明之第5實祐艰能、 、_ +日祕、 〶她形怨芡在凹凸之矽表面上形成 互體電晶體之剖面構造圖。 圖2 3係本發明之第&舍、A AVs 造圖。 罘A她形怨之快閃記憶體元件之剖面構 圖2 4係圖2 3之快閃記愔祕;从、— ^ , 心月豆件足冩入動作的帶構造圖。 ㈣表示圖㈣記憶體元件之隨道絕緣膜之漏電流特 造=本發明之第7實施形態之快閃記憶體元件的剖面構 圖2 7〜3 0係階段性嗜明冃 、 , "^ 〈快閃言己憶體元件开j成方法 的概略剖面構造圖。 丁〜风万清 圖3 1係金屬基板S〇1上所製作 坏I作本發明之第δ實施形態之之 —-14 - 丨釐) A7 A7
MOS電晶體的剖面構造概略圖。 圖32係適用玻璃基板及塑膠基板等之本發日 態之電衆處理裝置的概念圖。 $ 圖33係圖32之·電漿處理裝置所形成之絕緣膜狀多結 電晶體的剖面構造概略圖。 、 圖34係本發明之第10實施形態之3次元LSI之剖面構造概 念圖。 施形熊 以下參知、圖式說明本發明之較佳實施形態。 (第1實施形態) 广圖4A〜4C表示本發明之第i實施形態之使用電漿之低溫 氧化膜形成工序及使用相關氧化膜之半導體裝置之製造: 序。圖5為使用本發明所用之輻射狀狹缝天線之電漿 置之剖面圖。 7 & 於本實施形態中,最被於圖4A之工序中除去將矽表面之 未結合鍵予以末端化之氫。具體言之,本實施形態中,使 用在下一氧化膜形成步驟中作為電漿激勵氣體所用之κ r, 於同一處理室内連續進行表面末端氫除去處理及氧化處 理。 首先,於圖5之電漿處理裝置中使真空容器(處理室)1〇1 成真空’次之自喷淋板102最初導入Αγ氣,再換成〖1<氣。 又,將前述處理室101内之壓力設定為約133 pa(l Tea)。 次之將矽基板103放置在具有加熱機構之試管台丨〇4,將 試料溫度設定於約4〇〇°C。前述矽基板1〇3之溫度若在2〇〇 _ _____- 15 - 本紙强:尺度適用中國國家標準(CNS) A4規格(210X297公釐) 525217 A7 B7 五、發明説明(13 ) 550 °C之範圍内,則以下所述之結果幾乎大致相同。前述石夕 基板103於前面的前置處理工序中由稀氟酸洗淨,於是其表 面之矽未結合鍵被氫末端化。 次之由同軸導波管105供給頻率為2·45 GHz之微波至輻射 狀狹缝天線1.06,將前述微波自前述赛射狀狹缝天線1 〇6通 過設於處理室101之壁面之一邵份之介電體板1〇7,導入至 削述處理室101内。被導入之微波激碼由噴淋板1 〇2導入至 前述處理室101内之Kr氣,於是於前述噴淋板1〇2正下方形 成高密度之Kr電漿。供給之微波頻率若在約9〇〇 MHz以上 約10 GHz以下之範圍内,則以下所述結果係大致相同。 於圖5之構造中,噴淋板102與基板1〇3之間隔於本實施形 態中係設定為6 cm。此間隔窄者可高速成膜。本實施形態 係為使用採用輻射狀狹缝天線之電漿裝置來形成膜之例, 但亦可使用其他方法將微波導入處理室内激勵電漿。 藉由將前述矽基板103曝露於以Kr氣體激勵之電漿中, 別述矽基板103表面受低能量之Kr離子照射,而除去其表 面末端氫。 圖6為將前述矽基板丨03表面之矽-氫結合以紅外線分光器 分析之結果,於前述處理室1〇1中將微波以133 Pa(l T〇rr) 壓力下,以1.2 W/cm2之功率予以導入,依所激勵出之Kr電 漿除去秒表面末端氫之效果。 參照圖6,可知僅1秒左右之Kr電漿照射即可幾乎完全消 除石夕一氫結合之特徵波長21〇〇(cm-i)附近之光吸收,而大 約3 0秒之照射則可芫全消除。亦即,大約3 〇秒之κ r電衆照 --------—_________- | D - 本紙張尺度適用中國國家標準(CNS) μ規格(加χ挪公爱) 525217
:即可去謝表面予以末端化之氫。在本實施形態中, 貫施1分鐘之Kr電漿照射來完全去除表面末端气。 然後,於圖4B之步驟中,從前述嗜淋板1〇=入97/3分壓 比之Kr/〇2混合氣體。此時,處理室内之壓力維持〜 0 T〇rr)程度。在混合著Κγ„λ〇2氣體之高密度激勵電 漿中,中間激勵狀態之Kr*及〇2分子會衝擊而有效且大量 產生原子氧0*。 在本實施例中,利用此原子氧〇*來使前述石夕基板ι〇3之 表面氧化’形成氧化mG3A。習知切表面的熱氧化法 中,以〇2分子及H2〇分子進行氧化,需要極高之8〇〇。〇以上 的處理溫度,而利用本發明之原子氧〇*的氧化處理,則在 極低之400。(:的溫度即可實施氧化。為了擴大Kr*及〇2之衝 擊機會,最好能有較高的處理室壓力,但壓力太高時,產 生之〇*會互相衝擊,而變回〇2分子。當然,存在最適氣體 壓力。 圖7係使處理室内tKr/〇2壓力比保持97/3、改變前述處 理1 1 01内之氣體壓力時,所形成之氧化膜丨〇3 A厚度及處理 室内壓力的關係。圖7中,矽基板1〇3之溫度設定為4〇〇。〇, 貫施1 0分鐘之氧化處理。 參照圖7,前述處理室1〇1内之壓力約為133 Pa (1 T〇rr)時 會有最快的氧化速度,可知此壓力或其附近之壓力條件為 取適。此最適壓力並不限於前述矽基板1〇3之面方位為(1〇〇) 面時’任何面方位之矽表面都會有相同結果。 在形成膜厚達到期望值之矽氧化膜1 〇3 A時,停止導入微 4:-:_____- 17 - 紙張尺度適用中國g家標準(CNS) A4規格娜公茇) 525217 五、發明説明(15 、力力、、口束兒漿激勵,並將Kr/〇2混合氣俨置施 γ 體,結束氧化步驟。在本步驟…二“置換成 係以比極、、^ 〜芡刖後使用Ar氣體的目的, 係以比Kr較便苴之氣體做 體可以回收再利用。 先“纟步驟使用之。氣 在形成氧化膜後,於圖4c之+酽由、人, 103A上开^問托 足步驟中,於前述矽氧化膜 保m Γ ’實施圖案化步驟、離子体植步驟、 保_形成步驟、及氯燒結處理步 及電容元件之半導體積體電路裝置。 成。有… 1用昇溫放出測量以前述步驟形成之碎氧化膜中的氯含 I時,3 nm膜厚之矽氧化膜的面密度換算為ι〇]2/⑽2以 1尤其是漏電流較小之氧化膜時’確認碎氧化膜内之氫 舍有量的面密度換算為,/em2j^T。另_方面 二 膜了未她電聚曝露之氧化膜,含有超過面密度:算 10 /cm2以上的氫。 ^ 乂原子間力頭微鏡測1剝離利用前述步驟形成之石夕氧化 膜的矽表面粗細度、以及形成氧化膜前之矽表面的表面粗 細度並進行比較,確認矽表面之粗細並無變化。亦即,去 除末端氫並氧化後,矽表面也不會變粗缝。 圖8係以全反射螢光X線分光裝置,調查以前述步驟形成 之石夕氧化膜中Kr密度的深度方向分佈。然而,圖7之結果 為針對矽之(1〇〇)面,但(100)面以外之方位也會獲得相 結果。 在圖8之實驗中,Kr中之氧的分壓設定為3%,處理室内 之壓力則設定為133 Pa (1 Τοιτ),在基板溫度為4〇(rc時實 18 線 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 525217
施電漿氧化處理。 多…、圖8石夕氧化膜中之Kr密度距離底層石夕表面愈遠會愈 大’在石夕氧化膜表面的密度則達到2 X 1〇11/cm2。由此可知 以^述步驟得到之矽氧化膜,在距離底層之矽表面4 n m 以上的區域時,膜中之Kr濃度會一定,另一方面,距離底 層 <矽表面4 nm以下的區域時,其濃度則會隨著愈靠近矽/ 珍氧化膜之界面而減少。 圖9係以上述步驟獲得之矽氧化膜的漏電流施加電場依 ^ ;、、:而’圖9之結果為石夕氧化膜之膜厚4.4 nm時。為了 行比較,圖9中也標示形成氧化膜前未實施Κ]λ電漿曝露 之同一膜厚氧化膜的漏電流特性。 參照圖9,未實施Kr電漿曝露時之矽氧化膜的漏電流 ^和㊂知之熱氧化法的漏電流特性相同,即使以K r / ( 微,電漿實施氧化處理,可知所得之氧化膜的漏電流特 並無太大改善。相對於此,利用Kr電漿照射實施末端氫 除後:導入Kr/〇2氣體進行氧化之本實施形態方法所形 的矽氧化膜,其同一電場之漏電流會為以習知微波電漿 化所形成之矽氧化膜的數百分之一至數千分之一,呈現 吊良好足低漏電特性。同時可確認,膜厚為丨·7 nm左右 矽氧化膜也可獲得相同程度之漏電流特性的改善。 ^圖10係變更前述錢化膜之膜厚來測量本h形態之 乳化膜漏電流特性的結果。圖1G中,知熱氧化膜 漏電流特性、〇為省略Kr電漿曝露而以Kr/〇2電漿實施 化時之矽氧化膜的漏電流特性、•為前述 本紙張尺國國家標準(CNS) A4規格(21〇><297公爱y 525217
前述Kr/〇2電漿實施氧化之本實 特性。此外,圖9中之_的資料, 膜的漏電流特性。 施形態矽氧化膜的漏電流 為後面將要說明之氧氮化 γ由圖10可余口,如〇所示之省略Krt漿曝露步驟而以電漿 步驟形切氧化膜的漏電流特性、和如△所示之熱氧 化膜的漏電流特性—致,相對於此,如⑩所示之本實施形 怨j化膜的漏電流特性為〇所示之漏電流特性的數百分 《一至數千分之-。雖然本實施形態之石夕氧化膜的膜厚約 為1.5 nm,卻可實現相當於2 nm厚度之熱氧化膜的 /cm2漏電流。 此外,針對本實施形態之%氧化M,測量石夕/碎氧化膜界 面準位密度之面方位依賴度,發現任何面方位之矽表面, 都可得到極低之約lxl〇i〇 cm2 eV」的低界面準位密度。 广另外,耐壓特性、熱載流子耐性、流過應力電流時之矽 氧化膜破壞電荷量QBD(Charge_t〇_Breakd〇wn)等電氣特 性:及信賴度特性方面,以本實施形態形成之氧化膜具有 和餐知;^氧化膜相同或更好的特性。 t上面所述,去除表面末端氫後以Kr/〇2高密度電漿實施 矽乳化步驟,即始在40(rct低溫下,所有面方位之矽都可 形t優良之矽氧化膜。可以獲得此效果之原因,係因去除 末端氫可以減少氧化膜中之氫含有量,且氧化膜中含有 Kr。氧化膜中之氫較少,可以減少矽氧化膜内之元素=弱 結合,〃且因為含有Kr,膜中及Si/Si〇2界面之應力會較緩 和,膜中電荷及界面準位密度會降低,所以矽氧化膜之^ -20 - 本紙張尺度適财@國^5?(_CNS) A4規格(21〇x297讀)
裝 玎
525217 五、發明説明(18 氣特性可獲得大幅改善。 j別是於表面密度換算中若設氫濃度在,/em2以下,較
、_度在1〇lw以下,且設其含有約5X10】W r=Kr μ可大幅改善碎氧化膜之電氣特性及可靠度。 :貝見本I明《氧化膜,除了圖5之裝置以外,也可使用 /使用私水而可形成低溫氧化膜之電漿處理用裝置。例 ^可以使用2段嘴淋板型電漿處理裝置,其具有以利用微 “激,、電f為目的之排放^氣體的第1氣體排放構造、 及和則述第1虱體排放構造不同而以排放氧氣為目的之第 2氣體排放構造。 #卜在本A她形怨中,在形成膜厚達到期望值之矽氧 口:時’停止導入微波動力,結束電漿激勵,並將 ^氣體換成Ar氣體,結束氧化步驟,但在停止 力力前’也可在保持133Pa(1T。⑴壓力之狀態下,时淋 板102導人分壓比為98/2之Kr/NH3混合氣體,切氧化膜 《表面形成約0.7 nm的錢化膜再結束處理亦可。利用此 :法’可=得到在表面上形成錢化膜之碎氧氮化膜,形 成具有較高比介電率之絕緣膜。 (第2實施形態) 圖Ι^ΙΑ〜11C表示本發明之第2實施形態之使用電衆之低溫 下之氮化膜之形成方法及使用該氮化膜之裝置。 —^ 本實施形態使用和圖5相同之裝置。在本實施形能中,在 去除末端氫及形成氮化膜形成時以Ar*KHi^為電‘繁激勵 體’是為了形成良質的氮化膜。 / ' __ —_ - 21 >___ 本紙張尺没通用中國國家標準(CNS) A4規格(210X297公釐] 一 —__ 一 五、發明説明( 19 B7 以下表示使用Ar時之一例。 、、首先,於圖11A之步驟中使圖5之真空容器(處理室)1〇1内 j仃排氣成為真空,再從噴淋板1〇2導入Ar氣體,同時, .處理皇内之壓力設定為約13.3 Pa(100 mTor〇。 其次,將在前處理步驟中利用加氫水洗淨以氫將表面之 矽未結合鍵予以末端化的矽基板1〇3,置入處理室1〇1内, 並置於具有加熱機構之試料台104上。將試料溫度設定為 5〇〇°c。溫度若在300-55(rc之範圍内,則會獲得和下面所 述大致相同的結果。 通過%射狀線隙缝天線1 〇6及介電體板丨〇7,從同軸導波 官105對處理室内供應2.45 GHz之微波,使處理室内產生高 後、度Ar電漿。提供之微波的頻率只要大約在9〇〇 MHz以 上、10 GHz以下之範圍内,則可獲得如下所述之相似結果 。噴淋板102及基板1〇3之間隔,本實施形態中設定為6 cm 。此間隔愈狹窄即可愈快速成膜。在本實施形態中,係以 使用輻射狀線隙缝天線之電漿裝置來做為實例,但也可使 用其他方法來將微波導入處理室内。 利用此方式,曝露於以A r氣體激勵之電漿中的矽基板, 會受到低能量之A r離子的照射,而去除其表面末端氫。在 本實施形態中,實施1分鐘之Ar電漿曝露。 然後,於圖11B之步驟中從前述噴淋板1〇2導入對Ar氣體 之分壓比為2%之NH3混合氣體。此時,處理室内之壓力維 持13.3 Pa(100 mTorr)程度。在混合著Ar氣體及NH3氣體之 高密度激勵電漿中,中間激勵狀態之A r *及NH3分子會衝擊 -22 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 525217
而有效產生NH*基。ψ \TTT a ^ 此ΝΗ*基可氮化矽基板表 石夕基板103表面上形成务 々成虱化矽膜1〇3C。 在形成膜厚達到瑚访估、^ ^ ^
…月主值又矽氮化膜1〇3(:時 波動力,結束電·漿散心 丨τ止等入4 触勵,並將Ar/NH3混合氣體換成Ad 骨豆,結束氮化步驟。 〜
=圖11C之步驟中,將於前述氮化膜形成步驟所形成之 虱M103C作為絕緣膜,於該閘極絕緣膜i〇3c上形成問 :卿。再實施圖案化步驟、離子佈植步驟、保護膜形成 及虱燒結處理步騾等’以形成含有電晶體及電容元 件之半導體積體電路裝置。 裝 在本實施形態中,以使用輕射狀線隙缝天線之電漿裝置 來:成氮化膜之實例,但也可使用其他方法將微波導入處 理1:内。此外’在本實施形態中之電漿激勵係使用Μ,然 而,使用Kr也可獲得相同的結果。另外,本實施形態中之 電漿處理氣體係使用則3,但也可使用比及〜等之混合氣
本發明之矽氮化膜形成上,即始在去除表面末端氫後, 電漿中存在氫仍是重要要件。電漿中存在氫,矽氮化膜中 及界面之懸空鍵會形成Si_H、N-H結合而末端化,結果, 石夕氮化膜及界面之電子陷阱應可消失。 本無明之氮1化膜存在S i - Η結合及n - Η結合,分別以測量 紅外線吸收光譜及X線光電子分光光譜來獲得確認。氫的 存在,可消除C V特性之滯後現象,也可將矽/矽氮化膜界 面準位密度抑制於較低之2 X l〇1G cm_2。使用稀有氣體(Ar ______ ~ 23 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 525217 A7 B7 五、發明説明(21 ) 或ΚΜΝ2/Η2之混合氣體形成錢化膜時,使氫氣之分壓 達到0.5〇/〇以上’即可明顯減少膜中之電子及正孔之陷阱。 圖12係以上述步驟獲得之梦氮化膜厚的壓力依賴性。圖 之實驗中’ Ar/NH3之分壓比設定為助,成膜時間為% 分鐘。 參照圖12可知,降低處理室内壓力,增加稀有氣體⑷或 κ〇提供給NH3(或N2/H2)之能料,可加快氮化膜之成長 速度。從氮化膜形成效率之觀點而言,氣壓最好在665至 13.3?&(50至1〇〇111丁0„)範圍内,然而,如其他實施形態中 所述,在連續氧化及氮化之步驟中,統一以適合氧化:壓 力-例如133 Pa (1 Τ〇ΓΓ)來實施氮化,從生產性觀點而言, 也屬於良好條件。此外,稀有氣體中之1^11^或1^“112)之分 壓應在1至1 0 %範圍内,最好則是2至6 %内。 利用本實施形態得到之矽氮化膜1 〇3C的比介電率為7 9, 此數值相當於石夕氧化膜之比介電率的大約2倍。 測量利用本實施形態得到之矽氮化膜丨〇3C的電流電壓特 性’膜厚為3.0 nm(介電率換算相當於丨·5 nm氧化膜)時,若 施加IV電壓,可以得到膜厚15 nm熱氧化膜之數萬分之_ 至數十萬分之一的低漏電流特性。故使用本實施例之碎氮 化膜,表示可能突破在閘極絕緣膜上使用矽氧化膜之電晶 體時之微細化限界的問題。 前述之氮化膜的成膜條件、物性、及電氣特性並不限於 (100)面方位之矽表面,含(111)面在内之所有面方位的石夕 也同樣會成立。 ___ _ - 24 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 η
線 525217 A7
—利用本實施形態可以獲得好結果,不但是因為去除末端 氫,已,應該和氮化膜中含有Ar或Kr有關。亦即,本實施 =怨又氮化膜時,氮化膜中及矽/氮化膜界面之應力會因為 氮化膜中含有iAr*Kr而緩和,結果,會降低矽氮化膜中 足固疋電荷及界面準位密度,因而大幅改善電氣特性及 賴度特性。 尤其是,和矽氧化膜時相同,表面密度中含有5xi〇11/cm2 以下之Ar或Kr,應可改善矽氮化膜之電氣特性及信賴度特 性。 a 為了實現本發明之氮化膜103C,除了圖5之裝置以外,也 可使用其他使用電漿而可形成低溫氧化膜之電漿處理用裝 置。例如,可以使用2段噴淋板型電漿處理裝置,其具有以 利用微波來激勵電漿為目的之排放Ar*Kr氣體的第丨氣體 排放構造、以及和前述第i氣體排放構造不同而以排放 NH3(或NVH2氣體)氧氣為目的之第2氣體排放構造。 (第3實施形態) 圖13A〜13D表示本發明之第3實施形態之使用電漿之低溫 下之氧化膜及氮化膜之2層構造之形成方法及使用該2層介 電構造之半導體裝置之製造方法。 本實施形態所所用之氧化膜及氮化膜之形成裝置,和圖5 相同。在本實施形態中,氧化膜及氮化膜之形成上,使用 Κι*做為電漿激勵氣體。 首先,於圖13Α之步驟中,使圖5之真空容器(處理室)1〇1 内進行排氣成為真空,再從噴淋板丨〇2導入Ar氣體。然 __- 25 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 裝 玎
線 525217 A7 - 1丨丨丨丨 _______B7 五、發明説明(23 ) 後’將導入之軋體從最先的Ar置換成Kr氣體,將處理室 101内之壓力設定為133Pa(1 τϋΙΤ)。 其次’將在前處理步驟中利用加氫水洗淨以氫將表面之 矽未結合鍵予以·末端化的矽基板1〇3,置入處理室ι〇ι内, 並置於具有加熱機構之試料台1〇4上。將試料溫度設定為 400°C。 接著,從同糾波管105對㈣狀線隙縫天線1〇6供應245 GHZ之微波約1分鐘,前述微波會通過前述介電體板107而 被導入前述處理室101内。使前述矽基板103之表面曝露於 前述處理ϋΐοΐ内產生之高密度Kr電漿中,去除表面末端 氫。 然後糸圖1 3B之步驟中,使前述處理室丨〇丨内之壓力維 持133 Pa (1 T〇rr)程度,從噴淋板1〇2導入分壓比為97/3之
Kr/〇2混合氣體,使前述矽基板1〇3之表面上形成厚度15 nm之矽氧化膜i〇3A。 然後,於圖13C之步驟中,暫時停止供應微波,暫停導入 〇2氣體。以Kr清理真空容器(處理室)1〇1内後,從噴淋板 102導入分壓比為98/2之Κγ/ΝΗ3混合氣體,使前述處理室 ιοί内之壓力維持133 Pa (1 T〇rr)程度,再度供應頻率為 2·56 GHz之微波,前述處理室1〇1内產生高密度電漿,在前 述石夕氧化膜103A之表面形成1 nm之矽氮化膜ι〇3Ν。 在形成膜厚達到期望值之矽氮化膜103A時,停止導入微 波動力’結束電漿激勵,並wKr/NH3混合氣體換成八『氣 體,結束氧化氮化步驟。 -____ - 26 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公釐) 再於圖1 3 D之步砰ψ,少a上 為絕緣膜,於其上形成間 ::二保護膜形成步:== = 晶體及電容元件之半導體積體電路裝置。 大約:6: ^此万式形成之積層閘極絕緣膜的實效介電率 子耐性等、此外’漏電流特性、耐壓特性、及熱載流 同,且二I讀性及信賴度特性也和前面之實施形態1相 現對:心分優R的特性。所得到之問極絕緣膜上並未發 、、土板103之面方位的依賴性,(1〇〇)面方位以外之所 =面万位Μ也同樣可以形成具有優良特性之閘極絕緣 本只犯形悲說明在矽側形成氧化膜時之氧化膜及氮化膜 的t層構成’也可配合目的更換氧化膜及氮化膜之順序、或 以虱化膜/氮化膜/氧化膜或氮化膜/氧化膜/氮化膜等方式形 成複數之積層膜。 / 圖1 4係概略表示依本實施形態所得之2層積層構造之介電 體膜中之氮濃度分佈圖。 參照圖1 4 ’氮係對應於前述氮化膜103N大量聚集於介電 體膜表面深度2〜3 nm之區域,而不侵入其以上之深度内。 即’依本實施形態之方法,可於氮化膜表面安定形成厚度 2〜3 nm之氮化區域。 圖15係表示圖13D之半導體裝置之剖面A-A’之帶構造圖 的熱平衡狀態。 參照圖1 5,可知鄰接帶間隙大的矽氧化膜層1 〇3 A,形成 ------- 27 - 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公釐) 525217
帶間隙、較,丨、、& <氮化物層1 〇 3 N,閘電極10 3 B係鄰接前述氮化 物層1Q3N ’並鄰接前述石夕氧化膜層1G3A ’形成於石夕基板 103。
孩帶構造中,前述矽基板103中之傳導電子在前述半導體 ^置處於未對閘電極103B施加電壓之非驅動狀態之情沉, 藉由包含哥述矽氧化膜層103A及氮化物層103N之厚介電體 月吴阻止隧穿效應,不會漏電至閘電極103B。如後述之關於 快閃記憶體元件之說明,圖15之帶構造對於抑制漏電流及 增大隧道電流之電流密度非常有效。 (第4實施形態) 裝 圖MA〜16C表示本發明之第4實施形態之使用電漿之低溫 下(氧氮化膜之形成方法及使用該氧氮化膜之半導體裝置 t製造万法。本實施形態所使用之氧氮化膜之成膜裝置和 圖5相同。在本貫施形態中,以Ar或做為電漿激勵氣 體。 ·! 首先於圖16A之步驟中,使圖5之真空容器(處理室)1〇1 内進行排氣成為真空,再從噴淋板1〇2導入氣體。然 後知導入之氣體從最先的Ar置換成Kr氣體,將處理室 101内之壓力設定為133 Pa (1 T〇rr)。 其次,將在前處理步驟中利用加氫水洗淨以氫將表面之 矽未結合鍵予以末端化的矽基板103,置入處理室101内, 並置A具有加熱機構之試料台丨04上。將試料溫度設定為 400。。。 接著,從同軸導波管105對輻射狀線隙縫天線1〇6供應245 525217 A7 B7 五 發明説明 GHz之微波約1分鐘,前述微波會通過前述介電體板107而 被導入前述處理室1〇1内,前述處理室1〇1内會產生高密度 Kr電漿。使冑述石夕基板103之表面曝露於以。氣體激勵: 電漿中,去除表面末端氫。 然後,於圖16Β之步驟中,使前述處理室1〇1内之壓力維 持133 Pa (1 T〇rr)程度,從噴淋板1〇2導入分壓比為 96.5/3/0.5之Kr/CVNH3混合氣體,使矽基板之表面上形成 厚度3.5 nm之矽氧氮化膜1〇3E。在形成膜厚達到期望值之 矽氧氮化膜103E時,停止導入微波動力’結束電漿激勵, 並將Kr/Ch/NH3混合氣體換成Ar氣體,結束氧氮化步驟。 次之,將於圖16C之步驟中所形成之氧氮化膜1〇3Ε作為 絕緣膜,於其上形成閘極1()3卜再實施圖案化步驟、離子 佈植步驟、保護膜形成步驟、及氫燒結處理步驟等,以形 成含有電晶體及電容元件之半導體積體電路裝置。 圖17表示利用發光分析測得之圖5之處理裝置中之原子氧 〇*的產生密度與Kr/〇2/NH3氣體中之Νη3氣體之混合比的 關係圖。 參照圖17,利用發光分析測得之原子氧〇*的發生密度方 面,在Kr/〇2/NH3氣體之混合比為97/3/〇至95/3/2之範圍内 不會有實質之變化,但ΝΗ3之比率增大時,原子氧〇*之發 生ΐ會減少,而原子氫的量則會增加。尤其是1^/〇2/1^^ 氣體之混合比為96.5/3/0.5時,氧氮化膜之漏電流會減少最 多,絕緣耐壓及電荷注入耐壓也都提高。 圖1 8為以2次離子質量分析器測量所得之本實施形態氧氮 - -29 · 本紙張尺度適财關家鮮(CNS) Α4規格(〉< 297公爱) 五、發明説明(27 ) 倾㈣矽、氧、及氮之濃度分佈。圖18中、 氮化膜之表面的深度。圖1 8中,矽、^ 杈軸為從氧 平缓變化,其原因並非氧氮化膜之膜二,風之分体呈現 均一性較差。· 予、今一,而是蝕刻 參照圖18可知,前述氧氮化膜中 膜界面切氧氮化膜表面較高, =在均氧氮化 減少。此氧氮化膜中含有之氮量只有碎^膜中央部則會 如後述說明,於圖ls切氧氮化膜中=數成以下。 氮化膜界面之氮,具有緩和該界面 κ集於梦/石夕氧 於圖18之碎氧氮化膜中,可減低 j《效果。於是, 陷穿或減低界面準位密度,減少漏電;Λ成之膜中電荷之 圖19為本實施形態之氧氮㈣ 性。圖19中為了進行比較,也同時伊出包Μ加電場依賴 氧化膜前未實施Kr電漿曝露處理之^ =波電漿形成 利用熱氧化形成氧化膜之漏電流::化膜的漏電 參照圖19,利用Kr電漿照射去 而3氣體實施氧氮化之本 ::虱後導入。/〇2/ 方法形成之氧化膜在同—電的乳氮化膜時,和習知 少為數十分之一至數千分:]:丁比車父,其漏電流值減 性。 ,可知可獲得良好低漏電特 前面說明之圖1 〇中,制田&、4 流特性及膜厚的關係以==。式形成之氧氮化膜的漏電 再度參照圖10,利用太备、> 氧氣化膜,具有和以同㈣照物 樣步驟形成〈氧化膜相同的漏電流 525217 五、發明説明(28 ) 特性,尤其是,膜厚雖然為大約u麵時,其漏電流的值 也僅為 lx 1〇-2A/cm2。 本貫施形態之氧氮化膜也較前面之實施形態丨之氧化膜, 具有較優良之耐遵特性及熱*流子耐性_冑氣特性、及信 賴度特性等其他特性。且,亦無對矽基板之面方位之依存 性,不僅在石夕I ( 1 00)面上,在任何面方位之石夕表面上皆可 形成優異特性之閘絕緣膜。 t上面所逑,去除表面末端氫後&Kr/02/NH3高密度電 漿實施矽氧氮化步驟,即始在40crc<低溫下,所有面二= <矽表面都可形成具有優良特性及膜厚之矽氧氮化膜。 裝 線 本實施形態可以獲得此效果之原因,不但是因為去除末 端氫來減少氧氮化膜中之氫含有量而b,還使氧氮化膜中 含有之氮降至數成以下。本實施形態之氧氮化膜的κ 約為實施形態i之氧化膜的1/10以下,氮取代,而;有 較有之氮。亦即’因為氧氮化膜中之氫較少,可以減少矽 氧氮化膜内之弱結合的比率,且因為含有氮,膜中及 界面之應力會較緩和,結果,膜中電荷及界面準位 《密度會降低,所以前切氧氮化膜之電氣特性可^ 幅:善:前述氧氮化膜中之氫濃度的表面密度。 L0、cm·以下,最好能減少至1011⑽-2以下,同時,膜中ί 亂濃度若能切或氧之數成以τ,則應可改切氧〃 化膜之電氣特性及信賴度特性。 虱虱 此外’在本實施形態中,在形成膜厚達到期望值之矽 氮化膜時’停止導入微波動力,結束電漿激勵,並將 本紙張尺度適财_家 -31 525217
___ AV 五、~^ ~ = /〇f、NH3混合氣體換成Ar氣體,結束氧氮化步驟,但在 ^止則述微波動力前,也可在保持133 Pa(1 丁叫壓力之狀 =下丄從:賣淋板102導入分壓比為98/2之Kr/NH3混合氣 二斤矽氧氮化膜之表面形成約〇·7 nm的矽氮化膜再結束 氧氮化f驟亦可。利用此方法,彳以在㈣氮化膜之^面 y成矽氮化膜之,形成具有較高介電率之絕緣膜。 貝施开7悲之氧氮化膜中,先前於圖1 8所說明之大量聚 二於Μ切/氧氮化膜界面及氧氮化膜表面之氮,在前述氧 氮化膜<成長期間亦維持其大量聚集狀態。 圖2 〇係概略表示隨著前述氧氮化膜成長之氮分佈綠 化。 又 尸多…、圖2 0,氮係大量聚集於前述氧氮化膜表面及前述氧 氮化膜與基底之矽基板之界面,此傾向即使前述氧氮化膜 成長亦維持其傾向。於是,即使前述氧氮化膜整體而言具 f氧氮化膜之組成,在膜厚方向上之中心部具有接近氧化 膜之組成,在一方表面及前述氧氮化膜與矽基板之界面具 有接近氮化膜之組成。又,氧氮化膜表面之氮之侵入深入 限制於2〜3 nm,故前述氧氮化膜表面所形成之氮化膜厚度 亦限制於2〜3 nm。 又 (第5實施形態) 其次’係在具有淺溝分離等元件分離側壁部之角部、及 凹凸表面形狀之矽表面上形成高品質矽氧化膜之本發明第$ 實施形態的半導體裝置形成方法。 圖2 1A係淺溝分離之概念圖。 ____- 32 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公嫠) 發明説明(3〇 ) 參照圖21A ’圖示之淺溝分離之形成上,係在石夕基板刪 表面以電漿刻形成絕緣溝,再以CVD法形成切氧化膜 1〇〇2充填形成之溝,再利用如CMp法等使前述矽氧化膜 10 0 2平坦化。 在本實施形態中,利用CMP法之前述石夕氧化膜1〇〇2的研 磨步驟後,使矽基板曝露於8〇〇至9〇〇。(:之氧化性環境下進 行犧牲氧化,將以犧牲氧化形成之矽氡化膜浸入含氟酸之 藥液中進行蝕刻,得到以氫末端化之表面。本實施形態 中,以和實施形態1相同之步驟,利用κβ漿去除表面末 端氫,然後導入Kr/〇2氣體形成約25nm之矽氧化膜。 依本實施形態,會如圖21C所示,淺溝分離之角部亦可形 樣厚度之矽氧化膜,不會發生矽氧化膜之膜厚減少的 情形。含有利用此Kr電漿之電漿氧化法形成之淺溝分離部 份的整體矽氧化膜之QBD(Charge t〇 性非常 良好,注入電荷量1〇2c/cm2時亦不會使漏電流上昇,大幅 改善裝置之信賴性。 利用g知熱氧化法形成前述矽氧化膜時,則如圖2丨b所 示,淺溝分離角部之薄膜化會隨著淺溝分離之錐角的變大 而更為顯著,而本發明之電漿氧化時,雖然錐角變大,淺 溝分離角部亦不會發生矽氧化膜之薄膜化。因此,在本實 施例中,可以使淺溝分離之溝的錐角接近直角來減少元件 ,離區域。可以使半導體元件具有更高之積體度。習知之 熱乳化等技術時,會受到圖21B所示之溝角部熱氧化膜薄 月吴化的限制’而在元件分離部採用約70度之錐角,但本發 525217 A7 B7 五、發明説明(31 明則可使用90度之角度。 圖22係在對$基板實施大約⑽度之蚀刻、且在具有凹凸 表面形狀之石夕基板_L,依據實施形態]之步㉟,形成3⑽厚 度之矽氧化膜的剖面。 參照圖22 .,確認任何面上皆可形成均一膜厚之石夕氧化 膜。 尸利用此方式形成之氧化膜,具有I好漏電流及耐壓等電 氣特性、,故利用本發明,可實現具有縱型構造等複數面方 位之矽立體構造的高密度半導體積體化裝置。 (第6實施形態) 圖23表示本發明之第6實施形態之快閃記憶體元件20之 構k其中於圖2 3中對與先前圖1所說明之部份附註以相 同之參考符號,而省略說明。 參照圖23,本實施例之快閃記憶體元件20之隧道絕緣膜 12係使用先前第3實施形態或第4實施形態之介電體膜 12A。 圖24表示於圖23之快閃記憶體元件20中,對前述控制閘 電極1 5施加寫入電壓之狀態。 、=知、圖2 4,構成前述介電體膜丨2 a之矽氧化膜及氮化膜 (π構造係因隨著向前述控制閘電極丨5施加寫入電壓而改 ^之浮動閘包極1 3之電位而大幅變形,形成於前述通道區 域1 1 Α中足熱電子,作為型隧道電流而通過前述矽氧化膜 之傳導帶Ec所形成之三角能階中,而被注入浮動閘電極^^ 3 中〇
五、發明説明(32 閃記情I面如先則圖15所說明,此種介電體膜在前述快 導電;:二2〇:非寫入狀態中’於通道區域"A中之傳 ^旱的牝階屏障之故,有效的阻止隧道電流。 :25、係將之快閃記憶體元件2〇之前述隧道絕緣膜 ,她加包場·電流密度特性,與圖3之圖重疊表示。 τ參照圖25 ’前述隧道絕緣膜1 2 A在施加電場小之情況 特a漏%机非吊低,相對於此,在施加電場增大,被施加 疋〈寫人電場之情況下,隨遒電流急劇增大,而可於短 :間内有效率的進行資訊之寫入。又,在習知之注入電流 電位下進行寫入之情況下,可循知寫入所需之時間。 万、圖2 3之快閃圮憶體元件2 〇中,將圖丨6 a〜1 6〔之步驟 所形成〈虱氮化膜1〇3E作為前述隧道絕緣膜丨2 A使用之情 況下,因則逑Si基板1丨與隧道絕緣膜12A之界面之應力被 緩和,前述隧道絕緣膜12A之膜品質提升之故,可進一步 減低漏電流值。此點意味著可減少前述隧道絕緣膜12八之 膜厚,可實現能於低電壓動作之快閃記憶體元件。 (第7實施形態) 其次,針對使用前述電漿低溫氧化膜及氮化膜、或氧氮 化胰形成技術之本發明第7實施形態的快閃記憶體元件進行 沉明。下面的說明係以快閃記憶體元件為一實例,然而, 本發明也可使用於具有相同積層構造之epr〇m及eepr〇m 等。 圖2 6係本實施形態快閃記憶體元件之概略剖面構造圖。 參照圖26,前述快閃記憶體元件係在矽基板丨2〇丨上形 I____- 35 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A7 B7 五、發明説明(33 ) 成’含有前述矽基板1201上形成之隧道氧化膜12〇2、前述 隧道氧化膜1202上形成而為浮動閘極之第1多結晶矽閘極 1203、前述多結晶矽閘極12〇3上依序形成之矽氧化膜12〇4 及矽氮化膜1205、以及前述矽氮化膜1205上形成並構成控 制閘極之第2多結晶石夕閘極12 0 6。此外,圖2 6中省略源極區 域、汲極區域、傳導孔、及配線圖案等之圖示。前述矽氧 化膜1202之形成係利用第1實施形態說明之石夕氧化膜形成方 法’而矽氧化膜1204及氮化膜1205之積層構造的形成,則 係利用實施形態3說明之矽氮化膜形成方法。 圖2 7至圖3 0係以階段式說明本實施形態之快閃記憶體元 件製造方法為目的的概略剖面圖。 參照圖27,矽基板1301上,係利用場氧化膜13〇2來區劃 快閃記憶體胞區域A、高電壓用電晶體區域B、及低電壓用 電晶體區域C,前述矽基板301之表面上的前述各區域a〜 C内形成矽氧化膜1303。前述場氧化膜13〇2以選擇氧化法 (L〇C〇S法)或淺溝分離法等形可即可。 在本實施形態中,係使用為以去除表面末端氫、氧 化膜及氮化膜形成為目的之電漿激勵氣體。氧化膜及氮化 膜形成裝置和圖5相同。 在圖28<步驟中,從記憶體胞區域a去除前述矽氧化膜 1 303,利用稀氟酸洗淨實施矽表面之氫末端化。然後,以 和岫面貫施形態1相同之方法來形成隧道氧化膜丨3〇4。 、亦即,和前面之實施形態丨一樣,對前述真空容器(處理 室)1〇1内進行排氣成為真空,再從噴淋板1〇2將^^氣體導入 525217
前述處理室101内。然後,將前述Ar氣體換成尺1·氣體,並 將處理室内之壓力設定為1 T〇rr。 其2,去除前述矽氧化膜1303,將矽表面經過稀氟酸處 理之前述矽基板1301當做圖5之矽基板103,置入處理室 内,並置於具有加熱機構之試料台104上。將試料溫度設定 為400°C。 皿又口又
接著,從同軸導波管105對輻射狀線隙縫天線1〇6供應 GHz之微波約丨分鐘,前述微波會通過前述介電體板ι〇7而 被導入則逑處理室101内。使前述矽基板13〇1之表面曝露於 裝 前述處理室101内產生之高密度尺1>電漿中,去除前述基板 1301之矽表面的末端氫。 然後,從嘴淋板1〇2導入Κι*氣體及〇2氣體,使前述區域a 上形成當做前述隧道絕緣膜之厚度3·5 nm的矽氧化膜,接 玎
著,以覆蓋前述矽氧化膜1304方式堆積第i多結晶矽層 1305。 杰同包壓用及低電壓用電晶體形成區域B及c中,利用圖 木化去除則述第1多結晶矽層i 3〇5,而只在記憶體胞區域A 之隧道氧化膜1 304上留下第1多結晶矽圖案丨3〇5。 蝕刻後進行洗淨,並實施多結晶矽圖案13〇5之表面的氫 末端化。 圖29之步驟中,和前面之第3實施形態相同,以覆蓋前述 多結晶矽圖案1305之表面的方式來形成具有下部氧化膜 1306A及上部氮化膜13〇6Bi〇N(開)構造的絕緣膜13〇6。 此ON膜以下列方式形成。 ____- 37 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 525217 A7
發明説明(35 使真2答器(處理室)1〇1内進行排氣成為真空,再將從噴 淋板102導入Ar氣體改變〖1氣體。將處理室内之壓力設定 為133 Pa (1 Torr)。其次,將前述經過氫末端化且具有多結 曰曰矽圖案1305之矽基板1301,置入前述處理室1〇1内,並置 於具有加熱機構之試料台1〇4上。將試料溫度設定為4〇(rc 〇 接著’從同軸導波管105對輻射狀線隙縫天線1〇6供應245 GHz之微波約!分鐘,前述微波會從輻射狀線隙缝天線ι〇6 通過前述介電體板107而被導入前述處理室1〇1内,並產生 之问搶度Kr電漿。結果,前述多結晶矽圖案13〇5之表面曝 路於K r氣體中,去除表面末端氫。 然後,使前述處理室101内之壓力維持133 pa (1 T〇rr)程 度’從噴淋板102將Kr/02混合氣體導入前述處理室ι〇1 内,使多結晶矽之表面上形成厚度3 nm之矽氧化膜。 然後,暫時停止供應微波,停止導入Kr氣體及〇2氣體, 貝施真空容态(處理室)10丨之排氣後,從噴淋板丨〇2導入K r 氣體及NH3氣體。將前述處理室1〇1内之壓力設定為13 3卜 (100 mTorr)程度’再度從輻射狀線隙縫天線1〇6對前述處 理立101内供應頻率為2.45 GHz之微波,處理室内產生高密 度笔永’在石夕氧化膜表面形成6 nm之石夕氮化膜。 利用此方式形成9 nmi 〇N膜時,所得到之〇N膜的膜厚 會一樣,也未發現對多結晶矽之面方位有依賴性,故知道 可得到極為均一之膜。 利用此方式形成前述ON膜後,在圖3〇之步驟中,以圖案 ____ —__- 38 - 本紙張尺度適用中國國豕標準(CNS) A4規格(210 X 297公爱) 525217
化方式從高電壓用及低電壓用電晶體區域B及〇去除絕缘膜 U06,㈣,對高電壓用及低電壓用電晶體區域上實 施臨限值電壓控制用之離子佈植。再去除前述區域b&c上 形成4氧化膜1303,在前述區域B上形成5 nm厚度之閘極 氧化膜1307,然後在前述區域〇上形成311111厚度之閘極氧化 膜 1308。 其後,在包括場氧化膜1302之整體構造上,依序形成第2 多結晶矽層1309及矽化物層1310,再對前述第2多結晶矽層 1309及矽化物層1310實施圖案化,分別在前述高電壓用電 晶體區域B及低電壓用電晶體區域c形成閘極1311b及 1311C。而且,對應前述記憶體胞區域a形成閘極1311八。 圖30之步驟後,依據標準半導體步驟,形成源極區域及 汲極區域,並實施層間絕緣膜及傳導孔之形成及配線圖案 之形成等,完成元件。 本毛明之$亥等纟巴緣膜13 0 6 A及13 0 6 B的膜厚即使減少為習 知之氧化膜或氮化膜的一半左右,也可維持良好之電氣特 性。亦即’此矽氧化膜13〇6A及矽氮化膜13〇6B即始薄膜 化,亦可擁有良好之電氣特性,並有精密之高品質。此 外’本發明之前述矽氧化膜1306A及矽氮化膜13〇6B因為係 低溫形成,故閘極多結晶矽及氧化膜之界面不會發生熱預 算(thermal budget),而獲得良好界面。 本發明之快閃記憶體元件可以低電壓來執行情報之寫入 及消除動作,並抑制基板電流之發生,而抑止隧道絕緣膜 之劣化。因此’以二次元配列本發明快閃記憶體元件所形 -39 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 525217
成之非揮發性半導體記憶裝置,可以在較佳之生產 奋 施製造並具有安定之特性。 、 本發明之快閃記憶體元件,對應前述絕緣膜13〇6八及 1306B具有優良膜質而有較小之漏電流,且因為可以在不 增加漏電流之情形下減少膜厚,故寫入或消除動作只需要 5V程度之動作電壓。結果,快閃記憶體元件之記憶儲存時
間比習知時間增加數十倍以上,&寫入次數也會增加為數 十倍以上。 裝 同時,絕緣膜1306之膜構成並不限於前述〇N構造,亦可 為由和實施形態1相同之氧化膜所構成之〇構造、由和實施 形® 2相同之氮化膜所構成構造、以及和實施形態4相 同 <氧氮化膜。另外,前述絕緣膜13〇6亦可以為由氮化膜 及氧化膜所構成之N0構造、由氧化膜、氮化膜、及氧化膜 依序積層而成之0N0構造、以及由氮化膜、氧化膜、氮化 膜、及氧化膜依序積層而成之ΝΟΝΟ構造等。前述絕緣膜 1306應選擇何種構造,需考慮周邊電路之高電壓電晶體及
低包壓電晶體之閘極氧化膜的整合性及共用可能性等,可 配合目的來實施選擇。 (第8實施形態) 利用圖1裝置之Kr/〇2微波激勵高密度電漿的閘極氧化膜 形成、或Ar(或K〇/NH3(4N2/H2)微波激勵高密度電漿的 問極氮化膜形成,亦可以使用底層矽内含有習知上無法使 用高溫步驟之金屬層的金屬基板S0I晶片上之半導體積體電 路裝置形成。尤其是,矽之膜厚較薄且實施完全空乏化動 艮尺度適用中國國家標準(CNS) a4規格(21〇 X 297公釐) 525217 A7 B7 五、發明説明(38 ) 作之SOI構造時’本發明之末端氫的去除效果會更為顯著。 圖31係具有金屬基板SOI構造之MOS電晶體的剖面圖。 參照圖31,1701為n+型或p+型之低抵抗半導體層、17〇2 為NiSi等之矽化物層、:1703為TaN及TiN等之導電性氮化物 層、Π〇4為Cu等之金屬層、丨川5為TaN及TiN等之導電性 氮化物層、1706為n+型或p+型之低抵抗半導體層、17〇7為 A1N及SisN4等之氮化物絕緣層、1708為。〇2膜、17〇9為 Si〇2層、BPSG層、或由此組合而成之絕緣膜層、171〇為^ 型汲極區域、1711為η +型源極區域、1712為ρ +型汲極區 域、1713為ρ+型源極區域、1714及1715為<m>方向配向 之矽半導體層、1716為依本發明實施形態i步驟以Kr電漿 照射去除表面末端氫後再以Kr/〇2微波激勵高密度電漿所 形成之Si02膜、1717及1718為分別由Ta、Ti、TaN/Ta、及
TiN/Ti等所形成之nMOS電晶體及pM〇s電晶體的閘極、 1719為nMOS電晶體之源極電極、以及172〇為11%〇3電晶體 及pMOS電晶體的汲極電極。1721則為]?;^〇3電晶體的源極 電極。1722為基板表面電極。 此種含有以TaN或TiN保護之Cu層的基板,為了壓抑Cu I擴散,熱處理溫度必須為約7〇〇0C以下。n+型或p +型之源 極區域或汲極區域,則在佈植入As+、Ad/、*BF2+之離 子後’以550°C之熱處理來形成。 具=圖之裝置構造的半導體裝置中,若閘極絕緣膜採 用熱氧化膜時、以及採用在以Kr電漿照射來去除表面末端 虱後再以Kr/〇 2微波激勵高密度電漿處理形成閘極絕緣膜 525217 五、發明説明(39 ) 電晶體之次畴性(sub♦偏待性)比較,利用 咖、=閘極絕緣膜時,次閾特性可以觀察到扭結及漏 ^ 本發明方式形成閘極絕緣膜時,則次閾特性極為 另外,若採用台面型元件分離構造 :之:壁部,…面部以外會出現其他面方 /、、、而’因疋使用Kr之電漿氧化來形成問極絕緣膜,台 々2刀離側壁部《氧化也可以和平面部—樣相當均一, 故可得到良好之電氣特性及高信賴性。 /依第2實施形態之步驟’使用以Ar/NH3氣體形成之石夕 1膜來做為閘極絕緣膜時,亦可作成具有極佳電氣特性 及咼L賴性之金屬基板S〇i積體電路裝置。 在本貝施形態中,矽氮化膜之厚度只有3 (矽氧化膜厚 J私率換异1 ·5 nm)時,亦可得到良好電氣特性,比使用3 nm(矽氧化膜時,電晶體之驅動能約提高為2倍。 (第9實施形態) 圖32係針對形成液晶顯示元件及有機電致發光元件等之 玻璃基板及塑膠基板等大型長方形基板上形成之多結晶碎 及非晶質石夕層實施氧化處理、氮化處理、或氧氮化處理為 目的,為本發明第8實施形態之一製造裝置例的概念圖。 參照圖32,使真空容器(處理室)18〇7内處於減壓狀態 下’然後從設置於前述處理室18〇7内之噴淋板18〇1導入 Kr/〇2混合氣體,再以螺絲溝泵18〇2實施前述處理室ι8〇7 内之排氣’將前述處理室18〇7内之壓力設定為133 pa(i ____- 42 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公复) 525217 A7 _______ Β7 五、發明説明(4〇 )
Ton·)。再將玻璃基板18〇3置於具有加熱機構之試料台〗8〇4 上’將破璃基板之溫度設定為3〇〇。〇。 、前述處理室_設有多數方形導波管18〇5,從前述多數 方形導波管1 805乏各隙缝部通過介電體板〗8〇6將微波導入 前述處理室刪内’前述處理室18〇7内產生高密度激勵電 水。此時,設於前述處理室丨8〇7内之噴淋板丨8〇 1會將導波 管放射出來之微波視為表面波,而發揮將其傳播至左右之 導波路機能。 圖33係使用圖32之裝置製作本發明之閉極氧化膜或間極 氮化膜,並形成液晶顯示元件及有機EL發光元件等之驅 動、或處理電路用多結晶矽薄膜電晶體(TFT)之實例。 首先敘述形成矽氧化膜而予以使用之例。 參照圖33 ’ 1901為玻璃基板、19〇2為Si3N4膜、1903為以 (111)面為主配向之多結晶石夕nM〇s之通道層、1905及1906 分別為多結晶矽nMOS之源極區域及汲極區域、丨9〇4為以 (111)面為主配向之多結晶石夕pM〇s之通道層、1907及1908 分別為多結晶矽pMOS之源極區域及汲極區域。i 9 1 〇為多結 晶矽nMOS之閘極、1911為多結晶矽pM〇S之閘極、1912為 Si02、BSG、及BPSG等之絕緣膜、1913及1914為多結晶矽 nMOS之源極電極(同時為多結晶矽p_M〇s之汲極電極)、 191 5為多結晶石夕p-MOS之源極電極。 系巴緣膜上形成之多結晶;5夕,(111)面方位對絕緣膜呈垂直 方向時十分安定,同時為十分緻密且結晶性佳之高品質 者。本實施形態中,1909係使用圖32之裝置,依實施形態1 ________ - 43 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) 525217 A7 B7 五、發明説明(41 ) 相同步驟,做成厚度0.2 //m之本發明矽氧化膜層,400 °C 時,面對(11 1)面之多結晶石夕上形成3 nm厚度。 依據本實施形態,可以確認電晶體間之元件分離區域銳 角部的氧化膜亦不會變薄,不論是平坦部或邊緣部,多結 晶矽上會形成均一膜厚之矽氧化膜。以形成源極及汲極區 域為目的之離子佈植,並未通過閘極氧化膜,而以4 0 0 °C之 電性活性化來形成。結果,全部步驟都可在400°C以下之溫 度執行’玻璃基板上也可形成電晶體。此電晶體之移動度 方面,電子約為300 cm2/Vsec以上、正孔約為150 cm2/Vsec 以上、以及源極及汲極耐壓和閘極耐壓為12 V以上。通道 長度1.5-2.0 nm程度之電晶體時,可以達到超過100 MHz之 高速動作。矽氧化膜之漏電特性、多結晶矽/氧化膜之界面 準位特性也都十分良好。 使用本實施形態之電晶體,液晶顯示元件、有機EL發光 元件可具有大晝面、低價格、高速動作、高信賴度之優 點。 本實施形態之本發明閘極氧化膜或閘極氮化膜係配合多 結晶矽,然而,亦可使用於液晶顯示元件等使用之非晶質 矽薄膜電晶體(TFT)-尤其是參差型薄膜電晶體(TFT)之閘 極氧化膜或閘極氮化膜。 (第10實施形態) 其次,說明實施具有金屬層之SOI元件、多結晶矽元件、 及非晶質矽元件之積層的3次元積層LSI實施形態。 圖34係本發明之3次元LSI剖面構造的概念圖。 _- 44 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A7 B7 五、發明説明(42 ) 圖34中,2001為第1 SOI及配線層、2002為第2 SOI及配 線層、2003為第1多結晶矽元件及配線層、2004為第2多結 晶矽元件及配線層、2005為非晶質半導體元件、機能材料 元件、及配線層 前述第1 SOI及配線層2001、以及前述第2 SOI及配線層 2002中,含有使用如實施形態7說明之SOI電晶體的數位演 算處理部、高精度高度類比部、同步DRAM部、電源部、 及界面電路部等。 前述第1多結晶矽元件及配線層2003中,含有使用如前面 實施形態6及8說明之多結晶碎電晶體及快閃1己憶體的並聯 數位演算部、機能方塊間轉發部、及記憶元件部等。 另一方面,前述第2多結晶矽元件及配線層2004中,含有 使用如前面實施形態8說明之多結晶矽電晶體的放大器及 A D變換器等並聯類比演算部。無結晶半導體元件、機能材 料元件、及配線層2005中,含有光感應器、聲音感應器、 觸覺感應器、及電波傳送接收部等。 設於無結晶半導體元件、機能材料元件、及配線層2005 中之光感應器、聲音感應器、觸覺感應器、及電波傳送接 收部的信號,先經過設於前述第2多結晶矽元件及配線層 2004中使用多結晶矽電晶體之放大器及AD變換器等並聯類 比演算部處理,再經過設於前述第1多結晶矽元件及配線層 2003、或前述第2多結晶矽元件及配線層2004中使用多結晶 矽電晶體及快閃記憶體的並聯數位演算部及記憶元件部處 理,然後才由設於前述第1 SOI及配線層2001、及前述第2 _- 45 -_ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A7 B7 五 發明説明(43 ) SOI及配線層2002中使用SOI電晶體之數位演算處理部、高 精度高度類比部、及同步DRAM部實施處理。 此外,設於前述第1多結晶矽元件及配線層2003中之機能 方塊間轉發部/雖然採複數設置亦不會佔據太大晶片面 積,卻可調整LSI整體之信號同步。 可明顯看出,唯有利用前述實施形態中詳細說明之本發 明技術才能做成上述3次元LSI。 產業上之可利用性 依據本發明,可以在矽基板表面上形成整體而言具有氧 氮化膜之隧道絕緣膜,其係層積氧化膜、氮化膜,或依序 層積氮化膜、氧化膜、氮化膜而成者,除了可大幅減低漏 電流外並可減少膜厚。且可於對快閃記憶體元件等寫入時 大幅增大隧道電流密度,提高動作速度。又可減低動作電 壓。 -46 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐)

Claims (1)

  1. 525217 第090132509號專利申請案 歆 科丨a修艮 中文申請專利範圍替換本(92年1月) 才甫充 、申請專利範圍 1. 一種介電體膜,其特.徵在於: 其係形成於矽表面上者; 前述介電體膜包含氮,其氮濃度係具有於介電體膜表 面,比介電體膜中央部大之濃度分佈者。 2. 如申請專利範圍第1項之介電體膜,其中於前述介電體膜 中,氮濃度係於與前述矽表面之界面附近比前述膜中央 部大者。 3. 如申請專利範圍第1項之介電體膜,其中前述介電體膜包 含碎氮氧化膜,於前述膜中央部氮濃度為最小者。 4. 如申請專利範圍第1項之介電體膜,其中前述介電體膜係 於與前述電極相接的膜表面中具有實質上為氮化矽膜之 組成者。 5. 如申請專利範圍第1項之介電體膜,其中前述介電體膜係 於前述膜中央部具有實質上為氧化矽膜之組成者。 6. —種半導體裝置,其係具有: 矽基板; 絕緣膜,其係形成於前述矽基板上;及 電極,其係形成於前述絕緣膜上; 其特徵在於: 前述絕緣膜所具有之氮濃度分佈係為氮濃度在與前 述電極相接之膜表面比膜中央部大。 7. 如申請專利範圍第6項之半導體裝置,其中於前述絕緣膜 中,氮濃度在與前述碎基板之界面附近比前述膜中央部 大0 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 申請專利範圍 A8 B8 C8 D8 8·=申請專利範圍第6項之半導體裝置,其中前述絕緣膜勺 =矽虱氧化膜,於前述膜中央部氮濃度為最小。 iH請專圍第6項之半導體裝置,其中前述絕緣膜於 =逑電極相接之膜表面中’具有實質上為氮化♦膜之 10·如申請專利範圍第6項之半導體裝置 部具有實質上為氧化矽膜之組成。 11.如申請專利範圍第6項之半導體裝置 極上隔著電極間絕緣膜形成第2電極 12· —種非揮發性半導體記憶體裝置,其係具有: 矽基板; 其中於前述膜中央 其中於前述第i電 隨道絕緣膜,其係形成於前述矽基板上; 洋動閘電極,其係形成於前述隧道絕緣膜上;及 控制閘電極,其係隔著電極間絕緣膜,設於前述浮動 閘電極上; 其特徵在於: 月’ί述絕緣膜中任一者具有氮濃度在與前述電極相接 之膜表面比膜中央部大的氮濃度分佈。 13·如申請專利範圍第丨2項之非揮發性半導體記憶體裝置, 其中於前述隧道絕緣膜中,氮濃度在與前述矽基板之界 面附近比前述膜中央部大。 14·如申請專利範圍第1 2項之非揮發性半導體記憶體裝置, 其中前述隧道絕緣膜包含矽氮氧化膜,於前述膜中央部 鼠〉辰度為最小。 - 2 - 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 裝 η 線 525217 A B c D 正充修補 ;0 月 六、申請專利範圍 15. 如申請專利範圍第1 2項之非揮發性半導體記憶體裝置, 其中前述隧道絕緣膜於與前述電極相接之膜表面中,具 有實質上為氮化矽膜之組成。 16. 如申請專利範圍第1項之非揮發性半導體記憶體裝置,其 中前述隧道絕緣膜於前述膜中央部具有實質上為氧化矽 膜之組成。 17. —種介電體膜之形成方法,其特徵在於包含: 於表面上形成矽氧化膜之工序;及 裝 將前述矽氧化膜之表面曝露於氮化氫自由基NH*中, 予以改變之工序。 18. 如申請專利範圍第1 7項之介電體膜之形成方法,其中前 述氮化氫自由基NH*係由形成於混合氣體中之微波電漿 所形成者,該混合氣體係為選自Ar或Κι:之惰性氣體,與 包含氮及氫為構成成分元素之氣體所混合而成者。 線 19. 如申請專利範圍第1 8項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有1012 cm·3以上之電子密 度。 20. 如申請專利範圍第1 8項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有10V以下之電漿電位。 21. 如申請專利範圍第1 8項之介電體膜之形成方法,其中前 述包含以氮及氫為構成成份元素之氣體係為NH3氣。 22. 如申請專利範圍第1 8項之介電體膜之形成方法,其中前 述包含以氮及氫為構成成份元素之氣體係為>12氣與H2Il 之混合氣體。 -3 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A B c D 私,日心正補充 六、申請專利範圍 23. 如申請專利範圍第1 7項之介電體膜之形成方法,其中前 述表面包含碎表面,前述氧化膜係由前述碎表面之氧化 而形成。 24. 如申請專利範圍第2 3項之介電體膜之形成方法,其中前 述矽表面之氧化係藉由將前述矽表面曝露於混合氣體中 所形成之微波電漿之工序而進行,前述混合氣體係為以 Κι:為主之惰性氣體與包含氧為構成元素之氣體所混合而 成者。 25. 如申請專利範圍第2 3項之介電體膜之形成方法,其中前 述矽氧化膜係由前述表面之熱氧化而形成。 26. —種介電體膜之形成方法,其特徵在於包含: 於表面上形成矽氧化膜之工序;及 將前述矽氧化膜之表面曝露於混合氣體中所形成之微 波電漿中,改變前述矽氧化膜之表面之工序,該混合氣 體係選自Αι:或Κι*之惰性氣體,與包含氮及氫為構成成份 元素之氣體所混合而成者。 27. 如申請專利範圍第2 6項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有1012 cm_3以上之電子密 度。 28. 如申請專利範圍第2 6項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有10V以下之電漿電位。 29. 如申請專利範圍第2 6項之介電體膜之形成方法,其中前 述包含以氮及氫為構成成份元素之氣體係為NH3氣。 30. 如申請專利範圍第2 6項之介電體膜之形成方法,其中前 -4 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公釐) A8 B8 P年,修正 C8 D8 補充 525217 六、申請專利範圍 述包含以氮及氫為構成成份元素之氣體係為N2氣與112氣 之混合氣體。 31. 如申請專利範圍第2 6項之介電體膜之形成方法,其中前 述表面包含碎表面,前述氧化膜係由前述碎表面之氧化 而形成。 32. 如申請專利範圍第3 1項之介電體膜之形成方法,其中前 述矽表面之氧化係藉由將前述矽表面曝露於混合氣體中 所形成之微波電漿之工序而進行,前述混合氣體係為以 Κγ為主之惰性氣體與包含氧為構成元素之氣體所混合而 成者。 33. 如申請專利範圍第3 1項之介電體膜之形成方法,其中前 述矽氧化膜係由前述表面之熱氧化而形成。 34. —種介電體膜之形成方法,其特徵在於包含: 將矽表面曝露於混合氣體中所形成之微波電漿中,於 前述矽表面形成氧氮化膜之工序,該混合氣體係由以Kr 為主之惰性氣體與包含氮為構成元素之氣體與包含氧為 構成成份元素之氣體所混合而成者。 35. 如申請專利範圍第3 4項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有1012 cnT3以上之電子密 度。 36. 如申請專利範圍第3 4項之介電體膜之形成方法,其中前 述微波電漿係在前述表面上具有10 V以下之電漿電位。 37. 如申請專利範圍第3 4項之介電體膜之形成方法,其中前 述包含氮為構成成份元素之氣體為NH3氣,前述包含氧 -5 - 本纸張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 圍範利 專請 A BCD 正充修補 月 為構成成份元素之氣.體為02氣。 38·如申請專利範圍第3 7項之介電體膜之形成方法,义 述惰性氣體與前述〇2氣與前述NH3氣係以9 \ 則 分壓比予以供給。 · ·3·0·5之 39·:申請專利範圍第34項之介電體膜之形成方法, 則述碎表面曝露於微波電漿之工序, /、將 靈於疮工儿产 係將則逑矽表面曝 路於原子狀氧〇*及氮化氫自由基ΝΗ*中。 40. —種半導體裝置之製造方法,其特徵在於包含: 將矽基板之依氧化處理形成矽氧化膜之工序; 將前述矽氧化膜之表面曝露於氮化氫自由基^^以中, 以予以改變之工序;及 於前述已改變之矽氧化膜上形成閘電極之工序。 41·如申請專利範圍第34項之半導體裝置之製造方法,其中 前述氮氫自由基ΝΗ*係由混合氣體中所形成之微波電漿 所形成,該混合氣體係為選gAr*Kr之惰性氣體與2含 以氮及氫為構成成份元素之氣體所混合而成者。 ° 42·如申請專利範圍第41項之半導體裝置之製造方法,其中 前述微波電漿係在前述表面上具有1〇u cm·3以上之電子 密度。 43·如申請專利範圍第41項之半導體裝置之製造方法,其中 七述微波電漿係在前述表面上具有i 〇 V以下之電裝電 位。 44·如申請專利範圍第4 i項之半導體裝置之製造方法,其中 前述包含以氮及氫為構成成份元素之氣體係為1^13氣。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) ' ------- 525217 A8 B8 C8 D8 修正補充 六、申請專利範圍 45. 如申請專利範圍第4 1項之半導體裝置之製造方法,其中 前述包含以氮及氫為構成成份元素之氣體係為N2氣與H2 氣之混合氣體。 46. 如申請專利範圍第4 1項之半導體裝置之製造方法,其中 前述矽氧化膜係藉由將前述矽表面曝露於混合氣體中所 形成之微波電漿之工序而進行,前述混合氣體係為以Kr 為主之惰性氣體與包含氧為構成元素之氣體所混合而成 者。 47. —種半導體裝置之製造方法,其特徵在於包含: 於梦基板上由氧化處理形成碎氧化膜之步驟; 將前述矽氧化膜之表面曝露於混合氣體中所形成之微 波電漿中,以改變前述矽氧化膜表面之工序,該混合氣 體係由選自Ar或Kr之惰性氣體及以氮及氫為構成成份元 素之氣體所混合而成者;及 於前述已改變之矽氧化膜上形成閘電極之工序。 48. 如申請專利範圍第4 7項之半導體裝置之製造方法,其中 前述微波電漿係在前述表面上具有1012 cm·3以上之電子 密度。 49. 如申請專利範圍第4 7項之半導體裝置之製造方法,其中 前述微波電漿係在前述表面上具有10V以下之電漿電 位。 50. 如申請專利範圍第4 7項之半導體裝置之製造方法,其中 前述包含以氮及氫為構成成份元素之氣體係為NH3氣。 51. 如申請專利範圍第4 7項之半導體裝置之製造方法,其中 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A B c D 正充修補 六、申請專利範圍 前述包含以氮及氫為.構成成份元素之氣體係為n2氣與h2 氣之混合氣體。 52. 如申請專利範圍第4 7項之半導體裝置之製造方法,其中 前述碎氧化膜係藉由將前述碎表面曝露於混合氣體中所 形成之微波電漿之工序而進行,前述混合氣體係為以Kr 為主之惰性氣體與包含氧為構成元素之氣體所混合而成 者。 53. 如申請專利範圍第47項之半導體裝置之製造方法,其中 前述碎氧化膜係由前述表面之熱氧化而形成。 54. —種半導體裝置之製造方法,其特徵在於包含: 將矽基板表面曝露於混合氣體中所形成之微波電漿 中,以於前述矽表面形成氧氮化膜之工序,前述混合氣 體係為以Kr為主之惰性氣體與包含氮為構成元素之氣體 與包含氧為構成元素之氣體所混合而成者;及 於前述氧氮化膜上形成閘電極之工序。 55. 如申請專利範圍第5 4項之半導體裝置之製造方法,其中 前述微波電漿係在前述表面上具有1012 cm'3以上之電子 密度。 56. 如申請專利範圍第54項之半導體裝置之製造方法,其中 前述微波電漿係在前述表面上具有10V以下之電漿電 位。 57. 如申請專利範圍第5 4項之半導體裝置之製造方法,其中 前述包含氮為構成成份元素之氣體為NH3氣,前述包含 氧為構成成份元素之氣體為〇2氣。 -8 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 525217 A8 B8 C8 D8 f修正 補充 申請專利範圍 58. 如申請專利範圍第5 7項之半導體裝置之製造方法,其中 前述惰性氣體與前述02氣與前述NH3氣係以96.5 : 3 : 0.5 之分壓比予以供給。 59. 如申請專利範圍第5 4項之半導體裝置之製造方法,其中 將前述矽表面曝露於微波電漿之工序,係將前述矽表面 曝露於原子狀氧0*及氮化氫自由基NH*中。 裝 ΤΓ 線 -9 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
TW090132509A 2000-12-28 2001-12-27 Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device TW525217B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000402834 2000-12-28
JP2001094246A JP5068402B2 (ja) 2000-12-28 2001-03-28 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW525217B true TW525217B (en) 2003-03-21

Family

ID=26607205

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090132509A TW525217B (en) 2000-12-28 2001-12-27 Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device

Country Status (7)

Country Link
US (2) US7439121B2 (zh)
EP (1) EP1347507A4 (zh)
JP (1) JP5068402B2 (zh)
KR (1) KR100711036B1 (zh)
CN (1) CN1291461C (zh)
TW (1) TW525217B (zh)
WO (1) WO2002054474A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI574281B (zh) * 2011-06-07 2017-03-11 Youtec Co Ltd A ferroelectric film, a film forming method, and a method for manufacturing a ferroelectric film

Families Citing this family (390)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6682913B1 (en) * 1999-02-03 2004-01-27 Institute For Drug Research Ltd. Microbial process for preparing pravastatin
KR100876927B1 (ko) * 2001-06-01 2009-01-07 가부시키가이샤 한도오따이 에네루기 켄큐쇼 열처리장치 및 열처리방법
WO2003088345A1 (fr) * 2002-03-29 2003-10-23 Tokyo Electron Limited Materiau pour dispositif electronique et procede de fabrication correspondant
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
JP4320167B2 (ja) * 2002-12-12 2009-08-26 忠弘 大見 半導体素子及びシリコン酸化窒化膜の製造方法
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
JP2004266075A (ja) * 2003-02-28 2004-09-24 Tokyo Electron Ltd 基板処理方法
WO2004082011A1 (ja) 2003-03-13 2004-09-23 Fujitsu Limited 半導体装置と半導体装置の製造方法
JP2005056870A (ja) * 2003-06-12 2005-03-03 Toyota Industries Corp ダイレクトコンバージョン受信の周波数変換回路、その半導体集積回路及びダイレクトコンバージョン受信機
JP2005006127A (ja) * 2003-06-12 2005-01-06 Toyota Industries Corp ミキサ回路
JP2005005621A (ja) * 2003-06-13 2005-01-06 Toyota Industries Corp Dcアンプ及びその半導体集積回路
JP2005005622A (ja) * 2003-06-13 2005-01-06 Toyota Industries Corp リミッタ回路及びその半導体集積回路
JP2005005620A (ja) * 2003-06-13 2005-01-06 Toyota Industries Corp スイッチトキャパシタ回路及びその半導体集積回路
WO2005022624A1 (ja) * 2003-08-28 2005-03-10 National University Corporation Tokyo University Of Agriculture And Technology 絶縁膜形成方法
US8212206B2 (en) * 2003-09-04 2012-07-03 Griffin Analytical Technologies, L.L.C. Analysis methods, analysis device waveform generation methods, analysis devices, and articles of manufacture
JP4458527B2 (ja) * 2003-11-20 2010-04-28 セイコーエプソン株式会社 ゲート絶縁膜、半導体素子、電子デバイスおよび電子機器
US20070258861A1 (en) 2004-06-15 2007-11-08 Barket Dennis Jr Analytical Instruments, Assemblies, and Methods
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4579637B2 (ja) * 2004-10-01 2010-11-10 東京エレクトロン株式会社 半導体記憶装置及びその製造方法
KR100887270B1 (ko) 2004-10-28 2009-03-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
KR100695140B1 (ko) * 2005-02-12 2007-03-14 삼성전자주식회사 실리콘 리치 산화막을 포함하는 메모리 소자의 제조 방법
JP5089891B2 (ja) * 2005-03-08 2012-12-05 ルネサスエレクトロニクス株式会社 不揮発性記憶素子の製造方法
US8680461B2 (en) 2005-04-25 2014-03-25 Griffin Analytical Technologies, L.L.C. Analytical instrumentation, apparatuses, and methods
JP5052033B2 (ja) * 2005-04-28 2012-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4509864B2 (ja) * 2005-05-30 2010-07-21 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
EP1818989A3 (en) * 2006-02-10 2010-12-01 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor storage device and manufacturing method thereof
JP4909708B2 (ja) 2006-03-31 2012-04-04 株式会社東芝 半導体装置およびその製造方法
JP4762036B2 (ja) * 2006-04-14 2011-08-31 株式会社東芝 半導体装置
WO2007138937A1 (en) * 2006-05-26 2007-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP5305620B2 (ja) * 2006-07-14 2013-10-02 株式会社半導体エネルギー研究所 不揮発性メモリ
US7376014B2 (en) * 2006-08-18 2008-05-20 Mammen Thomas Highly reliable NAND flash memory using five side enclosed floating gate storage elements
US7992424B1 (en) 2006-09-14 2011-08-09 Griffin Analytical Technologies, L.L.C. Analytical instrumentation and sample analysis methods
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
TW200834730A (en) * 2006-09-29 2008-08-16 Tokyo Electron Ltd Method for forming silicon oxide film, plasma processing apparatus and storage medium
JP4855958B2 (ja) * 2007-01-25 2012-01-18 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR100875022B1 (ko) * 2007-01-29 2008-12-19 주식회사 풍산마이크로텍 플래시 메모리의 제조방법
US8581260B2 (en) 2007-02-22 2013-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including a memory
US7791172B2 (en) * 2007-03-19 2010-09-07 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012216631A (ja) * 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101906167B1 (ko) * 2011-10-27 2018-10-12 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
FR2987682B1 (fr) * 2012-03-05 2014-11-21 Soitec Silicon On Insulator Procede de test d'une structure semi-conducteur sur isolant et application dudit test pour la fabrication d'une telle structure
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
TWI595219B (zh) * 2012-05-08 2017-08-11 Sony Corp Infrared conversion element, imaging device and imaging method
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9499428B2 (en) * 2012-07-20 2016-11-22 Ferro Corporation Formation of glass-based seals using focused infrared radiation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI785043B (zh) * 2017-09-12 2022-12-01 日商松下知識產權經營股份有限公司 電容元件、影像感測器、電容元件之製造方法及影像感測器之製造方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10497817B1 (en) 2018-07-09 2019-12-03 Wisconsin Alumni Research Foundation P-n diodes and p-n-p heterojunction bipolar transistors with diamond collectors and current tunneling layers
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109148686B (zh) * 2018-08-24 2020-01-31 中国科学院化学研究所 基于有机晶体管的仿生适应型感受器及其制备方法与应用
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20200058622A (ko) * 2018-11-19 2020-05-28 삼성디스플레이 주식회사 다결정 실리콘층의 제조 방법, 표시 장치 및 표시 장치의 제조 방법
US10666353B1 (en) * 2018-11-20 2020-05-26 Juniper Networks, Inc. Normal incidence photodetector with self-test functionality
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200111868A (ko) 2019-03-19 2020-10-05 삼성디스플레이 주식회사 다결정 실리콘층을 포함하는 표시 장치, 다결정 실리콘층의 제조 방법, 및 표시 장치의 제조 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
TW202107528A (zh) * 2019-04-30 2021-02-16 美商得昇科技股份有限公司 氫氣輔助的大氣自由基氧化
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5642377A (en) * 1979-09-14 1981-04-20 Fujitsu Ltd Ultraviolet ray erasable type rewritable read-only memory
JPH036379A (ja) * 1989-05-31 1991-01-11 Nec Corp 化学気相成長装置
JPH03212938A (ja) * 1990-01-18 1991-09-18 Seiko Epson Corp シリコン窒化膜の形成方法
JPH06140392A (ja) * 1992-10-27 1994-05-20 Fujitsu Ltd 半導体装置の製造方法
JP3288796B2 (ja) * 1993-03-15 2002-06-04 株式会社東芝 半導体装置
JP3802945B2 (ja) * 1996-02-01 2006-08-02 株式会社ルネサステクノロジ 不揮発性半導体記憶装置の製造方法
JPH09331046A (ja) * 1996-06-13 1997-12-22 Ricoh Co Ltd 半導体記憶装置の製造方法
JP3681525B2 (ja) * 1996-11-25 2005-08-10 松下電器産業株式会社 半導体装置の製造方法
EP0844668A3 (en) * 1996-11-25 1999-02-03 Matsushita Electronics Corporation MOS structure of semiconductor device and method of manufacturing the same
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3222404B2 (ja) 1997-06-20 2001-10-29 科学技術振興事業団 半導体基板表面の絶縁膜の形成方法及びその形成装置
JPH11251294A (ja) * 1998-02-27 1999-09-17 Sony Corp 半導体装置の製造方法
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JP2000091331A (ja) * 1998-09-07 2000-03-31 Sony Corp 絶縁膜の作製方法および半導体装置の製造方法
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
US6331459B1 (en) 1999-02-18 2001-12-18 Infineon Technologies Ag Use of dummy poly spacers and divot fill techniques for DT-aligned processing after STI formation for advanced deep trench capacitor DRAM
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP2000315790A (ja) * 1999-04-30 2000-11-14 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP3399413B2 (ja) * 1999-09-13 2003-04-21 日本電気株式会社 酸窒化膜およびその形成方法
JP2001291865A (ja) * 2000-04-10 2001-10-19 Sharp Corp 絶縁ゲート型トランジスタ及びその製造方法
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI574281B (zh) * 2011-06-07 2017-03-11 Youtec Co Ltd A ferroelectric film, a film forming method, and a method for manufacturing a ferroelectric film

Also Published As

Publication number Publication date
US20080277715A1 (en) 2008-11-13
JP5068402B2 (ja) 2012-11-07
WO2002054474A1 (fr) 2002-07-11
CN1484852A (zh) 2004-03-24
US20040042307A1 (en) 2004-03-04
US7718484B2 (en) 2010-05-18
CN1291461C (zh) 2006-12-20
KR100711036B1 (ko) 2007-04-24
EP1347507A1 (en) 2003-09-24
US7439121B2 (en) 2008-10-21
EP1347507A4 (en) 2005-09-07
KR20030067735A (ko) 2003-08-14
JP2002261097A (ja) 2002-09-13

Similar Documents

Publication Publication Date Title
TW525217B (en) Dielectric film and method of producing the same, semiconductor device nonvolatile semiconductor memory device, and method producing semiconductor device
TW587273B (en) Method of producing semiconductor device
JP5479437B2 (ja) シリコン酸化膜の形成方法、シリコン窒化膜の形成方法、シリコン酸窒化膜の形成方法
KR20060118620A (ko) 기판 처리 방법 및 반도체 장치의 제조 방법
JP2004193414A (ja) 不揮発性半導体記憶装置及びその製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees