TW444278B - Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor - Google Patents

Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor Download PDF

Info

Publication number
TW444278B
TW444278B TW089105232A TW89105232A TW444278B TW 444278 B TW444278 B TW 444278B TW 089105232 A TW089105232 A TW 089105232A TW 89105232 A TW89105232 A TW 89105232A TW 444278 B TW444278 B TW 444278B
Authority
TW
Taiwan
Prior art keywords
layer
metal layer
metal
source gas
nitrogen
Prior art date
Application number
TW089105232A
Other languages
English (en)
Inventor
Sang-Bom Kang
Hyun-Seok Lim
Yun-Sook Chae
In-Sang Jeon
Gil-Heyun Choi
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW444278B publication Critical patent/TW444278B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/108Provision of discrete insulating layers, i.e. non-genetic barrier layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biotechnology (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Description

4 442 7 8 五、發明說明(l) 發明背景 1. 發明範疇 本發明係關於利用原子層沉積形成金屬層之方法及具有 該金屬層作為障壁金屬層或電容器之上或下電極之半導體 裝置β 2. 相關技藝說明 隨著半導體襞置之積體密度的增加,已發展出具大介電 常數之高介電材料,以在小面積中得到大電容β舉例來說 ,具有鈣鈦礦晶體結構之BST 膜在整體狀態 具有約數百至一千之介電常數,其不同於習慣上用於電容 器之氮化矽薄膜、矽氧氮化物薄膜及氧化鈕(〇5 )薄膜。 BST薄膜之優點在於可供給薄的介電薄膜,以致即使當 薄蹲之厚度多於500埃時,相當氧化物厚度低於1〇埃。可 使用不會氧化的電極諸如鉑(pt)作為BST電極。亦可使用 諸如釕(Ru)或銥(Ir)之電極作為BST電極,其即使當氧化 並形成氧化釕(Ru〇2)或氧化銥(11*〇2)時,仍擁有導體的特 為得到具有優異電容及漏電流特性之高介電薄膜, 必需於沉積BST薄膜後在高溫下進行熱處理。此時必需;形 成障壁金屬層,以防止由於氧之擴散所造成之歐姆層 晶石夕插塞的m壁金屬層係插於多晶⑪插塞及下電極 之間。 習慣上通常使用氮化鈦(TiN)薄膜作為 ㈣膜會在高於4心之溫度下氧化。當於沉積
4 442 7 8 五、發明說明(2) 後在氧氣環境中進行高溫熱處理時,由於鉑(p t )讓氧可容 易地通過’因而T i N薄膜及多晶矽插塞會氧化。尤其,當 T i N薄膜經氧化時,將生成非導電性的τ丨〇2薄膜。此外, 翻(Pt)及碎($丨)會擴_以1^薄膜内,因此TiN薄膜並無法 作為障壁金屬層。已及Si之擴散係由TiN之圓柱狀結 構所造成。因此,必由供給不具有作為擴散路徑之晶 粒邊界的非晶形結而限制氧之擴散。 日日 由此需求,而對含有耐火金屬之化合物進行研究^由含 有耐火金屬之化合物所形成之障壁金屬層有由於組合物1 複雜性’因而當化合物係利用化學蒸氣沉積法而沉積時, 組合物之適應丨p寻再現性將降低的。因此,當形成含 有耐火金屬之i:备物的障壁金屬層^货,通常在氮氣環境二 進行反應性濺聲声。然而,由滅所形成的障壁金屬層 具有不良的階藤食蓋率(step c参弓,rage;),因此其並不適 合作為電容器中之障壁金屬層广其之結構當半導體裝置之 f體密度增加時變得更為複雜,例如,形成於渠溝型電容 器中之具有高長徑比(aspect rati〇)之渠溝下部的障 屬層。 發明總結 為解決以上問題’本發明之第一目的在於提供一種利用 ,,層沉積形成金屬層之方法’其具有優異的階梯覆蓋率 並可=止氧之擴散’藉此方法可適當地調整金屬層之組成 ’以容易地提供期望的電阻及導電性。 本毛明之第二目的在於提供一種具有利用以上方法形成
第8頁 444278 五、發明說明(3) 之金屬層作為障壁金屬層或電容器之上或下電極之半導體 裝置。 因此’為達成第一目的,本發明之第一態樣提供一種形 成具有A-B-N結構之金屬層之方法,其中經由個別注射反 應性金屬(A)、防止反應性金屬(A)與氮(N)結晶之鋁(B)、 及氮(N)之脈衝源氣體,並使源氣體化學吸附至半導體基 材’而堆疊多個原子層。 尤其’將源氣體以預定次序交替注入,以交替配置原子 層’並調整各源氣體之注射脈衝的數目,以決定金屬層之 組成。 反應性金屬(A)可為鈦(Ti)、鈕(Ta)、鎢(W)、锆(Zr)、 鈴(H〇、链(M〇)或鈮(Nb)。金屬層之導電性及電阻可經由 調聱非晶形結合元素之源氣體之注射脈衝的數目而決定。 當金屬層為TiAIN層時’在TiAIN層中之A1相對於Ti的含量 可為 10-35%。 為達成第二目的,本發明亦提供—種半導體裝置,其包 括包含在半導體基材中之觸孔之絕緣薄膜、形成於觸孔底 ,之導電性材料薄膜、及包括形成於觸孔中之導電性材料 薄膜上之下電極、形成於下電極上之高介電薄膜、及形成 於高介電薄膜上之上電極之電容器。 尤其’此半導體裝置具有在觸孔中之導電性材料薄膜與 下電極之間的障壁金屬層。障壁金屬層具有— N結構, 其中經由交替沉積反應性金屬(A)、防止反應性金屬(A)與 氮α)結晶之鋁(B)、及氮(N),而堆疊多個原子層。障壁
五、發明說明(4) 金屬層之組成比可由久; 』田谷原子層之沉積次數而決定。 反應性金屬(A)可為鈦(Ti)、钽(Ta)、鎢(以、錯(Zr)、 铃(Hf)、飽(Mo)或銳(Nb)。障壁金屬層之導電性及電阻可 由紹(B)之原子層之注射脈衝數目對障壁金屬層所使用之 注射脈衝的總數而決定。 此外’為達成第二目的’本發明提供一種半導體裝置, 其具有包括形成於半導體基材上之預定材料薄膜上之下電 極、形成於下電極上之高介電薄膜、及形成於高介電薄膜 上之上電極之電容器。 尤其 > 可將下電極形成為A-B-N結構,其中經由交替及 連續沉積反應性金屬(A )、防止反應性金屬(a )與氮(N )結 晶之非晶形結合元素(B )、及氮(Μ)之原子層,而堆疊多個 原干層。下電極之組成可由各原子層之沉積次數決定。 上電極可以與下電極相同的方式形成。反應性金屬(Α) 可為鈦(T i )、(T a)、嫣(W)、錯(Z r)、給(H f)、钥(Μ 〇) 或銳(Nb)。防止反應性金屬(A)與氮(Ν)結晶之非晶形結合 元素(B)可為鋁(A1)、矽(Si)或硼(B)。此外,下電極之導 電性及電阻可由非晶形結合元素(B)之原子層之注射脈衝 數目對下電極所使用之注射脈衝的總數而決定。 為達成第一目的,本發明之第二態樣提供一種形成金屬 層之方法。此方法包括經由以預定次序個別注射構成具有 A結構之氮化合物之反應性金屬(A)、防止反應性金屬 (A)與氮(N)結晶之非晶形結合元素(B)、及氮(N )之脈衝源 氣體’並使源氣體化學吸附至半導體基材’同時並調整各
第10頁 444278 五 '發明說明(5) 源氣體之注射脈衝之數目以調整金屬層之組成,而形成具 有A-B-N結構之金屬層之步驟。此外,可在金屬層上形成 氧擴散防止層,因而形成包括多個金屬層及多個氧擴散防 止層之多重金屬層。 氧擴散防止層可經由將金屬元素及氧之源氣體之脈衝注 射交替施加至包括金屬層之半導體基材而形成。或者,氧 擴散防止層可經由進行利用原子層沉積在金屬層上形成含 氧材料層,並將包括金屬層及材料層之半導體基材熱處理 之步驟而形成。反應性金屬(Α)可為鈦(Ti)、鈕(Ta)、鶴 (W)、鍅(Zr)、銓(Hf)、鉬(Mo)或鈮(Nb)。防止反應性金 屬(A )與I ( N )結晶之非晶形結合元素(b )可為銘(a 1)、石夕 (S 1 )或硼(B )。金屬層之導電性及電阻係經由調整非晶形 結令元素之源氣體之注射脈衝的數目而決定。氧擴散防止 層可為氧化鋁層。 為達成第二目的,本發明提供一種半導體裝置,其包括 包含在半導體基材中之觸孔之絕緣薄膜、形成於觸孔底部 之導電ϋ材料薄膜、包括形成於觸孔中之導電形材料薄膜 電極、形成於下電極上之高介電薄膜、及形成於高 "電薄膜上之上電極之電容器。 々此半導體裝置亦包括包含多個金屬層及多個氧擴 性封料障壁金屬層。各金屬層係形成於觸孔中之導電 其中2 f山與下電極之間。金屬層係以α—β_ν結構形成, 氮扯曰父替沉積反應性金屬(Α)、防止反應性金屬(八)與 曰曰之非晶形結合元素(Β)、及氮⑻,而堆叠多個
444278 五、發明說明(6) 原子層,其中金屬層之組成比係由各原子層之沉積次數決 定。各氧擴散防止層係形成於金屬層上。 半導體裝置亦可包括在氧擴散防止層上之含氧材料層。 反應性金屬(A)可為敛(Ti)、组(Ta)、鎢(W)、鍅(Zr)、給 (Hf)、鉬(Mo)或鈮(Nb)。防止反應性金屬(a)與氮(n)結晶 之非晶形結合元素(B)可為銘(A1)、發(Si)或蝴(B)。障壁 金屬層之導電性及電阻係由非晶形結合元素之原子層之沉 積次數對障壁金屬層所使用之沉積總數之比所決定。氧擴 散防止層可為氧化鋁層。 如前所述’利用本發明之原子層沉積所形成之金屬層 (多重金屬層)具有南財熱及1¾抗氧化特性。由於金屬層係 經由個別沉積原子層而形成,因而其階梯覆蓋率即使係在 密摩非常大的區域中亦相當優異。此外,由於個別的原子 層係以預定次序吸附及形成’因而可容易地調整包含於金 屬層(多重金屬層)中之各元素的組成比β可將利用本發明 之原子層沉積所形成之金屬層使用作為半導體裝置中之障 壁金屬層、下電極或上電極。 圖示簡單說明 本發明之以上的目的及優點經由參照附圖詳細說明其之 較佳具體實例當可更加明白,其中: 圖1 Α及1Β係顯示當根據本發明之第一態樣形成金屬層時 ,注入源乳體及沖洗用氣,以ί儿積原子層之方法之一例子 的圖; 圖2Α及2Β係顯示當根據本發明之第—態樣形成金屬層時
4442 7 8 五、發明說明(7) :ί :源氣體及沖洗用氣’以沉積原子層之方 子的圖; 法之另一例 圖3係顯示當a 1 $ 4 μ μ ^ * 之tb雪Μ夕绺yu之成比增加時,鈦鋁氮化物(TiA1N)層 I t匕电I且之變化的圖; 圖4係顯示根據本發明所形成之TiA1Njg^魏之圖 圖5係顯示習 T1 N)薄膜及根據本發明
TiAIN層之表面丨^^·, 圖6係顯示在氧^環境中熱處理,以測試本發明之口⑽ 層之耐熱及抗氧化特性之TlAlN層之表面電阻 的圖; 圖7A至7D係說明根據本發明之一具體實例使用金屬層作 為障壁金屬層製造半導體裝置之方法之剖面圖; 圖8A至8E係說明根據本發明之具體實^使用金屬層作 電容器之上電極製造半導體裝置之方法之剖面圖; … 圖9A至9E係說明根據本發明之一具體實例使用金屬層 為電容器之下電極製造半導體裝置之方法之剔面圖; 圖1 0係說明根攄本發明之第二態樣利用原^層沉積形 金屬層之方法之剖面圖; 圖11係顯示當形成圖10之氧擴散防止層時,注入源氣 及沖洗用氣’以沉積原子層之方法之一例子的圖; 、 圖12及13係說明根據本發明之第三態樣利用原子層沉 形成金屬層之方法之剖面圖;及 積 圖1 4及1 5係說明使用根據本發明之第二及第三態 之 利用
11·! 第13頁 4442 7 8 五、發明說明(8) 原子層沉積所形成之金屬層作為障壁金屬層之製造 裝置之方法的剖面圖 發明詳沭 以下將參照附圖而說明本發明之較佳具體實例。 形成金屬 原子層沉積係經由將反應物連績注射至室中及自室内移 除’而在半導體基材上連續沉積多個原子層之方法。原子 層沉積係使用類似化學蒸氣沉積(CVD)之化學反應,但其 與CVD不同之處在於反應物氣體係以脈衝的形式個別^入 ,而非同時注入反應物氣體,以致其不會在室内混合。舉 例來說,在使用氣體A及B之情況中,先將氣體A注入至室 内,及氣體A之分子化學吸附至基材之表面,因而形成A之 原予層。使用惰性氣體諸如氬氣或氮氣沖洗殘留於室内之 氣體A。其後將氣體B注入並使其化學吸附,因而在a之原 子層上形成B之原子層。僅在A之原子層的表面上發生在^ 之原子層與B之原子層之間的反應。因此,不管表面之形 態如何,皆可得到優良的階梯覆蓋率。於在A 之原子層 之間的反應後,將殘留於室内之氣體B及反應之副產物沖 洗掉。可經由重複氣體A或3之注射及原子層之沉積,而以 原子層單位調整薄膜之厚度。 以下之說明係關於根據本發明之第一態樣利用原子層沉 積形成金屬層之方法。將金屬層形成為有多個原子層以A_ B-N結構堆疊之結構,其中A係反應性金屬,B係供非晶形 結合用之元素,及N係氮。反應性金屬(A)係過渡金屬諸如
4442 7 8 五、發明說明(9) 鈦(Ti)、鈕(Ta)、鎢(W)、錯(Zr)、妗(HO、鉬(Mo)或銳 (Nb)。非晶形結合元素(B)係鋁(A 1 )、矽(S i)或硼(B) °非 晶形結合元素(B)亦可與反應性金屬(A)所使用之元素相同 非晶形結合元素(B)防止反應性金屬(A)與氮(N)之結合 ’因而形成具有非晶形結構之金屬層。非晶形結合元素 (B)亦可防止具有a-B-N結構之金屬層在後續的熱處理中結 本發明之一代表性金屬層為鈦鋁氮化物(T i A1 N)薄膜。 當形成TiAIN層時,將四氱化鈦(TiCl4)、肆(二甲胺基)鈦 (TDMAT)、及肆(二乙胺基)鈦(TDEAT)之其中一者使用作為
Ti之源氣體。將三甲基鋁(tma)、三乙基鋁(TEA)、三異丁 基銲(TIBA)及AlClx之其中一者使用作為A1之源氣體。將 队及NH3之其中一者使用作為n之源氣體。 在形成T i A 1 N層時,先將半導體基材裝入原子層沉積室 中。接著將構成金屬層之三元素的源氣體,即反應性金屬 (A)、鋁(A1)及氮(N),自氣體供給單元經由氣體供給管供 給至原子層沉積室中。源氣體係以脈衝的形式個別交替供 給’因而在半導體基材上堆疊Ti、A1&N原子層。金屬層 中之Ti、A1及N的組成比可經由適當地決定源氣體之注身^ 次序、各氣體之注射數目、及源氣體之注射時間而調整。 尤其,金屬層之組成可根據非晶形結合元素(例如,A1)之 原子層之沉積次數而調整,以致可提供金屬層之期望 電性及電阻。卩下之說明係關於Ti、A1 之組成之調整
第15頁 4442 7 8 五、發明說明(ίο) 的例子。 圖1 A及1 B係顯示當根據本發明之第一態樣形成金屬層時 ’注入源氣體及沖洗用氣’以沉積原子層之方法之一例子 的圖。參照圖 1A 及1B,將TiCl4、TMA 及NH3 aTiCl4-TMA-TiCl4-NH3i循環重複注入,因而形成含有較大量Ti之 TiAIN層。基材之溫度為30 0-700 °C,室之内部壓力為 0 ·卜1 0托耳(torr ),及注入源氣體之脈衝開啟時間為 0.1-10秒較佳。 圖1 A顯示經由邊注入源氣體邊連續注入沖洗用氣而進行 沖洗操作之情況。圖1 B顯示經由在源氣體之注射脈衝之間 以脈衝形式注入沖洗用氣而進行沖洗操作之情況。使用Ar 、N2及He氣體之其中一者作為沖洗用氣。 阑2 A及2 B係顯示當根據本發明之第一態樣形成金屬層時 ,注入源氣體及沖洗用氣’以沉積原子層之方法之另—例 子的圖。參照圖2A 及2B ’ 將TiCl4、TMA 及NH3 UTiCl4-NH3- ΤΜΑ-ΝΗυ之循環重複注入,及TMA之脈衝開啟時間較Tici 長’因而形成包含較大量A1之TiAl N層。室的條件係與圖 1A及1B相同。換言之,基材之溫度為3〇〇_7〇(rc ,室之内 部壓力為0. 1 - 1 0托耳,及注入源氣體之脈衝開啟時間為 0. 1-10 秒。 圖2A顯示經由邊注入源氣體邊連續注入沖洗用氣而進行 冲洗操作之情況。圖2 B顯示經由在源氣體之注射脈衝之間 注入沖洗用氣而進行沖洗操作之情況。使用Ar、化及He氣 體之其中一者作為沖洗用氣。
第16頁 4442 7 8 五、發明說明(11) ------ 可經由使用以上方法適當地調整各源氣體之注射數目, 而適S地調整各原子層之沉積比。各原子層之導電性及 阻係視各原子層之沉積比而異,如表1所示。 表1 樣品1 樣品2 樣品3 Ti 35% 24% 21% A1 15% 26% 31¾ __ N 30% 35% 35% C 17% 10% 8% Cl 3¾ 3% ^ 3¾ _ Ti:Al 1:0. 43 1:1.1 1:1.48 比電阻p (// Ω-cm) 589 3701 9161 參照表1 ’可以看到τ i A1N層之比電阻隨T i A1N層之組合 物中之A1含量相對於Ti含量之增加而增加。由於比電阻p 係與導電性之倒數成比例’因而導電性隨比電阻之增加而 減小。因此’可將TiAIN層適當地形成為具有適合於其用 途之導電性及電阻。 圖3係顯示TiAIN層之比電阻成TiAIN層之組合物中之Ain 對T i N +A 1 N比之增加之變化之圖。參照圖3,可以看到 TiAIN層之比電阻隨TiAIN層之組合物中之A1含量的增加而 增加。當將TiAIN層使用作為電容器之上電極或在多晶石夕 層與下電極之間之障壁金屬層時,比電阻可根據裝置之形 態而異,但以30 0- 1 0 0 0 0微歐姆-公分較佳。因此,在 TiAIN層中,A1之含量相對於Ti之含量為10-35%較佳。 圖4係顯示根據本發明所形成之TiAIN層之XRD結果之圖
苐17頁 4 44 2 7 8 五、發明說明(12) 。圖5係顯示習知之氮化鈦(TiN)層及根據本發明之TiAiN 層之表面的SEM照片。更明確言之,圖4之上圖係含有較大 ITiiTiAIN層之XRD結果,及下囷係含有較大量八丨之 T i A1 N層之XRD結果。如圖4所示’可以看到儘管觀察到輕 微的fcTiA1N_波峰,但根據本發明之第一態樣所形成之ΉΛ1Ν 層整體而§為非晶形狀態。如圖5所示’本發明之ή a 1 N層 較習知之TiN層具有更多的平面表面。 圖6係顯示在氧氣環境中熱處理,以測試本發明之τ i a 1 N 層之耐熱及抗氧化特性之TiAIN層之表面電阻(Rs)之變化 的圖。「在圖6中,參考字母rA」係指示未進行處理的情 況。「Β」係指示在〇. 1托耳及600 °C下在02環境中進行熱 處理30分鐘之情況。「C」係指示在〇. 1托耳及700 °C下在 〇2環_境。中進行熱處理3〇分鐘之情況。參照圖6,在〇.丄托耳 及600 C下在氧氣環境中進行熱處理30分鐘之250埃之 Tlf1N層的Rs (「B」)相較於未進行處理之TiAiN之Rs (「A」)幾乎未改變.因此,可以看到TiA1N具有優異的耐 熱及抗氧化特性。本發明之τ丨A丨N由於在τ丨A} n層中之A丨在 熱處理過程中轉移至TiAlN層之表面,因而在^人丨付層之表 面上生成氧化物薄膜,即A込%薄膜,因此防止氧之擴散, 故而顯現優異的耐熱及抗氧化特性β 如前所述’由於原子層係個別沉積,因而τ丨Α丨Ν層顯現 高耐熱及抗氧化特性,且即使係在非常高密度的區域中亦 具有優異的階梯覆蓋率。由於個別的原子層係連續吸附及 生成’因而可更容易地調整TiA1N層之組合物,且相較於
第18頁 4442 7 8 五、發明說明(13) -— CVD ’組合物具有優異的再現性。 在第-具體實例中將詳細說明具有如前所述利用原子層 沉積所形成之金屬層作為障壁金屬層之渠溝型電容器及 此電容器之製造方法。在第二具體實例中將詳細說明具有 金屬層作為上電極之圓柱型電容器,&此電容器之製造方 法。在第三具體實例令將詳細說明具有金屬層作為下電極 之渠溝型電容器’及此電容器之製造方法。 第一具體實例 +參照圖7A,在半導體基材104上形成包含氧化矽(Si〇〇 薄膜之絕緣層210。接著將絕緣層21〇光蝕刻而形成觸孔。 參照圖7 B,可將觸孔部分填充至預定深度,或完全不填 充,以產生期望電谷。當將觸孔部分填充時,將觸孔填充 多晷矽,然後在多晶矽上進行濕式蝕刻,或濕式蝕刻結合 化學機械拋光,以在觸孔之底部留下預定厚度之多晶矽薄 膜 212 ^ 參照圖7 C ’在絕緣層21 0及多晶矽薄膜2 1 2上形成耐熱及 抗氧化特性經改良之障壁金屬層214。障壁金屬層214係一 導電層,其中利用原子層沉積連續堆疊反應性金屬(A)、 防止反應性金屬及氮(N )結晶之非晶形結合元素(B )、及氮 (N)之原子層。關於反應性金屬(A),使用Ti、Ta、W、Zr 、Hf、Mo或Nb,及關於非晶形結合元素(B),使用Ai、Si 或B。非晶形結合元素防止反應性金屬與氮之結合,因而 形成具有非晶形結構之障壁金屬層。 舉例來說,分別對障壁金屬層供應反應性金屬(T i )、非
第19頁 4442 7 8 五、發明說明(14) 晶形結合元素(A1)及氮(N)之源氣體TiCl4、TMA及NH3之脈 衝注射,及使源氣體化學吸附至多晶矽薄膜2 1 2,因而形 成包括多個原子層之TiAIN層。由於源氣體係以預定次序 交替及個別供應’因而TiAIN層具有其中對應於源氣體之 原子層係交替堆疊之結構。如前所述,T i A 1 N層顯現優異 的耐熱及抗氧化特性。構成障壁金屬層214之Ti、A1及N之 含量對障壁金屬層2 1 4之總含量之比係由相關源氣體之注 射脈衝的總數所決定《經由適當地決定組成比,可準綠地 得到期望的導電性及電阻。 關於組成之調整’可採用以上參照圖丨A至2 b所說明之例 子’及在沉積原子層時可採用如說明於例子中之相同條件 。沖洗操作可經由邊注入源氣體,邊連續注入沖洗用氣而 不t斷地進行,如圖丨a及2人所示。或者,沖洗操作可經由 在源氣體之注射脈衝之間注入沖洗用氣而進行,如圖丨β及 2B所示。沖洗用氣為Ar、乂、或He。 於在多晶石夕薄膜212及絕緣層21〇上形成TiAIN層之後, 將沉積於觸扎外部之部分的了 i A1 N層回姓,並利用濕式触 刻或化學機械拋先移除,因而僅留下形成於觸孔中之部分 的障壁金屬層2 1 4。可將根據本發明利用原子層沉積所形 成之障壁金屬層21 4做得較習知者厚。此外,可容易地調 正障壁金屬層214之厚度,且可容易及準確地調整障壁金 ,層214之組成。障壁金屬層2丨4之比電阻為3〇〇_1〇〇〇〇微 歐姆公为較佳。因此,調整A 1之組成tl:,以使T i A 1 N層中 之A1含量相對於Ti約為1〇_35%。
第20頁 444278 五、發明說明(15) 參照圖7D,在所產生之結構上形成包含諸如Cu、A1或W 之金屬的渠溝型下電極216。當下電極216為易擴散的金屬 ’諸如Cu時’障壁金屬層214之防止擴散的能力特別優異 。其次,在渠溝型下電極層216上沉積具有大介電常數之 氧化鈕薄膜(Ta205)或強誘電性物質’諸如PZT ((Pb,Zr) Ti03)、BST ((Ba,Sr)Ti03)或STO (SrTi03),而形成介電 薄膜218。接著在介電薄膜218上形成上電極2 20。 第二具體f例 參照圖8A至8E,將說明使用利用原子層沉積形成之金屬 層作為上電極之圓柱型電容器。參照圖8A,在半導體基材 1 0 4上形成包含氧化矽(S i 02 )薄膜之絕緣層2 1 〇 ^接著進行 光蝕刻以在絕緣層21 0中形成觸孔。 參照圖8 B,將觸孔填充導電性材料而形成插塞2 1 2 ^例 如,可將觸孔填充摻雜多晶矽而形成多插塞。 參照圖8 C ’使用光阻劑圖案(未示於圖中)在絕緣層2 1 〇 及插塞212上形成包含諸如A1或W之金屬的圓柱型下電極 214。接下來在圓柱型下電極214及多插塞2〗2之間形成TiN 或TaN之障壁金屬層216 ’以防止多插塞212在稍後之熱處 理中的氧化。當下電極214係易擴散的金屬,諸如Cu時, 障壁金屬層21 6以包含第三族之金屬層較佳,例如,τ丨s 土 N 層、TaSiN層或TiAIN層,其之防止擴散的能力特別優異e 參照圖8D ’沉積具有大介電常數之氧化钽薄膜(Ta2〇5)或 強誘電性物質,諸如PZT ((Pb,Zr)Ti03)、BST ((Ba, Sr)Ti03)或STO (SrTi03),而形成包圍圓柱型下電極層214
第21頁 4442 7 8 五、發明說明(16) 之介電薄膜218。 參照圖8 E,在介電薄膜2 I 8上形成根據本發明之具有a _ B_N結構的上電極220。上電極220係·—導電層,呈中利用 原子層沉積連續堆疊反應性金屬(A )、防止反應性金屬及 tL(N)結晶之非晶形結合元素(B)、及氛(n)之原子層。上 電極220之原子層之組成比係根據原子層之注射脈衝之數 目對上電極2 2 0所使用之注射脈衝之總數而決定,及上電 極220之導電性和電阻可經由調整由非晶形結合元素(B)所 形成之原子層之數目對其他原子層之結合數目之比而適當 地決定。反應性金屬(A)可為Ti、Ta、W、Zr、Hf、Mo或Nb 。防止反應性金屬(A)與氮(N )結晶之非晶形結合元素(B) 可為Al、Si或B。 夺此具體實例中,上電極220係由TiAIN層所形成。當形 成TiAIN層時,使用四氣化鈦(Tic、)、肆(二甲胺基)鈦 (TDMAT)及肆(二乙胺基)鈦(TDEAT)之其中一者作為了丨之源 氣體。使用三甲基鋁(TMA)、三乙基鋁(TEA) '三異丁基鋁 (TIBA)及AlClx之其中一者作為A1之源氣體。使用& &nh3 之其中一者作為N之源氣體。 更明確言之’在形成上電極22〇時,以預定次序將第三 族之氮材料之源氣體的脈衝注射連續供應至原子層沉積室 中’因而在半導體基材1〇4上之高介電薄膜218上連續堆疊 原子層。 為將Ti A 1 N層形成作為上電極22〇,分別供應反應性金屬 (Ti)、非晶形結合元素(A1)及氮(1〇之源氣體TiCl4、TMa
第22頁 4442 7 8 五、發明說明(17) ^ 及NH3之脈衝注射,及使源氣體化學吸附至高介電薄媒21 8 ,因而形成多個原子層。由於源氣體係個別供應,因而上 電極2 2 0具有其中對應於各源氣體之原子層係交替堆叠之 結構。構成上電極220之Ti、A1及N之含量對上電極22〇之 總含量之比係經由適當地調整相關源氣體之注射總數所決 定。經由適當地決定组成比,可準確地得到期望的導電^生 及電阻。 關於組成之調整’可採用以上參照圖1A至2 B所說明之例 子’及在沉積原子層時可採用如說明於例子中之相同條件 。沖洗操作可經由邊注入源氣體,邊連績注入沖洗用氣而 不中斷地進行’如圖1A及2A所示。或者,沖洗操作可經由 在源氣體之注射脈衝之間注入沖洗用氣而進行,如圖1B 2B所示°沖洗用氣為Ar、N2、或He。 即使 複雜結 覆蓋率 可靠性 由於 原子層 組成, 極之組 各源氣 電性及 現性非 當如於 構的上 亦非常 之電容 當在如 係連續 且相較 成可經 體之脈 電阻可 常優異 形成此 電極時 良好, 器。 前所述 吸附及 於CVD 由僅調 衝注射 視需要 —- 叫 | '〜頂於韦 ,根據本發明所形成之上電極的階梯 因而可製造具有高介電常數及優異電 :具體實例中形成上電極時,個別的 生成,因而可更容易地調整上電極之 組成的再現性優異。換言之,上電 f源氣體之脈衝注射次序及上電極之 目而容易地調整’因此上電極之導 而非常容易地調整。此外’組成的再
444278 五、發明說明(〗8) ' 差_兰具體實例 參照圖9A至9E,將說明使用利用原子層沉積形成之金屬 層作為下電極之渠溝型電容器。參照圖9八,在半導體基材 1 0 4上形成包含氧化矽(s〗% )薄膜之絕緣層3 1 〇。接著進行 光餘刻以在絕緣層3 1 0中形成觸孔。 參照圖9B,可將觸孔部分填充至預定深度,或完全不填 充’以產生期望電容。當將觸孔部分填充時’將觸孔填充 多晶矽’然後在多晶矽上進行濕式蝕刻,《濕式蝕刻結合 化學機械拋光,以在觸孔之底部留下預定厚度之多晶矽 膜 312。 參照圖9C,在絕緣層3 1 0及多晶矽薄膜3丨2上形成下電極 314。下電極314係以與在第二具體實例令用於形成上電極 220之相同方式形成。換言之,下電極314係一導電層,其 中利用原子層沉積交替堆疊反應性金屬(A)、防止反應性' 金屬及氮(N)結晶之非晶形結合元素(β)、及氮(n)。下電 極3 1 4之原子層之組成比係根據原子層之注射脈衝之數目 對下電極3 1 4所使用之注射脈衝之總數而決定,及下電極 314之導電性和電阻可經由調整由非晶形結合元素(Β)所形 成之原子層之數目對其他原子層之結合數目之比而適當地 決定。對反應性金屬(A)及防止反應性金屬(Α)與氮(Ν)結 晶之非晶形結合元素(Β)使用與在第二具體實例中所使用 之相同材料。 在此具體實例中’下電極31 4係由類似第二具體實例中 之上電極220之TiAIN層形成。當形成Ti Αιν層時,使用四
第24頁 4442 7 8 五、發明說明(19) 氣化鈦(TiCl4)、肆(二甲胺基)鈦(TDMAT)及肆(二乙胺基) 鈦(TDEAT)之其中一者作為Ti之源氣體。使用三甲基鋁 (TMA)、三乙基鋁(TEA)、三異丁基鋁(TIBA)及AlClx之其 中一者作為A1之源氣體。使用N2及^1113之其中一者作為N之 源氣體。 以下之說明係關於形成下電極3 1 4之方法。形成下電極 31 4之方法係與在第二具體實例中形成上電極220之方法類 似。 為形成T i A1N層作為下電極3 1 4,分別供應反應性金屬 (Ti)、非晶形結合元素(A1)及氮(N)之源氣體TiCl4、TMA 及NH3之脈衝注射,及使源氣體化學吸附至多晶矽薄膜31 2 及絕緣層3 1 0,因而形成多個原子層。 申於源氣體係以預定次序交替供應,因而下電極314具 有其中對應於源氣體之原子層係交替堆疊之結構。構成下 電極31 4之T i、A1及N之組成比係經由適當地調整各源氣體 之注射數目而決定。經由適當地決定組成比,可準確地得 到期望的導電性及電阻。 關於組成之調整,可採用以上參照圊丨A至2 B所說明之例 子’及在沉積原子層時可採用如說明於例子中之相同條件 。沖洗操作可經由邊注入源氣體,邊連續注入沖洗用氣而 不中斷地進行,如圖1A及2 A所示。或者,沖洗操作可經由 在源氣體之注射脈衝之間注入沖洗用氣而進行,如圖1 B及 2B所示。沖洗用氣為Ar、N2、或He。 於如前所述將各原子層沉積預定的次數後,使用光罩諸
第25頁 ' 44d2 7 8 五、發明說明(20) 如光阻劑圖案完成期望圖案的下電極314。 參照圖9D ’在渠溝型下電極層314上沉積具有大介電常 數之氧化鈕薄膜(Τ%05)或強誘電性物質,諸如PZT ((Pb,
Zr)Ti03)、BST ((Ba,Sr)Ti03)或STO (SrTi03) ’ 而形成 渠溝型介電薄膜318。 參照圖9E ’在高介電薄膜318上形成上電極320。為防止 在熱處理過程中由下方高介電薄膜318所造成之氧化,形 成上電極32 0 ’以致在高介電薄膜318上由TiN或TaN形成作 為障壁層之金屬層320a,及在金屬層320a上形成多晶碎層 320b。 替代使用金屬層320a及多晶矽層320b,上電極320可由 包括反應性金屬(A)、防止反應性金屬(a )與氮(n )結晶之 非辱形結合元素(B)、及氮(N) ’例如’TiAIN層之金屬層 形成。為得到渠溝型高介電薄膜31 8之優異的階梯覆蓋率 ’上電極320以利用原子層沉積,以與用於形成下電極314 相同之方式形成較佳。 當下電極314係如前所述利用原子層沉積由以“^^層形成 時’由於TiAIN層具有優異的耐熱及抗氧化特性,因而下 電極314可成功地作為障壁金屬層。因此,並不需在 極314及與下電極314接觸之下方之多晶矽薄膜3丨2或丰導 體基材1 0 4之間形成額外的障壁層,因而可簡化製^。 由於在形成下電極314時,原子層係個別沉積,=而 使係在密度非常大的區域中,下電極314之階梯覆蓋亦 相當優異。即使當在形成此具體實例之渠溝型電容器中'沉
第26頁 444278
五、發明說明(21) 形 電 積具有複雜結構及向長徑比之下電極時’根據本 成之下電極的階梯覆蓋率非常良好,因而 常數及優異電可靠性之電容器。 氣高介 由於當如前所述在此具體實例中形成下電極時,個 原子層係連續吸附及生成,因而可更容易地調整 = 組成,且相較於CVD,組成具有優異的再現性。換言之’ 下電極之組成可經由僅調整源氣體之脈衝注射次序°及下 極之各源氣體之注射數目而容易地調整,因此下電極之 電性及電阻可視需要而非常容易地調整。此外’組 現性非常優異。 篮明之第..三態金屬層之方法 、圖10係說明根據本發明之第二態樣,利用原子層沉積形 成禽屬層之方法之剖面圖。圖丨丨係顯示當形成圖10之氧擴 散防止層注人源氣體及沖洗用氣,以沉積原子層之方 法之一例子的圖。 參照圖10 ’根據本發明之第二態樣’利用原子層沉積形 成之金屬層係一多重金屬層405。多重金屬層405係經由交 替沉積多個金屬層401與多個氧擴散防止層4〇3而形成。金 屬層401及氧擴散防止層403係利用原子層沉積設備於原位 形成。氧擴散防止層4 0 3係經由形成為一薄層,例如,至 5-15埃之厚度’以不致干擾電子之流動。 金屬層40 1係以與用於形成第一態樣之金屬層相同之方 式形成。換言之,金屬層401係經形成為其中反應性金屬 (A)、防止反應性金屬與氮(N)結晶之非晶形結合元素(β)
第27胃 78 五、發明說明(22) 、及氮(N),利用原子層沉積’以A-B-N結構交替堆叠之結 構。更明確言之’將反應性金屬(A )、防止反應性金屬(A) 與氮ΛΝ)結晶之#晶形結合元素(B)、及氮(N)之源氣體之 脈衝注射以預定次序交替施加於半導體基材(未示於圖中) 上。源氣體經連續及化學吸附至半導體基材,因而形成A-B-N結構。調整各源氣體之注射數目以得到金屬層4(H之期 望組成。 反應性金屬(A)可為Ti、Ta、W、Zr、Hf、Mo或Nb,及非 晶形結合元素(B)可為A1、Si或B。金屬層4〇i係在與根捸 本發明之第一態樣形成金屬層之方法相同之條件下(例如 ,沉積溫度及源氣體)形成。金屬層401為TiAIN層。 接下來利用原子層沉積在金屬層401上形成氧擴散防止 層4卩3。氧擴散防止層403防止自外部擴散之氧的滲透。氧 擴散防止層4 0 3係經由將金屬元素(例如,鋁源氣體)及氧 氣之脈衝注射交替施加至金屬層401而形成,如圖Π所示 。在此態樣中,氧擴散防止層4 0 3係由氧化鋁薄膜所形成 。在形成氧化鋁薄膜時,使用三甲基鋁(TM A )、三乙基鋁 (TEA)、三異丁基鋁(T1BA)或AlClx之其中一者作為鋁源氣 體。使用02及N20之其中一者作為氧源氣體。使用氬氣、i 氣及氦氣之其中一者作為沖洗用氣。 由於將氧擴散防止層4〇3額外形成於如說明於本發明之 第一態樣中之具有良好耐熱及抗氧化特性之金屬層40 1上 (在金屬層401之間),因而多重金屬層405之耐熱及抗氧化 特性可獲得傑出的改良。雖然根據第一態樣之金屬層在其
第28頁 /1 4d2 7 8 五、發明說明(23) 表面上具有氧化物層,因而根據第二態樣之多重金屬層 405在其内部及在其表面上具有氧擴散防止層403,因而能 更可靠地防止氧之擴散。 垠櫨本發明之第三能樣利用原子層沉精形成金屈層夕方法 圖1 2及1 3係說明根據本發明之第三態樣,利用原子層沉 積形成金屬層之方法之剖面圖。根據本發明之第三態樣, 利用原子層沉積所形成之金屬層係如圖13所示之多重金屬 層507。多重金屬層507係經由連續沉積金屬層501、氧擴 散防止層503及材料層505多次而形成。氧擴散防止層5〇3 係利用稍後即將說明之熱處理而自發地形成。 參照圖12,將金屬層501形成於半導體基材(未示於圖 中)上。金屬層5 0 1係以與用於形成本發明之第一態樣之金 屬層相同之方式形成。換言之,金屬層501係經形成為其 中反應性金屬(A )、防止反應性金屬及氮(N)結晶之非晶形 結合元素(B) '及氮(N) ’利用原子層沉積,以A-B-N結構 連續堆疊之結構。反應性金屬(A)可為Ti、Ta、、Zi*、tlf 、Μ o或N b,及非晶形結合元素(B)可為如於本發明之第— 態樣中之A1、S i或B。金屬層5 0 1係在與根據本發明之第— 或第二態樣形成金屬層之方法相同之條件下(例如,沉積 溫度及源氣體)形成。金屬層501為TiAIN層。 接下來利用原子層沉積在金屬層501上形成含氧材料層 5 0 5。在本發明之此態樣中’材料層5 0 5係由T i ON薄膜所形 成。換言之,將鈦、氧及氮源氣體之派衝注射以預定次序 交替供應至原子層沉積室’因而形成Ti ON薄骐。
第29頁 4 442 7 8 五、發明說明(24) 參照圖1 3,在包括金屬層5 0 1及材料層5 0 5之半導體基才才 上進行熱處理,因而在金屬層501與材料層5〇5之間形成氧 擴散防止層503。金屬層501之金屬物質與材料層之氧 反應,因而形成氧擴散防止層503。舉例來說,當金屬層 5 Ο 1係由T i A 1 N薄膜所形成,及材料層5 0 5係由T i ON薄膜所 形成時’金屬層501中之鋁漂移至金屬層501之表面並與包 含於材料層505中之氧反應,因而形成氧化鋁薄膜之氧擴 散防止層5 0 3。根據第三態樣之多重金屬層507包括多個結 構’其各包括連續堆疊的金屬層501、氧擴散防止層5〇3及 材料層5 0 5。 由於將氧擴散防止層503額外形成於如說明於本發明之 第一態樣中之具有良好耐熱及抗氧化特性之金屬層5〇 i上 (在—金屬層501之間),因而多重金屬層5〇7之耐熱及抗氧化 特性可獲得傑出的改良。 參照圖14及1 5,將詳細說明使用根據本發明之第二及第 二態樣利用原子層沉積所形成之金屬層作為障壁金屬層之 製造半導體裝置之方法。參照圖14,在半導體基材6〇1上 形成包含氧化矽(Si 02)薄膜之絕緣層603。接著進行光蝕 刻:以在絕緣層603中形成觸孔《接下來將觸孔填充多晶 石夕4膜至預定高度’而形成為導電性材料薄膜之插塞 。插塞6 0 5係經由在絕緣層6 0 3上及在觸孔中沉積多晶矽’ 然後在多晶矽上進行濕式蝕刻,或濕式蝕刻結合化學機械 抛光’以在觸孔之底部留下預定厚度之多晶矽而形成。 參照圖15 ’在半導體基材601之整個表面包括插塞6〇5上
第30頁 4442 7 8 五、發明說明(25) -- 形成金屬層。在金屬層上進行回蝕或化學機械拋光程序, 以形成填充觸孔之障壁金屬層6 0 7。障壁金屬層6〇7係以與 用於形成根據本發明之第二或第三態樣之金屬層相同之方 式形成。換言之,障壁金屬層6〇7可為經由重複(例如,3_ 1 0次)堆疊雙層結構而形成之多重金屬層,其中連續堆疊 利用原子層沉積形成之具有反應性金屬(A)_非晶形結合元 素(B)-氮(N)結構之金屬層及厚度5_15埃之氧擴散防止層 。或者’障壁金屬層60 7可為經由重複(例如,3_1〇次)堆 疊二層結構而形成之多重金屬層,其中連續堆疊具有A_B_ N結構之金屬層、氧擴散防止層及材料層。 反應性金屬(A)可為Ti、Ta、W、Zr、Hf、M〇或Nb,及非 晶形結合元素(B)可為A1、Si或B。在此具體實例中,障壁 金屬層607係由TiAIN層形成至5 0-5 00埃之厚度。當障壁金 屬層607係由多重金屬層形成時,能更可靠地防止插塞6〇5 在熱處理過程中之氧化。 接下來在半導體基材包括障壁金屬層6〇7上形成下電 極609。下電極6〇9係由鉑(pt)、釕(Ru)、銥、氧化釕 (Ru〇2)氧化銥(ir〇2)所形成。在下電極層6〇9上沉積具有大 介電常數之氧化鈕薄膜(Ta2〇5)或強誘電性物質,諸如ρζτ ((Pb, Zr)Ti03)、BST ((Ba,Sr)Ti03)或STO (SrTi03), 而形成介電薄膜611。接著在介電薄膜611上形成上電極 613。上電極613係由與下電極6〇9相同之物質形成。 如前所述,利用本發明之原子層沉積形成之金屬層或多 重金屬層具有高耐熱及抗氧化特性。由於本發明之金屬層
第31頁 4442 7 8 五、發明說明(26) 或多重金屬層係經由個別沉積原子層而形成,因而其階梯 覆蓋率即使係在非常高密度之區域中亦相當優異。此外, 由於在本發明之原子層沉積中,個別的原子層係以預定次 序吸附及生成’因而可容易地調整包含於金屬層或多重金 廣層中之各元素的組成比,且相較於CV1),組成的再現性 優異。 根據本發明之原子層沉積,金屬層或多重金屬層之各元 素$組成比可僅經由適當地決定源氣體之脈衝注射數目而 作令人滿意的調整。因此,金屬層或多重金屬層之電阻及 導電性可非常方便地調整。 可將利用本發明之原子層沉積所形成之金屬層或多重金 廣層使用作為半導體裝置中之障壁金屬層、下電極或上電 極~ ‘將本發明之金屬層或多重金屬層使用作為障壁金屬 $時’除了前述的作用外,尚可防止多晶矽插塞之氧化。 _將本發明之金屬層或多重金屬層使用作為下電極時,並 不需在下電極與基材之間形成額外的障壁金屬層,因而可 簡化製造。當將本發明之金屬層或多重金屬層使用作為上 電極時’可容易地調整上電極之組成’因而可使導電性及 電F且之調整容易。
第32頁

Claims (1)

  1. 4442 7 8 六、申請專利範圍 1 · 一種形成具有A-B-N結構之金屬層之方法,其中經由 個別注射反應性金屬(A)、防止反應性金屬(A)與氮(N)結 晶之紹(B)、及氮(N)之脈衝源氣體,並使源氣體化學吸附 至半導體基材,而堆疊多個原子層,藉此方法將源氣體以 預定次序交替注入’以交替配置原子層,並調整各源氣體 之注射脈衝的數目’以決定金屬層之組成。 2. 如申請專利範圍第1項之方法,其中該反應性金屬 (A)係選自由鈦(Ti)、钽(Ta)、鎢(w)、锆(Zr)、铪(Hf)、 鉬(Mo)及藏(Nb)所组成之群之其中一者。 3. 如申請專利範圍第1項之方法,其中該金屬層之導電 性及電阻係經由調整鋁之源氣體之注射脈衝之數目而決定 〇 4·如申請專利範圍第1項之方法,其中當該金屬層為 ΤιΑΙΝ層時,在TiAIN層中之A1相對於Ti之含量為10-35%。 5.如申請專利範圍第1項之方法,其中當該反應性金屬 (A)為Τι時,Ti之源氣體係選自由T iCL、肆(二甲胺基)鈦 (TDMAT)、及肆(二乙胺基)鈦(TDEAT)所組成之群之其中一 者9 6_如申_請專利範圍第1項之方法,其中鋁(A1)之源氣體 係選自由三曱基鋁(TMA)、三乙基鋁(TEA)、三異丁基鋁 (TIBA)及AlClx所組成之群之其中—者。 7. 如申請專利範圍第1項之方法,其中氮(N)之源氣體 係為N2或〇3。 8. 如申請專利範圍第丨項之方法,其中自金屬層之形成
    第33頁 4 442 7 8
    開始至形成結束 連續注入用於沖洗源氣體之沖洗用氣體 9.如申請專利範圍第1項之 之沖洗用氣體係以脈衝注入, 源氣體之注射脈衝之間注入。 10_ —種半導體裝置,包括 包含在半導體基材中之觸孔 形成於觸孔底部之導電性材 包括形成於觸孔中之導電性 於下電極上之高介電薄膜、及 極之電容器;及 方法’其中用於沖洗源氣體 以在金屬層之形成過程中在 之絕緣薄膜; 料薄膜; 材料薄膜上之下電極、形成 形成於高介電薄膜上之上電 在觸孔中之導電性材料薄膜與下電極之間的障壁金屬只 ,此障壁金屬層係經形成為A — b — n結構,其中經由交替沉 積反應性金屬(A)、防止反應性金屬(A)與氮(N)結晶之鋁 (B) '及氮(N) ’而堆疊多個原子層, 其中障壁金屬層之組成比係由各原子層之沉積次數而決 定0 η·如申請專利範圍第1〇項之半導體裝置,其中該反應 性金屬(Α)係選自由鈦(Ti)、钽(Ta)、鎢(w)、錘(Zr)、铪 (Hf)、顧(Mo)及銳(Nb)所組成之群之其中一者。 12·如申請專利範圍第10項之半導體裝置,其中該障壁 金屬層之導電性及電阻係由鋁之原子層之注射脈衝數目對 障壁金屬層所使用之注射脈衝之總數所決定。 13.如申請專利範圍第1 〇項之半導體裝置’其中當該障
    第34頁 ' 4442 7 8
    壁金屬層係由TiA IN所形成時’障壁金屬層之比電阻隨A1 之組成比的增加而增加。 14. 如申請專利範圍第10項之半導體裝置,其中當該障 壁金屬層係由TiAIN所形成時’A1相對於反應性金屬之含 量為 10-35%。 15. 一種半導體裝置,具有包括形成於半導體基材上之 材料薄膜上之下電極、形成於下電極上之高介電薄膜、及 形成於高介電薄膜上之上電極之電容器,其中該下電極係 經形成為A-B-N結構,其中經由交替及連續沉積反應性金 屬(A)、防止反應性金屬(A)與氮(N )結晶之非晶形結合元 素(B)、及氮(N)之原子層’而堆疊多個原子層,其中該下 電極之組成係由各原子層之沉積次數所決定。 如申請專利範圍第15項之半導體裝置,其中該反應 性金屬(Α)係選自由鈦(Ti)、钽(Ta)、鎢(W)、錯(Zr)、铪 (Hf)、鉬(Mo)及銳(Nb)所組成之群之其中一者。 17. 如申請專利範圍第15項之半導體裝置,其中該防止 反應性金屬(A)與氮(N)結晶之非晶形結合元素(B )係為矽 (Si)或硼(B)。 18. 如申請專利範圍第15項之半導體裝置,其中該防止 反應性金屬(A)與氮(N)結晶之非晶形結合元素(B)係為鋁 (A1)。 19. 如申請專利範圍第15項之半導體裝置,其中該上電 極係經形成為A-B-N結構’其中經由交替及連續沉積反應 性金屬(A)、防止反應性金屬(a )與氮(n )結晶之非晶形結
    第35頁 4442 7 8 六、申請專利範圍 一 合元素(B)、及氮U)之原子層,而堆疊多個原子層,其中 该上電極之組成係由各原子層之沉積次數所決定。 20.如申請專利範圍第15項之半導體裝置,其中該下電 極之導電性及電阻係由非晶形結合元素之原子層之沉積次 數對下電極所使用之沉積總數之比所決定。 21· 一種形成金屬層之方法’包括下列步驟: 經由以預定次序個別注射構成具有A_B_N結構之氮化合 物之反應性金屬(A )、防止反應性金屬(A)與氮(N)結晶之 非晶形結合元素(B)、及氤(n )之脈衝源氣體,並使源氣體 化學吸附至半導體基材’同時並調整各源氣體之注射脈衝 之數目以調整金屬層之組成,而形成具有A_B_N結構之金 屬層;及 砗金屬層上形成氧擴散防止層,因而形成包括多個金屬 層及多個氧擴散防止層之多重金屬層。 22.如申請專利範圍第21項之方法,其中該氧擴散防止 層係經由將金屬元素及氧之源氣體之脈衝注射交替施加至 包括金屬層之半導體基材而形成。 23,如申請專利範圍第21項之方法,其中該氧擴散防止 層係經由進行利用原子層沉積在金屬層上形成含氧材料層 ’並將包括金屬層及材料層之半導體基材熱處理之步驟而 形成。 24·如申請專利範圍第2 1項之方法,其中該反應性金屬 (A)係選自由鈦(π)、钽(Ta)、鎢(W)、锆(Zr)、鈴(Hf)、 鉬(Mo)及鈮(Nb)所組成之群之其中一者。
    第36頁 4442 78 六、申請專利範圍 25·如申請專利範圍第21項之方法,其中該防止反應性 金屬(A )與氮(N)結晶之非晶形結合元素(b )係選自由鋁 (A1)、矽(Si)及硼(B)所組成之群之其中一者。 26.如申請專利範圍第21項之方法’其中該金屬層之導 電性及電阻係經由調整非晶形結合元素之源氣體之注射脈 衝的數目而決定。 2 7.如申請專利範圍第2 1項之方法,其中當該反應性金 屬(A)為Ti時,Ti之源氣體係選自由TiCi4、肆(二f胺基) 鈦(TDMAT)、及肆(二乙胺基)鈦(TDEAT)所組成之群之其中 一者。 28·如申請專利範圍第2 1項之方法,其中當該防止反應 性金屬(A)與氮(N)結晶之非晶形結合元素(b )為& I時,鋁 (A1)之源氣體係選自由三甲基鋁(TMA)、三乙基紹(TEA)、 三異丁基紹(TIBA)及AlClx所組成之群之其中—者。 29_如申請專利範圍第21項之方法,其中氣(N)之源氣 體係為N2或〇3。 其中該氧擴散防止 3 0.如申請專利範圍第21項之方法, 層係為氧化紹層。 31. —種半導體裴置,包括: 包含在半導體基材中之觸孔之絕緣薄膜 形成於觸孔底部之導電性材料薄臈; 包括形成於觸孔中之導電性;^划_ $时L π ^旺柯枓溥膜上之下電極、形成 於下電極上之高介電薄膜'及形成於古 ^ ^ ^ ^ ^ α 久〜戍於问介電薄膜上之上電 極之電容器;及
    第37頁 4442 7 8 六、申請專利範圍 包含多個金屬層及多個氧擴散防止層之障壁金屬層;各 金屬層係形成於觸孔中之導電性材料薄膜與下電極之間, 3玄金屬層係經形成為A - B_ N結構,其中經由交替沉積反應 性金屬(A)、防止反應性金屬(A)與氮(N)結晶之非晶形結 合元素(B)、及氮(N),而堆疊多個原子層,其中該金屬層 之組成比係由各原子層之沉積次數所決定,及各氧擴散防 止層係形成於金屬層上。 32_如申請專利範圍第31項之半導體裝置,其更包括在 氧擴散防止層上之含氧材料層。 33. 如申請專利範圍第31項之半導體裝置’其中該反應 性金屬(A)係選自由鈦(Ti)、鈕(Ta)、鎢(W)、锆Ur)、铪 (HO、鉬(Mo)及鈮(Nb)所組成之群之其中/者。 34. 如申請專利範圍第31項之半導體裝置,該防止 反應性金屬(A)與氮(N)結晶之非晶形結合元素(B)係選自 由紹(A1)、石夕(Si)及删(B)所組成之群之其中一者。 35. 如申請專利範圍第31項之半導體裝置,其中該障壁 金屬層之導電性及電阻係由非晶形結合元素之原子層之沉 積次數對障壁金屬層所使用之沉積總數之比所決定。 36. 如申請專利範圍第31項之半導體裝置,其中該氧擴 散防止層係為氧化鋁層。
    第38頁
TW089105232A 1999-12-08 2000-03-22 Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor TW444278B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR19990055835 1999-12-08
KR10-2000-0006251A KR100385946B1 (ko) 1999-12-08 2000-02-10 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자

Publications (1)

Publication Number Publication Date
TW444278B true TW444278B (en) 2001-07-01

Family

ID=26636421

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089105232A TW444278B (en) 1999-12-08 2000-03-22 Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor

Country Status (4)

Country Link
US (1) US6590251B2 (zh)
JP (2) JP3949373B2 (zh)
KR (1) KR100385946B1 (zh)
TW (1) TW444278B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541276B2 (en) 2005-02-05 2009-06-02 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
TWI382513B (zh) * 2008-03-21 2013-01-11 Toshiba Kk 半導體裝置及半導體裝置之製造方法
TWI447784B (zh) * 2006-06-09 2014-08-01 Micron Technology Inc 使用原子層沈積方法形成材料層之方法

Families Citing this family (571)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100582415B1 (ko) * 2000-06-28 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 커패시터 제조 방법
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100504554B1 (ko) * 2000-12-21 2005-08-01 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
JP2002222934A (ja) * 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP2002319636A (ja) * 2001-02-19 2002-10-31 Nec Corp 半導体記憶装置及びその製造方法
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
JP2004040059A (ja) * 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置の製造方法および半導体記憶装置
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
TW200411923A (en) * 2002-07-19 2004-07-01 Asml Us Inc In-situ formation of metal insulator metal capacitors
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
KR100988082B1 (ko) * 2003-05-21 2010-10-18 삼성전자주식회사 스택형 커패시터, 그를 구비한 반도체 메모리 소자 및 그제조방법
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2005038985A2 (en) * 2003-10-16 2005-04-28 Abb Research Ltd. COATINGS OF Mn+1AXn MATERIAL FOR ELECTRICAL CONTACT ELEMENTS
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100591762B1 (ko) * 2004-01-19 2006-06-22 삼성전자주식회사 증착 장치 및 증착 방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7148118B2 (en) * 2004-07-08 2006-12-12 Micron Technology, Inc. Methods of forming metal nitride, and methods of forming capacitor constructions
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR100604923B1 (ko) * 2005-01-04 2006-07-28 삼성전자주식회사 원자층 증착법에 의한 티탄 알루미늄 질화막 형성방법 및이를 이용하여 제조된 발열 전극을 갖는 상변화 메모리 소자
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
WO2006098565A1 (en) * 2005-03-16 2006-09-21 Ips Ltd. Method of depositing thin film using ald process
JP2008538838A (ja) * 2005-04-25 2008-11-06 インパクト コーティングス アーベー スマートカード及びスマートカード読取装置
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
KR100709033B1 (ko) * 2005-08-06 2007-04-18 주식회사 아이피에스 HfSiN 박막증착방법
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
KR100718137B1 (ko) * 2005-09-05 2007-05-14 삼성전자주식회사 3차원 강유전체 커패시터와 이를 포함하는 불휘발성 메모리소자와 그 제조 방법
KR100719803B1 (ko) * 2005-09-08 2007-05-18 주식회사 아이피에스 원자층 증착 방법을 이용한 티타늄알미늄나이트라이드 박막형성 방법
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP4703349B2 (ja) * 2005-10-11 2011-06-15 Okiセミコンダクタ株式会社 アモルファス膜の成膜方法
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
KR100721017B1 (ko) * 2005-12-28 2007-05-22 삼성전자주식회사 불휘발성 메모리 소자 및 그의 형성 방법
US7303983B2 (en) * 2006-01-13 2007-12-04 Freescale Semiconductor, Inc. ALD gate electrode
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
WO2008016650A2 (en) * 2006-07-31 2008-02-07 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7582549B2 (en) * 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR100881055B1 (ko) * 2007-06-20 2009-01-30 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법, 이를 포함하는상변화 메모리 장치 및 그 제조 방법
KR100872799B1 (ko) 2007-09-11 2008-12-09 포항공과대학교 산학협력단 플라스마 원자층 증착법을 이용한 반도체 콘택트용 금속실리사이드 제조방법
JP5097661B2 (ja) * 2007-09-21 2012-12-12 ソウル オプト デバイス カンパニー リミテッド Iii族窒化物化合物半導体装置
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR100942954B1 (ko) 2008-03-31 2010-02-17 주식회사 하이닉스반도체 형상기억합금전극을 구비한 캐패시터 및 그 제조 방법
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP5513767B2 (ja) * 2008-06-25 2014-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
JP5562631B2 (ja) * 2009-12-25 2014-07-30 ルネサスエレクトロニクス株式会社 半導体装置
JP2011146507A (ja) * 2010-01-14 2011-07-28 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
JP5572447B2 (ja) 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101196746B1 (ko) 2010-07-30 2012-11-07 영남대학교 산학협력단 원자층 증착법에 의한 박막 형성 방법, 이를 포함하는 반도체 소자의 배선 및 그 제조 방법
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5081334B2 (ja) * 2010-12-27 2012-11-28 パナソニック株式会社 不揮発性記憶素子、その製造方法
JP5269112B2 (ja) * 2011-01-24 2013-08-21 ラピスセミコンダクタ株式会社 アモルファス膜を備える半導体装置、及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP6087609B2 (ja) * 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US9064857B2 (en) 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6258657B2 (ja) 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
DE102014103220A1 (de) * 2014-03-11 2015-09-17 Walter Ag TiAIN-Schichten mit Lamellenstruktur
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102368099B1 (ko) * 2015-06-25 2022-02-25 삼성전자주식회사 커패시터 및 이를 포함하는 반도체 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040108A1 (en) * 2015-08-06 2017-02-09 Murata Manufacturing Co., Ltd. Capacitor
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
DE102015223951B4 (de) * 2015-12-01 2022-12-01 TE Connectivity Sensors Germany GmbH Substrat für eine Sensoranordnung für ein Widerstandsthermometer, Sensoranordnung und Widerstandsthermometer
DE102015223949B4 (de) * 2015-12-01 2020-09-24 TE Connectivity Sensors Germany GmbH Sensoranordnung für ein Widerstandsthermometer, Widerstandsthermometer und Verfahren zur Herstellung einer Sensoranordnung
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
DE102015223950A1 (de) * 2015-12-01 2017-06-01 TE Connectivity Sensors Germany GmbH Substrat für eine Sensoranordnung für ein Widerstandsthermometer, Sensoranordnung, Widerstandsthermometer und Verfahren zur Herstellung eines solchen Substrats
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017171767A1 (en) * 2016-03-31 2017-10-05 Intel Corporation Diffusion barriers
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6595432B2 (ja) * 2016-09-23 2019-10-23 東芝メモリ株式会社 半導体装置およびその製造方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003803A1 (ja) * 2018-06-28 2020-01-02 東京エレクトロン株式会社 成膜方法、成膜システム、及び成膜装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021169649A (ja) * 2020-04-15 2021-10-28 東京エレクトロン株式会社 金属窒化膜を成膜する方法、及び装置
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US12034036B2 (en) 2020-11-03 2024-07-09 Samsung Electronics Co., Ltd. Semiconductor device and semiconductor apparatus including the same
CN114512597A (zh) 2020-11-16 2022-05-17 联华电子股份有限公司 半导体元件及其制作方法
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7217819B1 (ja) 2022-01-18 2023-02-03 日機装株式会社 半導体発光素子および半導体発光素子の製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
JP3422055B2 (ja) * 1993-11-08 2003-06-30 株式会社デンソー 半導体装置の電極配線
JPH0955478A (ja) * 1995-08-14 1997-02-25 Hitachi Ltd 半導体集積回路の製造方法
US6054331A (en) * 1997-01-15 2000-04-25 Tong Yang Cement Corporation Apparatus and methods of depositing a platinum film with anti-oxidizing function over a substrate
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100219349B1 (ko) * 1997-07-28 1999-09-01 손상호 건강매트
KR19990012246A (ko) 1997-07-28 1999-02-25 윤종용 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6120842A (en) 1997-10-21 2000-09-19 Texas Instruments Incorporated TiN+Al films and processes
JPH11145077A (ja) * 1997-10-31 1999-05-28 Texas Instr Inc <Ti> 膜及びその製法
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR19990051335A (ko) * 1997-12-19 1999-07-05 윤종용 원자층 증착에 의한 tialn의 증착방법 및 이 방법에 의해 형성되는 tialn 박막을 이용한 반도체 소자의 고유전체 커패시터
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
KR100275752B1 (ko) * 1998-11-18 2000-12-15 윤종용 접합 스페이서를 구비한 컨케이브 커패시터의 제조방법
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6368910B1 (en) * 2000-11-24 2002-04-09 Winbond Electronics Corp. Method of fabricating ruthenium-based contact plug for memory devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541276B2 (en) 2005-02-05 2009-06-02 Samsung Electronics Co., Ltd. Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
TWI447784B (zh) * 2006-06-09 2014-08-01 Micron Technology Inc 使用原子層沈積方法形成材料層之方法
TWI382513B (zh) * 2008-03-21 2013-01-11 Toshiba Kk 半導體裝置及半導體裝置之製造方法

Also Published As

Publication number Publication date
US6590251B2 (en) 2003-07-08
JP2001217206A (ja) 2001-08-10
US20020000598A1 (en) 2002-01-03
JP2007081427A (ja) 2007-03-29
JP3949373B2 (ja) 2007-07-25
KR100385946B1 (ko) 2003-06-02
KR20010066730A (ko) 2001-07-11

Similar Documents

Publication Publication Date Title
TW444278B (en) Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6287965B1 (en) Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100351056B1 (ko) 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
TWI338376B (en) Capacitor with zirconium oxide and method for fabricating the same
US6916380B2 (en) System for depositing a layered film
JP4255102B2 (ja) 誘電膜を有するメモリ素子の製造方法
JP4535076B2 (ja) 強誘電体キャパシタとその製造方法
JP4046588B2 (ja) キャパシタの製造方法
KR100373079B1 (ko) 다층 전극을 갖는 납 게르마네이트 강유전성 구조 및 그의퇴적 방법
US7759717B2 (en) Capacitors comprising dielectric regions having first and second oxide material portions of the same chemical compositon but different densities
KR20030065343A (ko) 원자 층 증착에 의해 금속 박막을 생성하기 위한 방법
JP2007073926A (ja) 誘電膜及びその形成方法並びに誘電膜を備えた半導体メモリ素子及びその製造方法
JP2002524859A (ja) 三元窒化物−炭化物バリア層
JPH0582731A (ja) 半導体装置およびその製造方法
KR20010020870A (ko) 내열 금속 동반 장벽을 갖는 복합체 이리듐-금속-산소장벽 구조 및 그의 제조방법
TW522552B (en) Method of manufacturing capacitor in semiconductor devices
KR19990012246A (ko) 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
US20040058492A1 (en) Vapor growth method for metal oxide dielectric film and pzt film
JP2000260958A (ja) イリジウム複合障壁構造およびその形成方法
TW200400616A (en) Method for fabricating capacitor in semiconductor device
KR101082097B1 (ko) 반도체 소자의 커패시터 및 형성 방법
US6730616B2 (en) Versatile plasma processing system for producing oxidation resistant barriers
KR20090114960A (ko) 반도체 소자의 전극 제조 방법
JP5022757B2 (ja) 強誘電体メモリ装置の製造方法
JP2002270787A (ja) 半導体装置とその製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees