KR20010066730A - 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 - Google Patents

원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 Download PDF

Info

Publication number
KR20010066730A
KR20010066730A KR1020000006251A KR20000006251A KR20010066730A KR 20010066730 A KR20010066730 A KR 20010066730A KR 1020000006251 A KR1020000006251 A KR 1020000006251A KR 20000006251 A KR20000006251 A KR 20000006251A KR 20010066730 A KR20010066730 A KR 20010066730A
Authority
KR
South Korea
Prior art keywords
layer
metal layer
metal
nitrogen
atomic
Prior art date
Application number
KR1020000006251A
Other languages
English (en)
Other versions
KR100385946B1 (ko
Inventor
강상범
임현석
채윤숙
전인상
최길현
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR10-2000-0006251A priority Critical patent/KR100385946B1/ko
Priority to US09/511,598 priority patent/US6287965B1/en
Priority to TW089105232A priority patent/TW444278B/zh
Priority to JP2000371636A priority patent/JP3949373B2/ja
Publication of KR20010066730A publication Critical patent/KR20010066730A/ko
Priority to US09/911,313 priority patent/US6590251B2/en
Application granted granted Critical
Publication of KR100385946B1 publication Critical patent/KR100385946B1/ko
Priority to JP2006328561A priority patent/JP2007081427A/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/10Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination
    • H01L21/108Provision of discrete insulating layers, i.e. non-genetic barrier layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biotechnology (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

본 발명은 원자층 증착법을 이용하여 내열성 및 내산화성이 우수한 금속층의 형성 방법을 제공한다. 상기 금속층은 반응성 금속(A), 질소(N), 상기 반응성 금속과 질소와의 비정질 결합용 원소(B)로 구성된다. 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 그리고, 상기 금속층은 이를 구성하는 원소(A, B, N)들의 각 소스가스들을 원자층 증착법에 의하여 서로 교번하면서 펄스형태로 챔버 내로 주입함으로써 각 원소층을 교번된 상태로 적층하여 형성하게 된다. 따라서, 상기 각 소스가스의 주입회수를 적절히 결정하는 것만에 의하여 금속층(A-B-N)의 조성비를 원하는 상태로 적절히 조정할 수 있게 되고, 그 조성비에 따라 전기전도도 및 저항을 적절히 결정할 수 있다. 또한, 각 원자층을 증착하여 형성하므로 조밀하고 복잡한 구성을 가지는 영역에서도 스텝 커버리지가 매우 우수하다. 또한, 원자층 증착법을 이용하여 형성된 금속층을 반도체 소자의 장벽 금속층, 하부 전극 및 상부전극에 적용할 수 있다.

Description

원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을 장벽금속층, 커패시터의 상부전극, 또는 하부전극으로 구비한 반도체 소자{Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor}
본 발명은 원자층 증착법(atomic layer deposition)을 이용한 금속층 형성방법 및 그 금속층을 장벽금속층, 커패시터의 상부전극, 또는 하부전극으로 구비한 반도체 소자에 관한 것이다.
반도체 소자가 점점 고집적화됨에 따라, 작은 면적에서 큰 커패시턴스를 얻기 위하여 유전상수가 큰 고유전물질(high dielectric material)들이 개발되고 있다. 예컨대, 페로브스카이트(perovskite) 결정 구조를 가지는 BST(BaSrTiO3)의 경우 기존의 커패시터에 사용되었던 실리콘 질화막, 실리콘 질화산화막 또는 산화탄탈륨(Ta2O5)막과는 달리 유전상수가 벌크 상태에서 수백 ∼ 1000 정도 되는 물질이다. 이러한 BST를 사용하는 경우 막의 두께를 500Å 이상으로 하더라도 등가산화막 두께(equivalent oxide thickness)를 10Å 이하로 유전막을 박막화할 수 있다는 장점을 가진다. BST용 전극으로는 백금(Pt)과 같이 산화가 되지 않는 전극이나, 또는 루테늄(Ru), 이리듐(Ir)과 같이 산화되어 산화 루테늄(RuO2), 산화 이리듐(IrO2)을 형성하더라도 전도체의 성질을 가지는 전극을 사용한다.
BST 고유전막에서 우수한 커패시턴스와 누설전류 특성을 얻기 위해서는 BST를 증착한 후 고온에서의 열처리가 필요하다. 이때 산소의 확산에 의한 오믹층과 폴리 실리콘 플러그의 산화를 막기 위해 장벽 금속층을 형성할 필요가 있다. 장벽 금속층은 폴리실리콘 플러그와 하부전극 사이에 개재되어진다.
상기 장벽 금속층으로 TiN막이 주로 사용되고 있으나 TiN의 경우 450℃ 이상이면 산화된다. 그리고, BST막 증착후에 산소 분위기에서 고온 열처리를 진행할 경우 백금(Pt)은 산소를 쉽게 통과시키므로 TiN막 및 폴리 실리콘 플러그가 산화되는 문제가 있다. 상기 TiN막이 산화되면 부도체인 TiO2가 생성되는 문제가 있다.
또한, 상기 TiN막은 Pt와 Si 등이 내부로 확산하여 결과적으로 장벽 금속층으로서의 역할을 할 수 없다. Pt와 Si의 확산은 TiN의 칼럼형 결정구조(columnarstructure)에 기인하는 것으로 알려져 있다. 따라서 막 구조를 확산경로가 되는 결정입계를 갖지 않는 비정질(amorphous) 구조로 함으로써 산소의 확산을 억제할 필요가 있다.
이러한 필요로부터 현재 고융점 금속이 포함된 화합물(compound)에 대한 연구가 활발히 진행되고 있다. 상기한 고융점 금속이 포함된 화합물로 이루어진 장벽 금속층은 화학기상증착법에 의하여 증착하는 경우 조성의 복잡함으로 인하여 조성의 조절 및 재현성이 떨어지는 문제점이 있다. 이러한 문제점으로 인하여, 고융점 금속이 포함된 화합물의 장벽금속층 형성시에는 질소 분위기에서의 반응성 스퍼터링(reactive sputtering) 공정이 일반적으로 사용되고 있다. 그러나 이러한 스퍼터링에 의하여 형성된 장벽 금속층은 또한 스텝커버리지가 나쁜 단점이 있으므로, 반도체 소자가 고집적화됨에 따라 복잡한 구조를 가지는 커패시터 등에서의 장벽금속층, 예를 들면 트렌치형 커패시터 등에서와 같이 종횡비가 높은 트렌치의 저부에 형성되어야 하는 장벽금속층으로는 사용하기 어렵게 된다.
본 발명이 이루고자 하는 기술적 과제는 원자층 증착법을 이용하여 스텝 커버리지가 우수하며, 조성의 적절한 조절에 의하여 원하는 저항 및 전도도를 용이하게 결정할 수 있고, 산소의 확산을 방지할 수 있는 우수한 금속층 형성 방법을 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 상기한 금속층 형성 방법에 의하여 형성된 금속층을 장벽 금속층, 커패시터의 하부 전극 및 상부 전극으로 구비한 반도체 소자를 제공하는 데 있다.
도 1a 및 도 1b는 본 발명의 제1 태양에 따른 금속층의 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 일 예를 나타내는 그래프들이다.
도 2a 및 도 2b는 본 발명에 제1 태양에 따른 금속층의 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 다른 예를 나타내는 그래프들이다.
도 3은 TiAlN층의 조성에서 Al의 조성비가 증가함에 따른 TiAlN층의 비저항값의 변화를 나타내는 그래프이다.
도 4는 본 발명에 따라 형성된 TiAlN막의 XRD 결과를 나타내는 그래프이다.
도 5는 종래의 TiN막 및 본 발명에 의한 TiAlN막의 표면 SEM 사진들이다.
도 6은 본 발명에 따른 TiAlN막의 내열성과 내산화성을 실험하기 위하여 산소분위기에서 열처리된 TiAlN막의 Rs 변화를 나타낸 그래프이다.
도 7a 내지 도 7d는 본 발명의 일 실시예에 따라 금속층을 장벽금속층으로 채용한 반도체 소자의 제조방법을 순차적으로 도시한 단면도들이다.
도 8a 내지 도 8e는 본 발명의 일 실시예에 따라 금속층을 커패시터의 상부전극으로 채용한 반도체 소자의 제조방법을 순차적으로 도시한 단면도들이다.
도 9a 내지 도 9e는 본 발명의 일 실시예에 따라 금속층을 커패시터의 하부전극으로 채용한 반도체 소자의 제조방법을 순차적으로 도시한 단면도들이다.
도 10은 본 발명의 제2 태양에 따른 원자층 증착법을 이용한 금속층 형성방법을 설명하기 위한 단면도이다.
도 11은 도 10의 내산화층 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 예를 나타내는 그래프이다.
도 12 및 도 13은 본 발명의 제3 태양에 따른 원자층 증착법을 이용한 금속층 형성방법을 설명하기 위한 단면도이다.
도 14 및 도 15는 본 발명의 제2 및 제3 태양에 따라 원자층 증착법을 이용하여 형성된 금속층을 장벽금속층으로 채용한 반도체 소자의 제조방법을 도시한 단면도이다.
상기 기술적 과제를 달성하기 위하여, 본 발명의 제1 태양에 의한 금속층 형성 방법은 반도체 기판 상에 반응성 금속(A), 질소(N), 및 상기 반응성 금속과 질소의 결정화를 방지하기 위한 알루미늄의 각 소스가스들을 펄스 형태로 주입하여 상기 반도체 기판 상에 상기 소스가스들을 화학흡착시킴으로써 복수의 원자층들이 적층된 A-B-N 구조의 금속층을 형성하는 단계를 포함한다.
특히, 상기 각 소스가스들을 서로 교번되도록 순서를 정하여 주입함으로써 각 원자층이 서로 교대로 배열되도록 하고, 상기 각 소스가스의 주입회수를 조절하여 상기 금속층의 조성비를 결정할 수 있다.
상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo 또는 Nb를 이용한다. 상기 알루미늄의 소스 가스의 주입회수를 조절함으로써 상기 금속층의 전기전도도 및 저항을 결정할 수 있다. 상기 금속층이 TiAlN층인 경우, 상기 TiAlN층에서의 Ti에 대한 Al의 함량은 10∼35 %로 할 수 있다.
또한, 상기 다른 기술적 과제를 달성하기 위하여, 본 발명의 반도체 소자는반도체 기판 상에 콘택홀을 구비한 절연막; 상기 콘택홀의 저면 상에 형성된 도전성 물질막; 및 상기 콘택홀 내부의 도전성 물질막 상부에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 포함하는 커패시터를 구비한다.
특히, 상기 콘택홀 내부의 도전성 물질막과 상기 하부전극 사이에, 반응성금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 알루미늄(B)이 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 원자층들로 구성된 A-B-N 구조의 장벽금속층을 구비하며 상기 장벽금속층의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정됨을 특징으로 한다.
상기 반응성금속은 Ti, Ta, W, Zr, Hf, Mo 또는 Nb로 구성할 수 있다. 상기 알루미늄에 의한 원자층의 적층회수의 비율에 따라 상기 장벽금속층의 전기전도도 및 저항을 결정할 수 있다.
또한, 상기 다른 기술적 과제를 달성하기 위하여, 본 발명의 반도체 소자는 반도체기판 상의 물질층 상에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 구비한 커패시터를 포함한다.
특히, 상기 하부 전극은 A-B-N 구조의 질소화합물을 구성하는 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 원자층들로 구성되며, 상기 하부 전극의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정된다.
상기 상부 전극도 상기 하부 전극과 동일하게 구성할 수 있다. 상기 반응성금속은 Ti, Ta, W, Zr, Hf, Mo 또는 Nb로 구성할 수 있다. 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si 또는 B로 구성할 수 있다. 상기 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상기 상부 전극의 전기전도도 및 저항을 결정할 수 있다.
상기 기술적 과제를 달성하기 위하여, 본 발명의 제2 태양에 의한 금속층 형성 방법은 반도체 기판 상에 A-B-N 구조의 질소화합물을 구성하는 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)의 각 소스가스를 펄스형태로 서로 교번되도록 순서를 정하여 공급하고, 조성비를 조절하게끔 상기 각 소스가스의 주입회수를 조절하면서 공급하여 상기 반도체 기판 상에 화학흡착시킴으로써 A-B-N 구조의 금속층을 형성하는 단계를 포함한다. 더하여, 상기 금속층 상에 산소 확산 방지층을 형성하여 상기 금속층과 산소 확산 방지층이 각각 복수회 증착된 다중 금속층을 형성할 수 있다.
상기 산소 확산 방지층은 상기 금속층이 형성된 반도체 기판 상에 금속 원소 및 산소의 소스 가스를 펄스 형태로 서로 교번되도록 공급하여 형성할 수 있다. 또한, 상기 산소 확산 방지층은 상기 금속층 상에 원자층 증착법으로 산소가 포함된 물질층을 형성한 후 상기 금속층 및 물질층이 형성된 반도체 기판을 열처리하여 얻어질 수 도 있다. 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo 또는 Nb를 이용할 수 있다. 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si 또는 B를 이용할 수 있다. 상기 비정질 결합용 원소의 소스 가스의 주입회수를 조절함으로써 상기 금속층의 전기전도도 및 저항을 결정한다. 상기 산소 확산 방지층은 알루미늄 산화막으로 형성할 수 있다.
또한, 상기 다른 기술적 과제를 달성하기 위하여, 본 발명의 반도체 소자는 반도체기판 상에 콘택홀을 구비한 절연막; 상기 콘택홀의 저면 상에 형성된 도전성 물질막; 및 상기 콘택홀 내부의 도전성 물질막 상부에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 포함하는 커패시터를 구비한다.
특히, 본 발명의 반도체 소자는 상기 콘택홀 내부의 도전성 물질막과 상기 하부전극 사이에, 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층되고 적층 회수에 의하여 조성비가 결정되는 A-B-N 구조의 금속층과, 상기 금속층 상에 산소 확산 방지층이 형성되어 상기 금속층과 산소 확산 방지층이 각각 복수회 적층된 장벽 금속층이 형성되어 있다.
상기 산소 확산 방지층 상에 산소가 포함된 물질층을 더 형성되어 있을 수 있다. 상기 반응성금속은 Ti, Ta, W, Zr, Hf, Mo 또는 Nb로 구성할 수 있다. 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si 또는 B로 구성할 수 있다. 상기 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상기 장벽 금속층의 전기전도도 및 저항을 결정할 수 있다. 상기 산소 확산 방지층은 알루미늄 산화막으로 구성할 수 있다.
상기한 바와 같이, 본 발명에 따른 원자층 증착법을 이용하여 형성된 금속층(다중 금속층)은 내열성 및 내산화성이 높으며, 각각의 원자층을 증착하여 형성하므로 매우 콤팩트한 영역에서도 스텝 커버리지가 우수하다. 또한, 본 발명의 금속층(다중 금속층)은 각각의 원자층을 순서대로 흡착하여 형성하므로 조성비의 조절이 용이하며 이에 따라 저항 및 전기 전도도를 매우 용이하게 조절할 수 있다. 또한, 본 발명의 원자층 증착법을 이용하여 형성되는 금속층을 반도체 소자의 장벽금속층, 하부 전극 또는 상부 전극에 채용할 수 있다.
이하, 첨부 도면을 참조로 하여 본 발명의 바람직한 실시예를 설명한다.
본 발명의 제1 태양에 따른 원자층 증착법을 이용한 금속층 형성방법
먼저, 원자층 증착법을 간단히 설명한다. 반도체 소자 제조시 원자층 증착법 (Atomic Layer Deposition)이란 반응물질을 챔버 내로 순차적으로 주입하고 제거하는 방식으로 반도체 기판 상에 복수의 원자층들을 순차적으로 증착하는 방법이다. 이러한 원자층 증착법은 화학기상증착법(CVD)처럼 화학반응을 사용하는 증착법이지만 각각의 가스를 동시에 주입하여 챔버 내에서 혼합되지 않고 한 종류의 가스씩 펄스 형태로 흘려진다는 점에서 CVD와 구별된다. 예컨대, A와 B 가스를 사용하는 경우, 먼저 A 가스를 주입하여 기판 상에 A 가스 분자를 화학흡착(Chemisorption)시켜 A 원자층을 형성한다. 챔버에 잔류한 A 가스는 아르곤이나 질소와 같은 불활성가스로 퍼지한다. 이후 B 가스를 주입하여 화학흡착시킴으로써 A 원자층 상에 B 원자층을 형성한다. 상기 A 원자층과 B 원자층사이의 반응은 화학흡착된 A 원자층의 표면에서만 일어난다. 이 때문에 어떠한 몰포로지를 가진 표면이라 해도 탁월한 스텝커버리지를 획득할 수 있다. A 및 B의 반응 후 챔버에 잔존하는 B 가스 및 반응부산물을 퍼지시킨다. 상기의 A 또는 B 가스를 유입시켜 원자층 증착을 반복함으로써 박막의 두께를 원자층 단위로 조절할 수 있게 된다.
다음에, 본 발명의 제1 태양에 따라 형성된 원자층 증착법으로 금속층을 형성하는 방법을 설명한다. 상기 금속층은 복수의 원자층들이 적층되어 있는 A-B-N 구조이다. 여기서, 상기 A는 반응성 금속이며, 상기 N은 질소, 및 상기 B는 비정질결합용 원소(B)이다. 상기 반응성 금속(A)은 전이금속(transition metal)으로써 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 상기 비정질 결합용 원소(B)는 상기 반응성 금속을 구성하는 원소를 이용할 수 도 있다. 상기 비정질 결합용 원소(B)는 상기 반응성금속과 질소의 결합을 방해하여 상기 금속층을 비정질로 형성하는 역할을 한다. 또한, 상기 비정질 결합용 원소는 후속의 열처리공정에서 A-B-N 구조의 금속층이 결정화되는 것을 막아주는 역할을 한다.
본 발명의 금속층의 대표적인 예로서 TiAlN막을 들 수 있다. 상기 TiAlN막을 형성시 Ti의 소스가스로서 TiCl4, TDMAT(Tetrakis DeMethyl Amino Titanium), TDEAT(Tetrakis DeEthyl Amino Titanium) 중에서 선택된 어느 하나를 사용한다. Al의 소스가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum), AlClx 중에서 선택된 어느 하나를 사용한다. 질소(N)의 소스가스로는 N2, NH3중에서 선택된 어느 하나를 사용한다.
상기 TiAlN 막을 형성하는 경우, 먼저, 반도체 기판을 원자층 증착 챔버 내에 로딩한다. 이어서, 가스 공급기로부터 가스 공급관을 통하여 원자층 증착챔버 내로 상기 금속층을 구성하는 세가지 원소, 즉, 반응성 금속(A), 질소(N), 및 알루미늄(Al)의 소스가스들을 공급한다. 각 소스가스의 공급시 각 소스가스들은 펄스형태로 서로 교번하면서 주입됨으로써 반도체 기판 상에 Ti, N, Al 원자층을 적층하여 형성한다. 상기 각 소스가스의 주입순서 및 주입회수를 적절히 결정함으로써Ti, N, Al로 구성된 금속층의 조성비를 적절히 조정한다. 특히, 상기 비정질 결합용 원소, 예컨대 Al에 의한 원자층의 적층회수의 비율에 따라 상기 금속층의 조성비를 조절할 수 있고, 이에 따라 전기전도도 및 저항을 결정할 수 있다. 이하에서 Ti, N, Al의 조성의 조절의 예를 설명한다.
도 1a 및 도 1b는 본 발명의 제1 태양에 따른 금속층의 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 일 예를 나타내는 그래프들이다.
도 1a 및 1b를 참조하면, TiCl4, TMA, NH3을 TiCl4- TMA - TiCl4- NH3의 싸이클로 반복하면서 주입함으로써, Ti가 풍부한 TiAlN층을 형성한다. 증착시 기판온도는 300∼700℃가 바람직하고, 챔버 내 압력은 0.1∼10 Torr, 상기 소스가스가 주입되는 펄스 온 시간은 0.1∼10 초가 적당하다.
도 1a에서는 상기 소스가스의 주입 중에 퍼지가스를 온오프없이 연속적으로 계속 주입하여 퍼지작업을 수행하는 경우이고, 도 1b에서는 상기 소스가스의 주입 펄스 사이에 퍼지가스를 펄스로 주입하여 퍼지작업을 수행한다. 이 때 퍼지가스로는 Ar, N2, He, H2등의 가스가 사용된다.
도 2a 및 도 2b는 본 발명의 제2 태양에 따른 금속층의 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 다른 예를 나타내는 그래프들이다.
도 2a 및 2b를 참조하면, TiCl4, TMA, NH3을 TiCl4- NH3- TMA - NH3의 싸이클로 반복하면서 주입함으로써 Al이 풍부한 TiAlN층을 형성한다. 상기 증착시 챔버 조건은 도 1a 및 도 1b와 동일하다. 즉, 기판온도는 300∼700℃가 바람직하고, 챔버 내 압력은 0.1∼10 Torr, 상기 소스가스가 주입되는 펄스 온 시간은 0.1∼10 초가 적당하다.
도 2a에서는 상기 소스가스의 주입 중에 퍼지가스를 온오프없이 연속적으로 계속 주입하여 퍼지작업을 수행하는 경우이고, 도 2b에서는 상기 소스가스의 주입 펄스 사이에 퍼지가스에 의한 퍼지작업을 수행한다. 이 때 퍼지가스로는 Ar, N2, He, H2 등의 가스가 사용된다.
상기한 조성의 조절방법을 이용하여 각 소스가스의 주입회수를 적절히 조절함으로써 각 원자층의 증착비를 적절히 조절할 수 있으며, 각 원자층은 그 증착비에 따라 아래의 표 1에 나타난 바와 같이 전기전도도 및 저항이 서로 다르게 나타난다.
시료 1 시료 2 시료 3
Ti 35% 24% 21%
Al 15% 26% 31%
N 30% 35% 35%
C 17% 10% 8%
Cl 3% 3% 3%
Ti:Al 1:0.43 1:1.1 1:1.48
비저항ρ(μΩ-cm) 589 3701 9161
상기의 표 1을 참조하면, TiAlN층의 조성에서 Ti에 비한 Al의 함량이 증가함에 따라 TiAlN층의 비저항이 증가함을 알 수 있다. 비저항(ρ)은 전기전도도의 역수에 비례하므로, 비저항이 증가하면 전기전도도는 감소하게 된다. 따라서 TiAlN층의 용도에 따라 적절한 전기전도도 및 저항값을 가지도록 적절히 형성할 수 있다.
도 3은 TiAlN층의 조성에서 TiN+AlN에 대한 AlN의 조성비가 증가함에 따른 TiAlN층의 비저항값의 변화를 나타내는 그래프이다.
도 3을 참조하면, TiAlN층의 조성에서 Al의 함량이 증가함에 따라 TiAlN층의 비저항이 증가함을 알 수 있다. 커패시터의 전극 또는 하부전극과 폴리실리콘층 사이의 장벽금속층으로 사용되는 경우 비저항값은 소자의 패턴 제작에 따라 달라질 수 있으나 보통 300∼10000μΩ-cm 정도가 적당하며, 이에 따라 TiAlN층에서의 Ti에 대한 Al의 함량은 10∼35% 정도가 바람직하다.
도 4는 본 발명에 따라 형성된 TiAlN막의 XRD 결과를 나타내는 그래프이고,도 5는 종래의 TiN막 및 본 발명에 의한 TiAlN막의 표면 SEM 사진들이다.
구체적으로, 도 4의 상측 그래프는 Ti가 풍부한 TiAlN막의 XRD 결과이며, 하측 그래프는 Al이 풍부한 TiAlN막의 XRD 결과이다. 도 4에 도시된 바와 같이 본 발명의 제1 태양에 의하여 형성된 TiAlN막은 미미하게 TiAlN 피크가 관찰되나 전체적으로 비정질상태 임을 알 수 있다. 그리고, 도 5에 도시된 바와 같이 본 발명의 TiAlN막은 TiN 막에 비하여 매우 평탄한 표면을 가진다.
도 6은 본 발명에 따른 TiAlN막의 내열성과 내산화성을 실험하기 위하여 산소분위기에서 열처리된 TiAlN막의 Rs변화를 나타낸 그래프이다.
도 6을 참조하면, 본 발명에 따른 TiAlN막의 내열성과 내산화성을 실험하기 위하여 산소분위기에서 열처리된 TiAlN막의 Rs변화이다. 0.1Torr의 산소분위기에서 600℃ 30분간 열처리된 250Å의 TiAlN막의 Rs는 아무 처리도 되지 않은 상태의 TiAlN막의 Rs와 비교하여 변하지 않는다. 따라서 우수한 내열성 및 내산화성을 가진다는 것을 알 수 있다. 이렇게 TiAlN막이 내열성 및 내산화성이 좋은 이유는 열처리시 TiAlN막 내의 Al이 표면으로 이동하여 TiAlN막 표면상에 산화막, 즉 Al2O3막을 형성하여 산소의 확산을 방지하기 때문이다.
상기한 바와 같이 TiAlN막은 내열성 및 내산화성이 높으며, 각각의 원자층을 증착하여 형성하므로 매우 콤팩트한 영역에서도 스텝커버리지가 우수하고, 각각의 원자층을 순서대로 흡착하여 형성하므로 CVD법에 비하여 조성비의 조절이 용이하며 조성의 재현성이 뛰어난 특성을 가진다.
이하에, 상기한 바와 같은 원자층 증착법을 이용하여 형성된 금속층을 장벽금속층으로 구비한 트렌치형 커패시터 및 그 제조방법, 상기 금속층을 상부전극으로 구비한 실린더형 커패시터 및 그 제조방법, 및 상기 금속층을 하부전극으로 구비한 트렌치형 커패시터 및 그 제조방법을 실시예 1 내지 3에서 상세히 설명한다.
실시예 1
본 실시예 1에서는 도 7a 내지 7d를 참조로 하여, 원자층 증착법을 이용하여 형성된 금속층을 장벽금속층으로 채용한 트렌치형 커패시터에 관하여 설명한다.
도 7a를 참조하면, 반도체 기판(104) 상에 실리콘 산화막(SiO2)으로 구성된 절연층(210)을 형성한다. 이어서, 상기 절연층(210)을 사진식각하여 콘택홀을 형성한다.
도 7b를 참조하면, 트렌치형 커패시터를 형성하기 위하여 상기 콘택홀의 내부는 필요에 따라, 예컨대 필요한 커패시턴스에 따라, 매립하지 않을 수도 있고 소정의 깊이까지 일부분 매립할 수도 있다. 일부분 매립시 콘택홀 내에 폴리실리콘을 매립한 다음 습식식각 또는 화학 기계적 연마와 결합된 습식식각을 수행하여 콘택홀의 하부에 소정의 높이까지만 폴리실리콘막(212)을 남긴다.
도 7c를 참조하면, 상기 폴리실리콘막(212)을 포함한 절연층(210) 상부에 내열성 및 내산화성이 향상된 장벽금속층(214)을 형성한다. 상기 장벽금속층(214)은 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 도전층이다. 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 상기 비정질 결합용 원소는 상기 반응성금속과 질소의 결합을 방해하여 상기 금속층을 비정질로 형성하는 역할을 한다.
예를 들어, 상기 장벽 금속층은 반응성 금속(Ti), 질소(N), 및 비정질 결합용 원소(Al)의 각 소스가스들, TiCl4, TMA, NH3을 펄스형태로 공급하여 상기 폴리실리콘막(212) 상에 상기 소스가스들을 화학적으로 흡착시켜 복수의 원자층으로 구성된 TiAlN층을 구성한다. 이 TiAlN층은 각 소스가스들이 서로 교번되면서 공급되도록 순서가 결정되어 각 대응 원자층이 서로 교대로 배열되는 구성을 가진다. 상기 TiAlN층은 상술한 바와 같이 내열성 및 내산화성이 좋다. 그리고, 상기 각 소스가스의 주입회수를 적절히 조절하는 것에 의하여 상기 장벽금속층(214)을 구성하는 Ti, Al, 및 N의 각 조성비를 적절히 결정한다. 상기 각 조성비를 적절히 결정함에 의하여 필요한 전기전도도 및 저항을 적절히 결정할 수 있는 구성을 가진다.
조성의 조절의 예는 도 1a, 1b, 2a, 2b를 참조로 하여 상기에서 설명한 예들이 적용될 수 있고, 원자층의 증착시 증착조건도 동일하기 적용될 수 있다. 퍼지작업도, 도 1a 및 도 2a 에서 처럼 상기 소스가스의 주입 중에 퍼지가스를 온오프없이 연속적으로 계속 주입하여 퍼지작업을 수행할 수 있고, 도 1b 및 도 2b에서 처럼 상기 소스가스의 주입 펄스 사이에 퍼지가스에 의한 퍼지작업을 수행할 수 있다. 이 때 퍼지가스로는 Ar, N2, He, H2등의 가스가 사용된다.
상기 폴리실리콘막(212)을 포함한 절연층(210) 상부에 TiAlN층을 형성한 후, 상기 콘택홀 외부에 증착된 금속층 부분을 습식식각이나 화학 기계적 연마로 에치백하여 제거함으로써 콘택홀 내부에만 형성된 장벽금속층(214)을 완성한다. 본 발명의 원자층 증착법을 이용한 장벽금속층(214)은 종래와 다르게 두께를 두껍게 할 수 있으며 두께의 조절이 자유롭고, 조성의 조절이 정확하고 용이하다. 장벽금속층의 비저항값은 300∼10000 μΩ-cm 정도가 적당하며, 이에 따라 TiAlN층에서의 Ti에 대한 Al의 함량은 10∼35% 정도가 되도록 적절히 Al의 조성을 조절한다.
도 7d를 참조하면, 상기 결과물의 상부에 Cu, Al, W 등과 같은 금속으로 구성된 실린더형 하부전극(216)을 형성한다. 하부전극(216)이 Cu와 같이 확산이 쉬운 금속일 경우에는 상기한 바와 같은 장벽금속층(214)은 확산방지에 우수한 특성을 보인다.
다음, 유전율이 큰 탄탈륨산화막(Ta2O5) 또는 PZT((Pb,Zr)TiO3), BST((Ba,Sr)TiO3), STO(SrTiO3) 등과 같은 강유전체를 사용하여, 상기 트렌치형 하부전극층(216)을 덮는 유전막(218)을 형성하고, 그 상부에 상부전극(220)을 차례로 형성한다.
실시예 2
본 실시예 2에서는 도 8a 내지 도 8e를 참조로 하여, 원자층 증착법을 이용하여 형성된 금속층을 상부전극으로 채용한 실린더형 커패시터에 관하여 설명한다.
도 8a를 참조하면, 반도체기판(104) 상에 실리콘 산화막(SiO2)으로 구성된 절연층(210)을 형성한다. 이어서, 상기 절연층(210) 내에 사진식각공정을 이용하여 콘택홀을 형성한다.
도 8b를 참조하면, 상기 콘택홀(212)의 내부를 채우는 도전성 물질로 매립하여 플러그(212)를 형성한다. 예를 들면 도핑된 폴리실리콘으로 매립하여 폴리플러그를 형성할 수 있다.
도 8c를 참조하면, 상기 플러그(212)를 포함한 절연층 상부에 포토레지스트 패턴(미도시)을 이용하여 Al, W 등과 같은 금속으로 구성된 실린더형 하부전극(214)을 형성한다. 상기 실린더형 하부전극(214) 및 폴리플러그(212) 사이에는 후속하는 열처리 공정시 폴리 플러그의 산화를 방지하기 위하여 TiN, TaN 등을 이용하여 장벽금속층(216)을 형성한다. 그리고, 하부전극(214)이 Cu와 같이 확산이 쉬운 금속일 경우에는 삼원계 금속층, 예컨대 TiSiN, TaSiN, TiAlN 등으로 된 장벽금속층(114)은 확산방지에 우수한 특성을 보인다.
도 8d를 참조하면, 유전율이 큰 탄탈륨산화막(Ta2O5) 또는 PZT((Pb,Zr)TiO3), BST((Ba,Sr)TiO3), STO(SrTiO3) 등과 같은 강유전체를 사용하여, 상기 실린더형 하부전극(214)을 덮는 실린더형의 유전막(218)을 형성한다.
도 8e를 참조하면, 상기 유전막(218) 상부에 상부전극(220)을 형성한다. 상기 상부전극(220)은 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 도전층이다. 상기 상부전극(220)의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정되고, 상기 각 원자층 중 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상부전극의 전기전도도 및 저항을 적절히 결정할 수 있다. 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo, Nb를 포함한다. 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si, B를 포함한다.
본 실시예에서는 상기 상부전극(220)을 TiAlN 층으로 형성한다. 상기 금속층이 TiAlN층인 경우, Ti 소스가스는 TiCl4, TDMAT(Tetrakis DeMethyl Amino Titanium), TDEAT(Tetrakis DeEthyl Amino Titanium) 중에서, Al 소스가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum), AlClx 중에서, 그리고 질소(N)의 소스가스로는 N2, NH3중에서 선택된 어느 하나를 사용할 수 있다.
구체적으로, 상기 상부전극(220)은 다음과 같은 방법에 의하여 형성된다.
원자층 증착챔버 내로의 상기 삼원계 질소화물의 각 소스가스의 공급시 각 소스가스들은 펄스형태로 서로 교번하면서 주입됨으로써 반도체 기판(104) 상의 고유전막(218) 상부에 각 원소층을 적층하여 형성한다.
상기 상부전극(220)으로서 TiAlN층을 구성하기 위하여 반응성 금속(Ti), 질소(N), 및 비정질 결합용 원소(Al)의 각 소스가스들, TiCl4, TMA, NH3을 펄스형태로공급하여 상기 고유전막(218) 상에 상기 소스가스들을 화학적으로 흡착시켜 복수의 원자층을 구성한다. 또한 상기 상부전극(220)은, 각 소스가스들이 서로 교번되면서 공급되도록 순서가 결정되어 각 대응 원자층이 서로 교대로 배열되는 구성을 가진다. 상기 각 소스가스의 주입회수를 적절히 조절하는 것에 의하여 상기 상부전극(220)을 구성하는 Ti, Al, 및 N의 각 조성비를 적절히 결정하고, 상기 각 조성비를 적절히 결정함에 의하여 필요한 전기전도도 및 저항을 적절히 결정할 수 있는 구성을 가진다.
조성의 조절의 예는 도 1a, 1b, 2a, 2b를 참조로 하여 상기에서 설명한 예들이 적용될 수 있고, 원자층의 증착시 증착조건도 동일하기 적용될 수 있다.
퍼지작업도, 도 1a 및 도 2a 에서 처럼 상기 소스가스의 주입 중에 퍼지가스를 온오프없이 연속적으로 계속 주입하여 퍼지작업을 수행할 수 있고, 도 1b 및 도 2b에서 처럼 상기 소스가스의 주입 펄스 사이에 퍼지가스에 의한 퍼지작업을 수행할 수 있다. 이 때 퍼지가스로는 Ar, N2, He, H2등의 가스가 사용된다.
본 실시예에서의 실린더형 커패시터의 경우에서와 같이 복잡한 구성의 상부전극을 증착할 때에도 상부전극의 스텝커버리지가 매우 우수하여 유전율이 높고 전기적 신뢰성이 우수한 커패시터를 제조할 수 있다.
상술한 바와 같이 본 실시예에서는 상부전극 형성시 각각의 원자층을 순서대로 흡착하여 형성하므로 CVD법에 비하여 조성비의 조절이 용이하며 조성의 재현성이 뛰어나다. 즉, 상부전극을 구성하는 각 소스가스들의 펄스 주입순서 및 회수를 조절하는 것만에 의하여 상부전극의 조성을 용이하게 조절할 수 있게 됨으로써, 상부전극의 전기전도도 및 저항을 필요에 따라 매우 용이하게 조절할 수 있다. 또한, 각 소스가스들의 펄스 주입순서 및 회수를 조절하는 것만에 의하여 조성을 조절할 수 있게 되므로, 조성의 재현성이 뛰어난 특성을 가진다.
실시예 3
본 실시예 3에서는 도 9a 내지 9e를 참조로 하여, 원자층 증착법을 이용하여 형성된 금속층으로 하부전극으로 채용한 트렌치형 커패시터에 관하여 설명한다.
도 9a를 참조하면, 반도체기판(104) 상에 실리콘 산화막(SiO2)으로 구성된 절연층(310)을 형성한다. 이어서, 상기 절연층(310) 내에 사진식각공정을 이용하여 콘택홀을 형성한다.
도 9b를 참조하면, 트렌치형 커패시터를 형성하기 위하여 상기 콘택홀의 내부는 필요에 따라, 예컨대 필요한 커패시턴스에 따라, 매립하지 않을 수도 있고 소정의 깊이까지 일부분 매립할 수도 있다. 일부분 매립시 콘택홀 내에 폴리실리콘을 매립한 다음 습식식각 또는 화학 기계적 연마와 결합된 습식식각을 수행하여 콘택홀의 하부에 소정의 높이까지만 폴리실리콘막(312)을 남긴다.
도 9c를 참조하면, 상기 폴리실리콘막(312)을 포함한 절연층 상부에 하부전극(314)을 형성한다. 상기 하부전극(314)은 실시예 1의 상부전극(220)과 유사한 방법으로 형성된다.
즉, 상기 하부전극(314)은, 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 도전층이다. 상기 하부전극(312)의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정되고, 상기 각 원자층 중 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상부전극의 전기전도도 및 저항을 적절히 결정할 수 있다.
상기 반응성 금속(A) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)를 구성하는 물질의 예도 실시예 2의 경우와 마찬가지이다.
본 실시예에서, 상기 하부전극(314)은 실시예 2의 상부전극(220)과 마찬가지로 TiAlN 층으로 형성하고, 소스가스도 Ti 소스가스는 TiCl4, TDMAT(Tetrakis DeMethyl Amino Titanium), TDEAT(Tetrakis DeEthyl Amino Titanium) 중에서, Al 소스가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum), AlClx 중에서, 그리고 질소(N)의 소스가스로는 N2, NH3중에서 선택된 어느 하나를 사용한다.
상기 하부전극(314)은 다음과 같은 방법에 의하여 형성된다. 하부전극(314)은 상기 실시예 2의 상부전극(220)의 형성방법과 유사하다.
상기 하부전극(314)으로서 TiAlN층을 구성하기 위하여 반응성 금속(Ti), 질소(N), 및 비정질 결합용 원소(Al)의 각 소스가스들, TiCl4, TMA, NH3을 펄스형태로 공급하여 상기 고유전막(218) 상에 상기 소스가스들을 화학적으로 흡착시켜 복수의 원자층을 구성한다.
또한 상기 하부전극(314)은, 각 소스가스들이 서로 교번되면서 공급되도록순서가 결정되어 각 대응 원자층이 서로 교대로 배열되는 구성을 가진다. 상기 각 소스가스의 주입회수를 적절히 조절하는 것에 의하여 상기 하부전극(314)을 구성하는 Ti, Al, 및 N의 각 조성비를 적절히 결정하고, 상기 각 조성비를 적절히 결정함에 의하여 필요한 전기전도도 및 저항을 적절히 결정할 수 있는 구성을 가진다.
조성의 조절의 예는 도 1a, 1b, 2a, 2b를 참조로 하여 상기에서 설명한 예들이 적용될 수 있고, 원자층의 증착시 증착조건도 동일하기 적용될 수 있다.
퍼지작업도, 도 1a 및 도 2a 에서 처럼 상기 소스가스의 주입 중에 퍼지가스를 온오프없이 연속적으로 계속 주입하여 퍼지작업을 수행할 수 있고, 도 1b 및 도 2b에서 처럼 상기 소스가스의 주입 펄스 사이에 퍼지가스에 의한 퍼지작업을 수행할 수 있다. 이 때 퍼지가스로는 Ar, N2, He, H2등의 가스가 사용된다.
상기한 바와 같이 소정의 회수의 원자층을 증착한 후, 포토레지스트 패턴 등과 같은 마스크를 이용하여 필요한 패턴의 하부전극(314)을 형성한다.
도 9d를 참조하면, 유전율이 큰 탄탈륨산화막(Ta2O5) 또는 PZT((Pb,Zr)TiO3), BST((Ba,Sr)TiO3), STO(SrTiO3) 등과 같은 강유전체를 사용하여, 상기 트렌치형 하부전극(314)을 덮는 트렌치형의 유전막(318)을 형성한다.
도 9e를 참조하면, 상기 고유전막(318) 상부에 상부전극(320)을 형성한다. 상기 상부전극(320)은 열처리 공정시 하부의 고유전막(318)에 의한 산화를 방지하기 위하여 TiN, TaN 등을 이용하여 장벽층의 역할을 하는 금속층(320a)을 형성하고, 그 상부에 폴리실리콘층(320b)을 형성한다.
선택적으로, 상기 상부전극(320)은, 상기 금속층(320a) 및 폴리실리콘층(320b)으로 형성하는 대신에, 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)로 구성된 금속층, 예컨대 TiAlN층으로 형성할 수 있다. 이 때, 트렌치형의 고유전막(318)의 스텝커버리지를 우수하게 하기 위하여 상부전극(320) 형성시 상기 하부전극(314) 형성시와 마찬가지로 원자층 증착법을 이용하여 형성하는 것도 바람직하다.
상기한 바와 같이 하부전극(314)을 원자층 증착법에 의하여 형성된 TiAlN 층으로 구성하면, 상기 TiAlN층이 내열성 및 내산화성이 높으므로 장벽금속층으로서의 역할을 충분히 하므로 하부전극(314) 아래에 접하는 폴리실리콘층(312) 또는 실리콘 기판(104)과의 사이에 별도의 장벽층을 형성할 필요가 없으므로 공정이 단순화된다.
또한 하부전극(314) 형성시 각각의 원자층을 증착하여 형성하므로 매우 콤팩트한 영역에서도 스텝커버리지가 우수하다. 따라서, 본 실시예에서의 트렌치형 커패시터의 경우에서와 같이 종횡비가 높은 복잡한 구성의 하부전극을 증착할 때에도 하부전극의 스텝커버리지가 매우 우수하여 유전율이 높고 전기적 신뢰성이 우수한 커패시터를 제조할 수 있다.
또한, 하부전극 형성시 각각의 원자층을 순서대로 흡착하여 형성하므로 CVD법에 비하여 조성비의 조절이 용이하며 조성의 재현성이 뛰어나다. 즉, 상부전극을 구성하는 각 소스가스들의 펄스 주입순서 및 회수를 조절하는 것만에 의하여 상부전극의 조성을 용이하게 조절할 수 있게 됨으로써, 상부전극의 전기전도도 및 저항을 필요에 따라 매우 용이하게 조절할 수 있다. 또한, 각 소스가스들의 펄스 주입순서 및 회수를 조절하는 것만에 의하여 조성을 조절할 수 있게 되므로, 조성의 재현성이 뛰어난 특성을 가진다.
본 발명의 제2 태양에 따른 원자층 증착법을 이용한 금속층 형성방법
도 10은 본 발명의 제2 태양에 따른 원자층 증착법을 이용한 금속층 형성방법을 설명하기 위한 단면도이고, 도 11은 도 10의 내산화층 형성시 원자층 증착을 위한 소스가스들 및 퍼지가스의 주입방법의 예를 나타내는 그래프이다.
도 10을 참조하면, 본 발명의 제2 태양에 따른 원자층 증착법을 이용한 금속층은 다중 금속층(405)이다. 상기 다중 금속층(405)은 금속층(401)과 산소 확산 방지층(403)이 복수회 적층된 2층 구조로 구성된다. 상기 금속층(401)과 산소 확산 방지층(403)은 원자층 증착 장비를 이용하여 인시츄로 형성한다. 상기 산소 확산 방지층(403)은 전자의 흐름이 방해되지 않도록 얇은 두께, 예컨대 5-15Å의 두께로 형성한다.
구체적으로, 상기 금속층(401)은 제1 태양의 금속층 형성방법에서 설명한 바와 동일하게 형성된다. 다시 말하면, 상기 금속층(401)은 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 A-B-N 구조로 형성한다. 즉, 상기 금속층(401)은 반도체 기판(도시 안함) 상에 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)의 각 소스가스를 펄스형태로 서로 교번되도록 순서를 정하여 공급하고, 조성비를 조절하게끔 상기 각 소스가스의 주입회수를 조절하면서 공급하여 상기 반도체 기판 상에 화학흡착시킴으로써 A-B-N 구조로 형성된다.
상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 상기 금속층(401)의 형성시 공정 조건, 예컨대 증착 온도, 소스 가스 등은 제1 태양의 금속층 형성 방법과 동일하게 하게 조절한다. 본 태양에서는 상기 금속층(401)을 TiAlN층으로 형성한다.
다음에, 상기 금속층(401) 상에는 원자층 증착법을 이용하여 산소 확산 방지층(403)을 형성한다. 상기 산소 확산 방지층(403)은 외부로부터 확산하는 산소의 침투를 방지할 수 있다. 상기 산소 확산 방지층(403)은 상기 금속 원소, 예컨대 알루미늄 소스 및 산소 소스를 도 11과 같이 펄스 형태로 서로 교번되도록 공급하여 상기 금속층(401) 상에 산소 확산 방지층을 형성한다. 본 태양에서는 상기 산소 확산 방지층으로 알루미늄 산화막을 이용한다. 상기 알루미늄 산화막 형성시 알루미늄 소스 가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum) 또는 AlClx를 이용하며, 상기 산소 소스 가스로는 O2, N2O를 이용하고, 퍼지 가스로는 아르곤, 질소 또는 헬륨 가스를 이용한다.
이렇게 형성되는 다중 금속층(405)은 제1 태양에서 설명한 바와 같이 내열성 및 내산화성이 좋은 금속층(401) 내부(금속층과 금속층 사이)에 산소 확산 방지층(403)이 추가로 형성되어 내열성 및 내산화성을 더욱더 향상시킬 수 있다. 다시 말하면, 제1 태양에 의한 금속층은 표면에서 산화층이 형성되어 산소의 확산을 방지하나, 제2 태양에 의한 다중 금속층(405)은 표면 및 내부에 산소 확산 방지층(403)이 형성되어 산소의 확산을 확실하게 방지한다.
본 발명의 제3 태양에 따른 원자층 증착법을 이용한 금속층 형성방법
도 12 및 도 13은 본 발명의 제3 태양에 따른 원자층 증착법을 이용한 금속층 형성방법을 설명하기 위한 단면도이다.
먼저, 본 발명의 제3 태양에 따른 원자층 증착법을 이용한 금속층은 도 13에 도시된 바와 같이 다중 금속층(507)이다. 다만, 제3 태양에 따른 다중 금속층(507)은 제2 태양과 비교하여 금속층(501), 산소 확산 방지층(503) 및 물질층(505)의 3층 구조가 복수회 적층되어 있고, 산소 확산 방지층(503)을 후술하는 바와 같이 열처리에 의하여 자연적으로 형성한다.
다음에, 본 발명의 제3 태양에 따른 원자층 증착법을 이용한 금속층 형성방법을 설명한다.
도 12를 참조하면, 기판(도시 안함) 상에 금속층(501)을 형성한다. 상기 금속층(501)은 제1 태양의 금속층 형성방법에서 설명한 바와 동일하게 형성된다. 다시 말하면, 상기 금속층(501)은 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 A-B-N 구조로 형성한다. 상기 반응성 금속(A)은 제1 태양과 동일하게 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 상기 금속층(501)의 형성시 공정 조건, 예컨대 증착 온도, 소스 가스 등은 제1 태양 및 제2 태양의 금속층 형성 방법과 동일하게 하게 조절한다. 본 태양에서는 상기 금속층(501)을 TiAlN층으로 형성한다.
다음에, 상기 금속층(501) 상에 산소가 포함된 물질층(505)을 형성한다. 상기 물질층(505)은 원자층 증착법을 이용하여 형성하는데, 본 태양에서는 TiON막으로 형성한다. 즉, 원자층 증착 챔버에 타이타늄, 산소 및 질소의 각 소스가스를 펄스형태로 서로 교번되도록 순서를 정하여 공급함으로써 상기 TiON막을 형성한다.
도 13을 참조하면, 상기 금속층(501) 및 물질층(505)이 형성된 반도체 기판을 열처리하여 상기 금속층(501)과 물질층(505) 사이에 산소 확산 방지층(503)을 형성한다. 상기 산소 확산 방지층(503)은 상기 금속층(501)의 금속 물질과 물질층(505)의 산소가 반응하여 형성된다. 예컨대, 상기 금속층(501)을 TiAlN막으로 형성하고 상기 물질층(505)을 TiON막으로 형성할 경우, 상기 금속층(501)의 알루미늄이 표면으로 이동하여 상기 물질층(505)의 산소와 반응하여 알루미늄 산화막으로 산소 확산 방지층(503)이 형성된다. 결과적으로, 제3 태양에 따른 다중 금속층(507)은 금속층(501), 산소 확산 방지층(503) 및 물질층(507)의 3층 구조가 복수회 적층된다.
이렇게 형성되는 다중 금속층은 제1 태양에서 설명한 바와 같이 내열성 및 내산화성이 좋은 금속층(501) 내(금속층과 금속층 사이)에 산소 확산 방지층(503)이 추가로 형성되어 내열성 및 내산화성을 더욱더 향상시킬 수 있다.
이하에, 본 발명의 제2 및 제3 태양에 따라 원자층 증착법을 이용하여 형성된 금속층을 장벽금속층으로 구비한 반도체 소자의 제조방법을 도 14 및 도 15를 이용하여 상세히 설명한다.
도 14를 참조하면, 반도체 기판(601) 상에 실리콘 산화막(SiO2)으로 구성된절연층(603)을 형성한다. 이어서, 상기 절연층(603) 내에 사진식각공정을 이용하여 콘택홀을 형성한다. 계속하여, 상기 콘택홀의 내부의 일정 높이까지 폴리실리콘막으로 도전성 물질막인 플러그(605)를 형성한다. 상기 플러그(605)는 폴리실리콘을 매립한 다음 습식식각 또는 화학 기계적 연마와 결합된 습식식각을 수행하여 콘택홀의 하부에 소정의 높이까지만 폴리실리콘막을 남김으로써 형성할 수 있다.
도 15를 참조하면, 상기 플러그(605)가 형성된 반도체 기판(601)의 전면에 금속층을 형성한 후 에치백 또는 화학기계적연마하여 상기 콘택홀을 메우는 장벽 금속층(607)을 형성한다. 상기 장벽 금속층(607)은 본 발명의 제2 태양 및 제3 태양에 의한 금속층 형성 방법으로 형성한다. 즉, 상기 장벽 금속층(607)은 원자층 증착법을 이용하여 반응성 금속(A)-비정질 결합용 원소(B)- 질소(N) 구조의 금속층과 5∼15Å 두께의 산소 확산 방지층이 복수회, 예컨대 3-10회 적층된 2층 구조의 다중 금속층으로 형성하거나, A-B-N 구조의 금속층, 산소 확산 방지층 및 물질층의 3층 구조가 복수회, 예컨대 3-10회 적층된 다중 금속층으로 형성한다.
상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo, Nb 등이 사용되고, 상기 비정질 결합용 원소(B)는 Al, Si, B 등이 사용된다. 본 실시예에서는 상기 금속층을 TiAlN층으로 형성하여, 50∼500Å의 두께로 형성한다. 이렇게 장벽 금속층(607)을 다중 금속층으로 형성할 경우, 후속하는 열처리 공정시 플러그의 산화를 더욱 확실하게 방지할 수 있다.
다음에, 상기 장벽 금속층(607)이 형성된 반도체 기판(601) 상에 하부 전극(609)을 형성한다. 상기 하부 전극(609)은 백금(Pt), 루테늄(Ru), 이리듐(Ir)이나, 산화 루테늄(RuO2), 산화 이리듐(IrO2)을 이용하여 형성한다. 상기 하부 전극(609) 상에 유전율이 큰 탄탈륨산화막(Ta2O5) 또는 PZT((Pb,Zr)TiO3), BST((Ba,Sr)TiO3), STO(SrTiO3) 등과 같은 강유전체를 사용하여 유전막(611)을 형성한다. 계속하여, 상기 유전막(611) 상부에 상부전극(613)을 형성한다. 상기 상부 전극(613)은 상기 하부 전극(609)과 동일한 물질로 형성할 수도 있다.
상술한 바와 같이 본 발명의 원자층 증착법을 이용하여 형성되는 금속층 또는 다중 금속층은 내열성 및 내산화성이 높으며, 각각의 원자층을 증착하여 형성하므로 매우 콤팩트한 영역에서도 스텝커버리지가 우수하고, 각각의 원자층을 순서대로 흡착하여 형성하므로 CVD법에 비하여 조성비의 조절이 용이하며 조성의 재현성이 뛰어난 특성을 가진다.
또한, 본 발명의 원자층 증착법을 이용하여 형성되는 금속층 또는 다중 금속층은 소스가스의 주입회수를 적절히 결정하는 것만에 의하여 조성비를 원하는 상태로 적절히 조정할 수 있게 된다. 이에 따라, 금속층 또는 다중 금속층의 저항 및 전기 전도도를 매우 용이하게 조절할 수 있다.
또한, 본 발명의 원자층 증착법을 이용하여 형성되는 금속층 또는 다중 금속층을 반도체 소자의 장벽 금속층, 하부 전극 또는 상부 전극에 채용할 수 있다. 특히, 본 발명의 금속층 또는 다중 금속층을 장벽 금속층으로 채용할 경우 상술한 효과 외에 폴리실리콘 플러그의 산화를 방지할 수 있고, 하부 전극으로 채용할 경우는 하부 전극과 기판이 접하는 면에 별도의 장벽 금속층을 형성할 필요가 없어 제조공정이 단순해진다. 그리고, 본 발명의 금속층 또는 다중 금속층을 장벽 금속층으로 채용할 경우 상부전극의 조성을 용이하게 조절할 수 있고 이에 따라 전기전도도 및 저항을 용이하게 조절할 수 있다.

Claims (36)

  1. 반도체 기판 상에 반응성 금속(A), 질소(N), 및 상기 반응성 금속과 질소의 결정화를 방지하기 위한 알루미늄(B)의 각 소스가스들을 펄스 형태로 주입하여 상기 반도체 기판 상에 상기 소스가스들을 화학흡착시킴으로써 복수의 원자층들이 적층된 A-B-N 구조의 금속층 형성방법에 있어서,
    상기 각 소스가스들을 서로 교번되도록 순서를 정하여 주입함으로써 각 원자층이 서로 교대로 배열되도록 하고, 상기 각 소스가스의 주입회수를 조절하여 상기 금속층의 조성비를 결정함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  2. 제1항에 있어서, 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo 및 Nb로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  3. 제1항에 있어서, 상기 알루미늄의 소스 가스의 주입회수를 조절함으로써 상기 금속층의 전기전도도 및 저항을 결정함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  4. 제1항에 있어서, 상기 금속층이 TiAlN층인 경우, 상기 TiAlN층에서의 Ti에 대한 Al의 함량은 10∼35 %로 함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  5. 제1항에 있어서, 상기 반응성 금속(A)이 Ti인 경우, Ti의 소스가스는 TiCl4, TDMAT(Tetrakis DeMethyl Amino Titanium) 및 TDEAT(Tetrakis DeEthyl Amino Titanium)으로 이루어진 일군에서 선택된 어느 하나를 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  6. 제1항에 있어서, 상기 알루미늄(Al)의 소스가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum) 및 AlClx으로 이루어진 일군에서 선택된 어느 하나를 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  7. 제1항에 있어서, 상기 질소(N)의 소스가스로는 N2또는 NH3를 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  8. 제1항에 있어서, 상기 소스가스를 퍼지하기 위한 퍼지가스는 상기 금속층 형성시 처음부터 끝까지 연속적으로 주입됨을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  9. 제1항에 있어서, 상기 소스가스를 퍼지하기 위한 퍼지가스는 상기 금속층 형성시 각 소스가스가 주입되지 않는 시간에 주입되도록 펄스형으로 주입됨을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  10. 반도체기판 상에 콘택홀을 구비한 절연막; 상기 콘택홀의 저면 상에 형성된 도전성 물질막; 및 상기 콘택홀 내부의 도전성 물질막 상부에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 포함하는 커패시터를 구비한 반도체 소자에 있어서,
    상기 콘택홀 내부의 도전성 물질막과 상기 하부전극 사이에, 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 알루미늄(B)이 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 원자층들로 구성된 A-B-N 구조의 장벽금속층을 구비하며, 상기 장벽금속층의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정됨을 특징으로 하는 반도체 소자.
  11. 제10항에 있어서, 상기 반응성금속은 Ti, Ta, W, Zr, Hf, Mo 및 Nb로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 소자.
  12. 제10항에 있어서, 상기 알루미늄에 의한 원자층의 적층회수의 비율에 따라 상기 장벽금속층의 전기전도도 및 저항을 결정함을 특징으로 하는 반도체 소자.
  13. 제10항에 있어서, 상기 장벽금속층이 TiAlN인 경우 알루미늄(Al)의 조성비가 커질수록 장벽금속층의 비저항이 커짐을 특징으로 하는 반도체 소자.
  14. 제10항에 있어서, 상기 장벽금속층이 TiAlN인 경우 반응성금속에 대한 Al의 함량은 10∼35 % 임을 특징으로 하는 반도체 소자.
  15. 반도체기판 상의 물질층 상에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 구비한 커패시터를 포함하는 반도체 소자에 있어서,
    상기 하부 전극은 A-B-N 구조의 질소화합물을 구성하는 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 원자층들로 구성되며, 상기 하부전극의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정됨을 특징으로 하는 반도체 소자.
  16. 제15항에 있어서, 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo 및 Nb로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 소자.
  17. 제15항에 있어서, 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Si 또는 B인 것을 특징으로 하는 반도체 소자.
  18. 제15항에 있어서, 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al인 것을 특징으로 하는 반도체 소자.
  19. 제15항에 있어서, 상기 상부 전극은 A-B-N 구조의 질소화합물을 구성하는 반응성 금속(A), 질소(N), 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층된 상태로 배열된 원자층들로 구성되며, 상기 상부전극의 각 원자층의 조성비는 각 원자층의 적층회수에 의하여 결정됨을 특징으로 하는 반도체 소자.
  20. 제15항에 있어서, 상기 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상기 하부 전극의 전기전도도 및 저항을 결정함을 특징으로 하는 반도체 소자.
  21. 반도체 기판 상에 A-B-N 구조의 질소화합물을 구성하는 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)의 각 소스가스를 펄스형태로 서로 교번되도록 순서를 정하여 공급하고, 조성비를 조절하게끔 상기 각 소스가스의 주입회수를 조절하면서 공급하여 상기 반도체 기판 상에 화학흡착시킴으로써 A-B-N 구조의 금속층을 형성하는 단계; 및
    상기 금속층 상에 산소 확산 방지층을 형성하여 상기 금속층과 산소 확산 방지층이 각각 복수회 증착된 다중 금속층을 형성하는 단계를 포함하여 이루어지는 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  22. 제21항에 있어서, 상기 산소 확산 방지층은 상기 금속층이 형성된 반도체 기판 상에 금속 원소 및 산소의 소스 가스를 펄스 형태로 서로 교번되도록 공급하여 형성하는 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  23. 제21항에 있어서, 상기 산소 확산 방지층은 상기 금속층 상에 원자층 증착법으로 산소가 포함된 물질층을 형성하는 단계와, 상기 금속층 및 물질층이 형성된 반도체 기판을 열처리하는 단계를 포함하여 얻어지는 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  24. 제21항에 있어서, 상기 반응성 금속(A)은 Ti, Ta, W, Zr, Hf, Mo 및 Nb로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  25. 제21항에 있어서, 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si 및 B로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  26. 제21항에 있어서, 상기 비정질 결합용 원소의 소스 가스의 주입회수를 조절함으로써 상기 금속층의 전기전도도 및 저항을 결정함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  27. 제21항에 있어서, 상기 반응성 금속(A)이 Ti인 경우, Ti의 소스가스는 TiCl4, TDMAT(Tetrakis DeMethyl Amino Titanium) 및 TDEAT(Tetrakis DeEthyl Amino Titanium)으로 이루어진 일군에서 선택된 어느 하나를 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  28. 제21항에 있어서, 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)가 Al인 경우, 상기 Al의 소스가스는 TMA(TriMethyl Aluminum), TEA(TriEthyl Aluminum), TIBA(Tri-I-Buthyl Aluminum) 및 AlClx으로 이루어진 일군에서 선택된 어느 하나를 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  29. 제21항에 있어서, 상기 질소(N)의 소스가스로는 N2또는 NH3을 사용함을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  30. 제21항에 있어서, 상기 산소 확산 방지층은 알루미늄 산화막으로 형성하는 것을 특징으로 하는 원자층 증착법을 이용한 금속층 형성방법.
  31. 반도체기판 상에 콘택홀을 구비한 절연막; 상기 콘택홀의 저면 상에 형성된 도전성 물질막; 및 상기 콘택홀 내부의 도전성 물질막 상부에 형성된 하부전극, 상기 하부전극 상에 형성된 고유전막 및 상기 고유전막 상부에 형성된 상부전극을 포함하는 커패시터를 구비한 반도체 소자에 있어서,
    상기 콘택홀 내부의 도전성 물질막과 상기 하부전극 사이에, 반응성 금속(A), 질소(N) 및 상기 반응성 금속 및 질소의 결정화를 방지하기 위한 비정질결합용 원소(B)가 원자층 증착법에 의하여 서로 교대로 적층되고 적층 회수에 의하여 조성비가 결정되는 A-B-N 구조의 금속층과, 상기 금속층 상에 산소 확산 방지층이 형성되어 상기 금속층과 산소 확산 방지층이 각각 복수회 적층된 장벽 금속층이 형성되어 있는 것을 특징으로 하는 반도체 소자.
  32. 제31항에 있어서, 상기 산소 확산 방지층 상에 산소가 포함된 물질층이 더 형성되어 있는 것을 특징으로 하는 특징으로 하는 반도체 소자.
  33. 제31항에 있어서, 상기 반응성금속은 Ti, Ta, W, Zr, Hf, Mo 및 Nb로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 소자.
  34. 제31항에 있어서, 상기 반응성 금속과 질소의 결정화를 방지하기 위한 비정질 결합용 원소(B)는 Al, Si 및 B로 이루어진 일군에서 선택된 어느 하나인 것을 특징으로 하는 반도체 소자.
  35. 제31항에 있어서, 상기 비정질 결합용 원소에 의한 원자층의 적층회수의 비율에 따라 상기 장벽 금속층의 전기전도도 및 저항을 결정함을 특징으로 하는 반도체 소자.
  36. 제31항에 있어서, 상기 산소 확산 방지층은 알루미늄 산화막인 것을 특징으로 하는 반도체 소자.
KR10-2000-0006251A 1997-07-28 2000-02-10 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 KR100385946B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR10-2000-0006251A KR100385946B1 (ko) 1999-12-08 2000-02-10 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US09/511,598 US6287965B1 (en) 1997-07-28 2000-02-23 Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
TW089105232A TW444278B (en) 1999-12-08 2000-03-22 Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP2000371636A JP3949373B2 (ja) 1999-12-08 2000-12-06 原子層蒸着法を利用した金属層形成方法およびこれを用いた半導体素子
US09/911,313 US6590251B2 (en) 1999-12-08 2001-07-23 Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors
JP2006328561A JP2007081427A (ja) 1999-12-08 2006-12-05 原子層蒸着法を利用した金属層形成方法およびこれを用いた半導体素子

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR19990055835 1999-12-08
KR1019990055835 1999-12-08
KR10-2000-0006251A KR100385946B1 (ko) 1999-12-08 2000-02-10 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자

Publications (2)

Publication Number Publication Date
KR20010066730A true KR20010066730A (ko) 2001-07-11
KR100385946B1 KR100385946B1 (ko) 2003-06-02

Family

ID=26636421

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0006251A KR100385946B1 (ko) 1997-07-28 2000-02-10 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자

Country Status (4)

Country Link
US (1) US6590251B2 (ko)
JP (2) JP3949373B2 (ko)
KR (1) KR100385946B1 (ko)
TW (1) TW444278B (ko)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100504554B1 (ko) * 2000-12-21 2005-08-01 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
KR100604923B1 (ko) * 2005-01-04 2006-07-28 삼성전자주식회사 원자층 증착법에 의한 티탄 알루미늄 질화막 형성방법 및이를 이용하여 제조된 발열 전극을 갖는 상변화 메모리 소자
WO2006098565A1 (en) * 2005-03-16 2006-09-21 Ips Ltd. Method of depositing thin film using ald process
KR100709033B1 (ko) * 2005-08-06 2007-04-18 주식회사 아이피에스 HfSiN 박막증착방법
KR100719803B1 (ko) * 2005-09-08 2007-05-18 주식회사 아이피에스 원자층 증착 방법을 이용한 티타늄알미늄나이트라이드 박막형성 방법
KR20100002128A (ko) * 2008-06-25 2010-01-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US9963784B2 (en) 2013-10-18 2018-05-08 Tokyo Electron Limited Film forming method and film forming apparatus
KR20200127949A (ko) * 2013-03-14 2020-11-11 에이에스엠 아이피 홀딩 비.브이. 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
CN112292476A (zh) * 2018-06-28 2021-01-29 东京毅力科创株式会社 成膜方法、成膜系统以及成膜装置
US11133181B2 (en) 2015-08-24 2021-09-28 Asm Ip Holding B.V. Formation of SiN thin films
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures

Families Citing this family (538)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100323874B1 (ko) * 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100356473B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
KR100582415B1 (ko) * 2000-06-28 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 커패시터 제조 방법
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1772534A3 (en) 2000-09-28 2007-04-25 The President and Fellows of Harvard College Tungsten-containing and hafnium-containing precursors for vapor deposition
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
JP2002222934A (ja) * 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP2002319636A (ja) * 2001-02-19 2002-10-31 Nec Corp 半導体記憶装置及びその製造方法
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
JP2004040059A (ja) * 2002-07-08 2004-02-05 Fujitsu Ltd 半導体記憶装置の製造方法および半導体記憶装置
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
TW200411923A (en) * 2002-07-19 2004-07-01 Asml Us Inc In-situ formation of metal insulator metal capacitors
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040102033A1 (en) * 2002-11-21 2004-05-27 Texas Instruments, Incorporated Method for forming a ternary diffusion barrier layer
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
KR100988082B1 (ko) * 2003-05-21 2010-10-18 삼성전자주식회사 스택형 커패시터, 그를 구비한 반도체 메모리 소자 및 그제조방법
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
WO2005038985A2 (en) * 2003-10-16 2005-04-28 Abb Research Ltd. COATINGS OF Mn+1AXn MATERIAL FOR ELECTRICAL CONTACT ELEMENTS
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100591762B1 (ko) * 2004-01-19 2006-06-22 삼성전자주식회사 증착 장치 및 증착 방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7148118B2 (en) * 2004-07-08 2006-12-12 Micron Technology, Inc. Methods of forming metal nitride, and methods of forming capacitor constructions
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100690881B1 (ko) 2005-02-05 2007-03-09 삼성전자주식회사 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
EP1875556A4 (en) * 2005-04-25 2011-09-14 Impact Coatings Ab INTELLIGENT CHIP CARD AND INTELLIGENT CHIP CARD READER
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
KR100718137B1 (ko) * 2005-09-05 2007-05-14 삼성전자주식회사 3차원 강유전체 커패시터와 이를 포함하는 불휘발성 메모리소자와 그 제조 방법
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
JP4703349B2 (ja) * 2005-10-11 2011-06-15 Okiセミコンダクタ株式会社 アモルファス膜の成膜方法
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI331770B (en) * 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
KR100721017B1 (ko) * 2005-12-28 2007-05-22 삼성전자주식회사 불휘발성 메모리 소자 및 그의 형성 방법
US7303983B2 (en) * 2006-01-13 2007-12-04 Freescale Semiconductor, Inc. ALD gate electrode
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
KR101019875B1 (ko) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 나노결정 형성
TWI379347B (en) * 2006-07-31 2012-12-11 Applied Materials Inc Methods of forming carbon-containing silicon epitaxial layers
KR101369355B1 (ko) * 2006-07-31 2014-03-04 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 층 형성 동안에 형태를 제어하는 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
KR100881055B1 (ko) * 2007-06-20 2009-01-30 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법, 이를 포함하는상변화 메모리 장치 및 그 제조 방법
KR100872799B1 (ko) 2007-09-11 2008-12-09 포항공과대학교 산학협력단 플라스마 원자층 증착법을 이용한 반도체 콘택트용 금속실리사이드 제조방법
KR101457208B1 (ko) * 2007-09-21 2014-10-31 서울바이오시스 주식회사 Ⅲ족 질화물 화합물 반도체 장치
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP2009231497A (ja) * 2008-03-21 2009-10-08 Toshiba Corp 半導体装置及び半導体装置の製造方法
KR100942954B1 (ko) 2008-03-31 2010-02-17 주식회사 하이닉스반도체 형상기억합금전극을 구비한 캐패시터 및 그 제조 방법
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5384291B2 (ja) 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5719138B2 (ja) * 2009-12-22 2015-05-13 株式会社日立国際電気 半導体装置の製造方法および基板処理方法
JP5562631B2 (ja) * 2009-12-25 2014-07-30 ルネサスエレクトロニクス株式会社 半導体装置
JP2011146507A (ja) * 2010-01-14 2011-07-28 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
JP5572447B2 (ja) 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
KR101196746B1 (ko) 2010-07-30 2012-11-07 영남대학교 산학협력단 원자층 증착법에 의한 박막 형성 방법, 이를 포함하는 반도체 소자의 배선 및 그 제조 방법
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5081334B2 (ja) * 2010-12-27 2012-11-28 パナソニック株式会社 不揮発性記憶素子、その製造方法
JP5269112B2 (ja) * 2011-01-24 2013-08-21 ラピスセミコンダクタ株式会社 アモルファス膜を備える半導体装置、及び半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
JP6087609B2 (ja) * 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US9064857B2 (en) * 2012-12-19 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. N metal for FinFET
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
DE102014103220A1 (de) 2014-03-11 2015-09-17 Walter Ag TiAIN-Schichten mit Lamellenstruktur
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102368099B1 (ko) * 2015-06-25 2022-02-25 삼성전자주식회사 커패시터 및 이를 포함하는 반도체 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US20170040108A1 (en) * 2015-08-06 2017-02-09 Murata Manufacturing Co., Ltd. Capacitor
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
DE102015223949B4 (de) * 2015-12-01 2020-09-24 TE Connectivity Sensors Germany GmbH Sensoranordnung für ein Widerstandsthermometer, Widerstandsthermometer und Verfahren zur Herstellung einer Sensoranordnung
DE102015223951B4 (de) * 2015-12-01 2022-12-01 TE Connectivity Sensors Germany GmbH Substrat für eine Sensoranordnung für ein Widerstandsthermometer, Sensoranordnung und Widerstandsthermometer
DE102015223950A1 (de) * 2015-12-01 2017-06-01 TE Connectivity Sensors Germany GmbH Substrat für eine Sensoranordnung für ein Widerstandsthermometer, Sensoranordnung, Widerstandsthermometer und Verfahren zur Herstellung eines solchen Substrats
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10651082B2 (en) 2016-03-31 2020-05-12 Intel Corporation Diffusion barriers
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6595432B2 (ja) * 2016-09-23 2019-10-23 東芝メモリ株式会社 半導体装置およびその製造方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021169649A (ja) * 2020-04-15 2021-10-28 東京エレクトロン株式会社 金属窒化膜を成膜する方法、及び装置
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220140067A1 (en) * 2020-11-03 2022-05-05 Samsung Electronics Co., Ltd. Semiconductor device and semiconductor apparatus including the same
CN114512597A (zh) 2020-11-16 2022-05-17 联华电子股份有限公司 半导体元件及其制作方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7217819B1 (ja) 2022-01-18 2023-02-03 日機装株式会社 半導体発光素子および半導体発光素子の製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3415207B2 (ja) * 1992-07-24 2003-06-09 東京エレクトロン株式会社 化学気相成長による金属薄膜形成方法
JP3422055B2 (ja) * 1993-11-08 2003-06-30 株式会社デンソー 半導体装置の電極配線
JPH0955478A (ja) * 1995-08-14 1997-02-25 Hitachi Ltd 半導体集積回路の製造方法
US6054331A (en) * 1997-01-15 2000-04-25 Tong Yang Cement Corporation Apparatus and methods of depositing a platinum film with anti-oxidizing function over a substrate
KR100219349B1 (ko) * 1997-07-28 1999-09-01 손상호 건강매트
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR19990012246A (ko) 1997-07-28 1999-02-25 윤종용 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
KR100274603B1 (ko) * 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6120842A (en) 1997-10-21 2000-09-19 Texas Instruments Incorporated TiN+Al films and processes
JPH11145077A (ja) * 1997-10-31 1999-05-28 Texas Instr Inc <Ti> 膜及びその製法
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
KR19990051335A (ko) * 1997-12-19 1999-07-05 윤종용 원자층 증착에 의한 tialn의 증착방법 및 이 방법에 의해 형성되는 tialn 박막을 이용한 반도체 소자의 고유전체 커패시터
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
KR100275752B1 (ko) * 1998-11-18 2000-12-15 윤종용 접합 스페이서를 구비한 컨케이브 커패시터의 제조방법
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6368910B1 (en) * 2000-11-24 2002-04-09 Winbond Electronics Corp. Method of fabricating ruthenium-based contact plug for memory devices

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100504554B1 (ko) * 2000-12-21 2005-08-01 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
KR100604923B1 (ko) * 2005-01-04 2006-07-28 삼성전자주식회사 원자층 증착법에 의한 티탄 알루미늄 질화막 형성방법 및이를 이용하여 제조된 발열 전극을 갖는 상변화 메모리 소자
US7585692B2 (en) 2005-01-04 2009-09-08 Samsung Electronics Co., Ltd. Thin film layer, heating electrode, phase change memory including thin film layer and methods for forming the same
WO2006098565A1 (en) * 2005-03-16 2006-09-21 Ips Ltd. Method of depositing thin film using ald process
KR100709033B1 (ko) * 2005-08-06 2007-04-18 주식회사 아이피에스 HfSiN 박막증착방법
KR100719803B1 (ko) * 2005-09-08 2007-05-18 주식회사 아이피에스 원자층 증착 방법을 이용한 티타늄알미늄나이트라이드 박막형성 방법
KR20100002128A (ko) * 2008-06-25 2010-01-06 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
KR20200127949A (ko) * 2013-03-14 2020-11-11 에이에스엠 아이피 홀딩 비.브이. 저온에서 SiN을 퇴적시키기 위한 Si 전구체들
US11289327B2 (en) 2013-03-14 2022-03-29 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US11587783B2 (en) 2013-03-14 2023-02-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9963784B2 (en) 2013-10-18 2018-05-08 Tokyo Electron Limited Film forming method and film forming apparatus
US11367613B2 (en) 2014-09-17 2022-06-21 Asm Ip Holding B.V. Deposition of SiN
US11133181B2 (en) 2015-08-24 2021-09-28 Asm Ip Holding B.V. Formation of SiN thin films
US11784043B2 (en) 2015-08-24 2023-10-10 ASM IP Holding, B.V. Formation of SiN thin films
CN112292476A (zh) * 2018-06-28 2021-01-29 东京毅力科创株式会社 成膜方法、成膜系统以及成膜装置

Also Published As

Publication number Publication date
TW444278B (en) 2001-07-01
US20020000598A1 (en) 2002-01-03
JP3949373B2 (ja) 2007-07-25
US6590251B2 (en) 2003-07-08
JP2007081427A (ja) 2007-03-29
KR100385946B1 (ko) 2003-06-02
JP2001217206A (ja) 2001-08-10

Similar Documents

Publication Publication Date Title
KR100385946B1 (ko) 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US7112503B1 (en) Enhanced surface area capacitor fabrication methods
US6916380B2 (en) System for depositing a layered film
KR100403611B1 (ko) 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US20020195683A1 (en) Semiconductor device and method for manufacturing the same
KR101046729B1 (ko) 캐패시터 및 그의 제조 방법
US6825129B2 (en) Method for manufacturing memory device
US20070014919A1 (en) Atomic layer deposition of noble metal oxides
US20070178640A1 (en) Capacitor fabrication methods and capacitor constructions
US7759717B2 (en) Capacitors comprising dielectric regions having first and second oxide material portions of the same chemical compositon but different densities
KR20060003509A (ko) 하이브리드 유전체막을 갖는 반도체 집적회로 소자들 및그 제조방법들
WO2009032575A2 (en) Semiconductor device having reduced single bit fails and a method of manufacture thereof
US20020177273A1 (en) Method for manufacturing capacitor of semiconductor device having dielectric layer of high dielectric constant
JP2002343888A (ja) 半導体素子のキャパシタ及びその製造方法
KR19990012246A (ko) 원자층 증착법에 의한 금속 배리어막을 구비한 반도체장치및 그 제조방법
US6756261B2 (en) Method for fabricating capacitors in semiconductor devices
US6919243B2 (en) Methods of forming an integrated circuit capacitor in which a metal preprocessed layer is formed on an electrode thereof
KR100443350B1 (ko) 스트론튬루테늄산화물의 단원자층 증착 방법
KR100642763B1 (ko) 반도체 소자의 TiN 막 구조, 그 제조 방법, TiN 막구조를 채용하는 반도체 소자 및 그 제조방법
US6884674B2 (en) Method for fabricating a semiconductor device including a capacitance insulating film having a perovskite structure
US20030203567A1 (en) Method of fabricating capacitor with two step annealing in semiconductor device
KR100448242B1 (ko) 반도체 소자의 캐패시터 상부전극 제조방법
KR100761406B1 (ko) 탄탈륨산화막을 유전막으로 갖는 캐패시터의 제조 방법
KR20020055251A (ko) 커패시터 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160429

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20170427

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20180430

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee