JP2024059722A - 無線通信技術、装置及び方法 - Google Patents

無線通信技術、装置及び方法 Download PDF

Info

Publication number
JP2024059722A
JP2024059722A JP2024021681A JP2024021681A JP2024059722A JP 2024059722 A JP2024059722 A JP 2024059722A JP 2024021681 A JP2024021681 A JP 2024021681A JP 2024021681 A JP2024021681 A JP 2024021681A JP 2024059722 A JP2024059722 A JP 2024059722A
Authority
JP
Japan
Prior art keywords
aspects
accordance
antenna
illustrates
transceiver
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2024021681A
Other languages
English (en)
Inventor
アルプマン,エルカン
ルクル アマドジクペ,アルノー
オサフ,オメル
アザデット,カメラン
バニン,ロテム
バリャク,ミロスラフ
バゾフ,アナット
ブレンナ,ステファノ
ケイ. キャスパー,ブライアン
タクール,ジャイプラカシュ
タルワー,シルパ
テプリツキー,モシェ
チャクラバルティ,アナンダループ
チャンス,グレゴリー
チョウドゥリー,デババニ
コーエン,エマニュエル
シルヴァ,クラウディオ ダ
ダルミア,シッダールタ
アスル,サイード ダネシュガル
ダスグプタ,カウシク
ダッタ,クナル
デイヴィス,ブランドン
デガニ,オフィル
エム. ファヒム,アムル
フライマン,アミット
ジェノサール,ミカエル
ガーソン,エラン
ゴルドベルガー,エヤル
ゴードン,エシェル
ゴードン,メイア
ハークン,ヨーゼフ
カン,シンウォン
ユイ カオ,トーァ
コーガン,ノアム
エス. コムライネン,ミッコ
イェフダ クシュニール,イガル
ラーティ,サク
エム. ランピネン,ミッコ
ランズベルク,ナフタリ
リ,ウクボン
レヴィンガー,ルン
モリーナ,アルベルト
モレノ,レスティ モントヤ
ムサー,タウフィク
ジー. ナレフスキー,ネイサン
ニコプール,ホセイン
オルハン,オネル
パラスカス,ゲオルギオス
ペレラーノ,ステファノ
ポングラッツ,ロン
ラヴィ,アショケ
ラヴィド,シュムエル
アンドリュー サガジオ,ピーター
サソグル,エレン
シャケッド,リオール
ショール,ガディ
シン,バルジート
ソフェル,メナシェ
ソヴェル,ラ’アナン
タンジ,ネビル
エス. タッカール,チンタン
ツァルファティ,アヴィ
ツファティ,ヨッシ
ヴェルヘルスト,マリアン
ワイスマン,ニル
ヤマダ,シュウヘイ
エム. イェペス,アナ
キッチン,ダンカン
Original Assignee
インテル コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インテル コーポレイション filed Critical インテル コーポレイション
Publication of JP2024059722A publication Critical patent/JP2024059722A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/10Polarisation diversity; Directional diversity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • H01L23/4855Overhang structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/52Means for reducing coupling between antennas; Means for reducing coupling between an antenna and another structure
    • H01Q1/526Electromagnetic shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/24Combinations of antenna units polarised in different directions for transmitting or receiving circularly and elliptically polarised waves or waves linearly polarised in any direction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q25/00Antennas or antenna systems providing at least two radiating patterns
    • H01Q25/001Crossed polarisation dual antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/24Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the orientation by switching energy from one active radiating element to another, e.g. for beam switching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q5/00Arrangements for simultaneous operation of antennas on two or more different wavebands, e.g. dual-band or multi-band arrangements
    • H01Q5/40Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements
    • H01Q5/45Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device
    • H01Q5/47Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device with a coaxial arrangement of the feeds
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B19/00Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source
    • H03B19/06Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes
    • H03B19/14Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes by means of a semiconductor device
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/14Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted
    • H03L7/143Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop
    • H03L7/145Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop the switched reference signal being derived from the controlled oscillator output signal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/3827Portable transceivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B15/00Suppression or limitation of noise or interference
    • H04B15/02Reducing interference from electric apparatus by means located at or near the interfering apparatus
    • H04B15/04Reducing interference from electric apparatus by means located at or near the interfering apparatus the interference being caused by substantially sinusoidal oscillations, e.g. in a receiver or in a tape-recorder
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0413MIMO systems
    • H04B7/0456Selection of precoding matrices or codebooks, e.g. using matrices antenna weighting
    • H04B7/0482Adaptive codebooks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • H04B7/0615Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal
    • H04B7/0619Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal using feedback from receiving side
    • H04B7/0636Feedback format
    • H04B7/0639Using selective indices, e.g. of a codebook, e.g. pre-distortion matrix index [PMI] or for beam selection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0216Reduction of cross-talk, noise or electromagnetic interference
    • H05K1/0218Reduction of cross-talk, noise or electromagnetic interference by printed shielding conductors, ground planes or power plane
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • H05K1/186Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/116Manufacturing methods by patterning a pre-deposited material
    • H01L2224/1161Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/1319Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/14135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14151Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14152Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/14155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/48195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4912Layout
    • H01L2224/49175Parallel arrangements
    • H01L2224/49176Wire connectors having the same loop shape and height
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81205Ultrasonic bonding
    • H01L2224/81207Thermosonic bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8185Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/07Electric details
    • H05K2201/0707Shielding
    • H05K2201/0723Shielding provided by an inner layer of PCB
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10098Components for radio transmission, e.g. radio frequency identification [RFID] tag, printed or non-printed antennas

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Physics & Mathematics (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Waveguide Aerials (AREA)
  • Near-Field Transmission Systems (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)
  • Steroid Compounds (AREA)
  • Transceivers (AREA)
  • Circuits Of Receivers In General (AREA)

Abstract

【課題】無線周波数放射(RFI)及び電磁気干渉(EMI)から保護される無線デバイス用の装置を提供する。【解決手段】モールド基板10600において、トランシーバ回路(ダイ)10606は、少なくとも1つのアンテナ10616と、少なくとも1つのアンテナが受信した無線周波数(RF)信号を処理する無線デバイス用の装置であって、少なくとも1つのアンテナとトランシーバ回路とは基板10601の反対面に配置される。少なくとも1つのアンテナ及びトランシーバ回路に結合される伝送線路と、基板に埋め込まれている少なくとも一部分を有する金属構造であって、その少なくとも一部分は少なくとも1つのアンテナの接地を提供し、トランシーバ回路をシールドする機械的シールド10602と、を含む。【選択図】図106

Description

[優先権主張]
この出願は以下の仮特許出願の優先権を主張する。
2016年12月21日に出願された「MILLIMETER WAVE ANTENNA STRUCTURES」という名称の米国仮特許出願第62/437,385号。
2017年5月26日に出願された「MILLIMETER WAVE TECHNOLOGY」という名称の米国仮特許出願第62/511,398号。
2017年6月30日に出願された「ANTENNA CIRCUITS AND TRANSCEIVERS FOR MILLIMETER WAVE (MMWAVE) COMMUNICATIONS」という名称の米国仮特許出願第62/527,818号。
2017年10月11日に出願された「RADIO FREQUENCY TECHNOLOGIES FOR WIRELESS COMMUNICATIONS」という名称の米国仮特許出願第62/570,680号。
上記の仮特許出願の夫々の全内容が参照により援用される。
[技術分野]
本開示のいくつかの態様は、アンテナ及びアンテナ構造体に関係する。本開示のいくつかの態様は、ミリメートル波通信のためのアンテナ及びアンテナ構造体に関係する。本開示のいくつかの態様は、無線信号の通信のためにアンテナ及びアンテナ構造体を使用する無線通信デバイス(例えば、モバイルデバイス及び基地局)に関係する。本開示のいくつかの態様は、第5世代(5G)無線システムに従って動作するデバイスに関する。本開示のいくつかの態様は、WiGig(Wireless Gigabit Alliance)(例えば、IEEE802.11ad)プロトコルに従って動作するデバイスに関する。本開示のいくつかの態様は、多段銅ピラーエッチング(multi-stage copper pillar etching)を使用することに関する。本開示のいくつかの態様は、共同設置されるミリメートル波(mmWave)及び近距離通信(near field communication)(NFC)アンテナに関する。本開示のいくつかの態様は、SPARTA(scalable phased array radio transceiver architecture)に関する。本開示のいくつかの態様は、MIMOサポート及び単一同軸ケーブルにわたる位相雑音同期を有するフェーズドアレイ分散通信システムに関する。本開示のいくつかの態様は、分散フェーズドアレイ通信システムにおけるRFoC(radio frequency (RF) signals over cable)に関する。本開示のいくつかの態様は、クロック雑音漏れの低減に関する。本開示のいくつかの態様は、後方及び前方互換及びモジュール方式のための中間周波数(IF)-RFコンパニオンチップ(companion chip)に関する。本開示のいくつかの態様は、パッケージ上整合ネットワークに関する。本開示のいくつかの態様は、5Gスケーラブル受信器(Rx)アーキテクチャに関する。
無線通信のためのモバイルデバイスにおける物理空間は、通常では、このようなデバイスのフォームファクタに含まれる機能の量のため、貴重である。他にも理由はあるが、放射される電波の空間カバレッジの必要性のため、且つ、モバイルデバイスが異なる場所に動かされるときに信号強度を維持するため、あるいは、ユーザがモバイルデバイスを時間によって異なる方向に向ける可能性があるため、困難な問題が生じる。これは、いくつかの態様において、多数のアンテナ、変化する極性、放射の方向、変化する時間における放射される電波の変化する空間ダイバーシチの必要性、及び関係する必要性をもたらし得る。ミリメートル波(mmWave又はmmW)周波数において動作するアンテナを含むパッケージを設計するとき、空間の効率的な使用がこのような問題を解決するのに役立ち得る。
無線通信の遍在は、多数の困難な問題を引き起こし続けている。特に、異なる要件を有する多種多様のデバイスと、使用されるスペクトルとの両方のため、5G通信システムのようなモバイル通信システムの出現によって、課題が進化している。特に、最近では、ライセンス及びアンライセンス帯域のキャリアアグリゲーションの組み込みと、mmWave帯域の来るべき使用とのため、通信において使用される周波数帯域の範囲が増加している。
mmWave無線フロントエンドモジュール(radio front end module)(RFEM)における課題は、完全又はほぼ完全な指向性カバレッジを提供することである。ミリメートル波システムは、リンク予算に近づけるために高いアンテナゲインを必要とし、フェーズドアレイアンテナがビームステアリングを提供するために使用され得る。しかし、フェーズドアレイアンテナ(平面パッチアンテナのアレイ等)自体の使用は限られた角度のカバレッジを提供する。ビームステアリングは、エネルギーを意図した受信器に方向付けるのに役立ち得る(且つ意図した送信器の方向での受信器におけるゲインを相互に増加させる)が、単純なアレイは、ステアリング角度のカバレッジを制限する。更に、無線周波数(radio frequency)(RF)信号の偏波は、mmWaveにとって重要な問題である。垂直偏波と水平偏波との間に有意な伝搬差が存在し、更に、空間ダイバーシチを提供するために両方の偏波の使用が使用され得る。モバイルデバイスへのこの技術の想定される適用を考えると、アンテナにおいて選択可能な偏波を提供することが重要になる。
増加する懸念の他の問題は、大気減衰損失である。大気吸収によって引き起こされる高いパスロスと、固体材料を通じた高い減衰とのため、大容量MIMO(multiple input, multiple output)システムが、mmWave帯域における通信のために使用され得る。ブロックされていない方向付けられた空間チャネルを検索するためのビームフォーミングの使用と、LOS(line of sight)通信とNLOS(non-line of sight)通信との間の不均衡とは、WPAN(wireless personal area network)又はWLAN(wireless local area network)を通じた通信に使用されるアーキテクチャに比べて、mmWaveアーキテクチャを複雑にし得る。
いくつかの態様に従う例示的なユーザデバイスを示す。
いくつかの態様に従う、図1のデバイスと接続して使用され得るmmWaveシステムを示す。
いくつかの態様に従う例示的な基地局ラジオヘッドを示す。
いくつかの態様に従う例示的なミリメートル波通信回路を示す。
いくつかの態様に従う、図3Aに示す例示的な送信回路の態様を示す。
いくつかの態様に従う、図3Aに示す例示的な送信回路の態様を示す。
いくつかの態様に従う、図3Aに示す例示的な無線周波数回路の態様を示す。
いくつかの態様に従う、図3Aにおける例示的な受信回路の態様を示す。
いくつかの態様に従う、図3Aにおける例示的な使用可能なRF回路を示す。
いくつかの態様に従う例示的な無線フロントエンドモジュール(radio front end module)(RFEM)の態様を示す。
いくつかの態様に従う例示的な無線フロントエンドモジュールの代替の態様を示す。
いくつかの態様に従う、図1又は図2において使用可能な例示的なマルチプロトコルベースバンドプロセッサを示す。
いくつかの態様に従う例示的な混合信号ベースバンドサブシステムを示す。
いくつかの態様に従う例示的なデジタルベースバンドサブシステムを示す。
いくつかの態様に従う例示的なベースバンド処理サブシステムの代替の態様を示す。
いくつかの態様に従う例示的なデジタルシグナルプロセッササブシステムを示す。
いくつかの態様に従うアクセラレータサブシステムの例を示す。
いくつかの態様に従う代替の例示的なアクセラレータサブシステムを示す。
いくつかの態様に従う例示的な周期的な無線フレーム構造を示す。 いくつかの態様に従う例示的な周期的な無線フレーム構造を示す。 いくつかの態様に従う例示的な周期的な無線フレーム構造を示す。 いくつかの態様に従う例示的な周期的な無線フレーム構造を示す。 いくつかの態様に従う例示的な周期的な無線フレーム構造を示す。
いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式のコンステレーション設計の例を示す。 いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式のコンステレーション設計の例を示す。 いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式のコンステレーション設計の例を示す。
いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式の代替の例示的なコンステレーション設計を示す。 いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式の代替の例示的なコンステレーション設計を示す。
いくつかの態様に従う、送信のためのマルチキャリアベースバンド信号を生成するための例示的なシステムを示す。
いくつかの態様に従う、格子形式で示す例示的なリソースエレメントを示す。
いくつかの態様に従う符号化の例を示す。 いくつかの態様に従う符号化の例を示す。 いくつかの態様に従う符号化の例を示す。 いくつかの態様に従う符号化の例を示す。
いくつかの態様に従う、例示的な金属柱を有する半導体ダイの断面図及び上面図である。
いくつかの態様に従う、第1タイプのインターコネクト構造体を形成する金属柱を有する例示的な半導体ダイの断面図及び上面図である。
いくつかの態様に従う、第2タイプのインターコネクト構造体を形成する金属柱を有する例示的な半導体ダイの断面図及び上面図である。
いくつかの態様に従う、第3タイプのインターコネクト構造体を形成する金属柱を有する例示的な半導体ダイの断面図及び上面図である。
いくつかの態様に従う、柱がパッケージ積層に取り付けられたインターコネクト構造体を形成する金属柱を有する例示的な半導体ダイの断面図である。
いくつかの態様に従う、この開示において記載される例示的なユーザデバイスサブシステムの断面図における側面図である。
いくつかの態様に従う図20Aの積層構造体の例示的な台部分を示す。
いくつかの態様に従う図20Aの積層構造体の凹部(cavity)の内部の例示的なRF給電を示す。
いくつかの態様に従う、シールドケージ(shield cage)内の開口を貫通する例示的なRF給電トレースを示す。
いくつかの態様に従う、共同設置されるミリメートル波(mmWave)アンテナ及び近距離通信(NFC)アンテナを有する例示的な半導体パッケージの複数の図を示す。
いくつかの態様に従う、フェーズドアンテナアレイを有する例示的な無線周波数フロントエンドモジュール(radio frequency front-end module)(RFEM)を示す。
いくつかの態様に従うモバイルデバイス内の例示的なRFEMの例示的な位置を示す。
いくつかの態様に従う例示的なRFEMのブロック図である。
いくつかの態様に従う例示的な媒体アクセス制御(media access control)(MAC)/ベースバンド(baseband)(BB)サブシステムのブロック図である。
いくつかの態様に従う例示的なNFCアンテナ実装の図である。
いくつかの態様に従う、複数の印刷回路基板(printed circuit board)(PCB)基材に共同設置されるmmWaveアンテナ及び近距離通信(NFC)アンテナを有する例示的な半導体パッケージの複数の図を示す。
いくつかの態様に従う、RFにおいて信号を位相シフト及び合成することによってビームフォーミングを実装する例示的なRFフェーズドアレイシステムのブロック図である。
いくつかの態様に従う、IF/ベースバンドにおいて局所発振器(local oscillator)(LO)を位相シフトしてアナログ信号を合成することによってビームフォーミングを実装する例示的なフェーズドアレイシステムのブロック図である。
いくつかの態様に従う、デジタル位相シフト及び合成を有する例示的なフェーズドアレイシステムのブロック図である。
いくつかの態様に従う、スケーラブルフェーズドアレイ無線トランシーバアーキテクチャで使用され得る例示的なトランシーバセル要素のブロック図である。
いくつかの態様に従う、複数のトランシーバセルを使用する例示的なフェーズドアレイ無線トランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、フェーズドアレイ無線トランシーバを形成する個々のトランシーバセルへの半導体ダイの例示的なダイスカットを示す。
いくつかの態様に従う、フェーズドアレイアンテナでパッケージ化される例示的なフェーズドアレイ無線トランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、通信バスを有する例示的なトランシーバセルのブロック図である。
いくつかの態様に従う、単一のアナログデジタル変換器(analog-to-digital converter)(ADC)を使用するLO位相シフト動作モードのトランシーバタイルを有する例示的なフェーズドアレイトランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、複数のADCを使用するLO位相シフト動作モードのトランシーバタイルを有する例示的なフェーズドアレイトランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、複数のデジタル信号を生成するために複数のADCを使用するハイブリッド動作モード(LO及びデジタル位相シフト並びに合成)のトランシーバタイルを有する例示的なフェーズドアレイトランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、単一のADCを使用するアナログIF/ベースバンド位相シフト及び合成動作モードのトランシーバタイルを有する例示的なフェーズドアレイトランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、複数のデジタル信号を生成するために複数のADCを使用するアナログIF/ベースバンド位相シフト動作モードのトランシーバタイルを有する例示的なフェーズドアレイトランシーバアーキテクチャのブロック図である。
いくつかの態様に従う、トランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャの例示的な動作モードを示す。
いくつかの態様に従う2パッケージシステムの1つのパッケージの例示的な基板の上面図を示す。
いくつかの態様に従う図44Aの基板の底面図を示す。
いくつかの態様に従う図44A及び44Bの2パッケージシステムの第2パッケージの例示的な基板の底面図を示す。
いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられる図44A~44Cの第1パッケージ及び第2パッケージを示す。
いくつかの態様に従う他の2パッケージシステムの1つのパッケージの他の例示的な基板の上面図を示す。
いくつかの態様に従う図45Aの基板の底面図を示す。
いくつかの態様に従う図45A及び45Bの2パッケージシステムの第2パッケージの例示的な基板の底面図を示す。
いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられる図45A~45Cの第1パッケージ及び第2パッケージを示す。
いくつかの態様に従う更に他の2パッケージシステムの1つのパッケージの例示的な基板の上面図を示す。
いくつかの態様に従う図45Aの基板の底面図を示す。
いくつかの態様に従う図45A及び45Bの2パッケージシステムの第2パッケージの例示的な基板の底面図を示す。
いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられる図46A~46Cの第1パッケージ及び第2パッケージを示す。
いくつかの態様に従う更に他の2パッケージシステムの1つのパッケージの例示的な基板の上面図を示す。
いくつかの態様に従う図46Aの基板の底面図を示す。
いくつかの態様に従う図47A及び47Bの2パッケージシステムの第2パッケージの例示的な基板の底面図を示す。
いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられる図44A~44Cの第1パッケージ及び第2パッケージを示す。
いくつかの態様に従う2パッケージのサイドバイサイド(side-by-side)パッケージシステムの上面図を示す。
いくつかの態様に従う図48Aの2パッケージの底面図を示す。
いくつかの態様に従う、サイドバイサイド実装における図48A及び48Bの2パッケージの側面図を示す。
様々なサイズのSDフラッシュメモリカードの例示的な図である。
いくつかの態様に従う、mmWave無線通信動作のためにカードを再利用するように変更された内容及び機能を有する例示的なマイクロSDカードの3次元図を示す。
いくつかの態様に従う、図2のダイポールアンテナの放射パターンを示す図50の例示的なマイクロSDカードを示す。
いくつかの態様に従う、Z高さで制限される露出領域に垂直に立ち上がる垂直偏波モノポールアンテナ素子を有する図50のマイクロSDカードを示す。
いくつかの態様に従う、折り返しダイポールアンテナを有する図50のマイクロSDカードを示す。
いくつかの態様に従う、マザーボード当たり複数のカードを提供するよう、上記のように変更された3つの例示的なマイクロSDカードを示す。
いくつかの態様に従う、取り付けられたトランシーバサブシステムを有する例示的な分離されたボール・グリッド・アレイ(ball grid array)(BGA)又はランドグリッドアレイ(land grid array)(LGA)パターンのパッケージPCBサブシステムの側面図である。
いくつかの態様に従う図53Aのサブシステムの側面図断面である。
いくつかの態様に従う、シールドの上面を示し、且つ切り欠き(cutout)を更に示す図53Aのサブシステムの上面図である。
いくつかの態様に従う、アンテナが放射状に広がることを可能にする切り欠きを示し、接点を示す図53Aのサブシステムの上面図である。
いくつかの態様に従う、実質的に全ての方向の放射カバレッジのために、ポールの周りに環状に配置される例示的なサブシステムの配置を示す。
いくつかの態様に従うコーナー形の例示的なサブシステムを示す。
いくつかの態様に従う図3Aのサブシステムを示す。
いくつかの態様に従う例示的なアンテナサブシステムの側面図を示す。
いくつかの態様に従うデュアルシールドアンテナサブシステムの例示的な構成の上面図である。
いくつかの態様に従う図53Iのアンテナサブシステムの側面図を示す。
いくつかの態様に従う60GHzフェーズドアレイ・システム・イン・パッケージ(System-in-Package)(SIP)を示す。
いくつかの態様に従う例示的な60GHzフェーズドアレイSIPの側面斜視図を示す。
いくつかの態様に従う、自己試験器に配置される60GHz SIPを示す。
いくつかの態様に従う、SIPにおける望ましくないチップ上又はパッケージ上のクロストークに対処するための第1部分の試験のための試験設定を示す。
いくつかの態様に従う、SIPにおける望ましくないチップ上又はパッケージ上のクロストークに対処するための第2部分の試験のための例示的な試験設定を示す。
いくつかの態様に従う、60GHzフェーズドアレイSIPを試験するのに適する例示的な自動試験装置を示す。
いくつかの態様に従う、図57の自動試験装置に追加される例示的な構成要素を示す。
いくつかの態様に従う分散フェーズドアレイシステムの例示的なRFフロントエンドモジュール(RF front-end module)(RFEM)を示す。
いくつかの態様に従う分散フェーズドアレイシステムの例示的なベースバンドサブシステム(baseband sub-system)(BBS)を示す。
いくつかの態様に従う、単一のRFEMに結合される複数同軸ケーブル及びMIMOサポートを有する例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う、夫々のRFEMトランシーバが別個の同軸ケーブルに結合されるMIMOサポートを有する例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う、単一のRFEMに結合される単一同軸ケーブル及びMIMOサポートを有する例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う、図3の単一同軸ケーブル上で通信される様々な信号の例示的なスペクトル内容を示す。
いくつかの態様に従う、単一のBBSと、BBSとRFEMの夫々との間で単一同軸ケーブル及びMIMOサポートを有する複数のRFEMとを有する例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う分散フェーズドアレイシステムの例示的なRFフロントエンドモジュール(RF front-end module)(RFEM)を示す。
いくつかの態様に従う分散フェーズドアレイシステムの例示的なベースバンドサブシステム(baseband sub-system)(BBS)を示す。
いくつかの態様に従う、RFEMとBBSとの間で通信される信号の例示的な周波数図を示す。
いくつかの態様に従う、RF信号を通信するために単一同軸ケーブルを介して例示的なBBSに結合される例示的なRFEMを示す。
いくつかの態様に従う図69のBBSのより詳細な図を示す。
いくつかの態様に従う、単一のBBSに結合される複数のRFEMを使用する例示的なマッシブアンテナアレイ(massive antenna array)(MAA)を示す。
いくつかの態様に従う、ラップトップコンピュータの蓋に達するようRF信号のための例示的な導波路を示すラップトップコンピュータの分解組立図である。
いくつかの態様に従う、ラップトップコンピュータのラジオサブシステムから進み、ラップトップの蓋への途中のラップトップのヒンジの穴を通じて入る1つ以上の例示的な同軸ケーブルの図である。
いくつかの態様に従う、ラップトップコンピュータのラジオサブシステムから、蓋におけるアンテナ又はアンテナアレイへの途中のラップトップ蓋のヒンジの穴を出る1つ以上の例示的な同軸ケーブルの図である。
いくつかの態様に従う、ラップトップのマザーボードからラップトップの蓋へ且つ無線フロントエンドモジュール(radio front end module)(RFEM)への信号のための例示的な伝送路線の図である。
いくつかの態様に従う、ラップトップのマザーボードからラップトップの蓋へ且つ複数のRFEMへの信号のための例示的な伝送路線の図である。
いくつかの態様に従う例示的な基板統合導波路(substrate-integrated waveguide)(SIW)の図である。 いくつかの態様に従う例示的な基板統合導波路(SIW)の図である。
いくつかの態様に従う、クロック雑音漏れの低減を有する分散フェーズドアレイシステムの例示的なRFフロントエンドモジュール(RF front-end module)(RFEM)を示す。
いくつかの態様に従う、クロック雑音漏れの低減を有する分散フェーズドアレイシステムの例示的なベースバンドサブシステム(baseband sub-system)(BBS)を示す。
いくつかの態様に従う、RFEMとBBSとの間で通信される信号の例示的な周波数図を示す。
いくつかの態様に従う、クロック雑音漏れの低減に関して使用され得るクロックスプレッダ及びデスプレッダ回路を示す。
いくつかの態様に従う、クロック雑音漏れの低減を使用してRFEMとBBSとの間で通信される信号の周波数図を示す。
いくつかの態様に従う、IF処理を有する分散フェーズドアレイシステムの例示的なRFフロントエンドモジュール(RF front-end module)(RFEM)を示す。
いくつかの態様に従う図83の分散フェーズドアレイシステムの例示的なベースバンドサブシステム(baseband sub-system)(BBS)を示す。
いくつかの態様に従う、RFEM内にIF処理を有する例示的なマルチバンド分散フェーズドアレイシステムを示す。
いくつかの態様に従う、RF信号を通信するために単一同軸ケーブルを介してBBSに結合されるRFEMを有する例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う図86のBBSのより詳細な図を示す。
いくつかの態様に従う、単一のBBSに結合される複数のRFEMを使用して、複数の動作周波数帯域をサポートする例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う図88のBBSのより詳細な図を示す。
いくつかの態様に従う、コンパニオンチップにオフロードされるIF処理を有する、RFEMと、コンパニオンチップと、BBSとを含む例示的な分散フェーズドアレイシステムを示す。
いくつかの態様に従う図90のコンパニオンチップ及びBBSのより詳細な図を示す。
いくつかの態様に従う、コンパニオンチップ内のIF処理を有する例示的なマルチバンド分散フェーズドアレイシステムを示す。
いくつかの態様に従う2方向電力コンバイナの例示的なチップ上実装を示す。
いくつかの態様に従う大規模電力コンバイナの例示的なチップ上実装を示す。
いくつかの態様に従うインピーダンス変換ネットワークの例示的なチップ上実装を示す。
いくつかの態様に従う2方向電力コンバイナの例示的なパッケージ上実装を示す。
いくつかの態様に従う大規模電力コンバイナの例示的なパッケージ上実装を示す。
いくつかの態様に従うインピーダンス変換ネットワークの例示的なチップ上実装を示す。
いくつかの態様に従うドハティ(Doherty)電力増幅器の例示的なパッケージ上実装を示す。
いくつかの態様に従う、コネクタを使用する例示的な非モールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う例示的なデュアルパッチアンテナの側面図である。
いくつかの態様に従う、アンテナのボリュームが増加するときの図100Bのデュアルパッチアンテナのリターンロスのシミュレーショングラフである。
いくつかの態様に従う、フレックス・インターコネクトを使用する例示的な非モールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、フレックス・インターコネクトが写真表現で示されるときのフレックス・インターコネクトを使用する非モールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う例示的なモールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う例示的なモールド成型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従うパッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、z方向の高さを得るためにモールド成型層内にくぼみを有するモールド成型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、EMIシールド及び熱分散のためにモールドに埋め込まれる機械的シールドを含むモールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、横方向に配置されるアンテナ又はアンテナアレイを有するパッケージラジオシステムにおける例示的な積層型極薄システムの斜視図である。
いくつかの態様に従う例示的な埋め込みダイパッケージを示す。 いくつかの態様に従う例示的な埋め込みダイパッケージを示す。 いくつかの態様に従う例示的な埋め込みダイパッケージを示す。
いくつかの態様に従う例示的な積層型リング共振器(stacked ring resonator)(SRR)の側面図のブロック図を示す。
いくつかの態様に従う、図109のアンテナパッケージセルの1つ以上の層で使用され得る例示的なリング共振器を示す。
いくつかの態様に従う、図109のアンテナパッケージセルの1つ以上の層で使用され得る、異なる偏波を使用する複数の給電線を有する例示的なリング共振器を示す。
いくつかの態様に従う図109のSRRアンテナのE面における例示的な電界の向きの線を示す。
いくつかの態様に従う図109のSRRアンテナパッケージセルの反射率及びボアサイト(boresight)実現ゲインの例示的なグラフ表現である。
いくつかの態様に従う、図109のSRRアンテナパッケージセルを使用する例示的なアンテナアレイのブロック図を示す。
いくつかの態様に従う、図109の例示的なSRRアンテナパッケージセルを構成する例示的な層の組を示す。
いくつかの態様に従う図109のSRRアンテナパッケージセルの例示的な積層のブロック図を示す。
いくつかの態様に従う、図109のSRRアンテナパッケージセルのための給電線として使用され得る複数の例示的なストリップラインのブロック図を示す。
いくつかの態様に従う、複数の導波路アンテナを使用する例示的なモバイルデバイスを示す。
いくつかの態様に従う、導波路移行素子を有する例示的な無線周波数フロントエンドモジュール(radio frequency front-end module)(RFEM)を示す。
いくつかの態様に従う、PCBと導波路アンテナとの間での移行のための例示的な導波路構造体の斜視図を示す。 いくつかの態様に従う、PCBと導波路アンテナとの間での移行のための例示的な導波路構造体の斜視図を示す。
いくつかの態様に従う図119A~119Bの導波路移行構造体の様々な断面図を示す。 いくつかの態様に従う図119A~119Bの導波路移行構造体の様々な断面図を示す。 いくつかの態様に従う図119A~119Bの導波路移行構造体の様々な断面図を示す。
いくつかの態様に従う、例示的なインピーダンス整合空気腔(air cavity)を含む図119A~119Bの導波路移行構造体の様々な斜視図を示す。 いくつかの態様に従う、例示的なインピーダンス整合空気腔を含む図119A~119Bの導波路移行構造体の様々な斜視図を示す。 いくつかの態様に従う、例示的なインピーダンス整合空気腔を含む図119A~119Bの導波路移行構造体の様々な斜視図を示す。
いくつかの態様に従う、PCB及び導波路が図119A~119Bの導波路移行構造体を介して実装されるときの空気腔の他の図を示す。
いくつかの態様に従う、空気ギャップ幅に関する反射率の値のシミュレーション結果のグラフ表現を示す。
いくつかの態様に従う例示的な偏波共用アンテナ構造体を示す。
いくつかの態様に従う、多層PCBに実装される例示的な偏波共用アンテナ構造体を示す。 いくつかの態様に従う、多層PCBに実装される例示的な偏波共用アンテナ構造体を示す。 いくつかの態様に従う、多層PCBに実装される例示的な偏波共用アンテナ構造体を示す。
いくつかの態様に従う、図125A~125Cに示すアンテナ構造体のシミュレーションされたSパラメータを示す。
いくつかの態様に従う、図125A~125Cに示すアンテナ構造体の例示的なシミュレーションされたファーフィールド放射パターンを示す。 いくつかの態様に従う、図125A~125Cに示すアンテナ構造体の例示的なシミュレーションされたファーフィールド放射パターンを示す。
いくつかの態様に従う、1つの構成要素において穴あけされた表面波穴を有する図125A~125Cのアンテナ構造体の上面図を示す。
いくつかの態様に従う、他の構成要素において穴あけされた表面波穴を有する図125A~125Cのアンテナ構造体の上面図を示す。
いくつかの態様に従う例示的な偏波共用アンテナ構造体の代替の実装を示す。
いくつかの態様に従う図129のアンテナの上面図を示す。
いくつかの態様に従う図129のアンテナの斜視図である。 いくつかの態様に従う図129のアンテナの斜視図である。
いくつかの態様に従う図130A~130Cのアンテナ構造体についての周波数に対する合計放射効率のシミュレーションを示す。
いくつかの態様に従う、図130A~130Cに示すタイプのアンテナの例示的な4×1アレイの上面図を示す。
いくつかの態様に従う、図130Bに示すタイプのアンテナの4×1アレイの斜視図を示す。
いくつかの態様に従う、0°位相の図131B及び131Cの4×1アンテナアレイの例示的なシミュレーション放射パターンを示す。 いくつかの態様に従う0°位相の図131B及び131Cの4×1アンテナアレイの例示的なシミュレーション放射パターンを示す。
いくつかの態様に従う120°位相の図131B及び131Cの4×1アンテナアレイの例示的なシミュレーション放射パターンを示す。 いくつかの態様に従う120°位相の図131B及び131Cの4×1アンテナアレイの例示的なシミュレーション放射パターンを示す。
いくつかの態様に従う、図131B及び131Cのアンテナアレイの隣接する素子の間の最悪の場合のカップリングの例示的なシミュレーションを示す。
いくつかの態様に従う、0°位相における図131B及び131Cの4×1アンテナアレイのエンベロープ相関を示す。
いくつかの態様に従う、以下に説明するポーラーシミュレーション放射パターンのための座標系を示す。
いくつかの態様に従う、1次基板の内部に埋め込めまれたダイ及び1次基板上のシールド表面実装型デバイスを有する例示的なラジオサブシステムを示す。
いくつかの態様に従う、2次基板内の凹部内で1次基板の上に配置される表面実装型デバイス及びダイを有する例示的なラジオサブシステムを示す。
いくつかの態様に従う、2次基板内の凹部内で1次基板の上に配置される表面実装型デバイス及び1次基板の内部に埋め込まれたダイを有する例示的なラジオシステムパッケージを示す。
いくつかの態様に従う、2次基板内の凹部内で1次基板の上に配置される表面実装型デバイス及び1次基板の内部に埋め込まれたダイを有する例示的なラジオシステムパッケージの斜視切り取り図である。
いくつかの態様に従う、1次基板の底面を示す図138Aのラジオシステムの斜視図である。
いくつかの態様に従う、2次基板の内部を示す図138Aのラジオシステムの斜視図である。
いくつかの態様に従う、機械接続又は電気接続のためのはんだ接点を示す図138Aのラジオシステムの部分斜視上面図である。
いくつかの態様に従う、図140Aのはんだ接点に整合するよう2次基板に構成されるはんだ接点を示す図138Aのラジオシステムの部分斜視図である。
一態様に従う、PCBに取り付けられた面部品を含む例示的な単一素子エッジファイア(edge-fire)アンテナを示す。
一態様に従う図141Aの単一素子アンテナの配置及び材料の詳細を示す。
一態様に従う、図141A及び141Bに示す単一素子アンテナの端面図を示す。
一態様に従う、図141A及び141Bに示すタイプのアンテナ素子を含む例示的な4アンテナ素子アレイを示す。
一態様に従う、2つの異なる長さの拡張誘電体について図141A及び141Bに示すアンテナの帯域幅を示す。
一態様に従う、図141A及び141Bに示すアンテナの周波数範囲にわたる合計効率を示す。
一態様に従う、図143に示す周波数範囲より大きい周波数範囲にわたる図141A及び141Bに示すアンテナの合計効率を示す。
一態様に従う、図141A及び141Bに示すアンテナの周波数範囲にわたる最大実現ゲインを示す。
一態様に従う、図141A及び141Bに示すアンテナの他の周波数範囲にわたる最大実現ゲインを示す。
一態様に従う、図141Dに示すアンテナアレイの2つの隣接するアンテナ素子の間の例示的な隔離を示す。
一態様に従う、第1拡張誘電体の長さでの図141A及び141Bに示すアンテナ素子について所与の周波数における例示的な3次元放射パターンを示す。
一態様に従う、第2拡張誘電体の長さについての図141A及び141Bに示すアンテナ素子について所与の周波数における例示的な3次元放射パターンを示す。
一態様に従う、夫々のアンテナ素子が第1拡張誘導体の長さを有する場合の、図141Dに示す4素子アンテナアレイについての所与の周波数における例示的な3次元放射パターンを示す。
一態様に従う、夫々のアンテナ素子が第2拡張誘導体の長さを有する場合の、図141Dに示す4素子アンテナアレイについての所与の周波数における例示的な3次元放射パターンを示す。
一態様に従う、図141A及び141Bに示すアンテナ素子についての所与の周波数における例示的なE面主偏波(co-polarization)放射パターンを示す。
一態様に従う、図141A及び図141Bに示すアンテナについての所与の周波数におけるE面交差偏波(cross-polarization)放射パターンを示す。
一態様に従う、図141A及び141Bに示すアンテナについての所与の周波数における例示的なH面主偏波放射パターンを示す。
一態様に従う、図141A及び図141Bに示すアンテナについての所与の周波数におけるH面交差偏波放射パターンを示す。
一態様に従う、PCBと併合した面部品の部分を有する図141A及び141Bに示すアンテナと同様の例示的なアンテナ素子を示す。
一態様に従う、垂直偏波及び水平偏波給電点を更に詳細に示す、図153Aに示すアンテナ素子を示す。
一態様に従う、PCBの両面に2つの面部品を含む、図141A及び141Bに示すものと同様の例示的なアンテナ素子を示す。
一態様に従う、給電線の詳細図を含む、図154Aに示すアンテナ素子を更に詳細に示す。
一態様に従う、小型の面部品とメインPCBとを一緒にはんだ付けした後の図153Bの二偏波アンテナの斜視図である。
一態様に従う、メインPCBに対して併合した面部品の中を見る、図155Aに示すアンテナ素子の透視図を示す。
一態様に従う、図155Aに示すアンテナ素子の正面図を更に詳細に示す。
一態様に従う、図155Aに示すアンテナ素子の側面図を示す。
一態様に従う、図155Aに示すアンテナ素子についての二偏波のリターンロスSパラメータを示す。
いくつかの態様に従う、図155Aに示すアンテナ素子についての垂直フィードによる例示的な3D放射パターンを示す。
いくつかの態様に従う、図155Aに示すアンテナ素子についての水平フィードによる3D放射パターンを示す。
一態様に従う、図155Aに示すアンテナについての垂直偏波フィードE面放射パターンを示す。
一態様に従う、図155Aに示すアンテナ素子についての水平偏波フィードH面放射パターンを示す。
いくつかの態様に従う図155Aのアンテナの水平フィードE面パターンについての例示的な実現ゲインを示す。
いくつかの態様に従う、直交した垂直及び水平励振を有する例示的なアンテナ素子を示す。
いくつかの態様に従う、+45度及び-45度励振を有する例示的なアンテナ素子を示す。
いくつかの態様に従う、図159Bのアンテナの両方のポートのための同相励振の使用によって垂直(V)偏波を取得することを示す。
いくつかの態様に従う、図159Bのアンテナのポートにおいて180度位相ずれの励振の使用によって水平(H)偏波を取得することを示す。
いくつかの態様に従う、垂直及び水平励振ポートを有する図159Aのアンテナ素子を示す。
いくつかの態様に従う、図161Aのアンテナ素子についての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う、直交励振アンテナ素子を使用する例示的な4×4アレイの図を示す。
いくつかの態様に従う、偏波共用アンテナ素子を有する図162Aの4×4アレイについての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う、図162Aのアレイについて+45度走査角度励振についての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う逆相構成における例示的な偏波共用差動4ポートパッチアンテナを示す。
いくつかの態様に従う側面図での図163Aのアンテナ構成を示す。
いくつかの態様に従う、図162A及び162Bのアンテナ構成についてレベルL1~L6を含む例示的な積層構造体の積層を示す。
いくつかの態様に従う例示的なパッチアンテナ極性を示す。
いくつかの態様に従う交差偏波レベルの例示的な抑制を示す。
いくつかの態様に従う、図163A~163Cの4ポートアンテナ構成態様についての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う、給電源から4つのポートの夫々への給電線を有する例示的な4ポート励振アンテナトポロジを示す。
いくつかの態様に従う、給電線に重畳される積層型パッチアンテナの駆動パッチを有する図165Aの4ポート構成における給電線を示す。
図165Bの態様についての例示的な12レベルの積層を示す。
いくつかの態様に従う、給電網と一体化される4ポート素子を使用する例示的な4×4アンテナアレイ方式を示す。
いくつかの態様に従う、図166Aの4ポートアンテナアレイについての例示的なシミュレーションされた放射パターン結果を示す。 いくつかの態様に従う、図166Aの4ポートアンテナアレイについての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う、2ポート偏波共用アンテナ素子を使用する例示的なアンテナ構成を示す。
いくつかの態様に従う、図167Aのアンテナアレイについての例示的なシミュレーションされた放射パターン結果を示す。 いくつかの態様に従う、図167Aのアンテナアレイについての例示的なシミュレーションされた放射パターン結果を示す。
いくつかの態様に従う、2ポート偏波共用アンテナ素子を使用する他の例示的なアンテナ構成を示す。
いくつかの態様に従う図168Aについての放射パターンに対する例示的なシミュレーション結果を示す。 いくつかの態様に従う図168Aについての放射パターンに対する例示的なシミュレーション結果を示す。
いくつかの態様に従う、V2X(vehicle-to-everything)通信のための複数のアンテナアレイを有する例示的なマスト実装mmWaveアンテナブロックを示す。
いくつかの態様に従う、単一のエボルブドNodeB(evolved Node-B)(eNB)と通信するミリメートル波アンテナアレイにおける例示的なビームステアリング及びアンテナ切り替えを示す。
いくつかの態様に従う、複数のeNBと通信するミリメートル波アンテナアレイにおける例示的なビームステアリング及びアンテナ切り替えを示す。
いくつかの態様に従う、複数のアンテナアレイを有するアンテナブロックを使用する複数のデバイスとの例示的な同時ミリメートル波通信を示す。
いくつかの態様に従う、複数のアンテナアレイを含むアンテナブロックによるミリメートル波通信に使用され得る複数の例示的なビームを示す。
いくつかの態様に従う、図169の複数のアンテナアレイを有するアンテナブロックを使用する例示的なミリメートル波通信デバイスのブロック図である。
いくつかの態様に従う、移動電話に構成される例示的なビアアンテナアレイ(via-antenna array)の図である。
いくつかの態様に従う、ラップトップに構成される例示的なビアアンテナアレイの図である。
いくつかの態様に従う、マザーボードPCBに構成される例示的なビアアンテナアレイの図である。
いくつかの態様に従う多層PCBにおける例示的なビアアンテナ(via-antenna)の断面図である。
いくつかの態様に従う例示的なビアアンテナの斜視図である。
いくつかの態様に従うPCBの上部からの例示的なPCBビアアンテナ内部図の図である。
いくつかの態様に従う、PCBの底部から見た例示的なPCBビアアンテナの図である。
いくつかの態様に従う例示的なビアアンテナアレイの上面図である。
いくつかの態様に従うビアアンテナのための例示的な垂直フィードの図である。
いくつかの態様に従うビアアンテナのための例示的な水平フィードの図である。
いくつかの態様に従う、ダイポールビアアンテナとして構成される例示的な背中合わせのビア(back-to-back vias)の斜視図である。
いくつかの態様に従う、PCB積層を示すダイポールビアアンテナとして構成される例示的な背中合わせのビアの斜視図である。
いくつかの態様に従う図179A及び179Bのダイポールビアアンテナ構成についてのアンテナのリターンロスのグラフである。
いくつかの態様に従う、Ludwigの定義を使用した27.5GHzの周波数での図179A及び179Bのダイポールビアアンテナ構成についてのシミュレーションされたファーフィールドコプレーナー(coplanar)放射パターンである。
いくつかの態様に従う、Ludwigの定義を使用した28GHzの周波数での図179A及び179Bのダイポールビアアンテナ構成についての例示的なシミュレーションされたファーフィールドコプレーナー放射パターンである。
いくつかの態様に従う、Ludwigの定義を使用した29.5GHzの周波数での図179A及び179Bのダイポールビアアンテナ構成についての例示的なシミュレーションされたファーフィールドコプレーナー放射パターンである。
いくつかの態様に従う5G技術についての28GHzでの動作のための例示的な2素子ビアアンテナアレイ設計である。
いくつかの態様に従う図182の2素子ビアアンテナアレイ設計についてのアンテナのリターンロスのシミュレーショングラフである。
いくつかの態様に従う、27.5GHzの周波数で動作する図182の2素子ビアアンテナアレイのシミュレーションされた放射パターンである。
いくつかの態様に従う、29.5GHzの周波数で動作する図182の2素子ビアアンテナアレイのシミュレーションされた放射パターンである。
いくつかの態様に従う、PCBにおいて設計される例示的なビアアンテナの斜視図である。
いくつかの態様に従う図185のビアアンテナの接地面の底面図である。
いくつかの態様に従う図185のビアアンテナの側面図である。
いくつかの態様に従う図185のビアアンテナの斜視図である。
いくつかの態様に従う図185のビアアンテナについての例示的なビアアンテナのリターンロスのシミュレーショングラフである。
いくつかの態様に従う、表面波伝搬を低下させるためにPCBにおいて例示的なビアアンテナの周りに穴あけされた空気穴の図である。
いくつかの態様に従う3D円すいアンテナのための例示的な変性接地面の構成要素を示す。 いくつかの態様に従う3D円すいアンテナのための例示的な変性接地面の構成要素を示す。 いくつかの態様に従う3D円すいアンテナのための例示的な変性接地面の構成要素を示す。
様々な不良接地面を有する例示的な円すいアンテナを示す。
いくつかの態様に従う、異なるタイプの接地面を有する円すい形モノポールアンテナの例を示す。 いくつかの態様に従う、異なるタイプの接地面を有する円すい形モノポールアンテナの例を示す。 いくつかの態様に従う、異なるタイプの接地面を有する円すい形モノポールアンテナの例を示す。
いくつかの態様に従う図190A~190Cのアンテナ構造体の間の放射パターン比較を示す。 いくつかの態様に従う図190A~190Cのアンテナ構造体の間の放射パターン比較を示す。
いくつかの態様に従う図190A~190Cのアンテナ構造体のいくつかのより詳細な図である。 いくつかの態様に従う図190A~190Cのアンテナ構造体のいくつかのより詳細な図である。
いくつかの態様に従う図190A~190Cの例示的な3Dアンテナ構造体の上面図である。 いくつかの態様に従う図190A~190Cの例示的な3Dアンテナ構造体の底面図である。
いくつかの態様に従う図192A及び図192Bのアンテナのリターンロスの間のグラフ比較である。
いくつかの態様に従う図190A~190Cの接地構造体についての電界(E-field)分布を示す。 いくつかの態様に従う図190A~190Cの接地構造体についての電界分布を示す。 いくつかの態様に従う図190A~190Cの接地構造体についての電界分布を示す。
いくつかの態様に従う、変性接地面を有さず且つ変性接地面を有する例示的な5素子円すいアンテナアレイを示す。 いくつかの態様に従う、変性接地面を有さず且つ変性接地面を有する例示的な5素子円すいアンテナアレイを示す。 いくつかの態様に従う、変性接地面を有さず且つ変性接地面を有する例示的な5素子円すいアンテナアレイを示す。
いくつかの態様に従う、変性接地面を有さず且つ変性接地面を有する交差偏波放射パターン比較を示す。 いくつかの態様に従う、変性接地面を有さず且つ変性接地面を有する交差偏波放射パターン比較を示す。
いくつかの態様に従うアンテナ放射での接地面の効果を示す。 いくつかの態様に従うアンテナ放射での接地面の効果を示す。
いくつかの態様に従う、変性接地面を有する例示的なアンテナアレイについてのリターンロスの比較及び隔離比較を示す。
いくつかの態様に従う例示的な非変性接地アンテナアレイについてのアンテナ素子の間のリターンロスの比較及び隔離比較を示す。
いくつかの態様に従う、3Dアンテナと共に使用され得る、スロットを作られた変性接地面を有する例示的なPCBを示す。 いくつかの態様に従う、3Dアンテナと共に使用され得る、スロットを作られた変性接地面を有する例示的なPCBを示す。 いくつかの態様に従う、3Dアンテナと共に使用され得る、スロットを作られた変性接地面を有する例示的なPCBを示す。
スイッチ及びスプリットモードで動作する例示的な受信器のブロック図を示す。
いくつかの態様に従う、セグメント化された低雑音増幅器(low-noise amplifier)(LNA)及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う、連続的なキャリアアグリゲーション信号を処理するためにスプリットモードで動作する、セグメント化された低雑音増幅器(low-noise amplifier)(LNA)及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う、LNA入力で分かれる信号を用いてスイッチモードで動作するセグメント化されたLNA及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う、LNA入力で分かれる信号を用いてスプリットモードで動作するセグメント化されたLNA及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う例示的な局所発振器(local oscillator)(LO)信号生成回路のブロック図を示す。
いくつかの態様に従う、LNA出力で分かれる信号を用いてスイッチモードで動作するセグメント化された出力LNA及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う、LNA出力で分かれる信号を用いてスプリットモードで動作するセグメント化された出力LNA及びセグメント化されたミキサを使用する例示的な受信器のブロック図を示す。
いくつかの態様に従う、スイッチモードで動作する受信器についての例示的なLO分布方式を示す。
いくつかの態様に従う、スプリットモードで動作する受信器についての例示的なLO分布方式を示す。
いくつかの態様に従う、コネクタを使用する非モールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う例示的なモールド成型積層型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う例示的なモールド成型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、RFフロントエンドのスタンドアローン構成要素を有する例示的な計算プラットフォームの断面図を示す。
いくつかの態様に従う、積層又は基板内のRFフロントエンドの一体化された構成要素を有する例示的な計算プラットフォームの断面図を示す。
いくつかの態様に従う、積層/基板に部分的に実装される例示的なスマートデバイス又は例示的なコンピュータシステム若しくはシステム・オン・チップ(System-on-Chip)(SoC)を示す。
いくつかの態様に従う、ダイとアンテナとの間に構成される極薄の構成要素を使用する例示的なモールド成型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う、互いに積み重ねられる3つのパッケージを有するモールド成型パッケージ・オン・パッケージ埋め込みダイラジオシステムの側面図である。
いくつかの態様に従う5G及びWiGigのための例示的なmmWave RFアーキテクチャのハイレベルブロック図である。
いくつかの態様に従う5G及びWiGigのための例示的なmmWave RFアーキテクチャの周波数変換計画を示す。
いくつかの態様に従う5Gの40GHz周波数帯域についての周波数割り当ての図である。
いくつかの態様に従う、未使用の5G周波数帯域の間で2つの周波数帯域ストリームから第2周波数帯域ストリームをシフトするための例示的なシンセサイザソースを示す。
いくつかの態様に従う周波数の関数としての位相雑音電力を示す。
いくつかの態様に従う40GHz周波数帯域における5Gのための例示的な送信器アップコンバージョン周波数方式を示す。
いくつかの態様に従う30GHz周波数帯域における5Gのための例示的な送信器アップコンバージョン周波数方式を示す。
いくつかの態様に従う例示的なベースバンド集積回路(baseband integrated circuit)(BBIC)ブロック図の第1部分である。
いくつかの態様に従う例示的なベースバンド集積回路(BBIC)ブロック図の第2部分である。
いくつかの態様に従う例示的な詳細な無線周波数集積回路(radio frequency integrated circuit)(RFIC)ブロック図である。
いくつかの態様に従う例示的なmmWave及び5G通信システムのブロック図である。 いくつかの態様に従う例示的なmmWave及び5G通信システムのブロック図である。
いくつかの態様に従う、様々なチャネル選択肢の間でのスイープのための無線周波数(RF)、中間周波数(IF)及び局所発振器(LO)周波数の概略割り当てを示す。
いくつかの態様に従う例示的な固定LO送信器アップコンバージョン方式を示す。
いくつかの態様に従う、固定LOを有する第1変換に続いて変化するLOを有する第2変換を含む例示的なラジオシステムにおけるデュアルコンバージョンを示す。
いくつかの態様に従うデジタル時間変換器(digital-to-time converter)(DTC)構造体を示す。
いくつかの態様に従う、開ループで較正されるDTCアーキテクチャを示す。
いくつかの態様に従う、クロック周波数を増加させるためのDTCの時間インターリーブを示す。 いくつかの態様に従う図232Aのクロック信号を示す。
いくつかの態様に従うパルス整形を有する直列注入同期発振器を示す。
いくつかの態様に従う、mmWave周波数信号を提供する方法を示す。
いくつかの態様に従う受信器を示す。
いくつかの態様に従うフィードフォワード型等化器(feedforward equalizer)(FFE)の基本的な実装を示す。
いくつかの態様に従うFFEを示す。 いくつかの態様に従うFFEを示す。
いくつかの態様に従うアナログ信号等化を提供する方法を示す。
いくつかの態様に従う再構成可能な判定帰還型等化器(decision feedback equalizer)(DFE)の構成を示す。 いくつかの態様に従う再構成可能な判定帰還型等化器(DFE)の構成を示す。
いくつかの態様に従う再構成可能なDFEのセレクタ/Dフリップフロップ(D Flipflop)(DFF)の組み合わせ構成を示す。 いくつかの態様に従う再構成可能なDFEのセレクタ/Dフリップフロップ(DFF)の組み合わせ構成を示す。
いくつかの態様に従うDFEを構成する方法である。
いくつかの態様に従うmmWaveアーキテクチャを示す。
いくつかの態様に従う送信器ハイブリッドビームフォーミングアーキテクチャを示す。
いくつかの態様に従う通信レートのシミュレーションを示す。
いくつかの態様に従う信号対雑音比(signal-to-noise ratio)(SNR)のシミュレーションを示す。
いくつかの態様に従うビームフォーミングされたmmWave信号を通信する方法を示す。
いくつかの態様に従うトランシーバ構造体を示す。 いくつかの態様に従うトランシーバ構造体を示す。
いくつかの態様に従うトランシーバ構造体を示す。 いくつかの態様に従うトランシーバ構造体を示す。
いくつかの態様に従う適応分解能アナログデジタル変換器(analog-to-digital converter)(ADC)電力消費量を示す。
いくつかの態様に従うビットエラーレート(bit error rate)(BER)性能を示す。
いくつかの態様に従うビームフォーミングされたmmWave信号を通信する方法を示す。
いくつかの態様に従うトランシーバ構造体を示す。 いくつかの態様に従うトランシーバ構造体を示す。
いくつかの態様に従うアレイ構造体を示す。
いくつかの態様に従うグレーティングローブ(grating lobe)のシミュレーションを示す。
いくつかの態様に従う最適位相値のシミュレーションを示す。
いくつかの態様に従う最適位相値の他のシミュレーションを示す。
いくつかの態様に従う位相シフタについてのプロセスを示す。
いくつかの態様に従う位相値決定を示す。
いくつかの態様に従う性能比較を示す。
いくつかの態様に従う他の性能比較を示す。
いくつかの態様に従う、通信デバイスにおいてビームステアリングを提供する方法を示す。
いくつかの態様に従う電荷ポンプの態様を示す。 いくつかの態様に従う電荷ポンプの態様を示す。
いくつかの態様に従う電荷ポンプの態様を示す。
いくつかの態様に従う電荷ポンプの出力部分の簡略化した図を示す。 いくつかの態様に従う電荷ポンプの信号のタイミング図を示す。
いくつかの態様に従う電荷ポンプの動作を示す。 いくつかの態様に従う電荷ポンプの動作を示す。 いくつかの態様に従う電荷ポンプの動作を示す。
いくつかの態様に従う電荷ポンプの動作の総括を示す。 いくつかの態様に従う電荷ポンプの動作の総括を示す。 いくつかの態様に従う電荷ポンプの動作の総括を示す。
いくつかの態様に従う、電荷ポンプにおいて電荷を注入する方法を示す。
いくつかの態様に従う受信器アーキテクチャを示す。
いくつかの態様に従う受信器のフィルタ特性を示す。
いくつかの態様に従う受信器のBER性能を示す。
いくつかの態様に従う異なる受信器アーキテクチャを示す。
いくつかの態様に従う、受信器において干渉を補償する方法を示す。
いくつかの態様に従う干渉を示す。 いくつかの態様に従う干渉を示す。
いくつかの態様に従う受信器アーキテクチャを示す。
いくつかの態様に従うオーバーサンプリングされた信号を示す。
いくつかの態様に従う受信器のフィルタ特性を示す。 いくつかの態様に従う受信器のフィルタ特性を示す。
いくつかの態様に従うビームフォーミングパターンを示す。
いくつかの態様に従う受信器のBER性能を示す。
いくつかの態様に従う、受信器において量子化器ダイナミックレンジを低減する方法を示す。
いくつかの態様に従うADCシステム(ADC system)(ADCS)を示す。
いくつかの態様に従うADCSの異なる動作モードを示す。 いくつかの態様に従うADCSの異なる動作モードを示す。
いくつかの態様に従うコアADC平均化を示す。
いくつかの態様に従う平均化システムの分解能改善を示す。
いくつかの態様に従う、フレキシブルなADCアーキテクチャを提供する方法を示す。
いくつかの態様に従う受信器アーキテクチャを示す。
いくつかの態様に従う空間応答のシミュレーションを示す。
いくつかの態様に従うBERのシミュレーションを示す。
いくつかの態様に従う干渉除去のシミュレーションを示す。
いくつかの態様に従う、受信器において量子化器ダイナミックレンジを低減する方法を示す。
本明細書中で利用され得ると共に、いくつかの態様においてM個の並列低速ADCチャネルを使用して高速変換を実現するいくつかの態様に従う、タイムインターリーブ型アナログデジタル変換器(Time-Interleaved Analog to Digital Converter)(TI-ADC)アーキテクチャの例のブロック図である。
どのように全てのチャネルが例示的なTI-ADCに従う、M個の均一間隔の位相を有する同じサンプリング周波数FS(又は図291に示す、その逆T)で動作するかを示すタイミング図29100である。
本明細書中で開示される例に従う、ループバック設計を有するトランシーバ29200の例を示すブロック図である。
本明細書中で開示される例に従う処理を示すフローチャートである。
いくつかの態様に従う例示的なTI-ADCのブロック図である。
いくつかの態様に従う、高速変換を実現するTI-ADCの例のブロック図である。
いくつかの態様に従う、どのように全てのチャネルがM個の均一間隔の位相を有する同じサンプリング周波数FS(又は図296に示す、その逆T)で動作するかを示すタイミング図である。
いくつかの態様に従う、ゲイン補正を適用するための処理の例示的な実装を示すフローチャートである。
いくつかの態様に従う、AM/AM(入力振幅対出力振幅)のPA特性曲線の例を示すグラフである。
いくつかの態様に従う、AM/PM(入力振幅対出力位相変化)のPA特性曲線の例を示すグラフである。
本開示の例示的な態様に従うフェーズドアレイ送信器の部分のゲインモデルの例のブロック図である。
本開示の例示的な態様に従う、上記の送信器モデルが表し得る切り替え可能なトランシーバ部分の例のブロック図である。
本質的には図301に示すトランシーバ部分のレプリカのトランシーバ部分であるが、本開示の例示的な態様に従う、受信構成に入れられたスイッチを有する。
本開示の例示的な態様に従う、トランシーバ部分を含み得る全体のトランシーバ例のブロック図の部分である。 本開示の例示的な態様に従う、トランシーバ部分を含み得る全体のトランシーバ例のブロック図の部分である。
本開示の例示的な態様に従う、外部フェーズドアレイトランシーバ(external phased array transceiver)(EPAT)と通信するフェーズドアレイトランシーバを示すブロック図である。
本開示の例示的な態様に従う、トランシーバによって使用され得る処理の例を示すフローチャートである。
本開示の例示的な態様に従う、トランシーバによって使用され得る処理の他の例を示すフローチャートである。
いくつかの態様に従う全体の分散フェーズドアレイトランシーバシステムの例のブロック図の部分である。 いくつかの態様に従う全体の分散フェーズドアレイトランシーバシステムの例のブロック図の部分である。
いくつかの態様に従う受信器電力増幅器のブロック図である。
いくつかの態様に従う、所与の自動ゲイン制御(automatic gain control)(AGC)ゲイン設定について、EVM対受信電力をプロットしたグラフである。
いくつかの態様に従ってAGCゲイン設定が互いに或る程度の重複を有するときの、複数のAGCゲイン設定についてのEVM対受信電力曲線を含むグラフである。
いくつかの態様に従う、特定のAGCゲイン設定を作動させるための最適閾値を示すグラフである。
いくつかの態様に従う、最適閾値を決定するために利用され得る例示的な処理を示すフローチャートである。
いくつかの態様に従う無線周波数(radio frequency)(RF)フェーズドアレイシステムのブロック概略図である。
いくつかの態様に従う、局所発振器(local oscillator)(LO)フェーズドアレイシステムと呼ばれるフェーズドアレイ無線トランシーバの他のトポロジを示すブロック概略図である。
いくつかの態様に従う、デジタルフェーズドアレイシステムと呼ばれるフェーズドアレイ無線トランシーバ設計への第3選択肢を示すブロック概略図である。
いくつかの態様に従うSPARTAアレイの例示的なセル要素のブロック図である。
いくつかの態様に従うタイル状SPARTAセルを示すブロック図である。
いくつかの態様に従うウェハのダイスカットの図である。 いくつかの態様に従うウェハのダイスカットの図である。
いくつかの態様に従う、ウェハ処理されてアンテナアレイと結合され得る結合SPARTAアレイの図である。
いくつかの態様に従う、デジタルフェーズアレイのタイル化に使用され得るSPARTAセル(SPARTAセルの実装でもよい)を示すブロック図である。
いくつかの態様に従う、LO位相結合モードにおいて隣接セルの間でのLOフェーズドアレイのパイプライン化を示すブロック図である。
いくつかの態様に従う、LOフェーズアレイを使用するSPARTAセルタイル化を示すとともに、アクティブデータ変換器ADCを示すブロック図である。
いくつかの態様に従って夫々の行が単一のADCをシフト及び共有するLOフェーズにおいてタイル状にされるときの、ハイブリッドモードにおけるSPARTAアレイを示すブロック図である。
いくつかの態様に従う、アナログフェーズドアレイ結合動作モードについての隣接セルの間で結合するアナログフェーズドアレイのパイプライン化を示すブロック図である。
従来の同期型発振器の位相シフト特性を利用する、いくつかの態様に従う注入同期型(Injection-locked)(IL)に基づく位相変調回路の構成要素を示す概略図である。
いくつかの態様に従う、注入周波数に依然として同期されているときに、発振器の中心周波数が同期周波数に関して変化したときに、どのように出力位相及び振幅が変化するかを示すグラフである。
いくつかの態様に従う、データ入力としてベースバンド変調ビットでキャップDAC(cap-DAC)を制御することによって生成される位相φ1及びφ2を有する2つのシンボルを示すタイミング図である。
いくつかの態様に従う、キャリア周波数に関してカスケード型分数調波(sub-harmonic)注入同期型(injection-locked)アーキテクチャを使用する全360°位相変調でのILに基づく位相変調回路についてのブロック図である。
いくつかの態様に従う、2つの異なるオフセットで要素1及び2が同じベースバンドデータ信号(「11」、「00」)に供給される真の時間遅延に基づくビームフォーミングを示す複合グラフである。
いくつかの態様に従う、真の時間遅延ビームフォーミングを有する合成高調波ILに基づく位相変調を実装する4素子フェーズドアレイ送信器の例示的なアーキテクチャを示す概略ブロック図である。
いくつかの態様に従う、キャリア周波数の1/3で動作する注入同期型発振器の例を示すILに基づく位相変調回路についてのブロック図である。
いくつかの態様に従う、キャリア周波数の1/2で動作する注入同期型発振器の例を示すILに基づく位相変調回路についてのブロック図である。
いくつかの態様に従う、可能なI/Q値を示すコンステレーションマップであるグラフを用いた4位相偏移変調(quadrature phase-shift keying)(QPSK)(PAM2ワイヤーラインに基づく)変調(シンボル当たり2ビット)を示す図である。
いくつかの態様に従う、可能なI/Q値を示すコンステレーションマップであるグラフを用いた16QAM(PAM4ワイヤーラインに基づく)変調(シンボル当たり4ビット)を示す図である。
いくつかの態様に従うPAM2(QPSK)変調のための設計の図である。
いくつかの態様に従って提供されるデータ及びエラー値のテーブルである。
いくつかの態様に従うZの式及び第1テーブルの使用を示すグラフである。
いくつかの態様に従う、+3の値の上及び-3の値の下を除き、エラー値が全て-1である第2概念を示すテーブルである。
いくつかの態様に従う、第2テーブルを使用するZ関数のグラフである。
いくつかの態様に従うワイヤーラインのための典型的なボーレートCDRループのブロック概略図である。
いくつかの態様に従う、同相(I)及び直交(Q)入力の両方を有する新規なワイヤレスCDRループのブロック概略図である。
いくつかの態様に従う、図342の設計におけるモードに使用され得る様々なモード値を含むテーブルである。
いくつかの態様に従う、受信信号の振幅が受信器の動作中に変化するときの、受信器において実装され得る例示的なAGC回路のブロック概略図である。
いくつかの態様に従う、受信信号の振幅が受信器の動作中に変化するときの、受信器において実装され得る例示的なAGC処理のフローチャートである。
いくつかの態様に従う、単一アンテナ受信器において受信器信号のI/Q成分の夫々においてb=log(2n)ビットでの低分解能ADCについての量子化ビンを示す直交符号化についてのコンステレーショングラフである。
いくつかの態様に従う、3ビットADCについての量子化領域を示す直交符号化についてのコンステレーショングラフである。
いくつかの態様に従う、r及びrのみが単調増加及び減少する条件付き確率分布を示すグラフである。
いくつかの態様に従う条件付き確率分布の導関数を示すグラフである。
いくつかの態様に従う、従来の平均電力決定と比較した提案の電力推定アルゴリズムの推定性能の例を示すグラフである。
いくつかの態様に従う、新規なアルゴリズムのレイテンシーを示すグラフである。
いくつかの態様に従う、正規化平均二乗誤差(mean square error)(MSE)を比較するグラフである。
いくつかの態様に従う、均一45°位相雑音を有する平均二乗誤差(MSE)を示すグラフである。
いくつかの態様に従う、デジタルプロセッサを有するMIMO受信器の例を示すブロック概略図である。
いくつかの態様に従う、N個の同一のトランシーバスライス及びN個のアンテナ素子を有するビームフォーミング回路の例を示すブロック図である。
いくつかの態様に従う、アンテナアレイゲインが一定に保持される場合におけるアンテナのSNDR対入力電力をプロットしたグラフである。
いくつかの態様に従う、ゲイン制御を可能にするようアンテナアレイゲインが変化する場合におけるアンテナのSNDR対入力電力をプロットしたグラフである。
いくつかの態様に従う、アンテナアレイにおけるアクティブな素子の数に対する放射電力及び相対電流ドレインを示すグラフである。
いくつかの態様に従う、Rxのための動作条件のトレードオフを示すグラフである。
いくつかの態様に従う、Txのための動作条件のトレードオフを示すグラフである。
いくつかの態様に従って使用され得る受信処理の例を示すフローチャートである。
いくつかの態様に従って使用され得る送信処理の例を示すフローチャートである。
いくつかの態様に従うDACアーキテクチャの概略図である。
本明細書中に記載されるデバイスの1つの実装に従う階層構造の概略図である。
いくつかの態様に従う、送信アンテナ及び受信アンテナが整列している(すなわち、並列である)ときの主偏波(co-polarization)及び交差偏波(cross-polarization)を示すグラフの対を含む、結合チャート図である。
いくつかの態様に従う、送信アンテナ及び受信アンテナが整列していない(すなわち、並列でない)ときの主偏波及び交差偏波を示すグラフの対を含む、結合チャート図である。
いくつかの態様に従う、MSFFPE設計を使用する受信器の例である。
従来の加算器を示す回路図である。
いくつかの態様に従う、関係する差がハイライトされたDFE加算器の統合を示す回路図である。
いくつかの態様に従う、DFE加算器設計についての更なる詳細を提供する概略図である。
いくつかの態様に従う、加算増幅器出力信号及びstrong-arm-1信号に関するクロック信号を示すDFE加算器設計に関するグラフである。
いくつかの例証となる態様に従うRFデバイスのブロック図の概略図である。
いくつかの例証となる態様に従うRFデバイスのブロック図の概略図である。
いくつかの例証となる態様に従う双方向増幅器回路の概略図である。
いくつかの例証となる態様に従う双方向増幅器回路の概略図である。
いくつかの例証となる態様に従う双方向増幅器回路の概略図である。
いくつかの例証となる態様に従う、アクティブ双方向スプリッタ及びコンバイナ(active bidirectional splitter and combiner)(ABDSC)のカスコードトポロジを含むトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従うABDSCのコモンソース(common source)トポロジの回路図の概略図である。
いくつかの例証となる態様に従うABDSCのコモンゲート(common gate)トポロジの回路図の概略図である。
いくつかの例証となる態様に従うABDSCのコモンゲート/コモンソース(CS/CG)トポロジの概略図である。
いくつかの例証となる態様に従う送信器のアーキテクチャのブロック図の概略図である。
いくつかの例証となる態様に従う積層型ゲート制御増幅器の電子回路の概略図である。
いくつかの例証となる態様に従う積層型ゲート制御増幅器の電子回路の概略図である。
いくつかの例証となる態様に従う、積層型ゲート変調デジタル電力増幅器(PA)を含む送信器のブロック図の概略図である。
いくつかの例証となる態様に従うマルチレベル高速アイダイアグラムの動的な実現の概略図である。 いくつかの例証となる態様に従うマルチレベル高速アイダイアグラムの動的な実現の概略図である。
いくつかの例証となる態様に従う、入力直列切り替え増幅器に対応する性能改善グラフを示す。 いくつかの例証となる態様に従う、入力直列切り替え増幅器に対応する電力低減グラフを示す。
いくつかの例証となる態様に従う、NビットデジタルPAに対応する振幅分解能グラフを示す。 いくつかの例証となる態様に従う、NビットデジタルPAに対応する電力効率グラフを示す。
いくつかの例証となる態様に従う、前段にドライバ増幅器を有する積層型ゲート制御増幅器のドレイン効率対電力飽和を示す。
いくつかの例証となる態様に従う送信器のブロック図の概略図である。
いくつかの例証となる態様に従う、サブクォーター波長(Sub-Quarter Wavelength)(SQWL)バランを使用し得る二段式ドハティ増幅器のブロック図の概略図である。
いくつかの例証となる態様に従うトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従う送信器のブロック図の概略図である。
いくつかの例証となる態様に従う、SQWLバランを負荷として使用するアウトフェージング増幅器のブロック図の概略図である。
いくつかの例証となる態様に従うトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従う位相シフト回路の電子回路設計の概略図である。
いくつかの例証となる態様に従うコンステレーションポイントマップの第1象限の概略図である。
いくつかの例証となる態様に従う、理想的な位相シフトされたコンステレーションポイントに対するコンステレーションポイントのゲイン変化を示すグラフの概略図である。
いくつかの例証となる態様に従うトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従うトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従う直交局所発振器(LO)発生器の概略図である。
いくつかの例証となる態様に従う受動型(passive)直交LO発生器の概略図である。
いくつかの例証となる態様に従う送信器のブロック図の概略図である。
いくつかの例証となる態様に従って実装され得る複数のチャネル帯域幅に対応する複数のチャネルの帯域設計の概略図である。
いくつかの例証となる態様に従う、低帯域増幅器及び高帯域増幅器のゲイン応答を示すグラフの概略図である。
いくつかの例証となる態様に従う変圧器の概略図である。
いくつかの例証となる態様に従う無線通信装置のブロック図の概略図である。
いくつかの例証となる態様に従うインピーダンス整合スイッチの概略図である。
いくつかの例証となる態様に従うトランシーバのブロック図の概略図である。
いくつかの例証となる態様に従う半二重通信トランシーバのブロック図の概略図である。
いくつかの例証となる態様に従う双方向ミキサの概略図である。
本開示のいくつかの態様に従うフェーズドアレイトランシーバを示す。
本開示のいくつかの態様に従う、カバレッジの元の低減角度を有するアンテナアレイを示す。
本開示のいくつかの態様に従う、放射ビームを偏向させてカバレッジ角度を広げるためにフェーズドアレイアンテナと共に使用されるレンズを示す。
本開示のいくつかの態様に従う、放射ビームを偏向させてカバレッジ角度を広げるためにフェーズドアレイと共に使用される凹形反射体を示す。
本開示のいくつかの態様に従う、第1構成において印刷反射体と共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、第1構成においてカセグレン(Cassegrain)アンテナと共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、第2構成において印刷反射体と共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、第2構成においてカセグレンアンテナと共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、第3構成において印刷反射体と共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、第3構成においてカセグレンアンテナと共に使用される複数のフェーズドアレイを示す。
本開示のいくつかの態様に従う、反射アンテナと共に使用される複数のフェーズドアレイから生じるセクタ化の上面図を示す。
本開示のいくつかの態様に従うセクタ化走査領域の夫々のセクタにおける走査を示す。
本開示のいくつかの態様に従う、アンテナがユーザデバイス内に具現され得るパッケージを示す。
本開示のいくつかの態様に従う、図418のパッケージに具現される1×4ダイポールアレイの実現ゲインのグラフを示す。
本開示のいくつかの態様に従う、図419のグラフに関連する放射パターンを示す。
本開示のいくつかの態様に従う、積層型パッチアンテナのためのアンテナ接地面及び反射体としての集積回路(IC)シールドの使用を示す。
本開示のいくつかの態様に従う、給電機構を介した非対称性を示す図421に示すモノポールアンテナの側面図を示す。
本開示のいくつかの態様に従う、図421に示すモノポールアンテナの特定の次元を示す。 本開示のいくつかの態様に従う、図421に示すモノポールアンテナの特定の次元を示す。 本開示のいくつかの態様に従う、図421に示すモノポールアンテナの特定の次元を示す。
本開示のいくつかの態様に従う、モバイルプラットフォームを有するアンテナアレイ構成における図421及び422のモノポールアンテナのパッチ素子を示す。
本開示のいくつかの態様に従う、モノポールを有するダイポールへダイポールアンテナを移行させる表面実装型デバイス(SMD)アンテナを有するダイポールアンテナを示す。
本開示のいくつかの態様に従う図424Aのアンテナのダイポール部分の斜視図である。
本開示のいくつかの態様に従う結合型ダイポール及びモノポールアンテナを示す。
本開示のいくつかの態様に従う図424Aのアンテナのモノポール部分の斜視図を示す。
本開示のいくつかの態様に従う図424A及び424Dのアンテナの側面図である。
本開示のいくつかの態様に従う図424Aのアンテナの放射パターンを示す。
図426Aは、図424Aのアンテナの放射パターンのエレベーションカット(elevation cut)を示す。図426Bは、本開示のいくつかの態様に従う図424Bのアンテナの放射パターンを示す。
本開示のいくつかの態様に従う、反射体として使用されるICシールドを有するSMD L字形ダイポールの側面図を示す。
本開示のいくつかの態様に従う、図427Aに示す、反射体として使用されるICシールドを有するSMD L字形ダイポールの斜視図を示す。
一態様に従う4つのSMD L字形ダイポールのアレイの斜視図を示す。
本開示のいくつかの態様に従って取り消された領域を有する垂直偏波のための図428のアレイを示す。
本開示のいくつかの態様に従って追加された領域を有する垂直偏波のための図428のアレイを示す。
本開示のいくつかの態様に従って追加された領域を有する水平偏波のための図428のアレイを示す。
本開示のいくつかの態様に従って取り消された領域を有する水平偏波のための図428のアレイを示す。
本開示のいくつかの態様に従う垂直(シータ)偏波についての3次元放射パターンを示す。
本開示のいくつかの態様に従う水平(パイ)偏波についての3次元放射パターンを示す。
本開示のいくつかの態様に従う単一SMDモノポールアンテナを示す。
本開示のいくつかの態様に従う3次元放射パターンを示す。
本開示のいくつかの態様に従う単一モノポールのインピーダンスのプロットを示す。
本開示のいくつかの態様に従う周波数にわたる単一モノポールのリターンロスを示す。
本開示のいくつかの態様に従う単一モノポールからのX-Z面における実現垂直偏波(θ)ゲインを示す。
本開示のいくつかの態様に従う単一モノポールからのエンドファイアの上の15°における実現垂直偏波(θ)ゲインを示す。
本開示のいくつかの態様に従う2素子モノポール及び2素子ダイポールアレイを示す。
本開示のいくつかの態様に従う60GHzにおける図439の2ダイポールアレイの3次元放射パターンを示す。
本開示のいくつかの態様に従う図439の2ダイポールアレイからのエンドファイア方向における周波数にわたる実現水平極性(φ)ゲインを示す。
本開示のいくつかの態様に従う60GHzにおける図439の2モノポールアレイの3次元放射パターンを示す。
本開示のいくつかの態様に従う実現垂直極性(θ)を示す。
本開示のいくつかの態様に従う単一パッチ、デュアル給電、二重偏波垂直SMDパッチアンテナを示す。
本開示のいくつかの態様に従う積層型パッチ、単一給電、単一偏波垂直SMDパッチアンテナを示す。
本開示のいくつかの態様に従う水平SMDパッチアンテナを示す。
本開示のいくつかの態様に従う、網目模様(cross-hatched pattern)を使用する垂直SMDパッチアンテナを示す。
本開示のいくつかの態様に従う、円偏波を有するSMDスパイラルアンテナを示す。
本開示のいくつかの態様に従うSMD内のスパイラルアンテナの実装を示す。
本開示のいくつかの態様に従う筐体上のディレクタへの結合放射を示す。
本開示のいくつかの態様に従う、アンテナを形成するICシールド壁面切り欠きの斜視図である。
本開示のいくつかの態様に従う、図451Aに示すアンテナを含む壁面切り欠きの側面図である。
本開示のいくつかの態様に従う、アンテナアレイのアンテナ素子を含む壁面切り欠き及び上面切り欠きを有するICシールドの斜視図である。
本開示のいくつかの態様に従う、アンテナアレイのアンテナ素子を含む第1壁面切り欠き及び第2壁面切り欠きを有するICシールドの斜視図である。
本開示のいくつかの態様に従う、単一偏波設計のための送信/受信(TR)スイッチを含むパッチアンテナ及びRF給電線接続を示す。
本開示のいくつかの態様に従う、二重偏波設計のためのTRスイッチを含むパッチアンテナ及びRF給電線接続を示す。
本開示のいくつかの態様に従う、TX給電線整合点と比較したときに一方にわずかにオフセットされたRX給電線整合点についてのアンテナ給電線を有する単一偏波設計におけるパッチアンテナを示す。
本開示のいくつかの態様に従う、両方の偏波のための、TX給電線整合点と比較したときに一方にわずかにオフセットされたRX給電線整合点についてのアンテナ給電線を有する二重偏波設計におけるパッチアンテナを示す。
本開示のいくつかの態様に従う、アンテナ給電線整合点に直接に接続されたTX給電線及びRX給電線の単一偏波実装を示す。
本開示のいくつかの態様に従う、アンテナ給電線整合点に直接に接続された、水平偏波TX給電線及びRX給電線並びに垂直偏波TX給電線及びRX給電線の二重偏波実装を示す。
本開示のいくつかの態様に従うICシールドを示す。
本開示のいくつかの態様に従う、アンテナゲイン及び指向性を広げるための隆起(bulge)又は広がりを有するICシールドを示す。
本開示のいくつかの態様に従う、ダイポールアンテナ素子のアレイのゲインを改善するためのICシールドを有する折り返しの広がりの使用を示す。
本開示のいくつかの態様に従う、隆起の理由でシールド構造に発生する穴を示す。
本開示のいくつかの態様に従う図454Dの隆起及び穴の詳細斜視図である。
本開示のいくつかの態様に従う、シールド反射体を有する結合型パッチアンテナ及びダイポールアンテナアレイの上面図である。
本開示のいくつかの態様に従う図455のアンテナアレイの側面図である。
本開示のいくつかの態様に従う、ユーザデバイスにおける大きい障害物を迂回するためのパッチアレイで使用されるインターポーザの斜視図である。
本開示のいくつかの態様に従う、ICシールド蓋を示す図457のインターポーザの斜視図である。
本開示のいくつかの態様に従う、マイナス90(-90)度に示すエンドファイア方向を有する、図458Aのダイポールアンテナアレイについての放射パターンの垂直図である。
本開示のいくつかの態様に従う、様々な方向におけるインターポーザの高さの関数としての図457及び458Aのパッチアンテナアレイの実現ゲインを示す。
本開示のいくつかの態様に従うデュアル帯域、二重偏波動作のための結合型パッチ及びスロットアンテナの斜視図である。
本開示のいくつかの態様に従う図460Aの結合型パッチ及びスロットアンテナの側面図である。
図461Aは、本開示のいくつかの態様に従うチップ上アンテナ(antenna-on-a-chip)(AOC)の分解図である。図461Bは、本開示のいくつかの態様に従う、図461AのAOCを含むアンテナの底面図である。
本開示のいくつかの態様に従う図461AのAOCの側面図である。
本開示のいくつかの態様について寸法を含む図461AのAOCの他の底面図である。
本開示のいくつかの態様に従う図461A~461C及び462のチップ上アンテナについての放射パターンである。
本開示のいくつかの態様に従うパッケージ・オン・パッケージ実装における埋め込みダイについてのAOCの他の図を示す。
本開示のいくつかの態様に従う、パッチの高さで除算されたシリコンの高さの関数としての放射効率の図である。
本開示のいくつかの態様に従う、パッチの高さで除算されたシリコンの高さの関数としてのdBi単位での実現ゲインの図である。
本開示のいくつかの態様に従う、チップ概要を象徴的に示すと共に、チップ上のアンテナ及び回路の関係を含むAOCの他の図である。
本開示のいくつかの態様に従う、本明細書中で説明する技術又は方法論のうちのいずれか1つ以上が実行され得る例示的な機械のブロック図を示す。
本開示のいくつかの態様に従う、無線通信デバイスにおいて実現され得るプロトコル機能を示す。
本開示のいくつかの態様に従う、無線通信デバイス又は無線通信システムに関して実装され得る様々なプロトコルエンティティを示す。
本開示のいくつかの態様に従う、媒体アクセス制御レイヤ機能を実装するために使用され得る媒体アクセス制御(medium access control)(MAC)エンティティを示す。
本開示のいくつかの態様に従う、図469のMACエンティティによって符号化及び復号され得るPDUのフォーマットを示す。 本開示のいくつかの態様に従う、図469のMACエンティティによって符号化及び復号され得るPDUのフォーマットを示す。
本開示のいくつかの態様に従う、図469のMACエンティティに関して使用され得る様々なサブヘッダを示す。 本開示のいくつかの態様に従う、図469のMACエンティティに関して使用され得る様々なサブヘッダを示す。 本開示のいくつかの態様に従う、図469のMACエンティティに関して使用され得る様々なサブヘッダを示す。
本開示のいくつかの態様に従う、無線リンク制御(radio link control)(RLC)レイヤエンティティ内に含まれる機能を示す。
本開示のいくつかの態様に従うTMD PDUを示す。
本開示のいくつかの態様に従うUMD PDUを示す。 本開示のいくつかの態様に従うUMD PDUを示す。
本開示のいくつかの態様に従うAMD PDUを示す。 本開示のいくつかの態様に従うAMD PDUを示す。
本開示のいくつかの態様に従うSTATUS PDUを示す。
本開示のいくつかの態様に従う、パケット・データ・コンバージェンス・プロトコル(packet data convergence protocol)(PDCP)レイヤエンティティ内に含まれ得る機能の態様を示す。
本開示のいくつかの態様に従う、PDCPエンティティによって送信及び受信され得るPDCP PDUを示す。
本開示のいくつかの態様に従う無線リソース制御(radio resource control)(RRC)レイヤのインスタンス間の通信の態様を示す。
本開示のいくつかの態様に従う、ユーザ機器(user equipment)(UE)において実装され得るRRCの状態を示す。
5G mmWaveに基づく通信の進展によって、限られた通信範囲、アンテナシステムの方向性、大規模アンテナアレイを用いた所望の方向性及びビームフォーミングの実現、大気減衰損失による信号減衰、及び固体材料を通じた高い減衰のように、いくつかの課題が進化している。本明細書中で記載される技術は、5G mmWaveに基づく通信に関連する課題に対処するために、デジタルベースバンド回路、送信回路、受信回路、無線周波数回路、プロトコル処理回路及びアンテナアレイに関して使用され得る。
例えば、「処理」、「計算」、「算出」、「決定」、「確立」、「分析」、「検査」等のような語を利用した本明細書中の説明は、コンピュータのレジスタ及び/メモリ内で物理(例えば、電子)量として表されるデータを、コンピュータのレジスタ及び/又はメモリ又は動作及び/又は処理を実行するための命令を記憶し得る他の情報記憶媒体内の物理量として同様に表される他のデータに操作及び/又は変換するコンピュータ、計算プラットフォーム、計算システム又は他の電子計算デバイスの動作及び/又は処理を言及してもよい。
本明細書中で使用されるように、「複数」との語は、例えば、「多数」又は「2つ以上」を含む。例えば、「複数のアイテム」は2つ以上のアイテムを含む。
「一態様」、「態様」、「例示的な態様」、「いくつかの態様」、「例証となる態様」、「様々な態様」等への言及は、このように記載される態様が特定の特徴、構造又は特性を含んでもよいが、あらゆる態様が必ずしも特定の特徴、構造又は特性を含むとは限らないことを示す。更に、「一態様における」との語句の繰り返しの使用は、必ずしも同じ態様を言及するとは限らないが、そうであってもよい。
本明細書中で使用されるように、特段の定めがない限り、共通の対象物を記載するための順序の形容詞である「第1」、「第2」、「第3」等の使用は、同様の対象物の異なるインスタンスが言及されることを単に示し、このように記載される対象物が時間的に、空間的に、ランクで、あるいは他の方式で、所与のシーケンスになければならないことを意味するよう意図されるのではない。
いくつかの態様は、様々なデバイス及びシステム、例えば、ユーザ機器(UE)、モバイルデバイス(MD)、無線局(STA)、パーソナルコンピュータ(PC)、デスクトップコンピュータ、モバイルコンピュータ、ラップトップコンピュータ、ノートブックコンピュータ、タブレットコンピュータ、サーバコンピュータ、ハンドヘルドコンピュータ、センサデバイス、IoT(Internet of Things)デバイス、ウェアラブルデバイス、ハンドヘルドデバイス、パーソナルデジタルアシスタント(PDA)デバイス、ハンドヘルドPDAデバイス、オンボードデバイス、オフボードデバイス、ハイブリッドデバイス、車両デバイス、非車両デバイス、モバイル又はポータブルデバイス、消費者用デバイス、非モバイル又は非ポータブルデバイス、無線通信局、無線通信デバイス、無線アクセスポイント(AP)、有線又は無線ルータ、有線又は無線モデム、ビデオデバイス、オーディオデバイス、オーディオビデオ(A/V)デバイス、有線又は無線ネットワーク、無線エリアネットワーク、無線ビデオエリアネットワーク(Wireless Video Area Network)(WVAN)、ローカルエリアネットワーク(LAN)、無線LAN(WLAN)、パーソナルエリアネットワーク(PAN)、無線PAN(WPAN)等と共に使用されてもよい。
いくつかの態様は、例えば、既存のIEEE802.11標準(IEEE802.11-2016(IEEE 802.11-2016, IEEE Standard for Information technology-Telecommunications and information exchange between systems Local and metropolitan area networks--Specific requirements Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications, December 7, 2016);IEEE802.11ay(P802.11ay Standard for Information Technology--Telecommunications and Information Exchange Between Systems Local and Metropolitan Area Networks--Specific Requirements Part 11: Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications--Amendment: Enhanced Throughput for Operation in License-Exempt Bands Above 45 GHz)を含む)及び/又はその将来のバージョン及び/又は派生に従って動作するデバイス及び/又はネットワーク、既存のWFA(WiFi Alliance)P2P(Peer-to-Peer)仕様(WiFi P2P technical specification, version 1.5, August 4, 2015を含む)及び/又はその将来のバージョン及び/又は派生に従って動作するデバイス及び/又はネットワーク、既存のWGA(Wireless-Gigabit-Alliance)仕様(Wireless Gigabit Alliance, Inc WiGig MAC and PHY Specification Version 1.1, April 2011, Final specificationを含む)及び/又はその将来のバージョン及び/又は派生に従って動作するデバイス及び/又はネットワーク、既存のセルラ仕様及び/又はプロトコル、例えば、3GPP(3rd Generation Partnership Project)LTE(Long Term Evolution)及び/又はその将来のバージョン及び/又は派生に従って動作するデバイス及び/又はネットワーク、上記のネットワークの一部であるユニット及び/又はデバイス等と共に使用されてもよい。
いくつかの態様は、一方向及び/又は双方向無線通信システム、セルラ無線電話通信システム、移動電話、セルラ電話、無線電話、パーソナル通信システム(PCS)デバイス、無線通信デバイスを組み込んだPDAデバイス、モバイル又はポータブル・グローバルポジショニングシステム(GPS)デバイス、GPS受信器又はトランシーバ若しくはチップを組み込んだデバイス、RFID素子又はチップを組み込んだデバイス、多入力多出力(MIMO)トランシーバ又はデバイス、単一入力多出力(SIMO)トランシーバ又はデバイス、多入力単一出力(MISO)トランシーバ又はデバイス、1つ以上の内部アンテナ及び/又は外部アンテナを有するデバイス、デジタルビデオ放送(DVB)デバイス又はシステム、多標準ラジオデバイス又はシステム、有線又は無線ハンドヘルドデバイス、例えば、スマートフォン、ワイヤレスアプリケーションプロトコル(WAP)デバイス等と共に使用されてもよい。
いくつかの態様は、1つ以上のタイプの無線通信信号及び/又はシステム、例えば、無線周波数(RF)、赤外線(IR)、周波数分割多重(FDM)、直交FDM(OFDM)
、直交周波数分割多元接続(OFDMA)、空間分割多元接続(SDMA)、FDM時分割多重(TDM)、時分割多元接続(TDMA)、マルチユーザMIMO(MU-MIMO)~、拡張TDMA(E-TDMA)、汎用パケット無線サービス(GPRS)、拡張GPRS、符号分割多元接続(CDMA)、広帯域CDMA(WCDMA)、CDMA2000、シングルキャリアCDMA、マルチキャリアCDMA、マルチキャリア変調(MDM)、離散マルチトーン(Discrete Multi-Tone)(DMT)、ブルートゥース(登録商標)、グローバルポジショニングシステム(GPS)、Wi-Fi、Wi-Max、ZigBee(登録商標)、超広帯域(UWB)、GSM(Global System for Mobile communication)、2G、2.5G、3G、3.5G、4G、第5世代(5G)モバイルネットワーク、3GPP(登録商標) LTE(Long Term Evolution)、LTEアドバンスト、EDGE(Enhanced Data rates for GSM(登録商標) Evolution)等と共に使用されてもよい。他の態様は、様々な他のデバイス、システム及び/又はネットワークにおいて使用されてもよい。
本明細書中で使用されるように、「無線デバイス」との語は、例えば、無線通信可能なデバイス、無線通信可能な通信デバイス、無線通信可能な通信局、無線通信可能なポータブル又は非ポータブルデバイス等を含む。いくつかの例証となる態様において、無線デバイスは、コンピュータに統合された周辺機器又はコンピュータに取り付けられた周辺機器でもよく、あるいは、それを含んでもよい。いくつかの例証となる態様において、「無線デバイス」との語は、任意に、無線サービスを含んでもよい。
通信信号に関して本明細書中で使用されるように、「通信」との語は、通信信号の送信及び/又は通信信号の受信を含む。例えば、通信信号を通信可能な通信ユニットは、通信信号を少なくとも1つの他の通信ユニットへ送信するための送信器、及び/又は少なくとも1つの他の通信ユニットから通信信号を受信するための受信器を含んでもよい。通信するとの動詞は、送信する動作及び/又は受信する動作を言及するために使用されてもよい。一例において、「信号を通信する」との語句は、第1デバイスによって信号を送信する動作を言及してもよく、必ずしも第2デバイスによって信号を受信する動作を含まなくてもよい。他の例では、「信号を通信する」との語句は、第1デバイスによって信号を受信する動作を言及してもよく、必ずしも第2デバイスによって信号を送信する動作を含まなくてもよい。
いくつかの例証となる態様は、WLAN、例えば、WiFiネットワークと共に使用されてもよい。他の態様は、他の適切な無線通信ネットワーク、例えば、無線エリアネットワーク、「ピコネット」、WPAN、WVAN等と共に使用されてもよい。
いくつかの例証となる態様は、45ギガヘルツ(GHz)の上の周波数帯域、例えば、60GHzで通信する無線通信ネットワークと共に使用されてもよい。しかし、他の態様は、他の適切な無線通信周波数帯域、例えば、EHF(Extremely High Frequency)帯域(ミリメートル波(mmWave周波数帯域))、例えば、20GHzと300GHzとの間の周波数帯域内の周波数帯域、45GHzの上の周波数帯域、20GHzの下の周波数帯域、例えば、サブ1GHz(S1G)帯域、2.4GHz帯域、5GHz帯域、WLAN周波数帯域、WPAN周波数帯域、WGA仕様に従う周波数帯域等を利用して実現されてもよい。
本明細書中で使用されるように、「回路」との語は、例えば、1つ以上のソフトウェア若しくはファームウェアプログラム、組み合わせ論理回路、及び/又は記載の機能を提供する他の適切なハードウェアコンポーネントを実行する特定用途向け集積回路(ASIC)、集積回路、電子回路、プロセッサ(共有、専用又はグループ)及び/又はメモリ(共有、専用又はグループ)の一部を言及してもよく、あるいは含んでもよい。いくつかの態様において、回路は、ハードウェアで少なくとも部分的に動作可能なロジックを含んでもよい。いくつかの態様において、回路は、ラジオ仮想マシン(radio virtual machine)(RVM)の一部として及び/又はその形式で、例えば、1つ以上のラジオ構成要素の1つ以上の動作及び/又は機能を構成するためのコードを実行するよう構成されるラジオプロセッサ(Radio processor)(RP)の一部として実装されてもよい。
「ロジック」との語は、例えば、計算装置の回路に埋め込まれた計算ロジック及び/又は計算装置のメモリに記憶された計算ロジックを言及してもよい。例えば、ロジックは、計算機能及び/又は動作を実行するために、計算ロジックを実行するよう計算装置のプロセッサによってアクセス可能になってもよい。一例において、ロジックは、様々なタイプのメモリ及び/又はハードウェア、例えば、様々なチップ及び/又はプロセッサのシリコンブロックに埋め込まれてもよい。ロジックは、様々な回路、例えば、ラジオ回路、受信器回路、制御回路、送信器回路、トランシーバ回路、プロセッサ回路等の一部に含まれてもよく、及び/又はその一部として実現されてもよい。一例において、ロジックは、ランダムアクセスメモリ、読み出し専用メモリ、プログラム可能メモリ、磁気メモリ、フラッシュメモリ、永久メモリ等を含む揮発性メモリ及び/又は不揮発性メモリに埋め込まれてもよい。ロジックは、例えば、ロジックを実行するために必要なように、1つ以上のプロセッサに結合されるメモリ、例えば、レジスタ、バッファ、スタック等を使用して、1つ以上のプロセッサによって実行されてもよい。
本明細書中で使用されるように、「アンテナ」との語は、1つ以上のアンテナ素子、コンポーネント、ユニット、アセンブリ及び/又はアレイのいずれか適切な構成、構造及び/又は配置を含んでもよい。いくつかの態様において、アンテナは、別個の送信及び受信アンテナ素子を使用して送信及び受信機能を実装してもよい。いくつかの態様において、アンテナは、共通の及び/又は統合された送信/受信素子を使用して送信及び受信機能を実装してもよい。アンテナは、例えば、フェーズドアレイアンテナ、単一素子アンテナ、スイッチドビームアンテナ等を含んでもよい。
本明細書中で使用されるように、「ピア・ツー・ピア(peer to peer)(PTP)通信」との語句は、デバイス間の無線リンク(「ピア・ツー・ピア・リンク」)上でのデバイス対デバイス通信に関係してもよい。PTP通信は、例えば、WFD(WiFi Direct)通信、例えば、WFDピア・ツー・ピア(P2P)通信、サービス品質(Quality of Service)(QoS)基本サービスセット(basic service set)(BSS)内の直接リンク上での無線通信、TDLS(tunneled direct-link setup)リンク、独立基本サービスセット(independent basic service set)(IBSS)におけるSTA対STA通信等を含んでもよい。
いくつかの例証となる態様は、WiFi通信に関して本明細書中で記載される。しかし、他の態様は、他の通信方式、ネットワーク、標準及び/又はプロトコルに関して実装されてもよい。
いくつかの例証となる態様において、無線通信デバイスは、例えば、以下に記載するようなミリメートル波(mmWave)無線フロントエンドモジュール(RFEM)を実装してもよい。
ミリメートル波は、約30GHzから約300GHzにわたる周波数範囲として定義されてもよく、実際に現在では、いくつかの離散的なライセンス及びアンライセンス周波数帯域をカバーする。
現在利用可能なアンライセンスmmWave周波数帯域は、60GHzの付近にある。ライセンス周波数帯域は、28GHz、39GHz、73GHz及び120GHzを含む可能性が高い。これらの帯域の可用性及び夫々の具体的な周波数範囲は規制管轄によって変わり、いくつかの場合には(特にライセンス帯域動作について)、いくつかの国における規制に関して依然として有意な不確実性が存在する。mmWaveに基づくセルラ通信に関連する課題は、限られた範囲と、当該範囲のアンテナの方向性と、トレース(trace)の代わりの通常のケーブルの使用の理由での信号損失と、ビームフォーミングのために複数のアンテナを統合することに関する課題とを含む。これらの課題は、以下に説明するように、この特許においていくつかの態様に従って対処され、偏波の刷新の使用と、信号損失を回避するためのトレース及び他のラインの使用と、ビームフォーミングにおいて使用する改善した能力とを含んでもよい。
図1は、いくつかの態様に従う例示的なユーザデバイスを示す。ユーザデバイス100は、いくつかの態様においてモバイルデバイスでもよく、アプリケーションプロセッサ105と、ベースバンドプロセッサ110(ベースバンドサブシステムとも呼ばれる)と、無線フロントエンドモジュール(RFEM)115と、メモリ120と、接続性サブシステム125と、近距離通信(NFC)コントローラ130と、オーディオドライバ135と、カメラドライバ140と、タッチスクリーン145と、ディスプレイドライバ150と、センサ155と、取り外し可能メモリ160と、電力管理集積回路(PMIC)165と、スマートバッテリ170とを含む。
いくつかの態様において、アプリケーションプロセッサ105は、例えば、1つ以上の中央処理装置(CPU)コアと、キャッシュメモリ、低ドロップアウト電圧レギュレータ(low drop-out voltage regulator)(LDO)、割り込みコントローラ、SPI、I2C又はユニバーサル・プログラマブル・シリアル・インターフェイス・サブシステムのようなシリアルインターフェイス、リアルタイムクロック(RTC)、間隔及びウォッチドッグタイマを含むタイマ-カウンタ、汎用IO、SD/MMC等のようなメモリカードコントローラ、USBインターフェイス、MIPIインターフェイス及び/又はJTAG(Joint Test Access Group)テストアクセスポートのうちの1つ以上とを含んでもよい。
いくつかの態様において、ベースバンドプロセッサ110は、例えば、1つ以上の集積回路、メイン回路基板にはんだ付けされた単一パッケージ集積回路、及び/又は2つ以上の集積回路を含むマルチチップモジュールを含むはんだ付け(solder-down)基板として実装されてもよい。
mmWave技術の適用は、例えば、WiGig及び将来の5Gを含み得るが、mmWave技術は、様々な電気通信システムに適用可能になり得る。mmWave技術は、特に、短距離電気通信システムにとって魅力的になり得る。WiGigデバイスはアンライセンス60GHz帯域で動作するが、5G mmWaveは、当初はライセンス28GHz及び39GHz帯域で動作することが想定される。mmWaveシステムにおける例示的なベースバンドサブシステム110及びRFEM115のブロック図が図1Aに示される。
図1Aは、本開示のいくつかの態様に従って図1のデバイス100と接続して使用され得るmmWaveシステム100Aを示す。システム100Aは、2つの構成要素:ベースバンドサブシステム110及び1つ以上の無線フロントエンドモジュール(RFEM)115を含む。RFEM115は、単一同軸ケーブル190によってベースバンドサブシステム110に接続されることができ、単一同軸ケーブル190は、変調中間周波数(IF)信号、DC電力、クロック信号及び制御信号を供給する。
ベースバンドサブシステム110はその全体として図示されていないが、むしろ、図1Aは、アナログフロントエンドの実装を示す。これは、中間周波数(IF)(現在の実装では約10GHz)へのアップコンバータ173を有する送信器(TX)部分191Aと、IFからベースバンドへのダウンコンバージョン175を有する受信器(RX)部分191Bと、単一ケーブル190への送信及び受信信号を多重化/多重分離するためのコンバイナを含む制御及び多重化回路177とを含む。更に、電力ティー回路(power tee circuitry)192(ディスクリート部品を含む)が、RFEM115のためにDC電力を提供するようベースバンド回路基板に含まれる。いくつかの態様において、TX部分とRX部分との組み合わせは、トランシーバと呼ばれてもよく、トランシーバに、本明細書中に記載されるタイプの1つ以上のアンテナ又はアンテナアレイが結合されてもよい。
RFEM115は、複数の印刷されたアンテナと、ミリメートル波周波数へのアップコンバージョン/ダウンコンバージョン174と、電力コンバイナ/分割器176と、プログラム可能位相シフト178及び電力増幅器(PA)180と、低雑音増幅器(LNA)182と、制御及び電力管理回路184A及び184Bとを含む、複数の無線チェーンを含む1つ以上のRFデバイスとを含む小型の回路基板とすることができる。この配置は、一般的に単一のユニットに統合された全てのRF及びベースバンド機能と、同軸ケーブルを介して遠隔に接続されたアンテナのみとを有するWi-Fi又はセルラ実装とは異なり得る。
このアーキテクチャの差は、ミリメートル波周波数では同軸ケーブルにおける非常に大きい電力損失によって支配され得る。これらの電力損失は、アンテナにおける送信電力を低減し、受信感度を低減し得る。この問題を回避するために、いくつかの態様において、PA180及びLNA182は、統合されたアンテナを有するRFEM115に動かされてもよい。更に、RFEM115は、同軸ケーブル190にわたるIF信号が低周波数になり得るようにアップコンバージョン/ダウンコンバージョン174を含んでもよい。mmWave 5G装置についての更なるシステム状況、技術及び特徴は、本明細書中で以下に説明される。
図2は、いくつかの態様に従う例示的な基地局又はインフラストラクチャ機器ラジオヘッドを示す。基地局ラジオヘッド200は、アプリケーションプロセッサ205、ベースバンドプロセッサ210、1つ以上の無線フロントエンドモジュール215、メモリ220、電力管理集積回路(PMIC)225、電力ティー回路230、ネットワークコントローラ235、ネットワークインターフェイスコネクタ240、衛星ナビゲーション受信器(例えば、GPS受信器)245及びユーザインターフェイス250のうちの1つ以上を含んでもよい。
いくつかの態様において、アプリケーションプロセッサ205は、1つ以上のCPUコアと、キャッシュメモリ、低ドロップアウト電圧レギュレータ(LDO)、割り込みコントローラ、SPI、I2C又はユニバーサル・プログラマブル・シリアル・インターフェイスのようなシリアルインターフェイス、リアルタイムクロック(RTC)、間隔及びウォッチドッグタイマを含むタイマ-カウンタ、汎用IO、SD/MMC等のようなメモリカードコントローラ、USBインターフェイス、MIPIインターフェイス及び/又はJTAG(Joint Test Access Group)テストアクセスポートのうちの1つ以上とを含んでもよい。
いくつかの態様において、ベースバンドプロセッサ210は、、例えば、1つ以上の集積回路、メイン回路基板にはんだ付けされた単一パッケージ集積回路、又は2つ以上の集積回路を含むマルチチップサブシステムを含むはんだ付け(solder-down)基板として実装されてもよい。
いくつかの態様において、メモリ220は、ダイナミックランダムアクセスメモリ(DRAM)及び/又はシンクロナスDRAM(SDRAM)を含む揮発性メモリと、高速電気的消去可能メモリ(一般的にフラッシュメモリと呼ばれる)、相変化ランダムアクセスメモリ(PRAM)、磁気抵抗ランダムアクセスメモリ(MRAM)及び/又は3次元クロスポイントメモリを含む不揮発性メモリ(NVM)とのうちの1つ以上を含んでもよい。メモリ220は、はんだ付けパッケージ集積回路、ソケット式メモリモジュール及びプラグインメモリカードのうちの1つ以上として実装されてもよい。
いくつかの態様において、電力管理集積回路225は、電圧レギュレータ、サージプロテクタ、電力警告検出回路、及びバッテリ又はキャパシタのような1つ以上のバックアップ電源のうちの1つ以上を含んでもよい。電力警告検出回路は、電圧低下(不足電圧)及びサージ(過電圧)条件のうちの1つ以上を検出してもよい。
いくつかの態様において、電力ティー回路230は、ネットワークケーブルから引き出される電力を提供してもよい。電力ティー回路230は、単一のケーブルを使用して、基地局ラジオヘッド200への電力供給及びデータ接続性の両方を提供してもよい。
いくつかの態様において、ネットワークコントローラ235は、イーサーネットのような標準ネットワークインターフェイスプロトコルを使用してネットワークへの接続性を提供してもよい。ネットワーク接続性は、電気(一般的には銅の相互接続と呼ばれる)、光又は無線のうちの1つである物理接続を使用して提供されてもよい。
いくつかの態様において、衛星ナビゲーション受信器245は、グローバルポジショニングシステム(GPS)、GLONASS(Globalnaya Navigatsionnaya Sputnikovaya Sistema)、Galileo及び/又はBeiDouのような1つ以上のナビゲーション衛星群によって送信される信号を受信及び復号するための回路を含んでもよい。受信器245は、位置データ又は時間データのうちの1つ以上を含んでもよいデータをアプリケーションプロセッサ205へ提供してもよい。時間データは、他の無線基地局又はインフラストラクチャ機器との動作を同期させるために、アプリケーションプロセッサ205によって使用されてもよい。
いくつかの態様において、ユーザインターフェイス250は1つ以上のボタンを含んでもよい。ボタンはリセットボタンを含んでもよい。ユーザインターフェイス250はまた、LED及びディスプレイスクリーンのような1つ以上の表示器を含んでもよい。
図3Aは、いくつかの態様に従う例示的なmmWave通信回路を示す。図3B及び3Cは、いくつかの態様に従う、図3Aに示す例示的な送信回路の態様を示す。図3Dは、いくつかの態様に従う、図3Aに示す例示的な無線周波数回路の態様を示す。図3Eは、いくつかの態様に従う、図3Aにおける例示的な受信回路の態様を示す。図3Aに示すミリメートル波通信回路300は、代替的に、機能に従ってグループ化されてもよい。図3に示す構成要素は、ここでは例示目的で提供されており、図3Aに図示しない他の構成要素を含んでもよい。
ミリメートル波通信回路300は、プロトコル処理回路305(又はプロセッサ)又は他の処理手段を含んでもよい。プロトコル処理回路305は、とりわけ媒体アクセス制御(MAC)、無線リンク制御(RLC)、パケット・データ・コンバージェンス・プロトコル(PDCP)、無線リソース制御(RRC)及び非アクセス層(NAS)機能のうちの1つ以上を実装してもよい。プロトコル処理回路305は、命令を実行するための1つ以上の処理コアと、プログラム及びデータ情報を記憶するための1つ以上のメモリ構造体とを含んでもよい。
ミリメートル波通信回路300は、デジタルベースバンド回路310を更に含んでもよい。デジタルベースバンド回路310は、ハイブリッド自動再送要求(HARQ)機能、スクランブリング及び/又はデスクランブリング、符号化及び/又は復号、レイヤマッピング及び/又はデマッピング、変調シンボルマッピング、受信シンボル及び/又はビットメトリック判定、空間-時間、空間-周波数若しくは空間符号化のうちの1つ以上を含んでもよいマルチアンテナポート・プリコーディング及び/又はデコーディング、リファレンス信号生成及び/又は検出、プリアンブル系列生成及び/又は復号、同期系列生成及び/又は検出、制御チャネル信号ブラインド復号、並びに他の関係する機能のうちの1つ以上を含む物理層(PHY)機能を実装してもよい。
ミリメートル波通信回路300は、送信回路315、受信回路320及び/又はアンテナアレイ回路330を更に含んでもよい。ミリメートル波通信回路300は、RF回路325を更に含んでもよい。いくつかの態様において、RF回路325は、送信及び/又は受信のための1つ又は複数の並列RFチェーンを含んでもよい。RFチェーンの夫々は、アンテナアレイ回路330の1つ以上のアンテナに接続されてもよい。
いくつかの態様において、プロトコル処理回路305は、制御回路の1つ以上のインスタンスを含んでもよい。制御回路は、デジタルベースバンド回路310、送信回路315、受信回路320及び/又はRF回路325のうちの1つ以上のための制御機能を提供してもよい。
図3B及び3Cは、いくつかの態様に従う、図3Aに示す例示的な送信回路の態様を示す。図3Bに示す送信回路315は、デジタルアナログ変換器(DAC)340、アナログベースバンド回路345、アップコンバージョン回路350及び/又はフィルタリング及び増幅回路355のうちの1つ以上を含んでもよい。DAC340は、デジタル信号をアナログ信号に変換してもよい。アナログベースバンド回路345は、以下に示すような複数の機能を実行してもよい。アップコンバージョン回路350は、アナログベースバンド回路345からのベースバンド信号をRF周波数(例えば、mmWave周波数)にアップコンバートしてもよい。フィルタリング及び増幅回路355は、アナログ信号をフィルタリング及び増幅してもよい。制御信号は、プロトコル処理回路305と、DAC340、アナログベースバンド回路345、アップコンバージョン回路350及び/又はフィルタリング及び増幅回路355のうちの1つ以上との間で供給されてもよい。
図3Cに示す送信回路315は、デジタル送信回路365と、RF回路370とを含んでもよい。いくつかの態様において、フィルタリング及び増幅回路355からの信号は、デジタル送信回路365へ提供されてもよい。上記のように、制御信号は、プロトコル処理回路305と、デジタル送信回路365及びRF回路370のうちの1つ以上との間で供給されてもよい。
図3Dは、いくつかの態様に従う、図3Aに示す無線周波数回路の態様を示す。無線周波数回路325は、無線チェーン回路372の1つ以上のインスタンスを含んでもよく、いくつかの態様において、無線チェーン回路372は、1つ以上のフィルタと、電力増幅器と、低雑音増幅器と、プログラム可能位相シフタと、電源とを含んでもよい。
いくつかの態様において、無線周波数回路325はまた、電力合成及び分割回路374を含んでもよい。いくつかの態様において、電力合成及び分割回路374は、同じ物理回路が、デバイスが送信しているときには電力分割器として動作し、デバイスが受信しているときには電力コンバイナとして動作するよう構成され得るように、双方向に動作してもよい。いくつかの態様において、電力合成及び分割回路374は、デバイスが送信しているときには電力分割を実行し、デバイスが受信しているときには電力合成を実行するための1つ以上の全体的又は部分的に別個の回路を含んでもよい。いくつかの態様において、電力合成及び分割回路374は、ツリー状に配置された1つ以上の2方向電力分割器/コンバイナを含む受動回路を含んでもよい。いくつかの態様において、電力合成及び分割回路374は、増幅器回路を含む能動回路を含んでもよい。
いくつかの態様において、無線周波数回路325は、図3Aにおける送信回路315及び受信回路320に接続してもよい。無線周波数回路325は、1つ以上の無線チェーンインターフェイス376及び/又は結合された無線チェーンインターフェイス378を介して送信回路315及び受信回路320に接続してもよい。いくつかの態様において、1つ以上の無線チェーンインターフェイス376は、単一のアンテナ構造体に夫々関連する1つ以上の受信又は送信信号への1つ以上のインターフェイスを提供してもよい。いくつかの態様において、結合された無線チェーンインターフェイス378は、アンテナ構造体のグループに夫々関連する1つ以上の受信又は送信信号への単一のインターフェイスを提供してもよい。
図3Eは、いくつかの態様に従う、図3Aにおける受信回路の態様を示す。受信回路320は、並列受信回路382のうちの1つ以上及び/又は結合受信回路384のうち1つ以上を含んでもよい。いくつかの態様において、1つ以上の並列受信回路384及び1つ以上の結合受信回路384は、1つ以上の中間周波数(IF)ダウンコンバージョン回路386と、IF処理回路388と、ベースバンドダウンコンバージョン回路390と、ベースバンド処理回路392と、アナログデジタル変換器(ADC)回路394とを含んでもよい。本明細書中で使用されるように、「中間周波数」との語は、キャリア周波数(又は周波数信号)が送信、受信及び/又は信号処理における中間段階でシフトされる周波数を言及する。IFダウンコンバージョン回路386は、受信RF信号をIFに変換してもよい。IF処理回路388は、例えば、フィルタリング及び増幅を介して、IF信号を処理してもよい。ベースバンドダウンコンバージョン回路390は、IF処理回路388からの信号をベースバンドに変換してもよい。ベースバンド回路392は、例えば、フィルタリング及び増幅を介して、ベースバンド信号を処理してもよい。ADC回路394は、処理されたアナログベースバンド信号をデジタル信号に変換してもよい。
図4は、いくつかの態様に従う、図3Aの例示的なRF回路を示す。一態様において、図3AにおけるRF回路325(図4において参照符号425を使用して示す)は、IFインターフェイス回路405、フィルタリング回路410、アップコンバージョン及びダウンコンバージョン回路415、シンセサイザ回路420、フィルタリング及び増幅回路424、電力合成及び分割回路430及び無線チェーン回路435のうちの1つ以上を含んでもよい。
図5A及び図5Bは、いくつかの態様に従う、図1及び図2に示す回路において使用可能な無線フロントエンドモジュールの態様を示す。図5Aは、いくつかの態様に従う無線フロントエンドモジュール(RFEM)の態様を示す。RFEM500は、ミリメートル波RFEM505及び1つ以上の6GHzの上の無線周波数集積回路(RFIC)515及び/又は1つ以上の6GHzの下のRFIC522を組み込む。この態様において、1つ以上の6GHzの下のRFIC515及び/又は1つ以上の6GHzの下のRFIC522は、ミリメートル波RFEM505から物理的に分離されてもよい。RFIC515及び522は、1つ以上のアンテナ520への接続を含んでもよい。RFEM505は複数のアンテナ510を含んでもよい。
図5Bは、いくつかの態様に従う無線フロントエンドモジュール(RFEM)の代替の態様を示す。この態様において、ミリメートル波及び6GHzの下の無線機能の両方は、同じ物理無線フロントエンドモジュール(RFEM)530に実装されてもよい。RFEM530は、ミリメートル波アンテナ535及び6GHzの下のアンテナ540との両方を組み込んでもよい。
図6は、いくつかの態様に従う、図1又は図2に示すシステム及び回路において使用可能なマルチプロトコルベースバンドプロセッサ600を示す。一態様において、ベースバンドプロセッサは、本明細書中で併せてデジタルベースバンドサブシステム640とも呼ばれる1つ以上のデジタルベースバンドサブシステム640A、640B、640C、640Dを含んでもよい。
一態様において、1つ以上のデジタルベースバンドサブシステム640A、640B、640C、640Dは、インターコネクトサブシステム665を介して、CPUサブシステム670、オーディオサブシステム675及びインターフェイスサブシステム680のうちの1つ以上に結合されてもよい。一態様において、1つ以上のデジタルベースバンドサブシステム640は、インターコネクトサブシステム645を介してデジタルベースバンドインターフェイス660A、660B及び混合信号ベースバンドサブシステム635A、635Bのうちの1つ以上に結合されてもよい。
一態様において、インターコネクトサブシステム665及び645は、バス・ポイント・ツー・ポイント接続及びネットワーク・オン・チップ(network-on-chip)(NOC)構造の夫々のうちの1つ以上を夫々含んでもよい。一態様において、オーディオサブシステム675は、デジタル信号処理回路、バッファメモリ、プログラムメモリ、音声処理アクセラレータ回路、アナログデジタル及びデジタルアナログ変換器回路のようなデータ変換器回路、並びに増幅器及びフィルタのうちの1つ以上を含むアナログ回路のうちの1つ以上を含んでもよい。
図7は、いくつかの態様に従う混合信号ベースバンドサブシステム700の例を示す。一態様において、混合信号ベースバンドサブシステム700は、IFインターフェイス705、アナログIFサブシステム710、ダウンコンバータ及びアップコンバータサブシステム720、アナログベースバンドサブシステム730、データ変換器サブシステム735、シンセサイザ725及び制御サブシステム740のうちの1つ以上を含んでもよい。
図8Aは、いくつかの態様に従うデジタルベースバンド処理サブシステム801を示す。図8Bは、いくつかの態様に従うデジタルベースバンド処理サブシステム802の代替の態様を示す。
図8Aの態様において、デジタルベースバンド処理サブシステム801は、デジタルシグナルプロセッサ(DSP)サブシステム805A,805B,…805N、インターコネクトサブシステム835、ブートローダサブシステム810、共有メモリサブシステム815、デジタルI/Oサブシステム820及びデジタルベースバンドインターフェイスサブシステム825の夫々のうちの1つ以上を含んでもよい。
図8Bの態様において、デジタルベースバンド処理サブシステム802は、アクセラレータサブシステム845A,845B,…845N、バッファメモリ850A,850B,…850N、インターコネクトサブシステム835、共有メモリサブシステム815、デジタルI/Oサブシステム820、コントローラサブシステム840及びデジタルベースバンドインターフェイスサブシステム825の夫々のうちの1つ以上を含んでもよい。
一態様において、ブートローダサブシステム810は、1つ以上のDSPサブシステム805の夫々に関連するプログラムメモリ及び実行状態の構成を実行するよう構成されるデジタル論理回路を含んでもよい。1つ以上のDSPサブシステム805の夫々のプログラムメモリの構成は、デジタルベースバンド処理サブシステム801及び802の外部のストレージから実行可能プログラムコードをロードすることを含んでもよい。1つ以上のDSPサブシステム805の夫々に関連する実行状態の構成は、1つ以上のDSPサブシステム805の夫々に組み込まれてもよい少なくとも1つのDSPコアの状態を、実行していない状態に設定するステップと、1つ以上のDSPサブシステム805の夫々に組み込まれてもよい少なくとも1つのDSPコアの状態を、予め定義されたメモリ位置から始めてプログラムコードを実行し始める状態に設定するステップとのうちの1つ以上を含んでもよい。
一態様において、共有メモリサブシステム815は、読み出し専用メモリ(ROM)、スタティックランダムアクセスメモリ(SRAM)、混載ダイナミックランダムアクセスメモリ(eDRAM)及び/又は不揮発性ランダムアクセスメモリ(NVRAM)のうちの1つ以上を含んでもよい。
一態様において、デジタルI/Oサブシステム820は、IC(Inter-Integrated Circuit)、SPI(Serial Peripheral Interface)又は他の1、2若しくは3ワイヤのシリアルインターフェイスのようなシリアルインターフェイス、汎用入出力(GPIO)、レジスタアクセスインターフェイス及びダイレクトメモリアクセス(DMA)のようなパラレルインターフェイスのうちの1つ以上を含んでもよい。一態様において、デジタルI/Oサブシステム820に実装されたレジスタアクセスインターフェイスは、デジタルベースバンド処理サブシステム801の外部のマイクロプロセッサコアが制御及びデータレジスタ並びにメモリのうちの1つ以上を読み出し及び/又は書き込みすることを可能にしてもよい。一態様において、デジタルI/Oサブシステム820に実装されたDMA論理回路は、デジタルベースバンド処理サブシステム801の内部及び外部のメモリ位置を含むメモリ位置間のデータの連続ブロックの転送を可能にしてもよい。
一態様において、デジタルベースバンドインタフェースサブシステム825は、ベースバンド処理サブシステムと、デジタルベースバンド処理サブシステム801の外部の混合信号ベースバンド又は無線周波数回路との間のデジタルベースバンドサンプルの転送を提供してもよい。一態様において、デジタルベースバンドインタフェースサブシステム825によって転送されるデジタルベースバンドサンプルは、同相及び直交(I/Q)サンプルを含んでもよい。
一態様において、コントローラサブシステム840は、制御及び状態レジスタ並びに制御状態機械の夫々のうちの1つ以上を含んでもよい。一態様において、制御及び状態レジスタは、レジスタインタフェースを介してアクセスされてもよく、制御状態機械の開始及び停止、デフォルト状態への制御状態機械のリセット、任意の処理機能の構成、及び/又は割り込みの生成及び動作の状態の報告のうちの1つ以上を提供してもよい。一態様において、1つ以上の制御状態機械の夫々は、1つ以上のアクセラレータサブシステム845の夫々の動作のシーケンスを制御してもよい。同じベースバンドサブシステムにおいて図8A及び図8Bの両方の実装の例が存在してもよい。
図9は、いくつかの態様に従うデジタルシグナルプロセッサ(DSP)サブシステム900を示す。
一態様において、DSPサブシステム900は、DSPコアサブシステム905、ローカルメモリ910、ダイレクトメモリアクセス(DMA)サブシステム915、アクセラレータサブシステム920A,920B…920N、外部インターフェイスサブシステム925、電力管理回路930及びインターコネクトサブシステム935の夫々のうちの1つ以上を含んでもよい。
一態様において、ローカルメモリ910は、読み出し専用メモリ、スタティックランダムアクセスメモリ又は混載ダイナミックランダムアクセスメモリの夫々のうちの1つ以上を含んでもよい。
一態様において、DMAサブシステム915は、DSPサブシステム900の内部及び外部のメモリ位置を含むメモリ位置の間でデータのブロックを転送するよう適合されたレジスタ及び制御状態機械回路を提供してもよい。
一態様において、外部インターフェイスサブシステム925は、メモリ、DSPサブシステム900に実装され得る制御レジスタ及び状態レジスタのうちの1つ以上へのDSPサブシステム900の外部のマイクロプロセッサシステムによるアクセスを提供してもよい。一態様において、外部インターフェイスサブシステム925は、DMAサブシステム915及びDSPコアサブシステム905のうちの1つ以上の制御でローカルメモリ910とDSPサブシステム900の外部のストレージとの間のデータの転送を提供してもよい。
図10Aは、いくつかの態様に従うアクセラレータサブシステム1000の例を示す。図10Bは、いくつかの態様に従うアクセラレータサブシステム1000の例を示す。
一態様において、アクセラレータサブシステム1000は、制御状態機械1005、制御レジスタ1010、メモリインターフェイス1020、スクラッチパッドメモリ1025、計算エンジン1030A…1030N及びデータフローインターフェイス1035A、1035Bの夫々のうちの1つ以上を含んでもよい。
一態様において、制御レジスタ1010は、アクセラレータサブシステム1000の動作を構成及び制御してもよく、アクセラレータサブシステム1000の動作は、イネーブルレジスタビットを用いた動作の有効化又は無効化、停止レジスタビットに書き込むことによる進行中の動作の停止、計算動作を構成するためのパラメータの提供、1つ以上の制御及びデータ構造の位置を識別するためのメモリアドレス情報の提供、割り込みの生成の構成、又は他の制御機能のうちの1つ以上を含んでもよい。
一態様において、制御状態機械1005は、アクセラレータサブシステム1000の動作のシーケンスを制御してもよい。
図11A~11Dは、いくつかの態様に従うフレームフォーマットを示す。
図11Aは、いくつかの態様に従う周期的な無線フレーム構造1100を示す。無線フレーム構造1100は所定の持続時間を有し、所定の持続時間に等しい繰り返し間隔で周期的に繰り返す。無線フレーム構造1100は、2つ以上のサブフレーム1105に分割される。一態様において、サブフレーム1105は、等しくなくてもよい所定の持続期間となってもよい。代替の態様において、サブフレーム1105は、動的に決定されて無線フレーム構造1100のその後の繰り返しの間で変化する持続時間となってもよい。
図11Bは、いくつかの態様に従う周波数分割多重(frequency division duplexing)(FDD)を使用する周期的な無線フレーム構造を示す。FDDの態様において、ダウンリンク無線フレーム構造1110は、基地局又はインフラストラクチャ機器によって1つ以上のモバイルデバイスに送信され、アップリンク無線フレーム構造1115は、1つ以上のモバイルデバイスの組み合わせによって基地局に送信される。
いくつかの態様において使用され得る無線フレーム構造の更なる例が図11Dに示される。この例において、無線フレーム1100は10msの持続時間を有する。無線フレーム構造1100は夫々0.1msの持続時間のスロット1125、1135に分割され、0から99まで番号が付けられる。更に、iが整数である場合、2i及び2i+1の番号が付けられた隣接スロット1125、1135の夫々の対はサブフレームと呼ばれる。
いくつかの態様において、時間間隔はTの単位で表されてもよく、Tは1/(75,000×2048)秒として定義される。図11Dにおいて、無線フレームは持続時間1,536,600×Tを有するものとして定義され、スロットは持続時間15,366×Tを有するものとして定義される。
図11Dの無線フレームフォーマットを使用するいくつかの態様において、夫々のサブフレームは、ダウンリンク制御情報、ダウンリンクデータ情報、アップリンク制御情報及び/又はアップリンクデータ情報のうちの1つ以上の組み合わせを含んでもよい。情報タイプ及び方向の組み合わせは、夫々のサブフレームについて独立して選択されてもよい。
いくつかの態様において使用され得る無線フレーム構造の例が図11Eに示され、ダウンリンクフレーム1150及びアップリンクフレーム1155を示す。いくつかの態様に従い、ダウンリンクフレーム1150及びアップリンクフレーム1155は10msの持続時間を有してもよく、アップリンクフレーム1155はダウンリンクフレーム1150に関してタイミングアドバンス1160を用いて送信されてもよい。
いくつかの態様に従い、ダウンリンクフレーム1150及びアップリンクフレーム1155は、持続時間で1msでもよい2つ以上のサブフレーム1165に夫々分割されてもよい。いくつかの態様に従い、夫々のサブフレーム1165は1つ以上のスロット1170で構成されてもよい。
いくつかの態様において、図11D及び図11Eの例に従い、時間間隔はTsの単位で表されてもよい。
図11Dに示す例のいくつかの態様に従い、Tsは1/(30,720×1000)秒として定義されてもよい。図11Dのいくつかの態様に従い、無線フレームは持続時間30,720.Tsを有するものとして定義されてもよく、スロットは持続時間15,360.Tsを有するものとして定義されてもよい。
図11Eに示す例のいくつかの態様に従い、TsはTs=1/(Δfmax.Nf)として定義されてもよく、Δfmax=480×103であり、Nf=4,096である。
図11Eに示す例のいくつかの態様に従い、スロットの数は、ヌメロロジー(numerology)パラメータに基づいて決定されてもよく、ヌメロロジーパラメータは、送信に使用されるマルチキャリア信号のサブキャリア間の周波数間隔に関係してもよい。
図12A~12Cは、いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式のコンステレーション設計の例を示す。コンステレーションポイント1200は、90°だけ互いに位相において離れたキャリア周波数における正弦波の振幅を夫々表す、直交する同相及び直交軸上に示される。
図12Aは、2位相偏移変調(binary phase shift keying)(BPSK)として知られる2つのポイント1200を含むコンステレーションを表す。図12Bは、4位相偏移変調(quadrature phase shift keying)(QPSK)として知られる4つのポイント1200を含むコンステレーションを表す。図12Cは、16個のポイントを有する直交振幅変調(quadrature amplitude modulation)(QAM)(16QAM又はQAM16)として知られる16個のポイント1200を含むコンステレーションを表す。例えば、64個、256個又は1024個のポイントを含むより高次の変調コンステレーションが同様に構成されてもよい。
図12A~12Cに示すコンステレーションにおいて、2進符号1220は、最も近い隣接ポイント1200、すなわち、最小ユークリッド距離だけ互いに離れたポイント1200の対が1つのみの2進数字だけ異なる割り当てられた2進符号1220を有するような方式を使用して、コンステレーションのポイント1200に割り当てられる。例えば、図12Cにおいて、符号1000を割り当てられたポイントは、符号1001、0000、1100及び1010を割り当てられた最も近い隣接ポイントを有し、これらの夫々は1ビットのみだけ1000とは異なる。
図13A及び13Bは、いくつかの態様に従う、送信又は受信され得るシングルキャリア変調方式の代替のコンステレーション設計の例を示す。図13Aのコンステレーションポイント1300及び1315は、90°だけ互いに位相において離れたキャリア周波数における正弦波の振幅を夫々表す、直交する同相及び直交軸上に示される。
一態様において、図13Aに示す例のコンステレーションポイント1300は、正方形の格子に配置されてもよく、最も近い隣接コンステレーションポイントの夫々の対の間で同相及び直交面上で等しい距離が存在するよう配置されてもよい。一態様において、コンステレーションポイント1300は、許容されるコンステレーションポイントのいずれかの同相及び直交面の原点から所定の最大距離、すなわち、円1310によって表される最大距離が存在するよう選択されてもよい。一態様において、許容されるコンステレーションポイントの組は、正方形の格子の角の正方形領域1305内に入るものを除外してもよい。
図13Bのコンステレーションポイント1300及び1315は、90°だけ互いに位相において離れたキャリア周波数における正弦波の振幅を夫々表す、直交する同相及び直交軸上に示される。一態様において、コンステレーションポイント1315は、コンステレーションポイントの2つ以上の組にグループ化され、夫々の組のポイントは、同相及び直交面の原点への等しい距離を有するよう配置され、原点を中心とする円1320の組のうちの1つ上に置かれる。
図14は、いくつかの態様に従う、送信のためのマルチキャリアベースバンド信号を生成するためのシステムの例を示す。一態様において、データ1430は符号化データ1435を生成するために、符号化器1400に入力されてもよい。符号化器1400は、エラー検出、エラー訂正、レートマッチング及びインターリービングのうちの1つ以上の組み合わせを実行してもよい。符号化器1400はスクランブリングのステップを更に実行してもよい。
一態様において、符号化データ1435は、複素数値変調シンボル1440を生成するために、変調マッパ1405に入力されてもよい。変調マッパ1405は、1つ以上のマッピングテーブルに従って、符号化データ1435から選択された1つ以上の2進数字を含むグループを、複素数値変調シンボルにマッピングしてもよい。
一態様において、複素数値変調シンボル1440は、1つ以上のレイヤマッピングされた変調シンボルストリーム1445にマッピングされるよう、レイヤマッパ1410に入力されてもよい。複素数値変調シンボル1440のストリームをd(i)として表し、iがシーケンス番号インデックスを表し、レイヤマッピングされたシンボルの1つ以上のストリーム1445をx(k)(i)として表し、kがストリーム番号インデックスを表し、iがシーケンス番号インデックスを表すと、単一レイヤのレイヤマッピング関数は、
(0)(i)=d(i)
として表されてもよく、2つのレイヤのレイヤマッピングは、
(0)(i)=d(2i)
(1)(i)=d(2i+1)
として表されてもよい。
レイヤマッピングは2つより多くのレイヤについても同様に表されてもよい。
一態様において、レイヤマッピングされた変調シンボルストリーム1445の1つ以上のストリームはプリコーダ1415に入力されてもよく、プリコーダ1415は、プリコーディングされたシンボル1450の1つ以上のストリームを生成する。レイヤマッピングされたシンボルの1つ以上のストリーム1445をベクトルのブロック
[x(0)(i)…x(υ-1)(i)]
として表すと、iが0からMsymb layer-1の範囲におけるシーケンス番号インデックスを表し、出力はベクトルのブロック
[z(0)(i)…z(P-1)(i)]
として表され、iが0からMsymb ap-1の範囲におけるシーケンス番号インデックスを表す。
プリコーディング動作は、単一アンテナポートを使用する直接マッピング、時空間ブロック符号化を使用する送信ダイバーシチ又は空間多重化のうちの1つを含むよう構成されてもよい。
一態様において、プリコーディングされたシンボル1450の夫々のストリームはリソースマッパ1420に入力されてもよく、リソースマッパ1420はリソースマッピングされたシンボル1455のストリームを生成する。リソースマッパ1420は、マッピング符号に従う連続ブロックマッピング、ランダムなマッピング又はまばらなマッピング(sparse mapping)を含んでもよいマッピングに従って、プリコーディングされたシンボルを周波数領域サブキャリア及び時間領域シンボルにマッピングしてもよい。
一態様において、リソースマッピングされたシンボル1455はマルチキャリア生成器1425に入力されてもよく、マルチキャリア生成器1425は、時間領域ベースバンドシンボル1460を生成する。マルチキャリア生成器1425は、例えば、逆高速フーリエ変換(fast Fourier transform)(FFT)又は1つ以上のフィルタを含むフィルタバンクとして一般的に実装される逆離散フーリエ変換(discrete Fourier transform)(DFT)を使用して時間領域シンボルを生成してもよい。一態様において、リソースマッピングされたシンボル1455がs(i)として表され、kがサブキャリアインデックスであり、iがシンボル番号インデックスである場合、時間領域複素ベースバンド信号x(t)はx(t)=Σ(i)p(t-Tsym)exp[j2πf(t-Tsym-τ)]として表されてもよく、p(t)はプロトタイプフィルタ関数であり、Tsymはシンボル周期の開始時間であり、τはサブキャリアに依存する時間オフセットであり、fはサブキャリアkの周波数である。
プロトタイプ関数p(t)は、例えば、矩形時間領域パルス、ガウス時間領域パルス又は他の適切な関数でもよい。
いくつかの態様において、周波数領域におけるサブキャリア及び時間領域におけるシンボル間隔を含む送信信号の部分成分は、リソースエレメントと呼ばれてもよい。
図15は、いくつかの態様に従う、格子形式で示すリソースエレメント1505を示す。いくつかの態様において、リソースエレメントは、周波数領域における複数のサブキャリア(例えば、12個のサブキャリア)と、時間領域における1つのスロットに含まれるP個のシンボルとを含む矩形ブロックにグループ化されてもよい。数Pは、6個、7個又は他の適切な数のシンボルでもよい。図15の図において、リソースブロック1500内の夫々のリソースエレメント1505は(k,l)としてインデックス化されることができ、kは0からN×M-1の範囲におけるサブキャリアのインデックス番号であり、Nはリソースブロック内のサブキャリアの数であり、Mはリソースブロックの数である。
図16A、図16B、図16C及び図16Dは、いくつかの態様に従う符号化の例を示す。図16Aは、いくつかの態様において使用され得る符号化処理1600の例を示す。符号化処理1600は、データ又は制御情報を符号化し得る物理チャネルのための符号化を提供するために使用され得る1つ以上の物理符号化処理1605を含んでもよい。符号化処理1600はまた、1つ以上の源からの情報を結合することによって、結合された符号化情報を生成する多重化及びインターリーブ1635を含んでもよく、1つ以上の源は、データ情報及び制御情報のうちの1つ以上を含んでもよく、1つ以上の物理符号化処理1605によって符号化されていてもよい。結合された符号化情報は、スクランブリングされた符号化情報を生成し得るスクランブル器1640に入力されてもよい。
物理符号化処理1605は、CRC付加ブロック1610、コードブロックセグメント化1615、チャネル符号化1620、レートマッチング1625及びコードブロック連結1630のうちの1つ以上を含んでもよい。CRC付加ブロック1610は、出力シーケンスビットを係数として使用する変数Dにおける有限フィールドGF(2)にわたる多項式(すなわち、多項式bA+L-1+bA+L-2+…+bA+L-2+bA+L-1)が次数Lの所定の生成多項式g(D)によって除算されたときに所定の剰余を有するよう、{a,a,…aA-1}として示される入力ビットから{p,p,…,pL-1}として示されるパリティビットを計算し、出力ビット{b,b,…,bA+L-1}のシーケンスを生成する。一態様において、所定の剰余はゼロでもよく、Lは24でもよく、所定の多項式g(D)はD24+D23+D18+D17+D14+D11+D10+D+D+D+D+D+D+1でもよい。
いくつかの態様において、コードブロックセグメント化1615の処理は、コードセグメント化1615へのデータ入力の部分を夫々含む1つ以上のセグメント化されたコードブロックを生成してもよい。コードブロックセグメント化1615は、選択されたチャネル符号化方式に従って決定されるパラメータとしての最小及び最大ブロックサイズ制約を有してもよい。コードブロックセグメント化1615は、最小ブロックサイズ制約が満たされることを確保するために、フィルタビットを1つ以上の出力されるセグメント化されたコードブロックに追加してもよい。コードブロックセグメント化1615は、最大ブロックサイズ制約が満たされることを確保するために、当該処理に入力されたデータをブロックに分割してもよい。いくつかの態様において、コードブロックセグメント化1615は、パリティビットを夫々のセグメント化されたコードブロックに追加してもよい。パリティビットのこのような追加は、選択された符号化方式、及び生成されるべきセグメント化されたコードブロックの数が1より大きいか否かのうちの1つ以上に基づいて決定されてもよい。
いくつかの態様において、チャネル符号化1620の処理は、複数の符号化方式のうちの1つ以上に従って、セグメント化されたコードブロックからコードワードを生成してもよい。一例として、チャネル符号化1620は、畳み込み符号化、テールバイティング畳み込み符号化、並列連接畳み込み符号化及びポーラー符号化のうちの1つ以上を利用してもよい。
いくつかの態様に従って畳み込み符号及びテールバイティング畳み込み符号のうちの1つに従ってデータを符号化するために使用され得る符号化器1620が図16Bに示される。
いくつかの態様に従って、入力データ1645は、2つ以上の遅延素子1650の夫々によって連続的に遅延されてもよく、現在の入力データと、現在の入力データの2つ以上のコピーとを含む要素で構成されるデータワードを生成し、夫々のコピーは、異なる数の時間単位だけ夫々遅延する。いくつかの態様に従って、符号化器1620は、入力データ1645と、2つ以上の遅延素子1650の出力とを結合することで生成されたデータワードの要素の線形結合を計算することによって夫々生成された1つ以上の出力1660、1665及び1670を生成してもよい。
いくつかの態様に従って、入力データはバイナリデータでもよく、線形結合は排他的論理和関数1655を使用することによって計算されてもよい。いくつかの態様に従って、符号化器1620は、プロセッサ上で実行するソフトウェアを使用して実装されてもよく、遅延素子1650は、メモリに入力データ1645を記憶することによって実装されてもよい。
いくつかの態様に従って、畳み込み符号は、畳み込み符号化器1620を使用して遅延素子1650を所定値に初期化することによって生成されてもよく、所定値は全てゼロ又は他の適切な値でもよい。いくつかの態様に従って、テールバイティング畳み込み符号は、畳み込み符号化器1620を使用して遅延素子1650をデータのブロックの最後のN個のビットに初期化することによって生成されてもよく、Nは遅延素子1650の数である。
ターボ符号と呼ばれてもよい並列連接畳み込み符号(parallel concatenated convolutional code)(PCCC)に従ってデータを符号化するために使用され得る符号化器16C100は、図16に示される。
いくつかの態様に従って、符号化器16C100は、インターリーバ16C110と、上位構成要素の符号化器16C115と、下位構成要素の符号化器16C117とを含んでもよい。いくつかの態様に従って、上位構成要素の符号化器16C115は、入力データ16C105から1つ以上の符号化データストリーム16C140及び16C145を生成してもよい。いくつかの態様に従って、インターリーバ16C110は、入力データ16C105からインターリーブされた入力データ16C119を生成してもよい。いくつかの態様に従って、下位構成要素の符号化器16C117は、インターリーブされた入力データ16C105から1つ以上の符号化データストリーム16C150及び16C155を生成してもよい。
いくつかの態様に従って、インターリーバ16C110は、入力データ16C105に含まれるデータと1対1の関係を有するが、異なる時間順序に配置されたデータを有するインターリーブされた出力データ16C119を出力してもよい。いくつかの態様に従って、インターリーバ16C110は、夫々のciが入力データビットであり、Kが夫々のブロック内のビットの数であるときに{c,c,…,cK-1}として表されてもよい入力データ16C105の1つ以上のブロックを入力として受け取り、{cΠ(1),cΠ(2),…,cΠ(K-1)}として表されてもよい、1つ以上のこのような入力ブロックの夫々に対応する出力を生成するブロックインターリーバでもよい。Π(i)は、2次形式でもよく、Π(i)=(fi+f)modKとして表されてもよい置換関数(permutation function)であり、f1及びf2はブロックサイズKの値に依存し得る制約である。
いくつかの態様に従って、上位構成要素の符号化器16C115及び下位構成要素の符号化器16C117は、選択された入力ビットストリーム16C119を生成し得る入力ビットセレクタ16C118を含んでもよく、選択された入力ビットストリーム16C119は、データ符号化段階中の符号化器入力ビットストリーム及びトレリス終端段階中の記憶されたビットの線形結合のうちの1つから選択されてもよい。いくつかの態様に従って、上位構成要素の符号化器16C115及び下位構成要素の符号化器16C117の夫々は、シフトレジスタとして機能するよう構成される2つ以上の遅延素子16C120にビットを記憶してもよく、シフトレジスタへの入力は、選択された入力ビットストリーム16C119からのビットと前に記憶されたビットとの線形結合で構成され、記憶されるビットは、符号化段階の前に所定値に初期化され、トレリス終端段階の終了時に所定値を有する。いくつかの態様に従って、上位構成要素の符号化器16C115及び下位構成要素の符号化器16C117の夫々は、夫々が選択された入力ビットストリーム16C119及び記憶されたビットの線形結合のうちの1つでもよい1つ以上の出力16C140及び16C145を生成してもよい。
いくつかの態様に従って、上位構成要素の符号化器16C115及び下位構成要素の符号化器16C117の夫々は、
Figure 2024059722000002
として表されてもよい符号化段階中の伝達関数を有してもよい。
いくつかの態様に従って、符号化器16C100は、インターリーバ16C110に入力されるデータと、上位構成要素の符号化器16C115及び下位構成要素の符号化器16C117の夫々の記憶されたビットとを記憶するためのメモリと組み合わせて、プロセッサ上で実行するソフトウェア命令として実装されてもよい。
いくつかの態様に従って、低密度パリティ検査(low density parity check)(LDPC)符号に従ってデータビットを符号化するために使用され得る符号化器16D200が図16Dに示される。
いくつかの態様に従って、符号化器16D200に入力されるデータビット16D230は、データストア16D210に記憶されてもよく、記憶されたビットはパリティビット生成器16D220に入力されてもよく、符号化ビット16D240はパリティビット生成器16D220によって出力されてもよい。
いくつかの態様に従って、LDPC符号化器16D200に入力されるデータビットはc={c,c,…,cK-1}として表されてもよく、符号化データビット16D240はd={c,c,…,cK-1,p,p,…,pD-K-1}として表されてもよく、パリティビットpiはH.d=0のように選択されてもよく、Hはパリティ検査行列であり、Kは符号化されるべきブロック内のビットの数であり、Dは符号化ビットの数であり、D-Kはパリティ検査ビットの数である。
一態様に従って、パリティ検査行列Hは
Figure 2024059722000003
として表されてもよく、Pai,jは列をai,jだけ右に巡回的にシフトすることによってZ×Zの単位行列から取得される巡回置換行列又はゼロ行列のうちの1つであり、Zは構成要素の置換行列のサイズであり、符号化ビットDの数はZMに等しく、符号化されるべきブロック内のビットの数KはZNに等しい。
入力が振幅又は位相でもよいデジタルポーラー送信器(digital polar transmitter)(DTx)は、このようなデバイスが、例えば、より高い効率及びシステム・オン・チップ(system-on-a-chip)(SoC)集積化の潜在性を提供するため、次世代システムを通じて通信するデバイスにおいて使用される集積相補型金属酸化膜半導体(Complementary Metal-Oxide-Semiconductor)(CMOS)ラジオの有望なアーキテクチャになり得る。DTxは、データを提供するために出力信号の振幅変化及び位相変化を使用してもよい。しかし、他の送信器と同様に、DTxは、次世代システムにおいて使用されるmmWave周波数での広帯域位相変調器を実装し、mmWave速度でDTxを実装する課題のため、より低い周波数(典型的には、<6GHz)に制限されている。次世代システムのチャネル帯域幅は、100MHz-GHzのオーダーであり、シングルキャリア(single carrier)(SC)と直交周波数分割多重(Orthogonal frequency-division multiplexing)(OFDM)との1つ又は両方に基づく変調を使用してもよい。すなわち、基本的な発振は、様々なチャネル周波数にわたって生成されてもよく、より高い周波数での振幅及び位相の調整は検討事項である。
更に、mmWave周波数の使用によって、DTxの電力効率は、mmWave周波数信号とより低い周波数の信号との間での振幅変化及び対応するピーク電力効率における不一致のため、このような周波数において実質的に低減され得る。OFDMは、DTxによって生成される位相変調信号に対して更なるスペクトルの制限を課してもよい。より高いmmWave周波数でのより高い伝搬損失を有するリンク予算を満たすために、このようなリンクは、複数のユーザ間の空間チャネルの使用を最適化するために、フェーズドアレイ及びマルチユーザ多入力多出力(Multiple Input Multiple Output)(MIMO)に依存してもよい。実際面で、フェーズドアレイの使用は、複数の送信及び受信チェーンが夫々のデバイスにおいて使用されることを意味してもよく、上記の電力非効率性に直面することに加えて、使用される送信電力を更に増加させる。したがって、mmWave周波数でのDTx効率を改善するの有用になり得る。
一態様において、これらの問題を改善するために、シングルキャリア及びOFDMの両方に基づくmmWave DTxに適し得る広帯域位相変調器アーキテクチャが提供される。広帯域位相変調器アーキテクチャは、フェーズドアレイ及びMIMO/MU-MIMOの複数の並列送信チェーンを含んでもよい。位相変調器は、フェーズドアレイを実装するために位相シフトを組み込み得る。
一態様において、DTxは、広帯域RF信号の低い作用素和表現(operator-sum representation)(OSR)ポーラー分解をサポートする位相及び振幅抽出を使用してもよい。実際の検討事項(実現性、タイミングマージン、電力損失等)について低GHz周波数帯域においてクロックされるデジタル時間変換器(digital-to-time converter)(DTC)に基づく位相変調器が使用されてもよい。クロック周波数を約10GHzまで増加させるために、複数のDTCの間で時間インターリーブが使用されてもよい。更に、変調をRF周波数にアップコンバートするために、mmWave LC発振器への低調波の連続注入が使用されてもよい。
RF通信システムは、半導体ダイ上に形成されるサブシステム(例えば、電圧制御発振器(voltage controlled oscillator)(VCO)、電力増幅器)をしばしば利用する。より具体的には、このようなサブシステムの様々な電子部品(例えば、キャパシタ及びインダクタ)が半導体ダイ上に印刷される。しかし、半導体ダイのシリコンに固有の抵抗は、ダイ上に印刷されたインダクタの品質(Q)係数(抵抗で除算したインダクタンスの比)を有意に低減する。
図17は、いくつかの態様に従う、金属柱を有する半導体ダイの断面図1702及び上面図1704である。図17を参照すると、半導体ダイ1706は、複数の柱1708を含む。半導体ダイ1706は、図3Aに示すmmWave通信回路300のRF回路325に組み込まれてもよいが、半導体ダイ1706はこのようなものに限定されない。
一態様において、柱1708は、ダイへのRF接続のために使用され得る銅ピラー(copper pillar)とすることができる。より具体的には、銅ピラーは、半導体ダイ1706を半導体ダイパッケージング(図示せず)に接続するための金属構造として使用され得る。いくつかの態様において、はんだに基づくバンプ及びボールのような他の金属構造が柱1708として使用され得る。銅ピラー1708は、メタライズ接点パッド(metalized contact pad)(又は接点)1710を介して半導体ダイ106に取り付けられ得る。いくつかの態様において、銅ピラー1708は、不要な銅がエッチング除去されてダイのメタライズ接点1710に取り付けられた銅ピラー1708のみが残る、1つの連続するエッチング処理で生成され得る。
図18Aは、いくつかの態様に従う、第1タイプのインターコネクト構造体を形成する金属柱を有する例示的な半導体ダイの断面図1802A及び上面図1804Aを提供する。断面図1802Aを参照すると、金属柱1808は、多段積層及びエッチング処理に従って形成され得る。より具体的には、金属柱1808は、ダイのメタライズ接点1810上に段階的に積層及びエッチングされることができ、別個のメタライズ層は、夫々の積層及びエッチング段階中に生成される。図18Aにおいて認識されるように、第1エッチング段階中に、メタライズ層1812が生成される。更なる積層及びエッチング段階中に、インターコネクト構造体が柱のうちの少なくとも2つの間に生成され得る。例えば、メタライズ層1814を生成するエッチング段階中に、インターコネクト構造体1822Aが層1814に使用されるメタライズ材料によって形成され得る。このようなエッチング段階中に、層1814のメタライズ材料は、インターコネクト構造体が少なくとも2つの柱を接続する層1814によって形成されるよう、柱のうちの少なくとも2つの間でエッチングされない。
その後の積層及びエッチング段階中に、メタライズ層1816は、層1814の上部に配置される(メタライズインターコネクト構造体は層1816に関連しない)。メタライズ層1818を生成するその後の積層及びエッチング段階中に、インターコネクト構造体1824Aが層1818に使用されるメタライズ材料によって形成され得る。最後のエッチング段階中に、メタライズ層1820が層1818の上部に配置され、メタライズインターコネクト構造体は層1820に関連しない。
いくつかの態様において、インターコネクト構造体1822A及び1824Aは、半導体ダイ1806の接点に直接接続される高品質(Q)係数の誘導素子として機能することができ、このような高Qインダクタから恩恵を受けることが可能なRF回路として機能することができる。例示的なRF回路は、半導体ダイ1806内に部分的又は完全に統合され得る発振器、電力増幅器、低雑音増幅器及び他の回路を含み得る。
いくつかの態様において、インターコネクト構造体1822Aは、インターコネクト構造体1824Aから離れた別個の位置1832に設置され得る。他の例では、位置1830において認識されるように、インターコネクト構造体1822Aは、並んで及び/又は部分的に重複して設置されることができる。いくつかの態様において、位置1830又は1832になるようなインターコネクト構造体の選択は、インターコネクト構造体1822A及び1824Aに関連する結果の結合及び相互インダクタンスに基づき得る。この場合、2つのインターコネクトが並んで及び/又は部分的に重複して設置される場合、結合区間1826がインターコネクト構造体の間に生成される。このような結合区間は、金属柱1808に関連するインターコネクト構造体によって少なくとも部分的に実装される高Q誘導素子を設計する際に使用され得る。
いくつかの態様において、横方向の並列結合(例えば、1826)は、インターコネクト構造体(例えば、1824A及び1822A)が同じ柱層(又はエッチング段階)を使用して生成されるとき、あるいはインターコネクト構造体が異なる柱層を使用して生成されるときに実現され得る。
いくつかの態様において、柱1808に関連する層1812~1820のうちの1つ以上を使用して、2つより多くのインターコネクト構造体が形成され得る。更に、インターコネクト構造体は、図18Aに示すように空気の隙間によって分離され得る。より具体的には、インターコネクト構造体1822Aは、半導体ダイ1806から空気の隙間1807によって分離される。インターコネクト構造体1822Aはまた、層1816内に形成される他の空気の隙間1809によってインターコネクト構造体1824Aから分離される。
いくつかの態様において、インターコネクト構造体は、柱1808の最後の層1820を使用して形成され得る。これに関して、インターコネクト構造体が最後の層1820に配置されたとき、インターコネクト構造体は、ダイが取り付けられるパッケージ積層(図19において1902として示す)と直接接するか、あるいはインターコネクト構造体は、積層から隔離されることができ、ダイ上で回路に直接近づけることができる。
図18Bは、いくつかの態様に従う、第2タイプのインターコネクト構造体を形成する金属柱1808を有する半導体ダイ1806の断面図1802B及び上面図1804Bである。図18Bに示すメタライズ柱を生成する処理は、インターコネクト構造体1822A及び1824Aと比べてインターコネクト構造体1822B及び1824Bが半導体ダイ1806上で異なる形状及び位置を有することができることを除き、図18Aを参照して説明したものと同じとすることができる。
図18Bを参照すると、インターコネクト構造体1822B及び1824Bは、変圧器の実装を含む様々な誘導の実装で使用され得る巻線のような誘導素子を形成し得る。いくつかの態様において、インターコネクト構造体1824B及び1822Bは、変圧器の1次巻線及び/又は2次巻線内の素子とすることができる。更に、インターコネクト構造体1822B及び1824Bは、結合区間1834が生成されるよう、部分的又は完全に重複し得るる。
図18Cは、いくつかの態様に従う、第3タイプのインターコネクト構造体1822C及び1824Cを形成する金属柱を有する半導体ダイ1806の断面図1802C及び上面図1804Cである。より具体的には、インターコネクト構造体1822C及び1824Cは、図18Aに示すものと夫々同じ層1814及び1818に配置され得る。しかし、インターコネクト構造体1822C及び1824Cは互いにクロスオーバーし得る。
図19は、いくつかの態様に従う、柱がパッケージ積層に取り付けられたインターコネクト構造体を形成する金属柱を有する半導体ダイの断面図1900である。より具体的には、半導体ダイ1906は、層1912、1914、1916、1918及び1920によって形成される金属柱1808を含み得る。半導体ダイ1906は、図18Aに示すように形成されるインターコネクト構造体1822A及び1824Aを含み得る。金属柱1808は、接続パス1910を使用して半導体ダイ1906に取り付けられ得る。更に、金属柱1808は、コネクタパッド1904を使用してパッケージ積層1902に取り付けられ得る。
無線通信のためのモバイルデバイスにおける物理空間は、このようなデバイスのフォームファクタに含まれる機能の量のため、貴重である。他にも理由はあるが、放射される電波の空間カバレッジを提供する必要性のため、且つ、モバイルデバイスが異なる場所に動かされるときに信号強度を維持するため、また、ユーザがモバイルデバイスを時間によって異なる方向に向ける可能性があるため、困難な問題が生じ、いくつかの態様において、変化する時間において放射される電波の変化する極性及び変化する空間ダイバーシチの必要性をもたらす。
ミリメートル波(mmWave)周波数で動作するアンテナを含むパッケージを設計するとき、空間の効率的な使用は、必要なアンテナ数、これらの放射の方向、これらの偏波及び同様なニーズのような問題を解決するのに役立ち得る。無線通信モバイルデバイスの筐体内でのPCBのような多層積層構造体の効率的な使用は、RFICトランシーバダイの配置のため、場合によっては、デバイスのディスクリート部品の配置のため、積層構造体内に凹部(cavity)を含めることによって効果的に使用され得る。いくつかの態様において、ダイは、フリップチップ(flip-chip)(FC)ダイでもよい。積層構造体は、アンテナが層構造体に埋め込まれ得るサブシステムを含むことができ、より大きい空間カバレッジのためにサブシステムの上部、底部又は側面に実装されることができる。
図20Aは、いくつかの態様に従う、この開示において記載されるユーザデバイスサブシステムの断面図における側面図である。ユーザデバイスサブシステムは2000として識別される。ユーザデバイスサブシステム2000は、図3Aに示すmmWave通信回路300のRF回路325及びアンテナアレイ回路330に組み込まれてもよいが、ユーザデバイスサブシステム2000はこのようなものに限定されない。
いくつかの態様において、積層構造体2001は凹部2003を含む。RFIC及び付随する部品が存在し得る凹部は、FCダイ及びディスクリート部品の上に所望の高さのクリアランスが実現されるまで、FCダイ及びディスクリート部品を有する他の積層の上部にウィンドウ開口を有する積層の層を積み重ねることによって形成され得る。次いで、凹部に近づけるよう1つ以上の全面の層でカバーされてもよく、凹部に「屋根」を与える。「上部」、「底部」、「側面」及び「屋根」のような方向の語は、本明細書中で図面の方向と相対的に使用される。凹部は、製造設計規則(例えば、組み立て精度)もまた考慮しつつ、FCダイ及びいずれかのディスクリート部品が凹部内に適合することができるように十分に大きくすることができる。夫々の組み立て会社は異なる設計規則を有してもよく、設計規則はまた、関与する実際の材料の関数でもよい。例えば、ビスマレイミド・トリアジン樹脂(bismaleimide triazine)(BT)積層材料のための規則は、FR4積層材料のものと非常に異なり得る。
いくつかの態様において、RFICダイ2006は凹部2003内に実装され、いくつかの態様において、はんだバンプ2005によって凹部の底に固定され、いくつかの態様において、はんだバンプ2005は、リフローはんだバンプでもよい。サーモソニック、熱圧縮及び接着接合バンプのような他のタイプのバンプが使用されてもよい。いくつかの態様において、これらはまた、積層印刷回路へのRFICダイ2006の電気インターフェイスとして機能する。いくつかの態様において、ワイヤボンディングのアップフェイシング(up-facing)も、積層においてRFICを印刷回路に電気的に接続するために使用し得る。ディスクリート部品2007はまた、実装に適する場合には、凹部内に含まれてもよい。
いくつかの態様において、ダイ及びディスクリート部品の周囲に、更なる詳細を以下に説明する接地ケージ(ground cage)2008があり、接地ケージ2008は、無線周波数干渉(radio frequency interference)(RFI)及び電磁気干渉(electromagnetic interference)(EMI)から回路を保護するシールドとして使用されてもよい。凹部に配置されるRFICは、RFI/EMIから保護するために、メタライズ接地層と、接地面と、層の間に走るビアとを用いて記載の接地ケージに入れられる。典型的には、RFチップ及び回路は、規制要件を満たすために、RFI/EMIの観点から遮蔽される必要がある。ここでは、実装は、RF回路が積層デバイスの層及びビアを必要に応じて使用するメタライゼーションによって取り囲まれ得る凹部内に埋め込まれるという事実を利用し、それによって、シールドであるファラデーケージを作る。
遮蔽される凹部内に埋め込まれる部品によって、以下に説明するように、アンテナは、遮蔽されたカバーの外側の周りに実装されることができ、それによって、アンテナのより大きい空間カバレッジを可能にするよう、これらのアンテナが複数の側面からPCB上又はPCB内に埋め込まれ得る/印刷され得る又は組み立てられ得るという事実を利用することができる。アンテナの観点から、積層構造体内のシールドケージは、アンテナゲインを増加せてより方向性のある放射パターンを生成するためのアンテナ接地又は反射体として機能し得る。更に、凹部は、RFIC自体及び凹部内の他の回路の物理的保護として機能する。
アンテナ素子2011A~2011Gは、いくつかの態様に従ってサブシステム内に実装される。アンテナは様々なタイプのものでもよい。例えば、パッチアンテナは、2011Gのような側面のダイポールアンテナによって夫々上下に面して構造体の上部及び底部に実装されてもよい。他のアンテナタイプも可能である。いくつかの態様において、更に以下に説明するように、露出した電気接点が1つの側面にあってもよいため、側面アンテナが3つの側面に実装される。
いくつかの態様において、アンテナ素子2011A~2011Cは、「下」に面して実装される。アンテナ2011D~2011Fは、「上」に面する構造体の上部に配置される。アンテナ2011A~2011Fの夫々は、複数のアンテナ素子でもよい。例えば、2011A1~2011ANは、N個のアンテナ素子としてアンテナ素子2011Aを指定するために使用されることができ、いくつかの態様において、N個のアンテナ素子はアレイでもよい。言い換えると、いくつかの態様において、例えば、2011Aとして示されるアンテナはまた、2011A-1,…,2011ANのようなN素子のアンテナアレイでもよい。更に、アレイ2011D1~2011DNが存在してもよい。更に、このようなアレイにおけるアンテナ素子は、アンテナ素子2011C1~2011CN及び2011E1~2011ENのいくつかが単一アレイになるように、異なる構成において積層構造体2001の上面と底面との両方に分散されてもよい。
いくつかの態様において、アンテナ素子2011Gは横に配置されてもよく、エッジファイア(edge-fire)又はエンドファイア(end fire)放射のために構成されてもよい。名称2011G1~2011GNは、アレイになってもよいN個のアンテナ素子2011Gが存在し得る(ページ「内」又は断面によって隠されたページ外を見る)ことを示すために使用されてもよい。伝送線路2009A~2009Gは、アンテナへ/からRFICダイからのRF接続を提供するトレースでもよい。給電されるアンテナが実際にアンテナアレイ、例えば、2011A1~2011ANである場合、いくつかの態様において、アレイを給電するRFトレースは、2009A1,…,2009ANとして指定され得るRFトレースのアレイでもよい。RFICからのRFトレースは、所与の層を通って共に横方向に走るレイヤ構造を通じて、あるいは他の層に達するようビアを通じて、様々なアンテナ素子に給電し得る。RFトレースは、マイクロストリップ、ストリップライン又は他の適切な導体とすることができる。いくつかの態様において、アンテナへのRFトレースは、遮蔽された凹部における開口を通過し得る。いくつかの態様において、RF給電のいくつかの部分は、凹部の内部にすることができ、いくつかは外部にすることができる。ここでは凹部の外部を走るものとして示されているが、代替の態様は、まず、RFトレースを垂直的であっても凹部2003の内部を走らせ、次いで、アンテナ素子に達するよう上部(又は側面)においてシールドケージにおける開口を(穴又は横方向トレースを介して)貫通させ得る。これは、以下の図20B及び21に関して更に詳細に説明される。
いくつかの態様に従って、多層積層構造体の層2013は、RFICを凹部の外部へのシステムの適切な部分に電気的に接続する電気接点が実現され得る層を示す。これらの接点は、図20Bに関して以下に説明する。この例では、電気接点(図20Aの2013に図示せず)は、ページ内又はページ外である(例えば、断面図の背後に隠される)。
図20Bは、いくつかの態様に従う図20Aの積層構造体の台(pedestal)部分を示す。図20Bは、簡単に前述した台2021を示す。図20Aの断面図は、図20Bに示す断面20A-20Aに関して取得されたものである。図20Bに見える電気接点2023は、いくつかの態様において図20Aにおける層2013に実装されるものとして説明したものと同じ電気接点である。他の層がこの実装に使用されてもよい。
凹部2003は、積層構造体内に配置されるものとして隠れ線で示され、台2021内に構成されるものとして示される。台は、電気接点のための表面として機能し、積層構造体が接続され得るマザーボード(MB)への取り付け方法として使用され得る。電気接点2023はまた、サブシステムからMBへの熱線として機能してもよい。いくつかの態様に従って、MBは、サブシステムがMBに容易に取り付けられ、電気的及び熱的にMBへの適切なインターフェイスを作ることができるよう、(一例として)図20Aの層2013に関して前述したように配置される適切な補完的接点を有する。いくつかの態様において、適切なソケットに差し込まれる電気接点は、RFICダイからMBへの機械接続のみである。代替的に、これらは、適切な補完的接点によってMBに直接はんだで取り付けられてもよい。一般的に、熱は伝導するために良好な金属を必要とし、いくつかの態様において、これらの露出した電気接点2023はまた、多くの場合には多層構造体の接地層を使用して、経路設定のメタライゼーションに沿って凹部内部のダイから熱を引き出す放熱パスとして機能し得る。PCB材料を通じて伝導される特定の量の熱も存在するが、このタイプの熱交換は、熱伝達のためのメタライズ接点ほど効率的ではない。
簡単に前述したように、アンテナに給電するRFトレースは、遮蔽された凹部2003における開口を通過し得る。これらのRF給電のいくつかの部分は凹部の内部にすることができ、いくつかは外部にすることができる。ここでは凹部の外部を走るものとして示されているが、いくつかの態様に従って、代替の態様は、まず、RFトレースを垂直的であっても凹部2003の内部を走らせ、次いで、アンテナ素子に達するよう上部(又は側面)においてシールドケージにおける開口を(穴又は横方向トレースを介して)貫通させ得る。これは、図21及び22において認識できる。図21は、いくつかの態様に従う図20Aの積層構造体の凹部の内部のRF給電を示す。凹部2103は、図20Aの積層構造体における凹部2003と同様である。シールド2108を接地し得る接地面層2113は、図面に示す構造体の上部の接地面であり、これは垂直ビアと接触させる。垂直ビアは明瞭性の目的で示されていない。接地層2108は、図示の積層構造体におけるその存在を示すために点線で示されている。
いくつかの態様において、垂直接地ビア2110は凹部2103の周囲のあたりに存在し、前述のファラデーケージの一部とすることができる。RFトレース2109A、2109B、2109C、2109D及び2109Eは、RFICダイ2106に電気的に接続されて構成されており、RFICダイ2106は、凹部2103の内部の他の層において接地面の真下になってもよい。RFトレースは、図20Aの積層構造体2001の上又は内部に構成されるアンテナのためのRF給電を含む。いくつかの態様に従って、RFトレース2009A、2009B及び2009Cは、凹部2003への内部に走り、アンテナ素子2011A、2011B及び2011Cに給電するよう、ビアの間の接地ケージ(図20Aに記載)から横方向に出ることができる。
これらのアンテナ素子2011A、2011B及び2011Cは、一例ではダイポールとして示されるエッジファイアアンテナ素子でもよい。いくつかの態様に従って、RFトレース2109D及び2109Eは、ビア2112E及び2112Eを用いて接地シールドを貫通する。これは図22においてより明瞭に認識される。図22は、いくつかの態様に従う、接地面層を垂直に経るRF給電トレースを示す。RFトレース2209D及び2209Eは、信号ビアがダイ2206からアンテナ又はアンテナ素子2211D及び2211Eに(いくつかの態様においてはビア2212D1及び2212E1によって)夫々達するように通過することを可能にするよう、メタライゼーションにおける穴又は開口2212D2、2212E2によって接地面層を通過する。いくつかの態様に従って、アンテナ又はアンテナ素子2211D及び2211Eは、これらが適切なレベルの積層構造体2001になり得ることを示すよう点線で示される。アンテナ又はアンテナ素子2211D及び2211Eはパッチアンテナとして示されているが、いずれかの適切なアンテナ又はアンテナ素子でもよい。ビア2212D1及び2212E1は、直接的に、あるいはいくつかの態様においてビアをアンテナに接続する更なるRFトレースを介して、アンテナ2211D及び2211Eに給電するよう、夫々が適切なレベルの積層構造体2001に接続し得ることを示すために必要以上に大きく示されている。
RF通信システムは、半導体ダイ上に形成されるサブシステム(例えば、電圧制御発振器(voltage controlled oscillator)(VCO)、電力増幅器、トランシーバ、モデム等)をしばしば利用する。特に複数のタイプの信号通信システムが単一チップ上に実装される場合、しばしば、パッケージ化されたチップは、アンテナ素子を設置するための限られた空間を有する。
図23は、いくつかの態様に従う、共同設置されるmmWaveアンテナ及び近距離通信(NFC)アンテナを有する半導体パッケージ2300の複数の図を示す。半導体パッケージ2300は、図3Aに示すmmWave通信回路300のアンテナアレイ回路330に組み込まれてもよいが、半導体パッケージ2300はこのようなものに限定されない。
図23を参照すると、半導体パッケージ2300はPCB基材2302上に実装され得る。PCB基材は、実装面2302A及び印刷面2302Bを含み得る。いくつかの態様において、実装面2302Aは、信号処理機能を実行する1つ以上の回路(又はサブシステム)を含み得る。例えば、実装面2302Aは、RFフロントエンドモジュール(RF front-end module)(RFEM)2310と、ベースバンドサブシステム(baseband sub-system)(BBS)2312とを含み得る。RFEM2310及びBBS2312は、図26及び図27において夫々より詳細に示される。いくつかの態様において、PCB基材はまた、近距離通信(near-field communication)(NFC)サブシステム2318を含むことができ、NFCサブシステム2318は、NFC信号を受信及び送信するよう構成され得る。
いくつかの態様において、RFEM2310は、適切な回路、ロジック、インターフェイス及び/又はコードを含んでもよく、フェーズドアンテナアレイを使用する送信のためにBBS2312によって生成された1つ以上の中間周波数(intermediate frequency)(IF)信号を処理するよう構成され得る。RFEM2310はまた、フェーズドアンテナアレイを介して1つ以上のRF信号を受信し、BBS2312による更なる処理のためにRF信号をIF信号に変換するよう構成され得る。
いくつかの態様において、RFEM2310は、1つ以上のmmWave帯域におけるmmWave信号を処理するよう構成され得る。更に、フェーズドアンテナアレイ(又はフェーズドアンテナアレイのサブセット)は、PCB基材2302の印刷面2302B上にアンテナアレイ2316として実装され得る。4つのパッチアンテナがフェーズドアンテナアレイ2316として示されているが、開示はこの点に限定されず、他のタイプ(及び異なる数)のアンテナがフェーズドアンテナアレイ2316として使用され得る。更に、フェーズドアンテナアレイ2316は、mmWave信号又は他のタイプの無線信号を送信及び受信するために使用され得る。
いくつかの態様において、フェーズドアンテナアレイ2316は、近距離通信(NFC)アンテナ2314と共同設置され得る。図23において認識されるように、NFCアンテナ2314は、PCB基材2302の印刷面2302B上においてフェーズドアンテナアレイ2316の周りに配置されるインダクタ素子として実装され得る。いくつかの態様において、NFCアンテナ2314は、複数のインダクタ素子(例えば、多層インダクタ)を含むことができ、複数のインダクタ素子は、フェーズドアンテナアレイ2316と共同設置されることができる。
いくつかの態様において、RFEM2310及びBBS2312は、1つ以上の通信ネットワークにおける1つ以上の無線標準又はプロトコルに関して、無線信号を処理するために使用され得る。例示的な通信ネットワークは、とりわけローカルエリアネットワーク(LAN)、広域ネットワーク(WAN)、パケットデータネットワーク(例えば、インターネット)、移動電話ネットワーク(例えば、セルラネットワーク)、従来の音声電話(Plain Old Telephone)(POTS)ネットワーク及び無線データネットワーク(例えば、WiFi(登録商標)として知られるIEEE(Institute of Electrical and Electronics Engineers)802.11ファミリーの標準、WiMax(登録商標)として知られるIEEE802.16ファミリーの標準、IEEE802.15.4ファミリーの標準、LTE(Long Term Evolution)ファミリーの標準、5G無線通信標準又はプロトコル(28GHz、37GHz及び39GHz通信帯域における通信を含む)、UMTS(Universal Mobile Telecommunications System)ファミリーの標準、P2P(peer-to-peer)ネットワーク)を含んでもよい。
図24は、いくつかの態様に従う、フェーズドアンテナアレイを有する無線周波数フロントエンドモジュール(RFEM)を示す。図24を参照すると、PCB基材2302の両側に実装された例示的なフェーズドアンテナアレイを使用するRFEM2310が示されている。より具体的には、フェーズドアンテナアレイ2400は、複数の第1アンテナ2402~2408と、複数の第2アンテナ2410~2414と、複数の第3アンテナ2416~2422と、複数の第4アンテナ2424~2428と、複数の第5アンテナ2432と、複数の第6アンテナ2434とを含み得る。
いくつかの態様において、アンテナ2402~2428及び2432は、PCB基材2302の一方の側に配置されることができる。複数の第6アンテナ2434は、PCB基材2302の反対側に配置されることができる(例えば、図23に示すアンテナアレイ2316と同様)。いくつかの態様において、複数の第1、第2、第3及び第4アンテナは2402~2428は、(図24において認識されるように)PCB基材2302の4つの対応するエッジに沿って配置され得る。複数の第5アンテナ2432は、PCB基材2302のエッジから離れた領域に配置され得る。PCB基材2302はまた、接続端子2430を含むことができ、接続端子2430は、フェーズドアンテナアレイ2400のための給電線として使用され得る。これに関して、アンテナ2402~2428、2432及び2434を含むフェーズドアンテナアレイは、PCB基材2302に対してノース(North)、サウス(South)、ウェスト(West)、イースト(East)、上向き及び下向きの方向において信号カバレッジを提供し得る。
いくつかの態様において、アンテナ2402~2428、2432及び2434を含むフェーズドアンテナアレイは、ダイポールアンテナ及びパッチアンテナのような異なるタイプのアンテナを含み得る。いくつかの態様において、フェーズドアンテナアレイは、他のタイプのアンテナを使用して同様に実装され得る。いくつかの態様において、フェーズドアンテナアレイ2400のアンテナのうちの1つ以上は、RFEM2310の一部として実装され得る。更に、PCB基材2302は、NFCアンテナ(図24に図示せず)を含むことができ、NFCアンテナは、フェーズドアンテナアレイ2400のアンテナのうちの1つ以上と共同設置され得る。例えば、NFCアンテナは、PCB基材2302の同じ側にアンテナ2434と共同設置され得る。
図25は、いくつかの態様に従うモバイルデバイス内のRFEMの例示的な位置を示す。図25を参照すると、複数のRFEM2502を含むモバイルデバイス2500が示されている。夫々のRFEM2502は、例えば、図23に示すように、共同設置されるNFCアンテナ及びmmWaveフェーズドアレイアンテナを含み得る。図25において認識されるように、夫々のRFEM2502は、他のRFEMが人間の手によってカバーされている場合にアンテナカバレッジが1つのRFEMから提供されるよう、スクリーン領域から離すことができる(例えば、ベゼル領域内にすることができる)
図26は、いくつかの態様に従う例示的なRFEMのブロック図である。図26を参照すると、RFEM2310は、同軸ケーブル2612を介してBBS2612に結合される。RFEM2610は、フェーズドアンテナアレイ2602と、RF受信器2604と、RF送信器2606と、LO生成器2608と、トリプレクサ2610と、スイッチ2603とを含み得る。RF受信器2604は、複数の電力増幅器2616と、複数の位相シフタ2618と、加算器2620と、増幅器2622と、増幅器2626と、乗算器2624とを含み得る。RF送信器2606は、乗算器2638と、増幅器2636及び2640と、加算器2634と、複数の位相シフタ2632と、複数の増幅器2630とを含み得る。RFEM2310は、中間周波数(IF)増幅器2627及び2641を更に含み得る。
例示的な受信動作において、スイッチ2603は、受信器チェーン処理をアクティブにし得る。フェーズドアンテナアレイ2602は、複数の信号2614を受信するために使用され得る。受信信号2614は、増幅器2616によって増幅されることができ、位相は、対応する位相シフタ2618によって調整され得る。位相シフタ2618の夫々は、制御回路から別個の位相調整信号(図26に図示せず)を受信することができ、個々の位相調整信号は、フェーズドアンテナアレイ2602を介して受信した信号を処理するときに、所望の信号指向性に基づくものとすることができる。位相シフタ2618の出力における位相調整信号は、加算器2620によって加算され、次いで、増幅器2622によって増幅され得る。LO生成器2608は、LO信号を生成することができ、LO信号は、増幅器2626によって増幅され、次いで、IF出力信号を生成するために、乗算器2624を使用して増幅器2622の出力と乗算され得る。IF出力信号は、増幅器2627によって増幅され、トリプレクサ2610及び同軸ケーブル2612を介してBBS2312に通信され得る。
例示的な送信動作において、スイッチ2603は、送信器チェーン処理をアクティブにし得る。RFEM2310は、同軸ケーブル2612及びトリプレクサ2610を介してBBS2312からIAF信号を受信し得る。IAF信号は、増幅器2641によって増幅され、次いで、乗算器2638に通信され得る。乗算器2638は、LO生成器2608及び増幅器2640からアップコンバージョンLO信号を受信し得る。増幅されたLO信号は、乗算器2638によって受信IF信号と乗算される。次いで、乗算された信号は、増幅器2636によって増幅され、加算器2634に通信される。加算器2634は、増幅された信号の複数のコピーを生成し、信号コピーを複数の位相シフタ2632に通信する。複数の位相シフタ2632は、複数の増幅器2630によって増幅され得る複数の位相調整信号を生成するよう、異なる位相調整信号を適用し得る。複数の増幅器2630は、フェーズドアンテナアレイ2602による送信のために、複数の信号2628を生成する。
いくつかの態様において、LO生成器2608は、RFEM2310によるmmWave無線信号(又は他のタイプの信号)の処理と、NFCサブシステム2318によるNFC信号の処理との間で共有され得る。例えば、NFCサブシステム2318は、必要に応じてアップコンバージョン又はダウンコンバージョンのために、(それを分割した後に)LO生成器2608の出力においてこのLO生成信号を使用し得る。他の例において、NFCサブシステム2318は、LO信号を使用することによって(例えば、LO信号をNFCデータと乗算することによって)、NFCデータの直接生成のためにLO生成信号を使用し得る。
いくつかの態様において、RFEM2310又はBBS2312内の他の回路/サブシステムがNFCサブシステム2318と共有され得る。例えば、RFEM2310又はBBS2312は、電力管理ユニット(power management unit)(PMU)(図示せず)を含むことができ、PMUはNFCサブシステム2318と共有され得る。いくつかの態様において、PMUは、DC対DCサブシステム(例えば、DCレギュレータ)、電圧レギュレータ、バンドギャップ電圧基準及び電流源等を含むことができ、これらはNFCサブシステム2318と共有され得る。
RF受信器2604及びRF送信器2606は、中間周波数(IF)信号を夫々出力及び受信するものとして示されているが、開示はこの点に限定されない。より具体的には、RF受信器2604及びRF送信器2606は、RF信号を夫々出力及び受信するよう構成され得る(例えば、スーパーヘテロダイン又はダイレクトコンバージョンアーキテクチャ)。
図27は、いくつかの態様に従う媒体アクセス制御(media access control)(MAC)/ベースバンド(baseband)(BB)サブシステムのブロック図である。図27を参照すると、BBS2312は、トリプレクサ2702と、IF受信器2704と、モデム2724と、水晶発振器2730と、シンセサイザ2728と、分割器2726とを含み得る。シンセサイザ2728は、RFEM2310への通信のための出力クロック信号を生成するよう分割器2726によって分割され得るクロック信号を生成するために、水晶発振器2730からの信号を使用し得る。いくつかの態様において、生成されたクロック信号は、1.32GHzの周波数を有し得る。
IF受信器2704は、増幅器2708と、ミキサ2710と、フィルタ2712と、ADCブロック2714とを含み得る。IF送信器2706は、DACブロック2722と、ローパスフィルタ2720と、ミキサ2718と、IF増幅器2716とを含み得る。
例示的な受信動作において、IF信号は、RFEM2310からトリプレクサ2702を介して受信され、増幅器2708によって増幅される。増幅されたIF信号は、ミキサ2710によってベースバンド信号にダウンコンバートされ、次いでローパスフィルタ2712によってフィルタリングされ、モデム2724によって処理される前にADCブロック2714によってデジタル信号に変換され得る。
例示的な送信動作において、モデム2724によって出力されたデジタル信号は、DACブロック2722によってアナログ信号に変換され得る。次いで、アナログ信号は、ローパスフィルタ2720によってフィルタリングされ、次いで、ミキサ2718によってIF信号にアップコンバートされる。次いで、IF信号は、IF増幅器2716によって増幅され、次いで、トリプレクサ2702及び同軸ケーブル2612を介してRFEM2310に送信される。
いくつかの態様において、同軸ケーブルは、IF信号又はRF信号を通信するために使用されてもよい(例えば、RFoC(RF-over-Coax)通信)。これに関して、IF又はRF信号を処理するための1つ以上の他のサブシステムが、更なる信号処理のためにRFEM2310とBBS2312との間に配置され得る。
いくつかの態様において、RFEM2310、BBS2312、NFCサブシステム2318、フェーズドアンテナアレイ2316及びNFCアンテナ2314は、同じパッケージ内に設置されることができ、あるいは1つ以上のサブシステムが別個のパッケージに実装され得る分散型手法が使用されてもよい。
図28は、いくつかの態様に従う例示的なNFCアンテナ実装の図である。図23及び図28を参照すると、共同設置されるアンテナアレイ2316及びNFCアンテナ2314で実装されるRFEM2310はまた、信号遮蔽カバー2802を含み得る。いくつかの態様において、NFCアンテナ2314は、信号遮蔽カバー2802に配置され得る。図28において認識されるように、NFCアンテナ2314は、誘導コイル2808として実装され得る。より具体的には、ポリエステルテープ2814、磁気シート2812及び粘着テープ2810、誘導コイル2808、ベースフィルム2806並びに粘着テープ2804の階層が信号遮蔽カバー2802に適用され得る。図28はコイル2808を含む特定のテープ階層を示しているが、開示はこの点に限定されず、ミリメートル波フェーズドアンテナアレイとの共同設置されるNFCアンテナの他の態様も可能であり、他のタイプの層/シート及び層の順序もまた、図28に示す層及び順序の代わりに使用されることができる。
図29は、いくつかの態様に従う、複数のPCB基材に共同設置されるmmWaveアンテナ及び近距離通信(NFC)アンテナを有する半導体パッケージの複数の図を示す。図29を参照すると、半導体パッケージ2902は、複数のPCB基材を含み得る。例えば、半導体パッケージ2092は、第1基板2904と、第2基板2906とを含み得る。第1基板2904は、第1面2904A(例えば、印刷面)と、第2面2904B(例えば、実装面)とを含み得る。実装面2904Bは、RFEM(例えば、2310)、BBS(例えば、2312)及びNFCサブシステム(例えば、2318)のような1つ以上の構成要素2908を含み得る。印刷面2904Aは、フェーズドアンテナアレイ2910を含み得る。例えば、フェーズドアンテナアレイ2910は、実装面2904Bに実装されたRFEMによって使用され得る。いくつかの態様において、印刷面2904Aは、共同設置されるNFCアンテナ2914を含み得る。NFCアンテナ2914は、(フェーズドアンテナアレイ2910の隣の)NFCアンテナ2914Aとして、あるいはフェーズドアンテナアレイ2910の周りに配置されるNFCアンテナ2914Bとして実装され得る。
いくつかの態様において、基板2904に実装されたRFEMによって使用されるフェーズドアンテナアレイのサブセットは、第2基板2906に配置され得る。例えば、図29において認識されるように、基板2906は、フェーズドアンテナアレイ2912を含み得る。フェーズドアンテナアレイ2910及びフェーズドアンテナアレイ2912は、垂直及び/又は水平偏波を有するアンテナを含み得る。いくつかの態様において、第2基板2906は、共同設置されるNFCアンテナ2914Cを含むことができ、共同設置されるNFCアンテナ2914Cは、フェーズドアンテナアレイ2912の隣に配置され得る。代替的に、NFCアンテナは、フェーズドアンテナアレイ2912の周りに配置されるインダクタであるアンテナ2914Dとして実装され得る。
いくつかの態様において、第1基板2904は、はんだボール2916を含むことができ、はんだボールは、第1基板2904と第2基板2906との間を結合するために使用され得る。
フェーズドアレイ無線トランシーバは、これらの周波数におけるより小さいアンテナ開口に関連する有意なパスロスに対処するために、アンテナゲインを増加させるようミリメートル波無線通信回路において使用され得る。しかし、フェーズドアレイ無線トランシーバは、全てのフェーズドアレイ受信器(又は送信器)信号の和が一緒に結合される再結合点を利用する。この結合ノードは、しばしば、性能及び複雑性に関してフェーズドアレイ受信器におけるボトルネックになる。更に、異なるサイズのフェーズドアレイが望まれる用途において、結合ノードは再設計される必要があることがあり、これは、設計の複雑性を有意に増加させ、フェーズドアレイのスケーラビリティに対する障害である。
図30は、いくつかの態様に従う、RFにおいて信号を位相シフト及び合成することによってビームフォーミングを実装するRFフェーズドアレイシステムのブロック図である。図示のフェーズドアレイシステムは、図3Aに示すmmWave通信回路300のRF回路325に組み込まれてもよいが、RFフェーズドアレイシステムはこのようなものに限定されない。
図30を参照すると、フェーズドアレイ無線トランシーバ3000が示されている。トランシーバは、送信(又は受信)される信号がいくつかの(振幅で)より弱い信号のコヒーレントベクトル和から形成されるように、夫々の受信された要素のゲイン及び位相を変更することによって動作する。トランシーバ3000は、RFフェーズドアレイシステムとして動作する。より具体的には、トランシーバ3000は、アンテナ3002_1~3002_Nと、増幅器3004_1~3004_Nと、位相シフタ3006_1~3006_Nと、可変ゲイン増幅器3008_1~3008_Nと、加算器(又はコンバイナ)3010と、ミキサ3012と、フィルタ3016と、アナログデジタル変換器(ADC)3018とを含むN個の受信器/送信器チェーンを含む。信号が送信のために処理される場合、ブロック3018はデジタルアナログ変換器とすることができる。
動作中に、位相シフタ3006_1~3006_N及び可変ゲイン増幅器3008_1~3008_Nは、夫々の送信又は受信信号を調整するために使用される。図30におけるRFフェーズドアレイシステムの利点は、1つのみのミキサ及びベースバンドチェーンが必要とされ得るため、簡単である。図30におけるRFフェーズドアレイシステムの欠点は、スケーラビリティの欠如(RF周波数においていくつかのパスを追加することは帯域幅のボトルネックを形成する)、受信器におけるノイズフィギュアの追加(ノイズのあるフェーズドアレイ及び可変ゲイン増幅器がアンテナの近くに追加されるため)、及び電力消費の追加(位相及びゲイン調整ブロックがミリメートル波周波数で動作して余分な信号損失を追加し得る)を含み得る。
図31は、いくつかの態様に従う、IF/ベースバンドにおいて局所発振器(LO)を位相シフトしてアナログ信号を合成することによってビームフォーミングを実装するフェーズドアレイシステムのブロック図である。図31を参照すると、局所発振器(LO)位相シフトフェーズドアレイシステムとして構成されるフェーズドアレイ無線トランシーバ3100が示されている。トランシーバ3100は、アンテナ3102_1~3102_Nと、増幅器3104_1~3104_Nと、可変ゲイン増幅器3106_1~3106_Nと、ミキサ3108_1~3108_Nと、位相シフタ3110_1~3110_Nと、加算器(又はコンバイナ)3114と、フィルタ3116と、ADC3118とを含み得る。図31において認識されるように、LOフェーズドアレイシステム3100は、信号パスにおいて可変ゲイン増幅器を使用するが、位相シフタ3110は、LO信号3112の位相をシフトするために、局所発振器パス内で使用される。図30のRFフェーズドアレイシステムに対するこのトポロジの利点は、ノイズプロファイルの低減である。しかし、LOフェーズドアレイシステム3100は、より多くのミキサを使用する。更に、ミリメートル波周波数で動作するLO信号を経路設定することは困難になり得る。
いくつかの態様において、LOフェーズドアレイシステム3100は、全デジタルPLL(all digital PLL)(ADPLL)を使用する位相シフトを実行するよう構成されることができ、位相シフトは、ADPLLループ内でデジタル的に成し遂げられ得る。これは、RF位相シフタの必要性を除去することができ、RF位相シフタは、電力消費に関して高コストであり、信号パスにおいて歪み及び挿入損失を導入する。ADPLL内での位相シフトはまた、LO信号パスに追加される明示的な位相シフタの必要性を除去する。
図32は、いくつかの態様に従う、デジタル位相シフト及び合成を有するフェーズドアレイシステムのブロック図である。図32を参照すると、デジタルフェーズドアレイシステム3200が示されている。トランシーバ3200は、アンテナ3202A~3202Nと、増幅器3204A~3204Nと、可変ゲイン増幅器3206A~3206Nと、ミキサ3208A~3208Nと、フィルタ3212A~3212Nと、ADC3214A~3214Nと、加算器3216とを含み得る。
図32において認識されるように、データ変換器3214A~3214Nを含む全体のトランシーバチェーンが、夫々のアンテナについて繰り返される。信号位相調整及び信号合成は、加算器3216の後のデジタル信号出力3218において実行され得る。しかし、デジタル領域においてフェーズドアレイ合成を実行することは、更なる複雑性及び電力消費という結果になり得る。デジタルフェーズドアレイシステム3200の利点は、異なる組のビームフォーミング係数(ゲイン及び位相の両方)で夫々生成された別個のデジタルストリームを生成することによって、夫々のユーザが十分なアンテナアレイゲインを利用することで複数のユーザを同時にサポートする能力である。
図30~32に示す例示的なトランシーバにおいて、全てのフェーズドアレイ受信器(又は送信器)信号の和が異なる振幅重み及び/又は位相シフトで一緒に結合される再結合点が使用される。この結合ノードは、しばしば、性能及び複雑性に関してフェーズドアレイ受信器におけるボトルネックになり得る。更に、異なるサイズのフェーズドアレイが望まれる場合、結合ノードは再設計されることがあり、これは、トランシーバの設計の複雑性を有意に増加させ、アレイのスケーラビリティを実質的に制限する。
いくつかの態様において、図30~32に示すトランシーバに関連するスケーラビリティ及び複雑性の問題を軽減する、本明細書中で説明するスケーラブルフェーズドアレイ無線トランシーバアーキテクチャが使用され得る。スケーラブルフェーズドアレイ無線トランシーバアーキテクチャは、複数のトランシーバタイル(又はセル)を使用することができ、複数のトランシーバタイルは、複数の用途及び製品に対するこのアーキテクチャの再利用性を助け、市場に出るまでの時間を低減する。更に、提案のスケーラブルフェーズドアレイ無線トランシーバアーキテクチャは自己構成可能であり、トランシーバデバイスのプログラム可能性を容易にする。本明細書中に以下に説明するように、スケーラブルフェーズドアレイ無線トランシーバアーキテクチャは、特定のユースケースに最適化されたより良いフェーズドアレイゲイン又は低電力消費を可能にする複数の動作モードをサポートし得る。
図33は、いくつかの態様に従う、スケーラブルフェーズドアレイ無線トランシーバアーキテクチャで使用され得るトランシーバセル要素のブロック図である。図33を参照すると、トランシーバセル(TRX)3300は、送信器(TX)回路3302と、受信器(RX)回路3304と、局所発振器(LO)回路3306と、デジタル回路(DIG)3308と、入出力(I/O)回路3310と、位相調整回路3312とを含み得る。いくつかの態様において、マルチプレクサとデマルチプレクサとの組は、隣接セルとの通信を可能にするよう、トランシーバセル3300の4つのエッジ3320~3326にタイル状にされ得る。トランシーバセル3300の4つのエッジは、ノース(N)エッジ3320、イースト(E)エッジ3322、サウス(S)エッジ3324及びウェスト(W)エッジ3326として指定され得る。I/O回路3310は、トランシーバセル3300を隣接セルに接続するアナログ及びデジタルの両方の並列バスを含むことができ、これは、セルのタイルをトランシーバアレイにすることを可能にする。いくつかの態様において、TX回路3302及びRX回路3304は、単一又は複数の送信器及び受信器を夫々有することができ、電力消費を節約するために複数の受信器及び送信器が単一の局所発振器信号を共有することを可能にする。いくつかの態様において、夫々のトランシーバセル内で局所発振器信号を生成するために使用され得る水晶発振器信号は、複数のトランシーバセルの間でバッファリング及び共有され得る。いくつかの態様において、夫々のトランシーバセルにおいて水晶発振器バッファによって導入された遅延を測定及び較正するために、ループバックが使用されることができる。トランシーバセル3300はまた、制御回路(図33に図示せず)を含むことができ、制御回路は、トランシーバセル3300を他の隣接セルに接続する制御信号と、静的なグローバル制御信号とを処理するために使用され得る。いくつかの態様において、制御回路は、デジタル回路3308の一部として含まれ得る。
いくつかの態様において、TX回路3302及びRX回路3304は、増幅器と、可変ゲイン増幅器と、ミキサと、ベースバンドフィルタと、アナログデジタル変換器と、デジタルアナログ変換器と、他の信号処理回路とを含み得る。いくつかの態様において、デジタル回路3308は、デジタル信号処理、フィルタリング並びにデジタル信号合成及び位相調整を実行する回路を含み得る。いくつかの態様において、位相調整及び信号合成は、アナログ又はデジタル領域の両方で位相調整回路3312によって実行され得る。
図34は、いくつかの態様に従う、複数のトランシーバセルを使用するフェーズドアレイ無線トランシーバアーキテクチャのブロック図である。図34を参照すると、トランシーバアレイ3400は、アレイにおいて一緒にタイル状にされた複数のトランシーバセルを含み得る。より具体的には、トランシーバセル3402~3412の夫々は、互いに一致するコピーとすることができ、トランシーバセル3402~3412の夫々は、図33を参照して説明した機能ブロックを含み得る。個々のトランシーバセル3402~3412の間の通信は、アナログ及びデジタルバスを含み得る。いくつかの態様において、更に本明細書中で以下に説明するように、バスの幅は、フェーズドアレイシステムがサポートすることができる同時ユーザの数に等しくすることができる。図34において認識されるように、夫々のトランシーバセルは、隣接トランシーバセルのみに接続されることができ、これは、複数のトランシーバタイルを使用するトランシーバアーキテクチャのスケーラビリティを確保する。
いくつかの態様において、複数のトランシーバタイルを使用するトランシーバアーキテクチャは、単一の半導体ダイに実装されることができ、これは、図35に示すように、異なる用途のために異なる形状及びアレイサイズへの半導体ウェハのダイスカットを可能にし得る。
図35は、いくつかの態様に従う、フェーズドアレイ無線トランシーバを形成する個々のトランシーバセルへの半導体ダイのダイスカットを示す。図35を参照すると、半導体ウェハ3500及び3502が示されている。ウェハ3500及び3502は、加工過程中に互いに接続される複数のトランシーバタイル(又はセル)を含むよう加工され得る。ウェハ3500に関して、異なるフェーズドアレイ無線トランシーバは、異なる用途についてウェハ3500からダイスカットされ得る。例えば、10×3アレイ3510、複数の1×2アレイ3512、単一の3×18アレイ3514、複数の3×3アレイ3516、複数の3×9アレイ3518、複数の1×4アレイ3520及び単一の2×10アレイ3522が、半導体ウェハ3500からダイスカットされ、変化するシステムレベル要件を有する異なる低電力用途のために使用され得る。
いくつかの態様において、高性能システム(例えば、基地局用途)において、単一の半導体ダイ3502は、単一のトランシーバアレイ3530が取得されるようダイスカットされ得る。これに関して、同じ半導体ウェハは、同じトランシーバセル(例えば、3300)の複数のコピーで満たされることができ、次いで、半導体ウェハは、異なるフォームファクタを有するトランシーバアレイを取得するようダイスカットされ得る。
図36は、いくつかの態様に従う、フェーズドアレイアンテナでパッケージ化されるフェーズドアレイ無線トランシーバアーキテクチャのブロック図である。図36を参照すると、フェーズドアレイ無線トランシーバアーキテクチャパッケージ3600は、半導体ダイ3602に配置されたタイル状のトランシーバセルを有するトランシーバアレイ3610を含み得る。トランシーバアレイ3610は、アンテナアレイ3612におけるアンテナのアンテナ層3604と結合されることができ、アンテナアレイ3612は、フェーズドアレイ無線トランシーバアーキテクチャパッケージ3600を形成するようトランシーバアレイ3610と統合され得る。いくつかの態様において、トランシーバアレイ3610内の個々のトランシーバセルのピッチは、アンテナアレイ3612内の個々のアンテナのピッチに等しくすることができる。
いくつかの態様において、複数の同一のトランシーバセルを含む構成可能フェーズドアレイトランシーバシステム(例えば、セル3300のような複数のトランシーバセルを有するトランシーバアレイ3400)は、自己構成を実行するための自己認識構成可能(self-aware configurable)構造を含み得る。より具体的には、トランシーバアレイ3400に関連するプロセッサ回路(又は個々のトランシーバセル3300のうちの1つ以上の中の処理回路)は、電源投入時に自己構成を実行し得る。例えば、トランシーバアレイ3400内のトランシーバセルの夫々の識別番号(ID)は、例えば、ID割り当てアルゴリズムによって、電源投入時に決定され得る。夫々のトランシーバセルについて関連するID番号を有することによって、トランシーバアレイ3400は、夫々の同一のセルが制御及び構成について個々に対処され得るよう、トランシーバアレイ3400内でアクティブにされた個々のトランシーバセルの番号及び/又は位置を示す構成情報を提供し得る。
トランシーバアレイチップの4つの側面はノース(N)、サウス(S)、ウェスト(W)及びイースト(E)と呼ばれ得る。電源投入時に、ID#1がNW角のセル、例えば、トランシーバセル3402に割り当てられ得る。トランシーバアレイ3400のNW角は、ポートが開いているか他のポートと短絡しているかを検出し得る位置接続ポートによって決定され得る。
例えば、プロセッサ回路は、トランシーバセル3402のN及びWの両方のポートが開いているため、初期ID#1がそのセルに割り当てられることを決定し得る。次いで、トランシーバセル3402は、番号付けのシーケンスを初期化することができ、ID番号は1だけインクリメントされてイースト方向に隣接トランシーバセルに渡され得る。現在のセルがEポート接続を有さず(例えば、セル3406)、ウェストセルからそのID番号を受信した場合、ID番号をサウスセルに渡す。現在のセルがEポート接続を有さず、ノースセルからそのID番号を受信した場合、(接続されている場合)ID番号をウェストセルに渡す(そうでない場合、ID番号をサウスセルに渡す)。同様の処理がアレイのウェスト境界について使用され得る。これは、SE又はSW角のセルに達するまで続けられる。その時点で、ID番号付けが完了する。更に、セルのID番号が割り当てられたとき、セルは、送信及び受信の両方の振幅及び位相値の局所振幅及び位相較正を受けることができる。自己較正処理が完了してトランシーバアレイ内の夫々のトランシーバセルが割り当てられたID番号を有するとき、ID番号は、異なる数のユーザに関連する信号を処理するためにアレイを更に構成するために使用され得る。図34における例示的なアレイ3400において、ID割り当て/番号付けはセル3402で始まり、次いで、セル3406まで右に順次続き、次いで、下に進んでセル3408まで左に続き、次いで、下に進んで右に続き、以下同様とすることができる。
いくつかの態様において、トランシーバアレイ3400のようなスケーラブルフェーズドアレイ無線トランシーバアーキテクチャは、複数の動作モードをサポートし得る。例示的な動作モードは、LOフェーズドアレイ(又はビームフォーミング)動作モードと、デジタルフェーズドアレイ(又はビームフォーミング)動作モードと、アナログフェーズドアレイ(又はビームフォーミング)動作モードと、ハイブリッドフェーズドアレイ(又はビームフォーミング)動作モードとを含む。動作モードの夫々は、前述のトランシーバセル(例えば、3402又は3300)を使用して実装されることができ、アレイ3400のサイズがスケーラブルな動作及び構成を可能にする。
図37は、いくつかの態様に従う、通信バスを有するトランシーバセルのブロック図である。図37を参照すると、トランシーバセル3700は、図33に関して前述したトランシーバセル3300と同じものとすることができる。
例示的なデジタルビームフォーミング動作モードの間に、トランシーバセル3700内のトランシーバに関する要素が使用され得る。例えば、受信モードにおいて、受信信号は、デジタル信号に変換され、次いで、前のID番号を有する隣接トランシーバセルから受信したデジタル信号とトランシーバセル3700内でベクトル加算され得る。スケーラビリティを維持するために、夫々の段階の間の加算は、データバスラインにおける負荷を制限するためにパイプライン化され得る。更に、合計でK人のユーザ(あるいは、同様な意味でフェーズドアレイのK個の独立したビーム)をサポートするために、夫々のユーザについて1つのK個のバスラインが使用され得る。
いくつかの態様において、バスラインの数は、ハードウェアにおいて固定されることができ、したがって、夫々のトランシーバセルは、デジタルフェーズドアレイ動作中に最大数のユーザ(又はビーム)をサポートするよう、ハードウェアで設計され得る。データラインはパイプライン化されるため、深さNの内部パイプラインレジスタが維持されてもよい。パイプラインの深さNは、デジタルフェーズドアレイ動作モードのために個々のトランシーバセルが接続される場合、最大トランシーバアレイサイズを制限し得る。より大きいアレイサイズ(又は同一のトランシーバセルの数)は、より大きいパイプラインレジスタの深さNを必要とする。
図37において認識されるように、トランシーバセル3700は、隣接セルと通信するためにK個のデジタルバスを使用するデジタルビームフォーミング動作モードのために構成される。例えば、K個のデジタルバス3702、3704、3706及び3708は、ウェスト、ノース、イースト及びサウスに夫々設置されるトランシーバセルと通信するために使用され得る。トランシーバセル3700は、送信器ブロック3722及び受信器ブロック3724を含み得る。送信器ブロック3722及び受信器ブロック3724は、デジタルマルチプレクサ3710~3712、3714~3716及び3718~3720を介してK個のデジタルバスに結合されることができ、デジタルマルチプレクサ3710~3712、3714~3716及び3718~3720は、特定の隣接トランシーバセルからのデジタル入力の選択のために使用され得る。隣接セルからの受信デジタル信号が加算され、次いでパイプライン式にその後の隣接セルに渡され得る。
図38は、いくつかの態様に従う、単一のアナログデジタル変換器(ADC)を使用するLO位相シフト動作モードのトランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャのブロック図である。図38を参照すると、フェーズドアレイトランシーバ3800は複数のトランシーバセル3802~3818を含み得る。トランシーバセル3802~3818は、図33に示すトランシーバセル3300と同じものとすることができる。
例示的なLOフェーズドアレイ動作モードにおいて、夫々のトランシーバセル3802~3818は、中央制御装置(図38に図示せず)から位相シフト信号を受信し得る。中央処理装置は、トランシーバアレイ3800によって使用されるプロセッサとすることができ、あるいは個々のトランシーバセル内の1つ以上のプロセッサとすることができる。受信パスにおいて、位相シフト信号は、位相シフトされたLO信号を生成するよう、局所発信器信号に適用され得る。全てのミキサ段の出力は、いずれかのアナログデジタル変換を迂回して、アナログ領域で加算され得る。より具体的には、受信無線信号が位相シフトされたLO信号を使用してダウンコンバートされた後に、結果の信号は、隣接セル(例えば、ウェストエッジに沿ったトランシーバセル)から受信した信号と加算され、次いで他の隣接トランシーバセル(例えば、イーストエッジに沿ったトランシーバセル)に渡され得る。
図38におけるトランシーバアレイ3800に関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル3806に通信される。トランシーバセル3806内のアナログデジタル変換器3820は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路3822に通信され得る。これに関して、単一のADCのみが、全てのトランシーバセル3802~3818の合成アナログ信号出力を受け取り、合成アナログ信号出力をデジタル信号に変換する。トランシーバセル3802~3818の夫々からの複数のアナログ信号の合成は、隣接トランシーバセルの間をインターフェイス接続するアナログバスラインを通じて実行され得る。トランシーバアレイ3800内で単一のADCを使用することによって、ADCは位相シフトアレイシステムにおける最大の電力消費ブロックのうちの1つであるため、有意な電力低減が実現され得る。
図39は、いくつかの態様に従う、複数のADCを使用するLO位相シフト動作モードのトランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャのブロック図である。図39を参照すると、トランシーバアレイ3900は複数のトランシーバセル3902~3918を含み得る。トランシーバセル3902~3918は、図33に示すトランシーバセル3300と同じものとすることができる。複数のサブアレイを有する例示的なLOフェーズドアレイ動作モードにおいて、夫々のトランシーバセル3902~3918は、中央制御装置(図39に図示せず)から位相シフト信号を受信し得る。中央処理装置は、トランシーバアレイ3900によって使用されるプロセッサとすることができ、あるいは個々のトランシーバセル内の1つ以上のプロセッサとすることができる。
図39において認識されるように、トランシーバアレイ3900の行内の複数の隣接トランシーバセルはサブアレイを形成し得る。例えば、トランシーバセル3902~3906はトランシーバサブアレイを形成し得る。同様のサブアレイがトランシーバセル3908~3912及び3914~3918によって形成され得る。サブアレイの夫々の受信パスにおいて、位相シフト信号は、位相シフトされたLO信号を生成するよう、局所発信器信号に適用され得る。サブアレイ内の全てのミキサ段の出力は、いずれかのアナログデジタル変換を迂回して、アナログ領域で加算され、次いでサブアレイに関連する単一のADCに通信され得る。より具体的には、受信無線信号が位相シフトされたLO信号を使用してダウンコンバートされた後に、結果の信号は、セル3902~3906のサブアレイ内の隣接セル(例えば、ウェストエッジに沿ったトランシーバセル)から受信した信号と加算され、次いでサブアレイ内の他の隣接トランシーバセル(例えば、イーストエッジに沿ったトランシーバセル)に渡され得る。
セル3902~3906のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル3906に通信される。トランシーバセル3906内のアナログデジタル変換器3920は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路3926に通信され得る。
セル3908~3912のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル3912に通信される。トランシーバセル3912内のアナログデジタル変換器3922は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路3928に通信され得る。
セル3914~3918のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル3918に通信される。トランシーバセル3918内のアナログデジタル変換器3924は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路3930に通信され得る。
アレイ内の全てのトランシーバセル要素がアナログ信号を生成するために使用され、アレイ内の単一のADCが出力デジタル信号を生成するために使用される図38のトランシーバアレイ3800と比較して、図39のトランシーバアレイ3900は、サブアレイ当たり1つのADCを使用し、これは、複数のユーザにサービスを提供する複数のデジタル信号の生成を可能にする(例えば、トランシーバアレイ3900がM個のサブアレイに分割され、夫々がその自己のデジタル信号出力を有する場合、M人のユーザがサービスを提供される)。しかし、夫々のユーザは、全アレイ開口の小部分(1/M)のみを使用する。
図40は、いくつかの態様に従う、複数のデジタル信号を生成するために複数のADCを使用するハイブリッド動作モード(LO及びデジタル位相シフト並びに合成)のトランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャのブロック図である。図40を参照すると、フェーズドアレイトランシーバ4000は複数のトランシーバセル4002~4018を含み得る。トランシーバセル4002~4018は、図33に示すトランシーバセル3300と同じものとすることができる。例示的なハイブリッド動作モードにおいて、トランシーバセル4002~4018の夫々は、中央制御装置(図40に図示せず)から位相シフト信号を受信し得る。中央処理装置は、トランシーバアレイ4000によって使用されるプロセッサとすることができ、あるいは個々のトランシーバセル内の1つ以上のプロセッサとすることができる。
図40において認識されるように、アレイ4000の行内の複数の隣接トランシーバセルはサブアレイを形成し得る。例えば、トランシーバセル4002~4006はトランシーバサブアレイを形成し得る。同様のサブアレイがトランシーバセル4008~4012及び4014~4018によって形成され得る。サブアレイの夫々の受信パスにおいて、位相シフト信号は、位相シフトされたLO信号を生成するよう、局所発信器信号に適用され得る。サブアレイ内の全てのミキサ段の出力は、いずれかのアナログデジタル変換を迂回して、アナログ領域で加算され、次いでサブアレイに関連する単一のADCに通信され得る。より具体的には、受信無線信号が位相シフトされたLO信号を使用してダウンコンバートされた後に、結果の信号は、セル4002~4006のサブアレイ内の隣接セル(例えば、ウェストエッジに沿ったトランシーバセル)から受信した信号と加算され、次いでサブアレイ内の他の隣接トランシーバセル(例えば、イーストエッジに沿ったトランシーバセル)に渡され得る。セル4002~4006のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル4006に通信される。トランシーバセル4006内のアナログデジタル変換器(ADC)回路4020は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路4026に通信され得る。
セル4008~4012のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル4012に通信される。トランシーバセル4012内のアナログデジタル変換器4022は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路4028に通信され得る。
セル4014~4018のトランシーバサブアレイに関して、アナログダウンコンバートされた信号は、隣接セルの間で渡されるときに加算され、最終的に加算されたアナログ信号は、トランシーバセル4018に通信される。トランシーバセル4018内のアナログデジタル変換器(ADC)回路4024は、アナログ信号をデジタル信号に変換するために使用されることができ、次いで、デジタル信号は、処理のためにベースバンド回路4030に通信され得る。
例示的なハイブリッド動作モードにおいて、ベースバンド回路4026、4028及び4030の夫々は、ビームフォーミング信号を生成する目的で1つ以上の重み値(又は係数)を適用し得る。より具体的には、係数H,H,…,Hは所望のビーム4037に関連付けられ得る。同様に、係数W,W,…,Wは所望のビーム4033に関連付けられ得る。ベースバンド回路4026、4028及び4030は、ADC回路4020、4022及び4024から受信したデジタル信号に係数H,H,…,Hを適用し得る。重み付けされた信号は、所望のビーム4037を生成するよう、加算器4036によって加算され得る。
同様に、ベースバンド回路4026、4028及び4030は、ADC回路4020、4022及び4024から受信したデジタル信号に係数W,W,…,Wを適用し得る。重み付けされた信号は、所望のビーム4033を生成するよう、加算器4032によって加算され得る。ビーム4037及び4032は、ベースバンド回路4038及び4034によって夫々更に処理され得る。
図40はデジタル領域での2つの加算器を使用した2つのビームの生成を示しているが、開示はこの点に限定されない。いくつかの態様において、単一の組の重みのみがADC回路のデジタル出力に適用されることができ、単一の加算器のみが単一のユーザのための単一のビームを生成するために使用され得る。
図41は、いくつかの態様に従う、単一のADCを使用するアナログIF/ベースバンド位相シフト及び合成動作モードのトランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャのブロック図である。図41を参照すると、トランシーバアレイ4100は、アナログ位相シフト(ビームフォーミング)動作モードで動作するよう構成され得る。図41において認識されるように、トランシーバセル4102A、4102B、4102C及び4102Dの夫々は、局所発振器4106と、ミキサ4104と、位相シフタ4108とを含む。受信無線信号がミキサ4104によってダウンコンバートされた後に、位相シフタ4108は位相シフトを適用することができ、位相シフトは、トランシーバアレイ4100内の制御回路によって指定され得る。位相シフトされたアナログ信号は、隣接トランシーバセルに通信されることができ、そこでこれらが加算され、最終的な合成信号4110を生じ得る。合成の位相シフトされたベースバンドアナログ信号は、トランシーバアレイ4100内の単一のADCによってデジタル信号に変換され得る。例えば、合成信号4110は、トランシーバセル4102B内のADC4112Bに通信されることができ、ADC4122Bは、ベースバンド回路4116による更なる処理のためにデジタル信号4114を生成し得る。
図42は、いくつかの態様に従う、複数のデジタル信号を生成するために複数のADCを使用するアナログIF/ベースバンド位相シフト動作モードのトランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャのブロック図である。図42を参照すると、トランシーバアレイ4200は、トランシーバセル4202A、4202B、4202C及び4202Dを含み得る。トランシーバセル4202の夫々は、対応するミキサ4204(4204A~4204D)及び局所発振器生成器4206(4206A~4206D)を含み得る。
いくつかの態様において、ミキサ4204の出力におけるアナログベースバンド信号は、複数の出力信号を生成するために使用され得る。より具体的には、アナログ係数セットは、夫々のトランシーバセルからの重み付けされた信号を生成するよう、アナログ乗算器及び夫々のミキサの出力を使用して適用されることができ、重み付けされた信号は加算され、ADCサブシステムによってデジタル信号に変換され得る。図42において認識されるように、第1アナログ係数セットA1(S)(4208A~4208D)は、ミキサ4204A~4204Dの出力において夫々適用され得る。重み付けされた信号は、合成信号4214を生成するよう加算されることができ、合成信号4214は、トランシーバセル4202B内のADC4212Bに通信され得る。ADC4212Bは、デジタルベースバンド回路4218によるその後の処理のために出力デジタル信号4216を生成し得る。
同様に、第1アナログ係数セットA2(S)(4210A~4210D)は、ミキサ4204A~4204Dの出力において夫々適用され得る。重み付けされた信号は、合成信号4220を生成するよう加算されることができ、合成信号4220は、トランシーバセル4202D内のADC4212Dに通信され得る。ADC4212Dは、デジタルベースバンド回路4224によるその後の処理のために出力デジタル信号4222を生成し得る。これに関して、2つの別個の並列アナログ係数セットをトランシーバセルのミキサの夫々の出力に適用することによって、2つの別個のビームに対応する2つの別個のデジタル出力信号が2人の別個のユーザに使用され得る。2つの出力デジタル信号のみが図42に示されているが、開示はこの点に限定されず、異なる数の並列アナログ係数セットが同様に使用され得る。
図43は、いくつかの態様に従う、トランシーバタイルを有するフェーズドアレイトランシーバアーキテクチャの例示的な動作モードを示す。図43を参照すると、テーブル4300は、本明細書中に記載の複数のトランシーバセルを使用するスケーラブルフェーズドアレイ無線トランシーバアーキテクチャの様々な動作モードに使用され得る、並列アナログ係数セットの数、データ収束及び並列デジタル係数セットの要約を提供する。
テーブル4300における第1行を参照すると、全開口(例えば、全アレイサイズ)がトランシーバアレイにおけるLOビームフォーミング動作モードに使用され得る。このモードは図38において認識され、全体アレイが使用され(全開口)、アナログ係数セットは使用されず(位相シフトがLO位相シフトで実装され、ミキサの後のアナログベースバンド信号において実装されないため)、その後の処理のために並列デジタル係数セットを用いず、単一のADCが単一のデジタル出力信号を生成するために使用される。
テーブル4300における第2行を参照すると、全トランシーバアレイがデジタルビームフォーミング動作モードに使用され得る。夫々のトランシーバセルのアナログ出力は加算されることができ、アレイ内のN個のデジタル変換器は、並列アナログ係数セットを使用せずに、N個のデジタル信号を生成するために使用され得る。データ変換器のN個のデジタル出力は、M人のユーザにサービスを提供する最終的なM個の出力ビームを生成するよう、M個の並列デジタル係数セットと共に使用され得る。デジタル係数セットの適用は図40に示されており、2人のユーザにサービスを提供する2つの最終的な出力ビームを生成するために、2つのデジタル係数セットがN個のデジタル変換器の出力に使用される。
テーブル4300における第3行を参照すると、トランシーバアレイ開口の1/MがM人のユーザにサービスを提供するために使用される。この例は図39に示されており、M個のアナログデジタル変換器(アレイ3900がM個の行を有すると仮定する)によってサブアレイ処理が使用される。アナログデジタル変換器からのM個のデジタル出力は、M個までの並列デジタル係数セットを使用して(例えば、図40において認識されるように)その後に処理され得る。
テーブル4300における第4行を参照すると、トランシーバアレイの全開口は、アナログフェーズドアレイ動作モードによって使用され得る。例えば、図42において認識されるように、M個の出力信号を生成するために、M個の並列アナログ係数セットがM個のデジタル変換器と共に使用され得る。図42に関して、並列アナログ係数セットが2つのデジタル変換器でトランシーバセル毎に使用され、出力ビーム信号を生成するよう、Mは2に等しい。M個までの並列デジタル係数セットが、データ変換器のビーム信号出力によってその後に使用され得る。
以前の無線ユーザデバイスアンテナアレイ設計は、少なくとも3つの問題を生じている。1つの問題は、以前の設計がアンテナアレイに給電する遮蔽されたシリコンダイを導入することであり、シールドは個別的な(discrete)金属シールドであり、アレイは遮蔽されたシリコンダイを含む基板の1つ以上のレベル又は1つ以上の側面にあってもよい。これは、基板の1つ以上のレベル又は1つ以上の側面において遮蔽されたダイ、ディスクリート回路及びアンテナアレイのために比較的大きい領域の基板を必要とする。大きい領域の基板を必要とする設計は、より高価な基板を意味する。前述のタイプの設計では、基板が、アンテナアレイが給電されるシリコンダイの2倍もの高価なものに近づくことが通常ではないわけではない。いくつかの態様において、基板は積層構造体でもよい。本明細書中で積層構造体が記載されるが、他の態様において他の基板も使用されてもよい。
前述のタイプの設計で直面する2番目の問題は、関与する大きい領域のため、ダイからアンテナ素子のいくつかへの長い給電線の経路設定である。これは、アンテナ素子のいくつかに給電する際の電力損失をもたらし、いくつかの場合では3dBの損失又はほぼ電力の半分の損失ほどになる。
第3に、このような設計は、基板のいくつかの領域において良好なフェーズドアレイ放射を提供し得るが、他の領域において、ダイをカバーするシールドと、無線周波数干渉(RFI)及び電磁気干渉(EMI)から保護するディスクリート部品とのため、アンテナ素子又は全体アンテナアレイからの放射はブロックされ得る。
したがって、前述の3つの問題への解決策を見つけることが望まれる。1つの解決策は、基板又は積層構造体のような複数のパッケージを使用する設計に関与する。本明細書中に記載のものは、いくつかの態様に従うパッケージ・オン・パッケージ(package-on-package)(POP)実装における、図44A~44Dに記載のような2つのパッケージを使用する解決策である。
図44Aは、いくつかの態様に従う2パッケージシステムの1つのパッケージの上面図を示す。概して4400で示されており具体的には4401で示される1つのパッケージは、いくつかの態様において、メタライズ最上層及びメタライズ最下層を有する並列メタライズ層を有する基板でもよい。パッケージ4400は、図3Aに示すmmWave通信回路300のRF回路325及びアンテナアレイ回路330に組み込まれてもよいが、パッケージ4400はこのようなものに限定されない。いくつかの態様において、メタライズ層のうちの1つ以上の一部又は全部は、必要に応じてメタライゼーションなしで処理されてもよい。
いくつかの態様において、基板4401は、6個のパッチアンテナ4403、4404のアレイを含む。符号4403は、単一のドットで示される単一の整合点(match point)を有するパッチアンテナを表し、これは単一パッチアンテナでもよい。符号4404は、2つのドットで示される2つの整合点を有するパッチアンテナを表し、これはデュアルスタック(dual stacked)パッチアンテナ素子でもよい。この設計は、使用され得るアンテナ素子の複数の構成及びタイプのうちの1つに過ぎず、いくつかの態様のみを表す。いくつかの態様に従って、基板4501の周囲のあたりに、6個のアンテナ素子4505がある。これらは、いくつかの態様に従ってエンドファイア動作に適した印刷アンテナ素子でもよい。ダイポールアンテナ素子が4505で示されているが、他のタイプのアンテナ素子が使用されてもよい。本明細書中の説明において、アンテナアレイの一部又は全部は、インテリジェントアンテナアレイと呼ばれてもよい。
「インテリジェントアンテナ」又は「インテリジェントアンテナアレイ」との語は、アンテナ又はアンテナアレイが制御される方式に意味を見出す。いくつかの態様において、アンテナアレイは、垂直、水平及び円偏波のような様々なタイプの極性で実装されてもよい。一例として、アンテナアレイが垂直極性及び水平極性について実装されるとき、所与の時間における送信極性、したがって、どのアンテナ又はアレイが所与の時間において始動しているかは、無線ユーザデバイスにおいて最大強度で受信された信号の極性の指示に基づいてアルゴリズム的に制御されてもよく、したがって、インテリジェントである。いくつかの態様において、この情報は、ユーザデバイスからセルタワートランシーバのような無線送信器に絶えずフィードバックされ得る。その場合、この動作は、移動電話でもよいユーザデバイスの受信器における偏波と整合する送信偏波を実現するよう実装されてもよい。いくつかの態様において、ユーザデバイスのアンテナもまた、同様にアルゴリズム的に制御される。いくつかの態様において、同様のアルゴリズム制御は、空間ダイバーシチを得る。
図44Bは、いくつかの態様に従う図44Aの基板の底面図を示す。図44Bにおいて、構造体は概して4402で示されており、シリコンダイ4409とディスクリート部品とを含み、ディスクリート部品の1つが4411として示されている。いくつかの態様において、ディスクリート部品はキャパシタ、抵抗及び/又はインダクタでもよい。ダイの周囲に接点4407があり、いくつかの態様において、接点4407は、はんだボールでもよい。
図44Bは、いくつかの態様に従う図44Aの基板の底面図を示す。図44Cは、いくつかの態様に従う図44A及び44Bの2パッケージシステムの第2パッケージの基板の底面図を示す。図44Cの構造体4419は、いくつかの態様に従って図44Aの基板440でもよいような、PCBボードのような基板でもよい。基板4419は長さLであり、いくつかの態様において、長さLは、基本的には図44Bの接点4407のラインの長さと同じであり、接点は以下に説明する。構造体4419上に示すものは、ここでは、夫々のアンテナ素子上に2つのドットで示される2つの整合点を夫々有するデュアルスタックパッチアンテナとして示される4つのアンテナ素子4421である。基板4401と同様に、基板4401上又は内のアンテナのこの設計は、使用され得るアンテナ素子の複数の構成及びタイプのうちの1つであり、いくつかの態様のみを表す。
図44Dは、いくつかの態様に従う、一方を他方に実装した図44A及び図44Cのパッケージを示す。第1パッケージ4401及び第2パッケージ4419は、パッケージ・オン・パッケージ実装として一方が他方の上に実装されるかあるいは積み重ねられる。実装は、様々な実装処理を使用して行われ得る。POPの態様4406によって認識できるように、アンテナ素子4421は、POP態様の「上部」基板又は「上部パッケージ」における基板4419上又は内にあり、「上向き」を指している。いくつかの態様に従って、アンテナ4403、4404は、POP態様における基板4401の「底部」又は「底部パッケージ」上又は内にあり「下向き」を指している。以下に説明するように、コネクタ4417及び部品4413は、モールド又はカプセル化4414によって固定されてロバストにされてもよい。レベル4423はメタライズ層を含み、いくつかの態様において、メタライズ層は、アンテナ又は給電線に使用される複数のメタライズ層でもよい。
一般的に言えば、POPの概念は、以前の概念では積み重ねられることができない垂直積層型パッケージに関し、パッケージ内にアンテナ、ダイ及び部品の3次元(3D)積層を含む。3D積層において考慮されるべきいくつかの要因は、アンテナボリュームとアンテナサイズとを含む。以前の設計は平面であり、これは、前述の大きい基板領域をもたらす次元であるX次元及びY次元(例えば、幅及び長さ)を有する遮蔽されたダイ設計を生じ、基板コストと、給電線電力損失と、シールド及び他のディスクリート部品による利用可能な空間の損失及び放射のブロックとの問題を有する。以前の設計は、ユーザデバイスのパッケージの特定のZ高さ又は「上方空間」の制限が存在しており、ボリュームのZ高さの次元の重要度のため、パッケージのボリュームがパッケージのX次元及びY次元よりも重要であるという仮定に主に基づいている。しかし、この仮定は、前述の問題をもたらすZ次元を減少させるために、より大きいXY領域をもたらす。しかし、積層型パッケージ・オン・パッケージがこれらの問題の解決策をもたらすことができ、あまり高価でない基板、給電線の経路設定を通じた電力損失の低減(例えば、5G mmWave動作において非常に重要である)及びより小さい放射のブロックを生じることが発見された。本明細書中に記載の態様は、領域(面積)に焦点を当てることとは対照的に、ボリューム(体積)に焦点を当てる。言い換えると、積層によりX次元及びY次元の減少が重要であり、Z高さは以前に考えられたものより幾分かはあまり重要ではないことが発見された。
実際には、態様は他のものの上部により多くの部品を積み重ねてもよいため、態様は初めに幾分かZ高さを増加させるよう考えられてもよい。しかし、その結果は、X次元及びY次元における大きい低減であり、基板コストと、長い給電線を通じた電力損失と、シールド及び他のデバイス障害物によってブロックされる放射との前述の問題の負の効果の解決又は低減をもたらす。
更に、POP積層のZ高さは、実際には、現在及び将来の無線ユーザデバイスの要件を満たすと考えられる。更に、いくつかの態様に従って、図44A及び44Cにおける上面図並びに図44Dにおける側面図において認識されるアンテナ素子4403、4404及び4405のように、インテリジェントアンテナアレイに使用されるシリコンの下又は上の正味領域は、以前の設計よりも有意に小さい空間を占め、小さい全体の給電線の経路設定を必要とする。言い換えると、図44Dの態様において、アンテナ4403、4404はダイ4409の「下」且つ近くにあり、アンテナ4421はダイの「上」且つ近くにある。近さは、信号を送信する給電線が非常に小さい距離を横断するようなものであり、これは、以前の設計における長い給電線の経路設定によるものである電力損失を小さくすること、いくつかの態様において有意に小さくすることを意味する。
更に、アンテナ給電処理において必要とされず、アンテナの横に配置されることができる、1つが4413で記されるいくつかのディスクリート部品及びコネクタ4417は、図44B及び44Dの態様において、アンテナ及びダイの左に出されており、それによって、全体のPOP実装で、パッケージの上部及び底部においてダイをアンテナに接続する給電線がアンテナへのより短い距離を横断する。基板4401は、アンテナ素子を示す目的で図44Bの接点4407の長さと同一の長さとして示されているが、図44Dにおいて認識されるように、基板4401は、部品及びコネクタの全体にわたり延在する。
前述のように、以前の設計において、ディスクリート部品がダイと共同設置されて金属シールドが両方の上部にあるよう、ダイ及びディスクリート部品は金属シールドの下に配置される。その組み合わせは、パッケージ・オン・パッケージにおいて4413のようなより大きいディスクリート部品がいくつかの態様においてダイからオフセットされ得るという事実のため、また、以前の設計において有用ではないZ次元のボリュームのいくつかが使用可能な空間になったため、本明細書中に開示のPOP態様よりも実際には高さが高い。これは、その一部を形成するアンテナ4421及びアンテナアレイのようなインテリジェントアンテナ又はインテリジェントアンテナアレイの配置に現時点では利用可能な図44Dにおける使用可能な空間4425として認識される。
前述のように、図44B及び図44Dにおいて、ダイの周囲に接点4407があり、いくつかの態様において、接点4407は、はんだボールでもよい。これらの接点、例えば、前述のはんだボールは、基板4401の少なくとも1つのメタライズ層に接する。これは図44B及び44Dにおいて認識される。図44Dの切り取りにおいて、はんだボール4407は、基板4401のメタライズ層と基板4419のメタライズ層との両方に接するよう認識される。したがって、いくつかの態様において、ダイの周囲のはんだボールが高密度の間隔にある場合、はんだボールと上部及び底部のこれらの2つのメタライズ層との組み合わせは、ファラデーケージとして動作し、以前の設計において使用される個別的な金属シールドの大きさ及び高さの必要のない、ダイ4409のためのシールドになる。いくつかの態様において、接点はメタライズビア(metallized via)であり、高密度の間隔である場合、上部及び下部のメタライズ層と接して、ファラデーケージとして動作し得る。
いくつかの態様において、ビアは基板に垂直でもよい。いくつかの態様において、ビアは、基板に関して傾斜した方向にあってもよい。いずれの場合でも、ビアのような接点の間隔の密度又は接点の間のピッチの密度は約λ/20以下であり、λは動作周波数の波長である。記載のファラデーケージを考慮して、前述の設計の機械シールドは記載の態様にいて無くし、Z高さを依然としてより小さくすることができる。
更に、パッケージ4401及び4419のアンテナ素子4403、4404及びアンテナ素子4421は、夫々同じトランシーバにある必要はない。積層型パッケージの重要な利点は、複数のラジオ及び複数のシステムが互いの上部又は互いの付近に積み重ねられることを可能にすることである。いくつかの態様において、アンテナ4403、4404は、Wi-Fi周波数帯域内で動作するWi-Fiシステムにおけるラジオに結合されてもよく、アンテナ4421は、mmWave WiGig(Wireless Gigabit)システムにおけるラジオに結合されてもよく、いくつかの態様において、ダイ4409はWi-Fiシステム構成及びmmWave WiGigシステム構成を有する。
いくつかの態様において、ダイ4409は実際には複数のダイを含んでもよく、例えば、4403、4404のような1つのグループのアンテナに接続されたWiFi動作のために構成される1つのダイと、4421のような他のグループのアンテナに接続されたmmWave WiGig動作のために構成される第2ダイとを含んでもよい。更に、図44DのPOP構成のようにアンテナ素子のオーバーレイ(overlay)のため、パッチアンテナ4403、4404及び4421のようなアンテナアレイが互いに電気的に向かい合う場合、且つアンテナが一緒に始動するよう制御される場合、いくつかの態様において、放射は、図44Dにおける4420で概して示すようにエッジファイア動作において横向きになり得る。
更に、いくつかの態様において、パッケージの反対側のアンテナアレイの始動は、180度(180°)の角度の反対であっても、反対方向に始動するようアルゴリズム的に制御されることができ、いくつかの態様において、パッケージの反対側でのアンテナアレイの始動は同じ方向にすることができる。
図45A~45D及び図46A~46Dにおいて認識されるように、いくつかの態様において、積層のため、アンテナの数は異なる態様において変化し得る。以前の設計において、アンテナ配置は、個別的な金属シールドによって占める空間のため、パッケージの特定の場所のみに制限される。しかし、本明細書中に記載の積層技術による改善のため、通常ではこのような制限は存在しない。更に、前述のように、以前の設計の金属シールドは、放射のブロックを引き起こし、アンテナの配置を更に制限する。この制限はPOP設計において大きく除去される。したがって、いくつかの態様において、アンテナの数並びにアンテナアレイのサイズ及び形状は、特定のパッケージが組み込まれるデバイスの要件に従ってカスタマイズされ得る。
図45A~45Dに示す態様は、図44A~44Dの態様の変更を示し、同様の参照符号は両方の組の図面において同様の図面の項目を示す。図45Aは、いくつかの態様に従う他の2パッケージシステムの1つのパッケージの基板の上面図を示す。図45Bは、いくつかの態様に従う図45Aの基板の底面図を示す。図44Cは、いくつかの態様に従う図45A及び45Bの2パッケージシステムの第2パッケージの基板の底面図を示す。
図45Aは、基板4501とアンテナとを含むパッケージ4500を示し、アンテナのうちの1つは4504として識別される。アンテナは、夫々のアンテナ素子において2つのドットで示される2つの整合点によるデュアルパッチアンテナとして示されている。図45Bは、図45Aに示す基板4501の底面である。図45Bに示されているものはRFICダイ4509及びディスクリート部品であり、ディスクリート部品のうちの1つは4511として示される。いくつかの態様においてはんだボールである接点4507は、ダイ及びディスクリート部品の周囲を囲み、基板4501の少なくとも1つの層に接する。いくつかの態様において、パッケージ4504の水平寸法L2は、ファラデーケージを形成する接点4507と実質的に同じ水平長さである。
図45A~45Dにおいて、基板4501上のアンテナアレイを構成し得るパッチアンテナ4504、及び基板4519上のアレイアンテナを構成し得るパッチアンテナ4521のようなアンテナは、いくつかの態様において所望なように互いに対称的且つ垂直に向かい合って配置されてもよい。これは、アンテナ素子4521を含むアレイによる基板4519に垂直であり且つアンテナ素子4504を含むアレイによる基板4501に垂直である反対方向の放射を提供するように、アンテナ素子が一緒に始動して1つ以上の所望の方向への放射を提供するよう制御されることを可能にする。いくつかの場合、始動シーケンスに依存して、2つの前述のアレイの放射は、4520で示すようにエッジファイア動作において横向きになり得る。
図45Dは、いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられた図45A~45Cの第1パッケージ及び第2パッケージを示す。図45Dの態様4506は、図44Dのものとほぼ同じである。図44Dと同様に、積層はZ高さの改善に有利になるだけでなく、より良いアンテナ放射を提供するためにXY領域を使用することができるという利点が存在する。このような利点は、前述のようないくつかの以前の設計においては利用可能ではない。
図46A~46Dは、図44A~44Dの態様の他の変更を示し、同様の参照符号は両方の組の図面において同様の図面の項目を示す。図46Cのパッケージの水平寸法L3は、図45Cと同様に、シールドダイ4609へのファラデーケージの一部を形成する密集した接点4607の水平長さと実質的に同じ水平長さである。いくつかの態様において、ディスクリート部品4611は、図46Dのパッケージ・オン・パッケージ構成においてダイ4609から離れて横に配置されており、カプセル化4614によって保護される。パッケージ内又はパッケージ・オン・パッケージ態様におけるカプセル化の使用は図47Dに関してより詳細に以下に説明する。
図47A~47Dは、いくつかの態様に従う、カプセル化されたPOP実装の例を示す。図47Aは、いくつかの態様に従う更に他の2パッケージシステムの1つのパッケージの基板の上面図を示す。図47Bは、いくつかの態様に従う図46Aの基板の底面図を示す。いくつかの態様においてパッチアンテナであるアンテナ素子4704、4721は、8個のアンテナ素子4704及び4個のアンテナ素子4721が存在することを除き、基本的には図44A~44Dと同じタイプのアンテナ素子である。アンテナ素子のいくつかのタイプ及び数が当面のパッケージの必要性及び仕様に従って使用され得るため、アンテナ素子の数及びタイプは重要ではない。
いくつかの態様において、アンテナ素子4704及び4721は、図47A及び47Cに示すように、いくつかの態様に従って夫々のパッケージ上の異なる場所において2つのアレイを形成してもよい。図47Cは、いくつかの態様に従う図47A及び47Bの2パッケージシステムの第2パッケージの基板の底面図を示す。注目すべきは、アンテナ素子4721が以前の図面における位置から横に設置されるという事実であり、積層型パッケージ技術によって可能になるアンテナ配置の多様性を再び示す。この多様性は、アンテナ素子の配置及び放射と干渉する個別的な金属シールドを有する以前の設計では利用可能ではない。図47Dは、いくつかの態様に従う、パッケージ・オン・パッケージ実装に積み重ねられる図44A~44Cの第1パッケージ及び第2パッケージを示す。
図47Dにおいて注目すべきは、ダイ4709及びディスクリート部品4711をカバーするカプセル化又はモールド4724である。カプセル化は、モールド、樹脂、粘着物等とすることができる。モールドを通じたビア4715は、基板4701のアンテナ素子及び基板4719のアンテナ素子をダイ4709に接続し、いくつかの態様において、ストリップライン4712、4714等によってアンテナ給電として機能する。モールドを通じたビアは、様々なタイプとすることができ、例えば、銅スタッド(stud)、はんだボール、導電性エポキシでめっきされたビア穴又は他の適切な導体とすることができる。カプセル化は、材料に機械的に穴をあけることができるレーザーとすることができるエポキシのような十分に定義可能な材料とすることができる。代替的に、モールドは、いくつかの態様に従って、スタブの周りに実際に成形する液状材料とすることができる。一例として、モールドを通じたビアは、垂直柱のようなポスト又はスタッドでもよく、カプセル化は、全てのポスト(又はスタッド)を囲むことができるほどの液状のものとすることができる。したがって、スタッド型のモールドを通じたビアが最初に配置され、次いでその後にカプセル化が追加されてもよい。代替的に、カプセル化が最初に追加されることができ、モールドを通じたビアがカプセル化を通じて穴をあけてカプセル化を通じた穴あけの後に導電性ビアを追加することによって追加されることができる。カプセル化の利点は、前述のようにアンテナ素子がダイに近くなり続ける一方で、当面のパッケージ解決策の要件の一部になり得るアンテナの配置による距離の増加以外に、モールがダイへの有意な更なる保護を与え、アンテナ素子からダイへの距離を有意に増加させることなく、更なる信頼性及びロバスト性を追加することである。
モバイルデバイスにおけるX及びYの両方の空間及び更にZ高さの更なる有意な使用は、コネクタ、しばしば、スナップオン(snap-on)コネクタの使用である。したがって、電子機器から外部世界への必要な電気接続を維持するが、同時にコネクタの必要性を除去することは、モバイルデバイスのパッケージにおける実質的且つ貴重なXYスペース及びZ高さを節約する。いくつかは、電気接続を提供するフレキシブルな同軸ケーブル又は他の技術のケーブルをはんだ付けすることによって、コネクタの使用を回避することを考慮している。いくつかの態様において、前述のカプセル化の使用による部品の成形とほぼ同じように、フレキシブルなケーブルは所定の場所にはんだ付けされ、次いでパッケージに成形される。いくつかの態様において、図47Dの同軸ケーブル4722は、4720等において適切な接続点にはんだ付けされてもよく、また、いくつかの態様においてカプセル化4724によって固定される。モールド、エポキシ又は他のカプセル化のようなカプセル化は、同軸ケーブルが密閉された解決策として基板に接続されることを可能にし、次いで、これは、全体の組み合わせを遮蔽させるよういくつかのタイプの導電材料と付着し得る。このようにはんだ付けされて成形された同軸ケーブルは、通常のコネクタの必要なく、電気接続を維持するのに十分な強度を有し、カプセル化は、実際のコネクタの必要なくパッケージの内部から外部世界への電気接続の必要性のための解決策を提供するのに、パッケージにおいて同軸ケーブル接続を十分にロバストにする。いくつかの態様において、4720等におけるはんだ接続は必要なくてもよく、カプセル化は必要なロバスト性にとって十分になる。これは、前述で簡単に説明した実質的なXYZ空間の節約を生じる。いくつかの態様において、フレキシブルなケーブルは、ボード対ボードのコネクタを使用することによって、必要な接続を提供してもよい。
いくつかの態様において、ダイを含む基板の上面と底面との両方にアンテナを有し、また、パッケージのZ高さを低減してY次元を低減することも行う必要がある。前述の必要性を提供する解決策は、並んだ2つのパッケージを使用する。図48Aは、いくつかの態様に従う2パッケージのサイドバイサイド(side-by-side)パッケージシステムの上面図を示す。図48Aは、いくつかの態様に従うサイドバイサイド構成における2つの異なるパッケージ4800、4802を示す。図48Aにおいて概して認識されるパッケージ4800は、基板4801を含む。パッケージ4801の上面図(「上面」)には、RFICダイ4809及びRFI/EMI保護のための関係する部品をカバーする金属シールドの部分上面図である項目4808が認識される。シールド4808のいずれかの側にオフセットされるものは、1つが4811で示される、シールドの必要のないタイプのディスクリート部品と、はんだボールのような接点4810である。図48Bは、いくつかの態様に従う図48Aの2パッケージの底面図を示す。パッケージ4800の基板4801の底面に、デュアルパッチアンテナとして示されるアンテナ素子があり、アンテナ素子のうちの1つが4804で示される。また、ダイポール4805のようなエンドファイアアンテナが示される。現在の態様はパッチアンテナ及びダイポールアンテナを示すが、他の態様は必要な解決策に依存して異なるアンテナタイプを使用してもよい。
第2パッケージは、概して図48Aの4802で示される。いくつかの態様に従ってパッケージ4819の上面図(「上面」)が示される。パッケージ4819は、いくつかの態様においてはんだボールである接点4810’と、1つが4813として示されるディスクリート部品と、更に詳細に以下に説明するはんだ付け及び/又はカプセル化されたケーブル4817とを含む。いくつかの態様に従って、図48Bに示すパッケージ4819の底面図(「底面」)は、アレイに配置されたデュアルパッチアンテナ素子を含み、デュアルパッチアンテナ素子の1つが4821で示される。1つが4820として示される印刷ダイポールアンテナは、いくつかの態様に従ってエンドファイア動作のために構成される。
図48Cは、並んで構成されるパッケージ4800、4802を示す。パッケージ4802のケーブル4817及びディスクリート部品4813は、カプセル化4824によってカプセル化される。1つが4811であるディスクリート部品と、シールド4808(空間を節約する目的で図面に図示せず)と、ダイ4809とはまた、カプセル化4814によってカプセル化される。注目すべきことは、パッケージ4800が「裏返し」になっているという事実である。言い換えると、パッケージ4802は図48Cの上面においてその上面(「上面」)にあり、図48Cの底面においてその底面(「底面」)にあるが、パッケージ4800はパッケージ4802と並べられ、パッケージ4800は図48Cの底面においてその上面(「上面」)にあり、図48Cの上面においてその底面(「底面」)にある。2つのパッケージは、一緒に結合される4810~4810’におけるはんだボールのような接点によって固定される。これは、(パッケージ4819の底面側にある)アンテナ4821が下向きに面し、パッケージ4819の底面側にあるアンテナ4804が実際には上向きに面し、必要な解決策を提供すること、すなわち、前述のようにパッケージのZ高さを低減してY次元を低減することを生じる。
Z高さの低減は、サイドバイサイド設計が前述のPOP設計の方式における垂直積層を使用しないという事実から認識できる。Y次元の低減は、図48C及び48Dから認識できる。両方の図面において、アンテナ4804及び4821の次元は極めて小さい。更に、図48Aにおいて、ダイの次元も極めて小さい。いくつかの態様において、両方のこれらの要因は、より小さいY次元をもたらし、設計がユーザデバイスのエッジ(Y次元)の近くに配置されることを可能にし、Y次元においてモバイルデバイスのエッジの近くまで達するよう、モバイルユーザデバイスのディスプレイのために更なるXY空間を残す。両方の組のアンテナ4821、4804はダイ4809によって給電される。アンテナ4804は、ダイへのこれらのアンテナの近さのため、ダイ4809からの所望の極めて短い給電線を有する。アンテナ4821は、オフセットのため、幾分か長い給電線を有するが、この場合、モバイルデバイスの特定の機械設計に適合するのに許容可能であり、この例では、ディスプレイ画面とモバイルデバイスの蓋の端との間の非常に狭い空間においてY及びZ次元を小さくする。
いくつかの態様において、変化する時間における放射される電波の変化する極性及び変化する空間ダイバーシチについての前述の必要性のうちの少なくともいくつかは、モバイルデバイスのような無線通信ユーザデバイスのためのmmWaveアンテナ及びトランシーバデバイス又は他のダイを含むるよう、標準的なマイクロSDフォームファクタカードを再利用することによって満たされ得る。この再利用の利点は、このフォームファクタがモバイルデバイスにおいて使用され得ることである。マイクロSDフォーマットは1つ乃至数個のmmWaveアンテナの数を組み込むのにちょうど良いサイズであり、既存のフォームファクタに配置されるべきRIFICのため、新たなフォームファクタを設計する必要がない。むしろ、この既存のフォームファクタがハンドヘルド/電話の解決策において受け入れられる解決策を迅速に実装することができるという認識は、非常に大きいコスト節約と、あり得る動作上の利点を提供する。更に、マイクロSDフォームファクタカードがユーザデバイスに差し込まれることができるという事実は、一態様について必要に応じて自由に装着したりあるいは装着から出されたりすることができるため、フォームファクタのマーケティング上の利点を提供する。
マイクロSDフォームファクタカードは、異なる地理をサポートするよう交換可能な周波数範囲で、必要に応じたアンテナの増加/減少及び無線技術を可能にし得る。例えば、異なる地理は、規制の観点から異なる周波数帯域を使用するのに利用可能にし得る。マイクロSDカードが周波数帯域によって定義された場合、その特定の地理に適した所望の周波数帯域で動作するよう、必要に応じてユーザデバイスの中に入れられたりユーザデバイスから出されたりすることができる。
このようなフォームファクタカードは、アンテナが面するほどモバイルプラットフォームの末端の近くに容易に配置され得る。フォームファクタカードは、RF露出されており、且つしばしば基板上に存在するソケットメタライゼーションによってカバーされていない領域を既に有する。この露出領域は、カード内に埋め込まれるべきアンテナ又は小型アレイのために使用され得る。mmWave周波数で動作する超小型サイズのアンテナを仮定すると、このような領域に適合する小型アンテナ及び小型アンテナアレイは非常に効果的である。
いくつかの態様において、このようなカードの複数のインスタンスは、マッシブアンテナアレイ(massive antenna array)(MAA)を形成するよう構成され得る。更に、(カードのRF露出領域の外部に配置される)複数のソケットはまた、異なる周波数範囲のサブシステムのサポートを可能にし得る。いくつかの態様において、アンテナはエンドファイアアンテナでもよいが、ソケット金属構造体の外部の露出部分は、他の方向に放射する他のタイプのアンテナを可能にし得る。言い換えると、図50を参照して以下に説明するように、マイクロSDカードは、マイクロSDカードが差し込まれるソケットに関連するメタライゼーションによってカバーされていない露出領域を有する。異なるタイプのアンテナは、異なる方向への放射を可能にするよう、この領域に配置され得る。いくつかの態様において、エンドファイアアンテナ放射パターン方向はマイクロSDカードの方向に対して横向きになるため、エンドファイアアンテナが使用され得る。しかし、他の放射パターンカバレッジを有する他のアンテナタイプも使用され得る。
本開示において使用されるように、「正面」、「背面」、「上面」、「下面」、「側面」等のような語は、図面の方向に対して相対的に使用される。図49は、様々なサイズのSDフラッシュメモリカードの図である。SDフラッシュメモリカードは、図3Aに示すmmWave通信回路300の送信回路315、受信回路320、RF回路325及びアンテナアレイ回路330に組み込まれてもよいが、SDフラッシュメモリカードはこのようなものに限定されない。様々なサイズのSDフォームファクタは、概して4900で認識される。標準SDフォームファクタカードは、正面図4901A及び背面図4901Bにおいて認識される。電気接点は4903で認識される。標準SDフォームファクタの寸法はミリメートルで示される。ミニSDフォームファクタは、寸法がミリメートルで示される正面図及び背面図において4905で認識される。マイクロSDフォームファクタ及びその寸法は、正面図及び背面図において4907で認識される。
いくつかの態様において、マイクロSDフォームファクタカードは、無線通信デバイスにおけるmmWave動作のためにカードを適合させるための内容及び機能における変更によって、mmWave通信のために効果的に使用され得る。この1つの理由は、前述に簡単に説明したように、特に、無線通信デバイスにおける空間が貴重であり、マイクロSDフォーマットのサイズが、空間が不十分であるモバイルデバイスにおける使用に空間上の利点を提供するため、マイクロSDカードフォーマットのサイズが、mmWave動作に使用されることを可能にするからである。更に、マイクロSDカードが「背面」領域4909に電子接点を有すると仮定して、「正面」領域4911A、4911Bは、マイクロSDカードが差し込まれるソケットのメタライゼーションによってカバーされておらず露出されたマイクロSDカードの部分である。これは、アンテナが露出領域内にすることができる一方で、トランシーバのような他の部分がシールドとして機能するメタライゼーションによってカバーされることができるため、アンテナを有するミリメートル波周波数サブシステムにとって魅力的にする。いくつかの態様において、アンテナは、更に詳細に以下に説明するように、4911A及び4911Bにおけるカードの内部領域に配置される。アンテナは、無線サブシステムから放射し得る非メタライズ領域を必要とする。メタライズされていないため、4911A及び4911Bの内部領域は、アンテナの配置にとって理想的である。
図50は、いくつかの態様に従う、mmWave無線通信動作のためにカードを再利用するように変更された内容及び機能を有するマイクロSDカードの3次元図を示す。マイクロSDカードのフォームファクタカードは、外形図におけるカードの正面5001によって3次元図において認識されるカード5001を含む。カードの背面の電気接点5003は、隠された図で示される。前述の内容及び機能における変更の一部として、RFIC5005がマイクロSDカード内に示され、したがって、これも隠された図で示される。断面XX-XXに沿って見た場合、カードの内部の部分はアンテナを示し、アンテナは、図面においてダイポールアンテナ5107A、5107Bとして示されており、いくつかの態様に従って5009においてカードの正面に対して内部にあるため、これも隠された図で示される。言い換えると、アンテナは、配置されるプラットフォームから外向きに放射するように露出される必要がある。
5003におけるこれらのタイプのSDカードのメタライズコネクタは、厚い部分がアンテナ配置に理想的であるため、金属カバーなしにマイクロSDカード5001の厚い部分5009を残す。非常に小さいため、アンテナは利用可能な領域よりも小さく、したがって、1つより多くのアンテナがアレイを形成するよう含まれ得る及び/又はアンテナダイバーシチが含まれ得るため、カードはまた、mmWave周波数に良く適合する。この事実は、アンテナが多入力多出力(MIMO)動作に使用され得るという更なる利点を提供する。言い換えると、複数のアンテナがラジオシステムにおいて異なる方法で使用され得る。これらは単純に組み合わせられることができ、電気的にビームをステアリングするために使用されることができ、MIMOをサポートするために使用されることができ、それによって、異なるアンテナが解決策における他のアンテナとは独立して情報の別個のストリームとして送信/受信に使用され得る別個の無線チェーンをサポートし、更なる機能も同様に実装され得る。
MIMO動作の例として、いくつかの態様に従って、アンテナ5107Aは、1つのMIMOストリームをサポートするために使用されてもよく、アンテナ5107Bは、第2MIMOストリームをサポートするために使用されてもよい。これはまた、異なる偏波のアンテナを使用して実装され得る。RFIC5005は、これらの構成及びストリームの数をサポートするよう設計される。この態様において、2つのアンテナ5107A及び5107Bが示されているが、この方式は2つのみに限定されない。
RFIC5005及びアンテナ5107A、5107Bは、5009においてサブシステム内部のPCB上又は内にエッチング、印刷又は別法で構成されてもよく、これは、いくつかの態様に従って所望のマイクロSDカード形状にオーバーモールド(over-mold)されてもよい。いくつかの態様において、部分5009の厚さはまた、垂直偏波アンテナに必要なもののようなより高いアンテナ構造体を組み込むために使用され得る。PCBの底面は、マイクロSDソケット内のばね接点に接する底面におけるエッジカード接点を有する。5107A、5107Bで示すアンテナは、前述のように、ダイポールアンテナであり、半球状パターンを放射し得るが、他のタイプのアンテナはパターンにおいてより扇形になり得る。ダイポールアンテナは、上及び下にも放射するが、PCB及びマイクロSDカードと同じ面において放射するため、エッジファイアと考えられてもよい。カード5001の露出部分5009はエッジにあるが、以下に説明するように、エッジファイアアンテナは図52において認識されるようにこのフォームファクタで使用される可能性が高い。このフォームファクタはまた、電話のような統合されるプラットフォームのタイプと合致する。言い換えると、マイクロSDカードは、比較的小さいが、高容量のメモリストレージをサポートする能力を有するため、既に電話のための現在の標準的なメモリモジュールのフォームファクタである。
更に、このようなマイクロSDカードの複数のインスタンスを用いたアレイ形成で構成される場合、より多くの選択肢が作用し、異なる方向に放射する異なるアンテナタイプが使用されてもよい。非常に小さいカードであることは、カードがmmWave範囲内の周波数に等しいサイズの同じ大きさの程度のアンテナをサポートし得ることを意味する。一例を挙げると、このサイズはより大きく、WiFi周波数範囲(センチメートル波)のものと周波数範囲で合致するより大きいアンテナをサポートすることができるため、ミニSDカードフォームファクタにおけるWiFi無線解決策が存在する。より小さいマイクロSDは、mmWave周波数において使用可能なより小さいアンテナ又はこのようなアンテナのうちの数個をサポートすることができ、これは、アンテナが互いに適切な距離に配置されたときにアレイを形成するよう使用されることができ、距離は周波数の関数である。
図51Aは、いくつかの態様に従う、図50のダイポールアンテナの放射パターンを示す図50のマイクロSDカードを示す。ダイポール5107A、5107Bの放射は、横向きに放射するが上及び下にも放射する一種の半ドーナツ型である。放射パターンの残りの半分は、電話/ハンドヘルドデバイス又はマイクロSDソケットのメタライゼーションによってブロックされてもよい。図51Bは、いくつかの態様に従う、Z高さで制限される露出領域5109Bに垂直に立ち上がる垂直偏波モノポールアンテナ素子を有する図50のマイクロSDカードを示す。他の半分包み込まれた垂直偏波素子もまた使用されてもよい。折り返しダイポールもまた使用されてもよい。図51Cは、いくつかの態様に従う、折り返しダイポールアンテナ5107AC、5107BCを有する図50のマイクロSDカードを示す。図51A、51B及び51Cは、単独及びアレイ状の両方で様々な態様において使用され得る様々なタイプのアンテナ素子のうちのいくつかのみを示す。
図52は、カードの複数のインスタンスを提供するよう前述のように変更された3つのマイクロSDカードを示し、複数のインスタンスの夫々は、いくつかの態様に従ってカード当たり複数のアンテナを有してもよい。図52において一般的に認識されるものは、3つのマイクロSDカード5203、5205、5207が取り付けられたマザーボード5201の組み合わせであり、カードは、前述のように通常のフラッシュメモリ機能から変更されている。アンテナは、前述のように、夫々のカードにおいてダイポールアンテナ5107A、5107Bでもよく、いくつかの態様において、夫々のカードから出る矢印によって示されるようにエンドファイア方向に放射してもよい。本明細書中の他の図面に示されるように、また、他の図面に関して説明したように、いくつかの態様において、異なるタイプのアンテナは、当面の解決策に従って、様々なニーズを満たすアンテナを実装するよう使用されてもよい。3つのアレイが示されているが、これはアレイサイズを増加させるようX軸に沿って更なるカードを追加することによって、いずれかの方向に延ばされ得る。実際に、利用可能なボリュームに依存して、図50の座標系によって示されるX及びZの両方の次元においてアレイを広げるために、これがZ方向に積み重ねられ得る。適切なアンテナ間距離及び利用可能なボリュームを用いて互いに隣り合って、あるいは互いに上に積み重ねて、多くのマイクロSDカードを追加することによって、マッシブアンテナアレイ(MAA)が構成され得る。夫々のカードにおけるアンテナの数は、動作周波数に応じて、従って波長λに応じて、夫々のカードにおいて1つのアンテナから複数のアンテナになり得る。
無線通信のためのモバイルデバイスにおける空間は、このようなデバイスのフォームファクタ内に含まれる機能の量のため、通常では貴重である。他にも理由はあるが、放射される電波の空間カバレッジの必要性のため、且つ、モバイルデバイスが異なる場所に動かされるときに信号強度を維持するため、あるいは、ユーザがモバイルデバイスを時間によって異なる方向に向ける可能性があるため、困難な問題が生じる。いくつかの態様において、これは、変化する時間において放射される電波の変化する極性及び変化する空間ダイバーシチの必要性をもたらす。ミリメートル波(mmWave)周波数において動作するアンテナを含むパッケージを設計するときに、効率的な空間の使用は、必要なアンテナの数、これらの放射の方向、これらの偏波及び同様のニーズのような問題を解決するのに役立ち得る。これらのニーズのうちの少なくともいくつかは、いくつかの態様において取り付けられたミリメートル波(mmWave)トランシーバを有するPCBの様々な側面からアンテナ素子が放射することを可能にするよう、ボール又はLGAパッドの特にクリアされた領域を有するボール・グリッド・アレイ(ball grid array)(BGA)又はランドグリッドアレイ(land grid array)(LGA)のPCBによって満たされ得る。
図53Aは、いくつかの態様に従う、取り付けられたトランシーバサブシステムを有する分離されたBGA又はLGAパターンのパッケージPCBの側面図である。分離されたBGA又はLGAパターンのパッケージPCBは、図3Aに示すmmWave通信回路300のRF回路325に組み込まれてもよいが、分離されたBGA又はLGAパターンのパッケージPCBはこのようなものに限定されない。BGA又はLGA PCBは、実質的に並列である通常の層を有する。典型的には、BGA及びLGAパッケージは、サブシステムをマザーボード(MB)に取り付けるために、全体のサブシステムにわたって広がる比較的均一のボール及びパッドを生成する。BGAボール5305、5306が示されている。ボール及び/又はLGAパッドのない領域5303は、この自由な領域がアンテナ部分に使用されることができるよう、意図的に生成され、アンテナ素子は、PCB5301が取り付けられたMBに適切な開口が作られた場合、外向きに放射し得る。言い換えると、場合によっては「ギャップ」と呼ばれる領域5303は、アンテナが自由に放射することが可能なようアンテナ素子を配置するために、「接点のない」ものとするべきである。言い換えると、BGA/LGA取り付け点におけるギャップ5303は、アンテナ素子がギャップに配置されて、ギャップを通じて、あるいはアンテナ素子がエッジファイアタイプである場合には横に、放射することを可能にする。
この特許において使用されるように、「上部」、「底部」、「上向き」、「下向き」、「横向き」との語は、図面の方向に関して使用されており、パッケージがモバイル又は他のデバイスに実装されるときの放射の方向を限定することを意味せず、放射の方向は如何なる方向に向けられてもよい。したがって、本明細書中に記載の放射は、実際には、ユーザデバイス内のパッケージの方向にかかわらず、外向きの方向である。
一態様において、ここではパッチアンテナとして示される下向き(外向き)に面するアンテナ素子5315、5316、5319及び5321は、いくつかの態様においてはパッチアンテナ又は他のアンテナのアレイでもよく、下向きに放射する。これは、波形パターン5316、5318、5320及び5322によって図面に示されている。RFICトランシーバ5307は、サブシステムの上部に取り付けられてもよく、いくつかの態様においてシールド5309によって無線周波数放射(RFI)及び電磁気干渉(EMI)から保護される。図面において上向きに面するアンテナ素子5311、5313は、夫々上向き(外向き)方向5312、5314に放射してもよい。プラットフォームから複数の方向に放射する能力は利点を提供する。
例えば、放射は反対方向に示されているが、図示のパッチアンテナは、エンドファイア又はエッジファイアアンテナのように横向きに放射してサブシステムのエッジに配置され得る他のアンテナタイプと置換されてもよく、したがって、記載のサブシステムは、放射及び極性の方向を含む異なるタイプの利点を有する異なるタイプのアンテナを利用することができる。
一例として、図示のパッチアンテナは、2つの極性を生成するよう2つの直交する給電点を夫々有することができるが、これらの放射が性質上ブロードサイド(broadside)であるという利点を有するため、これらは図示の構成において好適に動作する。いくつかの態様において、モジュールに構成される多くのアンテナ素子は、アレイにおけるビームステアリングのために使用され得る。更に、このタイプのサブシステム構成は、多入力多出力(MIMO)アンテナアレイと、空間ダイバーシチのために構成されるアレイに用途を見出し得る。空間ダイバーシチは、異なる方向に放射パターンを有するアンテナを有することによって実現され得る。例えば、上面のパッチアンテナは上向きに放射し、底面のパッチは下向きに放射する。エッジファイアアンテナタイプのような横向きに放射する他のアンテナタイプが導入されることができ、したがって、いくつかの態様において空間ダイバーシチを実現する。
図53Aにおいてアンテナ素子5311、5313として側面図で示されているが、以下に説明するように、図53Cは、5330、5331及び5332、5333のように、複数のこのようなアンテナが存在し得ることを示す。特定の数のアンテナが記載されるが、当業者によって理解されるように、アンテナ素子の数は態様によって変化し得る。動作周波数及びアンテナサイズは、何個のアンテナがアレイにおいて効果的になるよう実際に所与の領域/空間に適合し得るかを決定する。また、タイプ(モノポール又は積層型パッチアンテナ、ダイポールアンテナ及び他のタイプ)と、例えばアレイにおけるこれらの構成も変化し得る。更に、多くの小型フォームファクタデバイスにおいて、領域/空間は非常に貴重であるため、前述のように、複数の方向に放射し得るサブシステムは、大きい(あるいはいくつかの態様において最大の)カバレッジを有する領域/空間の高性能な使用を有する。
図53Bは、いくつかの態様に従う図53Aのサブシステムの側面図断面である。図53Bは、アンテナ素子5315、5317、5319、5321が放射のために外向きに露出されることを可能にするよう実装された切り欠き5304を有するMB5323を示す。言い換えると、パッケージにおける分離されたパターン5303は、mmWaveアンテナ及びトランシーバサブシステムの取り付け側からのアンテナ放射を可能にする。この領域における切り欠き(cutout)のため、アンテナ素子5315、5317、5319及び5321は、基本的に何もブロックせずに自由に放射することができ、これは、解決策の限られた領域/ボリュームにおける他の放射方向を可能にする。いくつかの態様において、アンテナ素子5315、5317、5319及び5321は、BGA/LGA5301取り付け側(BGA/LGAがMBに取り付けられる側)と同じ側にある。いくつかの態様において、切り欠きは、PCBの輪郭に沿って走るくり抜き器によって作られた輪郭の機械加工された切り欠きとして実装される。
また、サブシステムの上側にアンテナ5311及び5313が示される。いくつかの態様において、Z高さを必要とするディスクリート電子部品は、PCBにおける切り欠き5304に適合し得る。いくつかの態様において、遮蔽されたRFIC自体が、動作のために接点のない領域において切り欠きに配置され、解決策の全体のZ高さを節約し得る。言い換えると、RFICのような集積回路チップは、典型的にはチップを補完するいくつかのディスクリート部品、例えば、デカップリングキャパシタ及び他の同様の機能が伴う。いくつかの態様において、アンテナ素子がギャップにある代わりに、これらの部品はギャップ5304に配置されてもよい。しかし、部品がギャップに配置される無線トランシーバ回路の一部である場合、前述のように、適切なRFI/EMIシールドが実装されるべきである。
図53Cは、いくつかの態様に従う、シールド5309の上面を示し、且つ切り欠き又はギャップ5304を更に示すサブシステム5301の上面図である。認識できるように、また、簡単に前述したように、いくつかの態様において、上向きに面するアンテナ5330、5331及び5332、5333は、夫々2つのアンテナ素子の2つのアレイである。所与の状況のニーズに適した解決策を実装するよう、当業者による所与の設計に従って、他の構成のアンテナ素子も可能である。
前述の説明は、モバイルデバイスにおけるサブシステムの使用を議論しているが、サブシステムはまた、基地局において使用され得る。しかし、基地局の実装は、両方又は複数の方向に放射を有することから利益を受けないことがある。基地局のアレイサイズは1つの軸において制限され得るが、モジュール方式(modularity)は、ポールの周りに環状に配置されることを含み、所望の方向にサブシステムを配置するのに役立ち得る。図53Eは、いくつかの態様に従う、実質的に全ての方向の放射カバレッジのために、ポールの周りに環状に配置されるサブシステムの配置を示す。サブシステム5341、5342、5343、5344、5345、5346、5347、5348はポール5341に取り付けられる。夫々のサブシステムは、図53Aに示すものでもよく、BGA/LGA積層5301がマザーボード5323に取り付けられる。放射の方向は、全ての方向又は実質的に全ての方向の矢印によって示されるものである。
矩形形状のサブシステムが示されているが、例えば、正方形又はコーナー形のような他の形状も可能である。図53Dは、いくつかの態様に従う、アンテナが切り欠きを通じて放射することを可能にするための、PCBにおけるU形の切り欠きを示す。いくつかの態様において金パッドであるパッド5324、5326のアレイは、サブシステムへの信号伝達に使用される電気接点であり、サブシステムがこれらにはんだ付けされたときの機械接続としても機能する。
図53Fは、いくつかの態様に従うコーナー形のサブシステムを示す。上面図に示されているものは、4つのアンテナ素子5351を有するサブシステム5350である。4つのアンテナ素子のうちの1つは、サブシステムの両側にアンテナ素子が存在し得ることを示す目的で、点線で示されている。図示の態様において、サブシステム5350は、λ×λのサイズであるように示されている。この理由は、アンテナ素子自体がλ/2(以下に更に説明する)である場合、素子の周りの全てのオーバーヘッド及び接地によって、2×2のアンテナアレイを有するサブシステムの現実的なサイズが約λ×λになるからである。底面図は、遮蔽されたRFICを示しており、明瞭にする目的で実線の図において示されるシールド5356及びRFIC5355は、ギャップ5304Eに存在する。BGAボール又はLGAボールは5354で示される。アンテナ素子5351は、パッチアンテナのようなブロードサイド素子として示されているが、いくつかの態様においてエンドファイアカバレッジのために、ダイポールのようなエンドファイア素子と置換されてもよい。
図53Gは、マザーボード5323の角に配置された図53Aのサブシステムを示し、隠された図における5361はRFICシールドであり、アンテナ素子は5362とし、図面の空間を節約するために1つのみのアンテナ素子が番号を付けられている。図53Hは、BGAボール5306によってマザーボード5323に取り付けられたサブシステム5364の側面図を示し、ページから見て側面図においてアンテナ素子5362、5263を示し、ディスクリート部品と共に遮蔽されたRFIC5367もシールド5368内にある。
図53Iは、いくつかの態様に従う、角において使用される形状を有するデュアルシールドサブシステム5370の構成の上面図である。サブシステム5370は、切り離された角のエッジ5376によって示される。4つのブロードサイドアンテナ素子5371は、RFIC又は他の集積回路5375を遮蔽するシールド5374の側面に隣接して配置され、RFIC又は他の集積回路5375は、説明の目的で実線で示されているが、実際にはシールド5374内にある。エンドファイアアンテナ素子5372は、サブシステムの周囲のあたりに配置される。アンテナ素子5371と同様に、それを参照して寸法がアンテナ素子5377について示されている。図53Jは、いくつかの態様に従う図53Iのアンテナサブシステムの側面図を示す。集積回路5382を有する上面シールド5383と、集積回路5385を有する底面シールド5384とが示されている。アンテナ素子5386、5387及び5388、5389は、サブシステム5300の反対側に現れる。サブシステムは、図示のように、はんだ又は他の適切な結合物によってMB5323に取り付けられる。
構成の2つの端におけるBGAボール(又はLGAパッド)は、サブシステムがMBにはんだ付けされるときに余分なサポートを必要としないため、組み立て中に更なる利点を有する。図3C及び4Bのように、サブシステムがPCBの角にはんだ付け又は別法で取り付けられる場合を観測すると、ボール又はLGAパッドが角「L」に沿ってはんだ付けされる間にサブシステムを空間に実際に保持するものは何もない。サブシステムは当該過程の間に自分の重さで落下する。しかし、図53Bのように、遠端においてボール又はLGAパッドを有する矩形サブシステムでは、重力のためサブシステムが想定される場所以外のどこかに落下する恐れは存在しない。
PCB組み立て過程において、PCBはコンベアベルトに配置されてもよい。次いで、はんだ付けされ、次いで部品はピックアンドプレイスによって(あるいは手動で)はんだ付けされたパッド上のこれらの位置に配置される。次いで、PCBはオーブンを通過し、はんだが部品の下で溶けて、これらをPCBにはんだ付けする。次いで、PCBは冷却及び清掃され、組み立てられたPCBを生じる。いくつかの場合、いくつかの部品はまた、動かないよう、はんだ付け処理の前に所定の場所に接着される。しかし、角の場合、はんだ付けされる前に重力がサブシステムをPCBから引き離し得るため、このことは有意に役立たない可能性がある。このような場合、「落下する」可能性がある部分をサポートして、所定の場所に保持するよう、特別な機構が追加されるべきである。
60GHzシステム・イン・パッケージ(system-in-package)(SIP)製造試験は、60GHz又は5G技術の広い展開には非常に高価になるか、あるいは場合によっては負担できなくなる可能性がある。いくつかの態様では、信号は60GHzのようなミリメートル波(mmWave)周波数範囲で放射及び受信されるが、他の態様では、28GHz、73GHz又は他のmmWave帯域も利用可能である。一般的に言えば、試験は、SIP及びいずれか関連するアセンブリの複雑性のため、アンテナ試験を含むべきである。したがって、試験は放射試験である。チップ上の「組み込み自己試験(built in self-test)」(BIST)は、この試験を助けるために使用されることができるが、BISTは、アンテナ素子試験を含まない可能性がある。
典型的には、試験対象のデバイス、ここでは、SIPはフェーズドアンテナアレイを含むため、複数のアンテナ及びトランシーバ素子が試験される必要がある。これらの要件は、これらの動作周波数がmmWave周波数よりも非常に低いため、従来の試験器を不安定にし、典型的には、そのような試験器は放射試験を含まない。その代わりに、プロービング(probing)のような導電又は接触試験が典型的に使用される。しかし、60GHzシステムは、非常に小さい非理想性に対してすら極めて感受性が高い。例えば、60GHzプローブが増幅器のゲインを試験するために使用される場合、設置の繰り返し及びプローブの老朽化は、多くのdBものゲイン変化を導入し、プローブに基づく60GHz製造試験を非常に困難にし得る。
更に、60GHzシステムは、典型的には、SIPを含む無線周波数集積回路(Radio Frequency Integrated Circuit)(RFIC)のパッケージにおいて60GHzアンテナを一体化する。これは、60GHzにおいて非常に高くなるケーブル損失を除去し、所望のカバレッジを実現するフェーズドアレイの便利な実装を可能にする。このようなパッケージ構成もまた試験される必要があり、これは高価な計画である。更に、大量生産(high-volume manufacturing)(HVM)試験は、アンテナ及びアセンブリの障害モード、例えば、アンテナ基板の誤処理又は基板上のRFICの不完全な組み立てを把握する必要がある。実験は、60GHzシステムが2.5GHz~6GHzシステムに比べて組み立ての不完全性に対して非常に感受性が高いことを示している。これらの理由のため、60GHz HVM試験にアンテナを含めることが望まれる。したがって、ほとんど法外に高価な60GHz装置が60GHz試験を実行するよう試験器に追加される必要があると通常では考えられる。
ループバック試験の使用によって前述の問題に対処することで、60GHzシステムのHVM製造自己試験を行う実用的な方法が開示される。ループバック試験は、電子信号、デジタルデータストリーム又はフローの、意図的な処理又は変更なしにこれらのソースからシステムを通じてこれらのソースに戻るまでの経路設定を言及する。これは、主にSIPの伝送又は転送インフラストラクチャを試験する方法である。
様々な例が存在する。一例として、1つの通信エンドポイントのみを有する通信チャネルが試験されてもよい。このようなチャネルによって送信されるメッセージは、直ちに且つ理想的に同じチャネルによってのみ受信される。電気通信において、ループバックデバイスは、サービングスイッチセンタからのアクセスラインの伝送試験を実行し、これは、通常ではサービスを受ける端末において作業員の支援を必要としない。電気通信において、ループバック又はループは、送信者からの受信された信号又はデータを送信者にフィードバックするハードウェア又はソフトウェアの方法である。これは、物理接続の問題をでバックする際の助けとして使用される。試験として、多くのデータ通信デバイスは、インターフェイス上で特定のパターン(全てが1等)を送信するよう構成されることができ、同じポートでこの信号の受信を検出することができる。これは、ループバック試験と呼ばれ、その出力を自分の入力に接続することによって、モデム又はトランシーバ内で実行され得る。異なる位置の2つの点の間の回路は、一方の位置において回路に試験信号を適用し、回路を通じて他方の位置におけるネットワークデバイスに信号を返信させることによって試験されてもよい。このデバイスが戻ってきた自分の信号を受信した場合、これは、回路が機能していることを示す。
60GHz装置を60GHzより上のシステム試験の代わりとして使用することは、サードパーティ部品を有する高価な装置(例えば、ベクトルネットワークアナライザ(vector Network Analyzer)(VNA))又は特別注文のサブシステムを使用してうまく特徴付けられる/安定することができる。両方の手法は、コスト、測定の安定性及び/又は特別注文のサブシステムの老朽化に関して制限を有する。開示される自己完結型の自己試験解決策は、試験自体に60GHzシステムを使用する。これは、高価/感受性が高い60GHz装置の必要性を不要にする。これはまた、60GHzシステム・イン・パッケージにとって重要である試験にアンテナを当然に含め、また、不可避のチップ上及びパッケージ上のクロストーク問題に対処する。試験器上の反射体は、アンテナを含むベースバンド対ベースバンドのループバックを可能にする。ループバック自己試験方式は、場合によってはより低い周波数においてRFICを試験するために使用されるが、アンテナを試験しない。開示のシステムは、いくつかの態様に従って、60GHzシステムの部品であるアンテナを含むようループバックを広げる。
図54Aは、いくつかの態様に従う60GHzフェーズドアレイ・システム・イン・パッケージ(System-in-Package)(SIP)の上面図を示す。SIP5400は、図3Aに示すmmWave通信回路300のRF回路325に組み込まれてもよいが、SIP5400はこのようなものに限定されない。いくつかの態様に従って、SIP5400は、基板5405上又は内にアンテナアレイ5401及び60GHz RFIC5403を含み、基板5405は、低温同時焼成セラミックス(low temperature co-fired ceramic)(LTCC)でもよい。RFIC5403は、コネクタ5406を介して入力信号を受信する。アンテナアレイ5401は、5402においてより詳細に認識される542素子アレイを含む。いくつかの態様に従って、アレイは一連のマイクロストリップ給電線を介してRFIC5403によって給電される。いくつかの態様に従って、5407において認識されるアレイの1つのアンテナ素子は、給電線5409によって給電される。5407’において認識されるアレイの第2アンテナ素子は、給電線5409’によって給電される。給電線5409’は、RFICからのRF信号を減速させるよう命令される。言い換えると、アンテナへのグループRF信号遅延が整合するよう、給電線の長さが整合される。これは、ビームフォーミング較正(例えば、小さい静的不一致、チャネル周波数への較正の感度の低減)に役立つ。いくつかの態様に従って、一連のボール5413は、チップがパッケージに裏返されたときのパッケージへの信号接続のためのバンプである。542アンテナアレイが示されているが、いくつかの態様において、542個よりも多いアンテナ又は542個よりも少ないアンテナが使用されてもよい。
図54Bは、いくつかの態様に従う図54BのSIPの側面斜視図を示す。図54Bは、いくつかの態様に従って、3つの階段のようなレベル5408、5410、5412を含む段状プラットフォーム5404を示す。アンテナは通常では適切な動作のために更なる基板層を必要とするため、アンテナ5412は最高レベルにある。RFIC5403を含むレベル5410はビアを含まず、これは、mmWave信号にとって有用にならない可能性がある。そのため、いくつかの態様において、給電線が最上層5412に直接経路設定される。他の態様では、給電線は、レベル5410においてアンテナに達するよう、誘電体の「内部」を通過する。レベル5408は、コネクタ5406のための余地を提供するよう、より薄い。
図55は、いくつかの態様に従う、自己試験器に配置される60GHz SIPを示す。試験器に配置されるSIPは、概して500で認識される。本明細書中に記載のもののような試験に有用な試験器は、少なくとも1つのコンピュータと、電源と、ソフトウェアと、コンピュータによって実行されたときに所定の試験に従って試験対象のシステムを試験するコンピュータ命令を含むコンピュータ可読ハードウェアストレージと、試験対象のテストを収容して固定するためのテストベッドを含むドッキング機能とを概して含む。SIP5400は、基板5405上に542個のアンテナ(これらのうちの1つが5401として記される)とRFIC5403とを含む、図54の5400で示すSIPの一種でもよい。RFIC5403は、SIP5400のアンテナを駆動するよう構成される電力増幅器5416と、SIP5400のアンテナから受信するように構成される低雑音増幅器5420とを含んでもよい。位相シフタ5414、5418は、必要に応じてビームフォーミングを助けるために含まれてもよい。フェーズドアレイのアンテナ素子Tのうちの1つは、送信モードにおいて設定される。送信(TX)アンテナ5422は60GHz信号を送信する。反射体5502は、試験器に取り付けられ、60GHz信号をSIPに反射させ、それが受信(RX)アンテナ5424によって収集される。いくつかの態様における反射体は、試験されるICの上部、したがって、前述の試験器の上部にあってもよく、更に詳細に以下に説明する。いくつかの電流試験器は、較正のためのリファレンス信号を受信又は送信するために、mmWaveホーンアンテナ及びダウンコンバータ/アップコンバータを有するアームを有する。開示のシステムにおいて、電流試験器のアームの端の基準ラジオ(reference radio)は簡単な反射体5502によって置換される。これは、今日の試験器(典型的には60GHz未満のために設計された回路を試験する)がmmWave試験に適合されるよう、容易な取り付けを可能にするべきである。
いくつかの態様において、受信アンテナ5424の信号は、RFICにおいて増幅及びダウンコンバートされる。図55の配置は、特定の主要な性能メトリック(例えば、ゲイン)を測定し、部品が良好であるか破棄されるべきであるかを判断し、及び/又はより詳細に以下に説明する不一致のような生産の変動に対して部品を較正するために使用され得る全体の60GHzシステムの周りにループバックを確立する。この配置は、60GHz HVM試験の2つの重要な問題を解決する。
1.試験器においてベースバンド対ベースバンドのループバックを確立する。したがって、試験器は、高価な60GHzのアップグレードを必要としない。いくつかの態様において、安価な反射体(例えば、金属器具)のみが試験器に取り付けられる必要があればよい。
2.ループバックは60GHzアンテナを含む。したがって、ループバック試験は、アンテナに関する問題(例えば、基板の誤処理)又は組み立ての不完全性を特定することができる。アンテナがループバック試験にあるため、RFIC試験だけでなく完全なシステム試験が存在する。
図56Aは、いくつかの態様に従う、SIPにおける望ましくないチップ上又はパッケージ上のクロストークに対処するための第1部分の試験のための試験設定を示す。図56Aにおいて、5600は、クロストークに対処するための第1設定を示す。いくつかの態様において、構成要素は図54A及び54Bに示すものと同じ構成要素であり、明瞭にするために同じ参照符号が使用される。
RFIC5403は、電力増幅器5601と、低雑音増幅器5603とを含み、夫々がアンテナ5407、5407’に夫々結合される。クロストークが5605、5607で示される。試験対象のシステム5600は、図55に示す試験器にあるが、反射体が除去されており、反射体の除去は、いくつかの態様において電気機械式除去/追加機構によって自動的に行われてもよい。図56Bにおいて、5602は、いくつかの態様に従う、SIPにおける望ましくないチップ上又はパッケージ上のクロストークに対処するための第2試験設定を示す。いくつかの態様において、反射体5502が追加されていることを除き、図56Bの構成要素は図56Aに示すものと同じであり、反射体の追加は、いくつかの態様において電気機械式除去/追加機構によって自動的に行われてもよい。
図57は、いくつかの態様に従う、60GHzフェーズドアレイSIPを試験するのに適する例示的な自動試験装置を示す。5700で示すものは、図55~56Cの試験設定が取り付けられ得る自動試験装置である。Cassini(登録商標)16(登録商標)自動試験器5701が示されており、これは、本明細書中に記載のように変更された場合、説明する試験を実装するようにプログラムされ得るシステムの例である。当業者は、記載の試験器モデルが60GHz未満で試験することができ、且つ本明細書中に記載のように60GHz試験のために変更されることができる複数の試験器のうちの1つであることを認識する。いくつかの態様に従って、試験器5701は、mmWaveポートアーキテクチャ5703と、生成導波路インターコネクト(production waveguide interconnect)5705と、mmWave試験器具モジュール5707とを含む。試験器は、前述の試験の態様を追加することによって変更されてもよい。
図58は、いくつかの態様に従う、図57の自動試験装置に追加され得る反射体を示す。概略的に5800で示されており、反射体5502は前述のテストベッド5801の上に取り付けられる。試験対象のシステム5803を図57の試験器に実装するための適切なシステムテストベッドでもよいテストベッド5801は、HVMにおいて通常に行われているように、試験のためにテストベッドにシステムを配置し、試験後にシステムを除去するための自動電気機械式デバイスを含んでもよく、あるいはインターフェイス接続してもよい。説明中の態様において、反射体5502は、概略的に機械アーム5805によって試験器に接続される。当業者は、取り付けは機械アーム5085によって概略的に示されているが、いくつかの態様において、実際には、取り付けは本明細書中に記載のクロストーク試験において使用される電気機械式除去/追加機構によるものでもよいことを認識する。例えば、いくつかの態様において、反射体が取り付けられる試験器の側面にアームが存在してもよい。また、必要に応じて反射体の傾きを提供するための関連するモーターが存在してもよい。
多くの60GHzシステムは、どちらかというと非対称的であり、すなわち、主に高レート信号(例えば、ブルーレイプレイヤ)をソースとし、高レート信号(例えば、HD TV)をシンクとすることを意味する。それでも、多くの60GHzシステムはTX及びRXの両方のパスを依然として含む。例えば、1つの製品の解決策は以下のパラメータを有する。
Figure 2024059722000004
前述のような場合、ループバック受信器は、試験対象のシステムの既に利用可能な受信器のうちの1つとすることができ、図55の方式にとって最小のオーバーヘッドを生じる。いくつかの態様において、試験対象のシステムのRFICは、フェーズドアレイトランシーバであるため、複数のRX及びTXが存在する。したがって、これらのRXのうちの1つが基準受信器として専用にされてもよく、TX(1つのTX又はビームフォーミングでは全てのTX)が試験される。言い換えると、いくつかの態様においてRFIC自体のものが使用され得るため、余分なmmWave受信器の必要性が存在しない。しかし、必要に応じて専用の試験受信器も使用され得る。60GHz回路は、高い動作周波数のため通常では小さいので、専用の受信器ですら小さいコストのオーバーヘッドになる。
いくつかの態様に従って、図55のループバック試験は、重要な60GHz試験のホストを実行するために使用され得る。試験は以下のものを含んでもよい。
1.1つずつ、TX素子をオンにし、TXアンテナを介して無線信号を送信し、RX素子をオンにし、RXアンテナを介して無線信号を受信する。無線信号は反射体によってRXアンテナに向けて反射される。反射体を介してRXアンテナにループバックされた受信無線信号を測定する。ベースバンド信号が無線信号のために使用されてもよい。ループバック測定のうちの1つが残りよりも低い場合、これは不良のTXパス(例えば、不良のアセンブリ)を示す。いくつかの態様に従って、欠陥のあるパスは無効にされることができ、良好な部分として販売され得る(フェーズドアレイは大きい冗長度を有するため、1つの素子だけではリンク予算の目的で許容可能である可能性がある)。このような試験は、全てのTXが同じ電力レベルを有し、良く整合することを確保するための試みである。いくつかの態様に従って、ループバック信号は、TX欠陥の測定を助けるための既知の信号とすることができ、例えば、データのない単一トーンのような簡単な連続波mmWave信号であってもよい。
2.ループバックベースバンド信号強度をその期待値と比較する。いくつかの態様に従って、ループバック信号が正確である場合、これは、全体システム(TX RFIC)-(TXアンテナ)-(RXアンテナ)-(RX RFIC)が許容可能であることを示す。
3.ループバック信号を使用して位相シフタの機能を検査して特性を測定する。いくつかの態様に従って、位相シフタの特性が既知である場合、いずれかの位相シフタの不完全性は、適切なルックアップテーブル(lookup table)(LUT)マッピングによって訂正されることができる。この試験は、ビーム(RX又はTX)が所望の方向にステアリングされ得るよう、夫々のアンテナ素子の位相の調整を可能にする。ここで使用されるように、位相シフタの特性は、実際に実現される位相シフトに対する位相シフタの制御コードを意味する。いくつかの態様に従って、この試験はまた、異なる周波数又はRFチャネルにわたって行われることができる。一例として、いくつかの態様に従って、1つのRXが基準RXとして選択されることができ、次いで、1つのみのTXがオンにされて、図55の位相シフタ5414のようなTX位相シフタを用いてTX信号の位相を変化させることができる。結果のTX位相は、ベースバンド信号の位相を見ることによって、RXにおいて測定され得る(復調されたベースバンド信号はI成分及びQの両方の成分を有するため、位相が測定され得る)。位相測定は常に相対的であるため、例えば、TX位相シフタはゼロに設定されることができ、RXにおいて基準位相が測定され、次いでTX位相をスイープして基準値に対する新たな位相を測定することができる。このように、位相シフトに対する制御に関するTX位相シフタの特性が測定され得る。実際のTXの位相シフトに対する制御コードが測定されると、前述のルックアップテーブルは、基本的に全ての特定の位相シフトを制御コードにマッピングするために使用され得る。
4.1つずつTX素子をオンにし、パスの間の振幅及び位相の不一致(例えば、生産の変動(RFIC、パッケージ、アセンブリ)のため)を測定する。振幅及び位相シフタにおける同じ設定に対して、全てのTX信号は同じ振幅及び位相を有するべきである。しかし、処理の不一致、アンテナの変化又はパッケージ上の経路設定のため、そうでない可能性がある。したがって、全てのTX測定を比較することによって、全てのTX素子の間の不一致が抽出され得る。振幅及び位相に関して受信ベースバンド信号を測定することによって、TX信号のうちの1つは、他のTX信号が比較される基準として使用され得る。
正確な不一致の測定は、正確なビームフォーミングのために必要となってもよい。図55における反射体の位置の許容差は、波によって伝わる距離を変化させることによって不一致の測定を歪ませ得るように考えられ得る。しかし、いくつかの態様に従って、注意深い分析は、ビームフォーミングに関する限り、反射体の位置の許容差が基本的には重要でないことを示している。
いくつかの態様に従って、全てのTX素子は、同時にオンにされることができ、ループバック測定は、60GHzアレイの重要なパラメータであるアンテナゲインを推定するために使用され得る。全てのTX素子が調整された同じ電力及び同じ位相でオンになっている場合、試験器は、RXにおいて20*log(N)だけ高い電力を受信するべきであり、NはTX素子の数である。10*log10(N)のアレイゲインは、ビームフォーミングからのものであり、10*log(N)の更なるアレイゲインは、同時にN個のTX素子が存在する(したがって、TX電力よりN倍高い)という事実からのものである。
前述の試験の多くは、TX試験を強調することで記載されている。同様の試験はRX試験にも使用されることができる。例えば、いくつかの態様に従って、システムのTXのうちの1つ又は専用のTXは、ループバックのための信号を送信するために使用され得る。試験は、アンテナ素子の夫々について基準RXが基準TXと入れ替えられ、TXがRXと入れ替えられることで、基本的にTXと同じである。図55におけるRX試験素子が欠陥があると考えられ得る。多くの実際の60GHzシステムは既に1つより多くのRXを有するため、いくつかの態様に従って、異なるRXでの測定がこのリスクを除去するために使用され得る。
前述の試験は、無線信号の送信及び受信によって動作するSIP又は他のシステムを試験するために使用され得る一連の試験を表す。当業者は、一連の試験が実行する順序は前提条件ではなく、試験対象のシステムのニーズに依存して試験が様々な順序のうちのいずれかで実行し得ることを認識する。更に、同様に試験対象のシステムのニーズに依存して、記載のもの以外の更なる試験が実行されてもよい。実際に、一連の試験は、コンピュータによって実行されたとき、コンピュータに一連のテストの実行を制御させる命令として、コンピュータ可読ハードウェアストレージにプログラムされてもよい。
いくつかの態様に従って、(チップ上及びパッケージ上の)TXとRXとの間の望ましくないクロストークは、図56Aにおける矢印5605、5607によって示されるような、アンテナを通過しない非励振(parasitic)ループバックパスを確立する。このような非励振ループバックパスはループバック測定を歪め得る。図55の提案方式では、いくつかの態様に従って、これは以下のように対処されることができる。
ステップ1-図56A:反射体5502を除去する。ループバック測定を行う。結果の項はチップ上及びパッケージ上のクロストークを表す。
ステップ2-図56B:反射体5502を追加する。ループバック測定を再び行う。いくつかの態様に従って、クロストークを除去するために、このステップ2の結果の項からステップ1の複素数を除算する。
夫々の試験は概して異なる数の素子を動作させるため、前述のクロストークの除去手順は、前述の試験の夫々に組み込まれてもよい。
分散フェーズドアレイシステム(例えば、WiGig及び5Gセルラシステム)は、ラップトップ、タブレット、スマートフォン、ドッキングステーション及び他の用途で現在使用されている。WiGig及び5G通信に使用される現在の分散フェーズドアレイシステムは、スーパーヘテロダイン(super-heterodyne)(デュアルコンバージョン)又はスライディングIFシステムのいずれかである。これらのシステムにおいて、MAC-PHYベースバンドサブシステムは、中間周波数(IF)信号を受信又は送信し、これは、IF増幅段と、RF-IFミキサと、高選択性バンドパスフィルタと、回路の間でIF信号を通信するために必要な他の回路と、IF信号のアップコンバージョン及びダウンコンバージョンとを必要とする。IF信号処理のための更なる回路は、より大きいフロントエンドモジュールと、分散フェーズドアレイシステムのより高いコストと、より低いシステム性能とを生じる。更に、通信システムがMIMOサポートを提供する場合、更なる同軸ケーブル(夫々のMIMOランクについて1つ)及び信号乗算が必要になり得る。しかし、信号を乗算するとき、2つのMIMOストリームの間の位相同期は実現及び保証することが困難であり、これはMIMO性能を劣化させ得る。
図59は、いくつかの態様に従う分散フェーズドアレイシステム5900の例示的なRFフロントエンドモジュール(RF front-end module)(RFEM)を示す。分散フェーズドアレイシステム5900は、図3Aに示すmmWave通信回路300のデジタルベースバンド回路310、送信回路315及び受信回路320に組み込まれてもよいが、分散フェーズドアレイシステム5900はこのようなものに限定されない。
図59を参照すると、RFEM5902は、単一同軸ケーブル5906を介してベースバンドサブシステム(BBS)5904に結合される。RFEM5902は、フェーズドアンテナアレイ5908と、RF受信器5910と、RF送信器5912と、局所発振器(LO)生成器5944と、トリプレクサ5948と、送信(TX)/受信(RX)スイッチ5940とを含み得る。RF受信器5910は、複数の電力増幅器5916と、複数の位相シフタ5918と、コンバイナ5920と、RF増幅器5922と、LO増幅器5926と、ミキサ5924とを含み得る。RF受信器5190はまた、IF増幅器5942を含み得る。
RF送信器5912は、ミキサ5938と、LO増幅器5940と、RF増幅器5936と、スプリッタ5934と、複数の位相シフタ5932と、複数の増幅器5930とを含み得る。RF送信器5192はまた、IF増幅器5946を含み得る。
例示的な受信動作において、スイッチ5940は、受信器チェーン処理をアクティブにし得る。アンテナアレイ5908は、複数の信号5914を受信するために使用され得る。受信信号5914は、増幅器5916によって増幅されることができ、これらの位相は、対応する位相シフタ5918によって調整され得る。位相シフタ5918の夫々は、制御回路から(例えば、BBS5904内のモデムから)別個の位相調整信号(図59に図示せず)を受信することができ、個々の位相調整信号は、フェーズドアンテナアレイ5908を介して受信した信号を処理するときに、所望の信号指向性に基づくものとすることができる。位相シフタ5918の出力における位相調整信号は、コンバイナ5920によって加算され、次いで、RF増幅器5922によって増幅され得る。LO生成器5944は、同軸ケーブル5906を介してBBS5904から受信したクロック周波数信号5943を使用してLO信号を生成し得る。LO信号は、増幅器5926によって増幅され、次いで、IF入力信号5945を生成するために、ミキサ5924を使用して増幅器5922の出力と乗算され得る。IF入力信号5945は、増幅器5942によって増幅され、次いで、トリプレクサ5948及び同軸ケーブル5942を介してBBS5904に通信され得る。いくつかの態様において、IF入力信号5945は、10.56GHz信号のあたりを中心とすることができる。
例示的な送信動作において、スイッチ5940は、送信器チェーン処理をアクティブにし得る。RFEM5902は、同軸ケーブル5906及びトリプレクサ5948を介してBBS5904からIF信号5947を受信し得る。IF信号5947は、IF増幅器5946によって増幅され、次いで、ミキサ5938に通信され得る。ミキサ5938は、LO生成器5944及びLO増幅器5940からアップコンバージョンLO信号を受信し得る。増幅されたLO信号は、RF信号を生成するよう、ミキサ5938によって増幅後の受信IF信号と乗算される。次いで、RF信号は、増幅器5936によって増幅され、スプリッタ5934に通信される。スプリッタ5934は、増幅された信号の複数のコピーを生成し、信号コピーを複数の位相シフタ5932に通信する。複数の位相シフタ5932は、複数の増幅器5930によって増幅され得る複数の位相調整信号を生成するよう、異なる位相調整信号を適用し得る。複数の増幅器5930は、フェーズドアンテナアレイ5908による送信のために、複数の信号5928を生成する。
図60は、いくつかの態様に従う分散フェーズドアレイシステムのベースバンドサブシステム(baseband sub-system)(BBS)を示す。図60を参照すると、BBS5904は、トリプレクサ6002と、IF受信器6004と、IF送信器6006と、モデム6024と、水晶発振器6030と、シンセサイザ6028と、分割器6026とを含み得る。シンセサイザ6028は、適切な回路、ロジック、インターフェイス及び/又はコードを含んでもよく、クロック信号を生成するために水晶発振器6030からの信号を使用し得る。生成されたクロック信号は、RFEM5902への通信のため出力クロック信号を生成するよう分割器6026によって分割され得る。いくつかの態様において、生成されたクロック信号は、1.32GHzの周波数を有し得る。
IF受信器6304は、IF増幅器6008と、ミキサ6010と、フィルタ6012と、アナログデジタル変換(ADC)ブロック6014とを含み得る。IF送信器6006は、デジタルアナログ変換(DAC)ブロック6022と、フィルタ6020と、ミキサ6018と、IF増幅器6016とを含み得る。
例示的な受信動作において、IF信号(例えば、5945)は、RFEM5902からトリプレクサ6002を介して受信され、IF増幅器6008によって増幅される。増幅されたIF信号は、ミキサ6010によってベースバンド信号にダウンコンバートされ、次いでローパスフィルタ6012によってフィルタリングされ、モデム6024によって処理される前にADCブロック6014によってデジタル信号に変換され得る。
例示的な送信動作において、モデム6024によって出力されたデジタル信号は、DACブロック6022によってアナログ信号に変換され得る。次いで、アナログ信号は、ローパスフィルタ6020によってフィルタリングされ、次いで、ミキサ6018によってIF信号にアップコンバートされる。IF信号は、IF増幅器6016によって増幅され、次いで、トリプレクサ6002及び単一同軸ケーブル5906を介してRFEM5902に通信され得る。
いくつかの態様において、分散フェーズドアレイシステム5900内のフェーズドアンテナアレイ5908は、複数のアンテナを含むことができ、複数のアンテナはMIMO動作のために構成され得る。より具体的には、フェーズドアンテナアレイ5908内のアンテナは、水平及び垂直偏波送信又は受信のために構成され得る。これに関して、少なくとも2つの別個のデータストリームは、MIMO動作方式に関してフェーズドアンテナアレイ5908内で水平及び垂直偏波を使用することによって処理され得る。MIMOモードで通信するよう構成される例示的な分散フェーズドアレイシステムは、図61及び62に関して示される。
図61は、いくつかの態様に従う、単一のRFEMに結合される複数同軸ケーブル及びMIMOサポートを有する例示的な分散フェーズドアレイシステムを示す。図61を参照すると、分散フェーズドアレイシステム6100は、RFEM6102と、BBS6104とを含み得る。RFEM6102は、図59におけるRFEM5902と同様のものとすることができる。いくつかの態様において、分散フェーズドアレイシステム6100は、MIMO動作のために2つの別個のストリームを処理するための2つの別個のトランシーバを含み得る。より具体的には、第1トランシーバは、(第1タイプのアンテナ偏波を使用して)第1フェーズドアンテナアレイ6108を介した送信又は受信のため第1データストリームを処理するために使用されることができ、第2トランシーバは、第2フェーズドアンテナアレイ6122を介した(あるいは同じアンテナアレイの異なる偏波入力を使用する)送信又は受信のため第2データストリームを処理するために使用されることができる。
第1トランシーバは、BBS6104内の第1部分6122と、RFEM6102内の第2部分6106とを含み得る。同様に、第2トランシーバは、BBS6104内の第1部分6124と、RFEM6102内の第2部分6110とを含み得る。BBS6104内の第1トランシーバの部分6122及び6124は、更なる処理及びフェーズドアンテナアレイ6108及び6122によるその後の送信のためにRFEM6012へ通信するために、データ信号をデジタル化し、デジタル信号をフィルタリングし、フィルタリングされた信号をアップコンバートするための回路を含み得る。
BBS6104内の第1トランシーバの部分6122及び6124はまた、フェーズドアンテナアレイ6108及び6122を介して受信されてRFEMによって処理された中間周波数又は無線周波数信号を処理し、処理のためにこのような信号をベースバンド及びデジタル信号に変換するための回路を含むことができる。いくつかの態様において、第1トランシーバの部分6122及び6124は、図60における受信器ブロック6004及び送信器ブロック6006内の回路のうちの1つ以上を含み得る。BBS6104は、LO生成器6126を更に含むことができ、LO生成器6126はLO信号6128を生成するよう構成され得る。LO信号6128は、RFEM6102への通信のためベースバンド信号をアップコンバートするために、あるいはRFEM6102から受信したIF又はRF信号をベースバンド信号にダウンコンバートするために、第1トランシーバの部分6122及び6124によって使用され得る。
RFEM6102内の第2トランシーバの部分6106及び6110は、フェーズドアンテナアレイ6108又は6122を介した送信の前に、BBS6104から受信したIF又はRF信号を増幅し、増幅された信号をアップコンバートし、信号を複製し、信号の位相及び/又は振幅調整を実行するための回路を含み得る。RFEM6102内の第2トランシーバの部分6106及び6110はまた、フェーズドアンテナアレイ6108及び6122を介して受信した無線周波数信号を処理し、信号を位相及び/又は振幅調整し、信号をIF信号にダウンコンバートし、処理のためにIF信号(又はIF処理が分散フェーズドアレイシステム6100によって実行されない場合にはRF信号)をBBS6104に通信するための回路を含み得る。いくつかの態様において、第2トランシーバの部分6106及び6110は、図59における受信器ブロック5910及び送信器ブロック5912内の回路のうちの1つ以上を含み得る。RFEM6102は、LO生成器6114を更に含むことができ、LO生成器6114はLO信号6116を生成するよう構成され得る。LO信号6116は、BBS6104への通信のためRF信号をダウンコンバートするために、あるいは送信のためBBS6104から受信した信号をRF信号にアップコンバートするために、第2トランシーバの部分6106及び6110によって使用され得る。
いくつかの態様において、分散フェーズドアレイシステム6100は、第1タイプの偏波を使用するフェーズドアンテナアレイ6108を介した送信又は受信のために、第1データストリームが同軸ケーブル6130並びにトリプレクサ6120及び6118を介して通信されるよう、MIMO動作のために構成され得る。第2データストリームは、第2タイプの偏波を使用する第2フェーズドアンテナアレイ6112を介した送信又は受信のために、同軸ケーブル6132及びトリプレクサ6120を介して通信され得る。これに関して、分散フェーズドアレイシステム6100は、BBS6104とRFEM6102との間で(例えば、垂直及び水平アンテナ偏波を使用する送信又は受信のため)2つの独立したデータストリームを通信するために同軸ケーブル6130及び6132を使用する。
図62は、いくつかの態様に従う、夫々のRFEMトランシーバが別個の同軸ケーブルに結合されるMIMOサポートを有する例示的な分散フェーズドアレイシステムを示す。図62を参照すると、分散フェーズドアレイシステム6200は、第2トランシーバの部分が別個のRFEMに夫々設置されることを除き、分散フェーズドアレイシステム6100と同様である。図62における別個のトランシーバの部分の構成は、RFEMが別個のモジュールとして利用可能である(例えば、夫々のRFEMが単一のチップ上にある)場合に使用され得る。
分散フェーズドアレイシステム6200は、RFEM6202と、RFEM6204と、BBS6226とを含み得る。RFEM6202及び6204は、図59におけるRFEM5902と同様のものとすることができる。いくつかの態様において、分散フェーズドアレイシステム6200は、MIMO動作のために2つの別個のストリームを処理するための2つの別個のトランシーバを含み得る。より具体的には、第1トランシーバは、(第1タイプのアンテナ偏波を使用して)第1フェーズドアンテナアレイ6208を介した送信又は受信のため第1データストリームを処理するために使用されることができ、第2トランシーバは、(第2タイプのアンテナ偏波を使用して)第2フェーズドアンテナアレイ6222を介した送信又は受信のため第2データストリームを処理するために使用されることができる。
第1トランシーバは、BBS6226内の第1部分6230と、RFEM6202内の第2部分6206とを含み得る。同様に、第2トランシーバは、BBS6226内の第1部分6232と、RFEM6204内の第2部分6220とを含み得る。第1トランシーバの部分6230及び6232は、第1トランシーバの部分6122及び6124の機能と同様の機能を有し得る。更に、第2トランシーバの部分6206及び6220は、第2トランシーバの部分6106及び6110の機能と同様の機能を有し得る。
BBS6226は、LO生成器6234を含むことができ、LO生成器6234はLO信号6236を生成するよう構成され得る。LO信号6236は、RFEM6202及び6204への通信のためベースバンド信号をアップコンバートするために、あるいはRFEM6202及び6204から受信したIF又はRF信号をベースバンド信号にダウンコンバートするために、第1トランシーバの部分6230及び6232によって使用され得る。
RFEM6202は、LO生成器6210を更に含むことができ、LO生成器6210はLO信号6212を生成するよう構成され得る。LO信号6212は、BBS6226への通信のためRF信号をダウンコンバートするために、あるいはアレイ6208を介した送信のためBBS6226から受信した信号をRF信号にアップコンバートするために、第2トランシーバの部分6206によって使用され得る。
RFEM6204は、LO生成器6216を更に含むことができ、LO生成器6216はLO信号6218を生成するよう構成され得る。LO信号6218は、BBS6226への通信のためRF信号をダウンコンバートするために、あるいはアレイ6222を介した送信のためBBS6226から受信した信号をRF信号にアップコンバートするために、第2トランシーバの部分6220によって使用され得る。
例示的なMIMO動作中に、第1データストリームは、トリプレクサ6228及び6214並びに同軸ケーブル6238を介してBBS6226とRFEM6202との間で通信されてもよい。第1データストリームは、フェーズドアンテナアレイ6208を介して送信されることができ、フェーズドアンテナアレイ6208は垂直偏波アンテナを含むことができる。第2データストリームは、トリプレクサ6228及び6224並びに同軸ケーブル6240を介してBBS6226とRFEM6204との間で通信されてもよい。第2データストリームは、フェーズドアンテナアレイ6222を介して送信されることができ、フェーズドアンテナアレイ6222は水平偏波アンテナを含むことができる。いくつかの態様において、フェーズドアンテナアレイ6208は水平偏波アンテナを含むことができ、フェーズドアンテナアレイ6222は垂直偏波アンテナを含むことができる。
図61及び図62において認識されるように、MIMO動作のために構成されるいくつかの分散フェーズドアレイ通信システムにおいて、BBSとRFEMとの間で通信される夫々のMIMOストリームのために別個の同軸ケーブルが使用される。更に、図62において認識されるように、MIMOシステムの動作を改善するために、位相雑音同期が必要になってもよい(図62において認識されるように、LO生成器6210及び6216はLO同期信号を介して同期されることができる)。しかし、複数の同軸ケーブルを使用することは、限られた空間及び実装の更なるコストのため、モバイルデバイスにおいて困難になり得る。
いくつかの態様において、分散フェーズドアレイ通信システムは、MIMO動作のために構成されることができ、2つの独立したMIMOデータストリームは、BBS及びRFEMを結合する単一同軸ケーブルで通信され得る。より具体的には、2つの別個のデータストリームは、これらが重複しない周波数にあるよう構成され得る。例えば、BBS内のLO生成器は、1つ以上のLO信号を生成することができ、1つ以上のLO信号は2つの別個のデータストリームを異なるRF周波数にアップコンバートするために使用され得る。LO生成器はまた、更なるLO信号を生成することができ、更なるLO信号は、RFEMにおいて所望の周波数への2つの別個のデータストリームの変換のために使用され得る。2つの別個のデータストリームは、単一同軸ケーブルを介して更なるLO信号と一緒に(例えば、重複しない周波数を有するRF信号として)通信されることができ、更なるLO信号は、MIMOストリームのうちの1つ以上を所望の送信又は受信周波数にアップコンバート又はダウンコンバートするために使用され得る。単一同軸ケーブルを介してMIMOストリームと一緒に通信されるLO信号及び2つのMIMOストリームを処理するために使用されるLO信号を生成するために単一のLO生成器を使用することによって、位相雑音の同期及びMIMOストリーム間の位相雑音相関性が実現される。位相雑音相関性は、信号が元のLO周波数又はLO周波数の倍数値若しくは分数値にある場合とすることができる。
図63は、幾つかの態様によるMIMO機能を備えた例示的な分散フェーズドアレイシステムと、単一のRFEMに結合された単一の同軸ケーブルとを示す。図63を参照すると、分散フェーズドアレイシステム6300は、RFEM6302とBBS6304とを含み得る。RFEM6302およびBBS6322は、図59~60のRFEM5902およびBBS5904と同様であり得る。
いくつかの態様において、分散フェーズドアレイシステム6300は、MIMO動作のための2つの別個のストリームを処理する2つの別個のトランシーバを含み得る。より具体的には、第1のトランシーバを使用して、(第1のタイプのアンテナ偏波を使用して)第1のフェーズドアンテナアレイ6306を介して送信または受信のための第1のデータストリームを処理することができ、第2のトランシーバを使用して、(第2のタイプのアンテナ偏波を使用して)第2のフェーズドアンテナアレイ6310を介して送信または受信のための第2のデータストリームを処理することができる。
第1のトランシーバは、BBS6322内の第1の部分6326と、RFEM6302内の第2の部分6304とを含み得る。同様に、第2のトランシーバは、BBS6322内の第1の部分6328と、RFEM6302内の第2の部分6308とを含み得る。BBS6322内の第1のトランシーバ部分6326および6328は、データ信号をディジタル化し、ディジタル信号をフィルタリングし、フィルタリングされた信号をアップ変換して、フェーズドアンテナアレイ6306および6310によるさらなる処理および後の送信のために、RFEM6302に通信する回路を含み得る。また、BBS6322内の第1のトランシーバ部分6326および6328は、フェーズドアンテナアレイ6306および6310を介して受信され、RFEM6302によって処理される中間周波数または無線周波数の信号を処理し、そのような信号を処理のためにベースバンド信号およびデジタル信号に変換する回路を含み得る。いくつかの態様において、第1のトランシーバ部品6326および6328は、図60の受信器ブロック6004および送信器ブロック6006内の1つ以上の回路を含み得る。
BBS6322は、さらに、LO信号6332、6334および6320を生成するように構成され得るLO発生器6330を含むことができる。LO信号6332および6334は、それぞれ、RFEM6302への通信のためにベースバンド信号(IFまたはRF信号)をアップ変換(up-converting)するために、またはRFEM6302から受信したIFまたはRF信号をベースバンド信号にダウン変換(down converting)するために、第1のトランシーバ部分6326および6328によって使用され得る。
RFEM6302内の第2のトランシーバ部分6304および6308は、フェーズドアンテナアレイ6306および6310を介して送信する前に、BBS6322から受信されたIFまたはRF信号を増幅し、増幅された信号をアップ変換し、信号を複製し、信号の位相および/または振幅調整を行う回路を含み得る。
RFEM6302内の第2のトランシーバ部分6304および6308は、(1)フェーズドアンテナアレイ6306および6310を介して受信された無線周波数信号を処理し、(2)信号を位相および/または振幅調整し、および/または(3)信号をIF信号にダウン変換し、処理のためにIF信号(または通信システム6300によってIF処理が実行されない場合にはRF信号)をBBS6322に通信する回路を含み得る。いくつかの態様において、第2のトランシーバ部分6304および6308は、図59の受信器ブロック5910および送信器ブロック5912内の1つ以上の回路を含み得る。
RFEM6302は、さらに、LO発生器6312を含み得る。LO発生器6312は、信号をアップ変換またはダウン変換するために第2のトランシーバ部分6304および6308によって使用されるLO信号を生成するように構成され得る。いくつかの態様において、LO発生器6312は、周波数分割器および乗算器のような周波数操作回路を含むことができ、LO発生器6330によって生成され、トリプレクサ6324、6314および単一同軸ケーブル6336を介してBBS6322から受信される別のLO信号を使用してLO信号を生成するように構成され得る。
幾つかの態様では、分散フェーズドアレイ通信システム6300は、2つのデータストリームがトリプレクサ6324、6314および同軸ケーブル6336を介して同時に通信されるMIMO動作をするように構成し得る。より具体的には、2つの独立したデータストリームを、BBS6322においてベースバンド周波数で生成し得る。LO発生器6330は、通信システム6300内に単一の周波数源を含むことができ、第1のトランシーバ部分6326および6328によってそれぞれ実行される2つの別個のアップ変換方式のためのLO周波数を発生するように構成される。2つの方式のそれぞれについて、1つのLO周波数が、BBS6322内の所望のIF周波数へのベースバンドストリームのアップ変換のために使用される。
例えば、LO発生器6330は、第1のMIMOストリーム6316を所望の周波数f1(例えば、送信周波数)にアップ変換する第1のトランシーバ部6326によって使用され得る第1のLO信号6332を生成することができる。LO発生器6330は、第2のMIMOストリーム6318を第2の周波数f2にアップ変換する第1のトランシーバパート6328によって使用され得る第2のLO信号6334を発生することができる。LO発生器6330は、さらに、第3のLO信号6320を生成し、これは、MIMOデータストリームの一方または両方を所望のRF周波数に変換するために(直接または簡単な操作のいずれかにより)使用することができる。図63に示される例では、第1のMIMOストリーム6316は、すでに変換されておらず、BBS6322内の所望の周波数f1である。この点に関し、第3のLO信号6320は、単一の同軸ケーブル6336を介してRFEM6302に通信することができ、第2のトランシーバ部6308によって使用されて、フェーズドアンテナアレイ6310による送信の前に、第2のMIMOストリーム6318を所望の周波数f1にアップ変換する。
いくつかの態様において、2つのMIMOストリーム6316および6318は、IFまたはRF周波数で生成され、そして第3のLO信号6320と共に、単一の同軸ケーブル6336を介してRFEM6302に通信され得る。この点に関し、RF-over-cable(RFoC)通信技術を用いて、通信システム6300内のBBSとRFEMとの間の単一同軸ケーブルを介して、LO信号と共に2つのMIMOストリームを通信することができる。MIMOストリーム6316および6318を生成するための2つのアップ変換方式は、2つのMIMOストリーム6316、6318に関連する4つの信号周波数、およびLO信号6332および6334の周波数が重複しないように設計することができる。いくつかの態様において、2つのアップ変換方式のうちの1つ(例えば、MIMOストリーム6316の生成)は、対応するMIMOストリーム(例えば、6316)を生成するためにLO信号が必要とされないような直接変換方式であり得る。
図63から分かるように、第1のMIMOデータストリーム6316は、第1のタイプの偏光を使用するフェーズドアンテナアレイ6306を介して送信または受信するために、同軸ケーブル6336およびトリプレクサ6324および6314を介して(所望の周波数f1で)通信される。第2のMIMOデータストリーム6318は、同軸ケーブル6336およびトリプレクサ6324および6314を介して(周波数f2で)通信され、第2のタイプの偏光を使用する第2のフェーズドアンテナアレイ6310を介して送信または受信される。
また、LO発生器6312は、同軸ケーブル6336を介して2つのMIMOストリームと共に第3のLO信号6320を受信し、LO信号6320を第2のトランシーバ部6308に通信する(またはLO信号6320の周波数操作によって別のLO信号を生成する)。第2のMIMOストリーム6318は、(所望の周波数f1ではない)周波数f2であるため、第2のトランシーバ部6308は、LO発生器6312から受信したLO信号を使用して、第2のMIMOストリーム6318をアップ変換またはダウン変換して、フェーズドアンテナアレイ6310による送信する前に、所望の周波数f1になるようにし得る。
この点に関し、分散フェーズドアレイシステム6300は、同軸ケーブル6130および6132を使用して、BBS6322とRFEM6302との間で、2つの独立したデータストリームおよび(例えば、垂直および水平アンテナ偏波を使用する送信または受信用)少なくとも1つのLO信号を通信する。
いくつかの態様において、第1のMIMOストリーム6316および第2のMIMOストリーム6318は、重複せず、所望の周波数ではない周波数で生成され得る。この場合、LO発生器6330は、2つの別個のLO信号を生成することができ、これらは、単一の同軸ケーブル6336を介してRFEM6302に、MIMOストリーム6316および6318と共に通信することができる。2つの別個のLO信号は、RFEM6302内で、2つのMIMOストリーム6316および6318を所望の送信周波数に変換するために使用することができる。
いくつかの態様において、第1のMIMOストリーム6316および第2のMIMOストリーム6318は、重複せず、所望の周波数ではない周波数で生成され得る。この場合、LO発生器6330は、1つの別個のLO信号を生成することができ、これらは、単一の同軸ケーブル6336を介してRFEM6302に、MIMOストリーム6316および6318と共に通信することができる。1つの別個のLO信号は、RFEM6302内で、2つのMIMOストリームの1つを所望の送信周波数に変換するために使用することができる。LO発生器6312は、1つの別個のLO信号を使用して、(例えば、周波数操作により)別のLO信号を生成し得る。これは、残りのMIMOストリームを所望の送信周波数に変換するために使用することができる。この場合、2つのMIMOストリームは、単一の同軸ケーブル6336を介してBBS6322とRFEM6302との間で単一のLO信号で通信される。
一実施形態において、図63に見られるように、MIMOストリームのうちの1つ(例えば、6316)は、所望の周波数f1で生成される。第2のMIMOストリーム6318は、f1よりも高くても低くてもよい、異なる(重複しない)周波数f2で生成される。2つのMIMOストリーム6316および6318は、第3のLO信号6320と共に単一の同軸ケーブル6336を介して通信することができる。第3のLO信号6320は、MIMOストリーム6316および6318にそれぞれ関連する周波数f1およびf2の間の差である周波数であり得る。
種々の周波数信号は、システム6300内の単一の周波数シンセサイザソース(例えば、LO発生器6330)から生成されるので、かつ単純な周波数操作(例えば、除算または乗算)のみがRFEM6302内のLO信号を操作するために使用されるので、その結果として得られるRFストリーム(例えば、6316および6318)間の位相関係は、使用されるRFEMの数またはRFEMの位置に関係なく維持され得る。別の言い方をすれば、同じ2つのアップ変換方式を使用して、IFまたはRF MIMOストリームを生成し、それらを1つまたは複数のLO信号で単一の同軸上で送信することにより、ストリームがリモートRFEMによって処理するために受信されたとしても、MIMOストリーム間の位相関係を維持することができる(複数のRFEM処理シナリオが図65に示されている)。
図63は、BBS6322におけるMIMOストリームの生成と、次いでRFEM6302による処理および送信のための通信とを示しているが、フェーズドアンテナアレイ6306および6310によって受信され、次いでBBS6322に処理のために通信されるMIMOストリームに対しても、開示の技術を使用することができる。
図64は、幾つかの態様による、図63の単一同軸ケーブル上で通信される種々の信号のスペクトル内容を示す。図64を参照して、信号図6402は、単一の同軸ケーブル6336を介して通信されるスペクトル内容の周波数を示す。より具体的には、信号図6402は、第1のMIMOストリーム6402、第2のMIMOストリーム6406、およびLO信号6408の周波数を示す。いくつかの態様において、第1のMIMOストリーム6404は、所望の周波数f1であってもよく、第2のMIMOストリーム6406は、周波数f1の分数倍(fraction)である周波数f2(例えば、f2は、M/K×周波数f1であり、ここで、MおよびKは、1よりも大きい整数である)であり得る。LO信号6408の周波数は、第2のMIMOストリーム6406の周波数よりも低くすることができ、第2のMIMOストリーム6406に関連する同じ分数倍(fraction)に基づいて決定することができる。例えば、LO信号6408の周波数は、fLOとして指定することができ、式fLO=f1×(1-M/K)に基づき決定し得る。この点に関して、周波数f2を有する第2のMIMOストリーム6406は、それを周波数fLOでLO信号と混合することによって、所望の周波数f1に変換することができる。
図64を参照して、信号図6410は、第1のMIMOストリーム6412、第2のMIMOストリーム6416、およびLO信号6414の周波数を示す。いくつかの態様において、第1のMIMOストリーム6412は、所望の周波数f1であってもよく、LO信号6414は、周波数f1の分数倍(fraction)である周波数f2(例えば、f2は、M/K×周波数f1であり、ここで、MおよびKは、1よりも大きい整数である)であり得る。第2のMIMO信号6416の周波数は、LO信号6414の周波数よりも低くすることができ、LO信号6414に関連する同じ分数倍(fraction)に基づいて決定することができる。例えば、LO信号の周波数はfLO=f1×M/Kであってもよい。第2のMIMOストリーム6416の周波数は、f2として指定することができ、式f2=f1×(1-M/K)に基づいて決定することができる。
図64を参照して、信号図6418は、単一の同軸ケーブル6336を介して通信されるスペクトル内容の周波数を示す。より具体的には、信号図6418は、第1のMIMOストリーム6420、第2のMIMOストリーム6422、およびLO信号6424の周波数を示す。いくつかの態様において、第1のMIMOストリーム6420は、28GHzの所望の周波数であってもよく、第2のMIMOストリーム6422は、28GHzの分数倍である周波数18.66GHz(例えば、28GHzの2/3)であり得る。LO信号6424の周波数は、第2のMIMOストリーム6422の周波数よりも低くすることができ、第2のMIMOストリーム6406に関連する同じ分数倍(fraction)に基づいて決定することができる(例えば、fLOは28GHzの1/3である9.33GHzであり得る)。
図65は、幾つかの態様による、単一のBBSと、MIMOサポートを有する複数のRFEMと、BBSと各RFEMとの間の単一の同軸ケーブルとを有する例示的な分散フェーズドアレイシステムを示す。図65を参照すると、分散フェーズドアレイシステム6500は、RFEM6502、6504と、BBS6506とを含み得る。RFEM6502、6504およびBBS6506は、図63のRFEM6302およびBBS6322と同様であり得る。いくつかの態様において、分散フェーズドアレイシステム6500は、MIMO動作のための4つの別個のストリームを処理する4つの別個のトランシーバを含み得る。より具体的には、第1のトランシーバを使用して、(第1のタイプのアンテナ偏波を使用して)第1のフェーズドアンテナアレイ6548を介して送信または受信のための第1のデータストリームを処理することができ、第2のトランシーバを使用して、(第2のタイプのアンテナ偏波を使用して)第2のフェーズドアンテナアレイ6550を介して送信または受信のための第2のデータストリームを処理することができる。第3のトランシーバを使用して、(第1のタイプのアンテナ偏波を使用して)第3のフェーズドアンテナアレイ6560を介して送信または受信のための第3のデータストリームを処理することができ、第4のトランシーバを使用して、(第2のタイプのアンテナ偏波を使用して)第4のフェーズドアンテナアレイ6562を介して送信または受信のための第4のデータストリームを処理することができる。
第1のトランシーバは、BBS6322内の第1の部分6508と、RFEM6502内の第2の部分6540とを含み得る。 第2のトランシーバは、BBS6506内の第1の部分6510と、RFEM6502内の第2の部分6542とを含み得る。 第3のトランシーバは、BBS6506内の第1の部分6516と、RFEM6504内の第2の部分6552とを含み得る。第4のトランシーバは、BBS6506内の第1の部分6518と、RFEM6504内の第2の部分6554とを含み得る。
BBS6506内の第1のトランシーバ部分6508、6510、6516および6518は、データ信号をディジタル化し、ディジタル信号をフィルタリングし、フィルタリングされた信号をアップ変換して、フェーズドアンテナアレイ6548、6550、6560および6562によるさらなる処理および後の送信のために、RFEM6502および6504に通信する回路を含み得る。BBS6506内の第1のトランシーバ部分6508、6510、6516および6518は、フェーズドアンテナアレイ6548、6550、6560および6562を介して受信され、RFEM6502、6504によって処理される中間周波数(IF)またはRFの信号を処理し、そのような信号を処理のためにベースバンド信号およびデジタル信号に変換する回路を含み得る。
BBS6506は、さらに、LO信号6522、6524および6526を生成するように構成され得るLO発生器6514を含むことができる。LO信号6522および6524は、第1のトランシーバ部分6508、6510、6516および6518によって、ベースバンド信号を(IFまたはRF信号に)アップ変換して、RFEM6502および6504に通信するMIMOストリーム6528、6530、6532および6534を生成し、またはRFEM6502および6504から受信したIFまたはRF信号をベースバンド信号にダウン変換するために使用することができる。
(RFEM6502内の)第2のトランシーバ部分6540および6542および(RFEM6504内の)6552および6554は、フェーズドアンテナアレイ6548、6550、6560および6562を介して送信する前に、BBS6506から受信されたIFまたはRF信号を増幅し、増幅された信号をアップ変換し、信号を複製し、信号の位相および/または振幅調整を行う回路を含み得る。(RFEM6502内の)第2のトランシーバ部分6540および6542と、(RFEM6504内の)第2のトランシーバ部分6552および6554は、(1)フェーズドアンテナアレイ6548、6550、6560および6562を介して受信された無線周波数信号を処理し、その信号を位相および/または振幅調整し、信号をIF信号にダウン変換し、処理のためにIF信号(または分散フェーズドアレイシステム6500によってIF処理が実行されない場合にはRF信号)をBBS6506に通信する回路を含み得る。いくつかの態様において、(RFEM6502内の)第2のトランシーバ部分6540および6542と、(RFEM6504内の)6552および6554とは、図59の受信器ブロック5910および送信器ブロック5912内の1つ以上の回路を含み得る。
RFEM6502は、LO発生器6544を含んでいてもよく、これは、信号をアップ変換またはダウン変換するために第2のトランシーバ部分6540および6542によって使用されるLO信号を生成するように構成され得る。いくつかの態様において、LO発生器6544は、周波数分割器、加算器および乗算器のような周波数操作回路を含むことができ、LO発生器6514によって生成され、トリプレクサ6512、6546および単一同軸ケーブル6536を介してBBS6506から受信される別のLO信号を使用してLO信号を生成するように構成され得る。
RFEM6504は、LO発生器6556を含んでいてもよく、これは、信号をアップ変換またはダウン変換するために第2のトランシーバ部分6552および6554によって使用されるLO信号を生成するように構成され得る。いくつかの態様において、LO発生器6556は、周波数分割器、加算器および乗算器のような周波数操作回路を含むことができ、LO発生器6514によって生成され、トリプレクサ6520、6558および単一同軸ケーブル6538を介してBBS6506から受信される別のLO信号を使用してLO信号を生成するように構成され得る。
幾つかの態様では、分散フェーズドアレイ通信システム6500は、4つのデータストリームがトリプレクサ6512、6520、6546、6558および同軸ケーブル6536および6538を介して同時に通信されるMIMO動作をするように構成し得る。より具体的には、4つの独立したデータストリームを、BBS6506においてベースバンド周波数で生成し得る。LO発生器6514は、分散フェーズドアレイシステム6500内に単一の周波数源を含むことができ、第1のトランシーバ部分6508、6510、6516、および6518によって実行される2つの異なるアップ変換方式のためのLO周波数(例えば、6522および6524)を生成するように構成される。2つの方式のそれぞれについて、1つのLO周波数が、BBS6506内の所望のIF(またはRF)周波数へのベースバンドストリームのアップ変換のために使用される。
図65に見られるように、LO信号6522および6524は、(RFEM6502による処理のための)MIMOストリーム6528および6530、ならびに(RFEM6504による処理のための)MIMOストリーム6532および6534を生成するために使用することができる。MIMOストリーム6528および6532は、所望の周波数f1(例えば、所望の送信周波数求)で生成することができる。MIMOストリーム6530および6534は、f1よりも高くても低くてもよい異なる周波数f2で生成することができる。
LO信号6522、6524、および6526の信号周波数、ならびに4つの生成されたMIMOストリームの周波数f1およびf2は、すべて、重複しない周波数であり得る。この点に関し、LO信号とMIMOストリームの任意の結合は、相互信号干渉なしに、単一の通信媒体(例えば、単一の同軸ケーブル)を介して通信することができる。第3のLO信号6526は、RFEM6502による処理のために、同軸ケーブル6536に沿ってMIMOストリーム6528および6530と共に通信することができる。より具体的には、第1のMIMOストリーム6528は、既に所望の周波数f1であり、従って、フェーズドアンテナアレイ6548による送信に先立って、更なるアップ変換を必要としない場合がある。LO発生器6544は、第3のLO信号6526を受信することができ、第2のMIMOストリーム6530の所望の周波数f1へのアップコンバージョンまたはダウンコンバージョンのために、その信号を第2のトランシーバ部分6542に転送することができる。いくつかの態様において、LO信号6526は、BBS6506から受信されるように使用することができ、またはLO発生器6544は、新しいLO信号を生成するために周波数操作を実行することができ、これは、フェーズドアンテナアレイ6550による送信に先立って、第2のMIMOストリーム6530を所望の周波数f1に変換するために使用することができる。
同様に、第3のLO信号6526は、RFEM6504による処理のために、同軸ケーブル6538に沿ってMIMOストリーム6532および6534と共に通信することができる。より具体的には、第3のMIMOストリーム6532は、既に所望の周波数f1であり、従って、フェーズドアンテナアレイ6560による送信に先立って、更なるアップ変換を必要としない場合がある。LO発生器6556は、第3のLO信号6526を受信することができ、第4のMIMOストリーム6534の所望の周波数f1へのアップコンバージョンまたはダウンコンバージョンのために、その信号を第2のトランシーバ部分6554に転送することができる。いくつかの態様において、LO信号6526は、BBS6506から受信されるように使用することができ、またはLO発生器6556は、新しいLO信号を生成するために周波数操作を実行することができ、これは、フェーズドアンテナアレイ6562による送信に先立って、第4のMIMOストリーム6534を所望の周波数f1に変換するために使用することができる。
図63および図65は、複数のデータストリームの送信および受信のためにBBSをRFEMに接続するための単一の同軸ケーブルの使用を開示しているが、本開示はこの点に関して限定されず、他のタイプの接続も使用可能である。例えば、単一の同軸ケーブルの代わりに、別のタイプのミリ波接続またはケーブルを使用することができる。使用可能な他のタイプの接続は、半剛性ケーブル、可撓性基板のフレキシブルケーブル、PCB上のプリントRF伝送ライン、剛性フレックスボード等を含む。
分散フェーズドアレイシステム(例えば、WiGigおよび5Gセルラシステム)は、現在、ノートブック、タブレット、スマートフォン、ドッキングステーションおよび他のアプリケーションで使用されている。WiGigおよび5G通信に使用される現在の分散フェーズドアレイシステムは、スーパーヘテロダイン(デュアル変換)またはスライディングIFシステムのいずれかである。これらのシステムにおいて、MAC-PHYベースバンドサブシステムは、中間周波数(IF)信号を受信または送信し、それは、IF増幅段、RF-IFミキサ、高選択性帯域通過フィルタ、および回路間のIF信号の通信に必要なその他の回路の使用、ならびにIF信号のアップ変換およびダウン変換を必要とする。IF信号処理用の追加の回路は、フロントエンドモジュールがより大きくなり、分散フェーズドアレイシステムに対するコストがより高くなり、システム性能がより低くなる。
図66は、幾つかの態様による分散フェーズドアレイシステム6600の例示的なRFフロントエンドモジュール(RFEM)を示す。分散フェーズドアレイシステム6600は、図3Aに示されるmmWave通信回路300のデジタルベースバンド回路310、送信回路315、および受信回路320に組み込まれてもよいが、分散フェーズドアレイシステム6600は、これらに限定されない。
図66を参照すると、RFEM6602は、単一の同軸ケーブル6606を介してベースバンドサブシステム(BBS)6604に結合される。RFEM6602は、フェーズドアンテナアレイ6608、RF受信器6610、RF送信器6612、ローカル発振器(LO)発生器6644、トリプレクサ6648、および送信(TX)/受信(RX)スイッチ6640を含むことができる。RF受信器6610は、複数の電力増幅器6616、複数の移相器6618、および加算器6620、RF増幅器6622、LO増幅器6626、および乗算器6624を含むことができる。また、RF受信器6610は、IF増幅器6642を含むことができる。いくつかの態様において、IF増幅器6642は、受信器6610の一部であってもよく、または受信器6610の外部に実装されてもよい。
RF送信器6612は、乗算器6638、LO増幅器6640、RF増幅器6636、加算器6634、複数の移相器6632、および複数の増幅器6630を含むことができる。また、RF送信器6612は、IF増幅器6646を含むことができる。いくつかの態様において、IF増幅器6646は、送信器6612の一部であってもよく、または送信器6612の外部に実装されてもよい。
受信動作の一例では、スイッチ6640は、受信器チェーン処理を起動することができる。アンテナアレイ6608は、複数の信号6614を受信するために使用することができる。受信信号6614は、増幅器6616によって増幅され得、それらの位相は、対応する移相器6618によって調整され得る。各移相器6618は、制御回路から(例えば、BBS6604内のモデムから)別個の位相調整信号(図66には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ6608を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器6618の出力における位相調整信号は、加算器6620によって加算され、次いで、RF増幅器6622によって増幅され得る。LO発生器6644は、同軸ケーブル6606を介してBBS6604から受信されたクロック周波数信号6643を使用して、LO信号を生成することができる。LO信号は、増幅器6626によって増幅され、次いで、IF入力信号6645を生成するために、乗算器6624を使用して増幅器6622の出力に乗算され得る。IF入力信号6645は、増幅器6642によって増幅され、次いで、トリプレクサ6648および同軸ケーブル6606を介してBBS6604に通信され得る。いくつかの態様において、IF入力信号6645は、10.56GHz信号であり得る。
送信動作の一例では、スイッチ6640は、送信器チェーン処理を起動することができる。RFEM6602は、同軸ケーブル6606およびトリプレクサ6648を介して、BBS6604からIF信号6647を受け取ることができる。IF信号6647は、IF増幅器6646によって増幅され、次いで、乗算器6638に通信され得る。乗算器6638は、LO発生器6644およびLO増幅器6640からアップコンバージョンLO信号を受け取ることができる。増幅されたLO信号は、増幅された受信IF信号と乗算器6638により乗算され、RF信号となる。次いで、RF信号は、増幅器6636によって増幅され、加算器6634に通信される。加算器6634は、増幅された信号の複数のコピーを生成し、信号コピーを複数の移相器6632に通信する。複数の移相器6632は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器6630によって増幅することができる。複数の増幅器6630は、フェーズドアンテナアレイ6608によって送信するための複数の信号6628を生成する。
図67は、幾つかの態様による分散フェーズドアレイシステムのベースバンドサブシステム(BBS)を示す。図67を参照すると、BBS6604は、トリプレクサ6702、IF受信器6704、IF送信器6706、モデム6724、水晶発振器6730、シンセサイザ6728、および分割器6726を含むことができる。シンセサイザ6728は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器6730からの信号を使用してクロック信号を生成してもよい。生成されたクロック信号は、分割器6726によって分割されて、RFEM6602に通信するための出力クロック信号になる。いくつかの態様において、生成されたクロック信号は、1.32GHzの周波数を有することができる。
IF受信器7004は、IF増幅器6708、ミキサ6710、フィルタ6712、およびアナログ-デジタル変換(ADC)ブロック6714を含むことができる。IF送信器6706は、デジタル-アナログ変換(DAC)ブロック6722、フィルタ6720、ミキサ6718、およびIF増幅器6716を含むことができる。
受信動作の一例では、IF信号(例えば、6645)は、RFEM6602からトリプレクサ6702を介して受信され、IF増幅器6708によって増幅される。増幅されたIF信号は、ミキサ6710によってベースバンド信号にダウン変換され、次いでローパスフィルタ6712によってフィルタされ、ADCブロック6714によってデジタル信号に変換されてから、モデム6724によって処理される。
送信動作の一例では、モデム6724によって出力されたデジタル信号は、DACブロック6722によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ6720によってフィルタリングされ、次いで、ミキサ6718によってIF信号にアップ変換される。IF信号は、IF増幅器6716によって増幅され、次いで、トリプレクサ6702および単一の同軸ケーブル6606を介してRFEM6602に通信され得る。
図68は、幾つかの態様によるRFEMとBBSとの間で通信される信号を示す周波数図である。図68を参照すると、周波数図6800は、単一の同軸ケーブル6606を介してRFEM6602とBBS6604との間で通信され得る様々な信号を示す。例えば、BBS6604は、DC電力信号6802、制御信号6804、およびクロック信号6806を通信することができる。さらに、データ信号6810は、BBS6604とRFEM6602との間で通信され得る。例えば、IFデータ信号6645は、RFEM6602からBBS6604に通信することができ、IFデータ信号6647は、BBS6604からRFEM6602に通信することができる。クロック信号6806は、BBS6604からRFEM6602によって受信されたLO生成クロック信号6643と同じであり得る。いくつかの態様において、クロック信号6806は、1.32GHz信号であり得る。いくつかの態様において、制御信号6804は、BBS6604からRFEM6602に通信することができ、移相器6618および移相器6632によって使用される位相調整値を示すことができる。制御信号6804は、RFEM6602に、パワーアップ、パワーダウン、送信パワーの増加または減少など、その他の制御機能を指示することができる。
図68に見られるように、RFEM6602とBBS6604との間で通信される信号の信号スペクトルは、クロック高調波6808と、制御信号6804の高調波とのようないくつかの望ましくない信号を含むことがある。さらに、RFEM6602およびBBS6604内にIF処理回路を含めることによって、分散フェーズドアレイシステム6600内に他の欠点が存在する。以下、説明する。

RFEM供給電圧の電圧ジャンプによる信号周波数安定性
RFEM6602は、周波数シンセサイザ、周波数乗算器および分割器を含むことができるLO発生器(例えば、6644)を含む。これらの回路によって生成される周波数信号は、アップ変換ミキサ6638またはダウン変換ミキサ6624を駆動するために使用される。しかし、LO発生器6644は、供給電圧安定性に敏感であり得る。RFEM6602の供給電圧(例えば、6802)は、同軸ケーブル6606、ならびに関連するコネクタおよびRFチョーク(図66には図示せず)を通して供給される。従って、供給電圧は、これらのコンポーネントの抵抗と、同軸ケーブル6606を通って流れる電流とによって影響を受ける。この点に関し、同軸6606を通る電流の瞬間的な変化(例えば、RXからTXへの遷移、フェーズドアレイアクティブレーンの数の変化、RFEMにおけるデジタル動作/処理など)は、LO発生回路の瞬間的な変化を生じ、それは瞬間的な周波数変化を生じさせる。

RFEM高消費電力
分散フェーズドアレイシステム6600は、LO発生器6644(シンセサイザ、周波数乗算器、周波数ドライバなど)、アップダウン変換ミキサ(例えば、6624、6638)、IF増幅段(例えば、6642、6646)、および複合トリプレクサ(例えば、6648)を使用する。開示の一態様では、RF信号のみが、RFEM6602とBBS6604との間で通信され得る。この点に関し、RFEM6602内のIF関連回路を除去して、RFEM6602の電力消費および熱発生を低下させることができる。

RFEMコスト
分散フェーズドアレイシステム(例えば、6600)では、RFEMコストが大きくなり得る(例えば、場合によっては、システムコスト全体の50%まで)。
BBSのコスト削減は、プロセスマイグレーションによって達成可能であるが(BBSチップ処理の多くはデジタルであるため)、このようなコスト削減は、RFEMにほとんどがアナログ処理なので、RFEMでは困難である。
RF処理のみを実行し、単一同軸ケーブル6606を介してRFEM6602とBBS6604との間でRF信号を通信することにより、RFEM実装コスト低減を達成することができる。

RFEMフォームファクタ(FF)
RFEM6602はアンテナアレイ(108)を含むので、それは通信装置の境界に位置し、フェーズドアレイアンテナの良好な放射を可能にする。RF処理のみを使用し、RFEM6602からIF変換段階および処理を除去することにより、RFEMフォームファクタは低減され、これは、RFEMデバイスの配置および実装に有益である。

他の規格(WiFi、ブルートゥース(登録商標)、LTEなど)との共同運用
同軸ケーブル6606を介して通信されるIF周波数信号(例えば、6645および6647)は、広帯域信号(例えば、WiGigまたは5G)を搬送し、同じプラットフォーム/デバイス内の他の通信システムの高調波に対して脆弱である。例えば、RFEMからBBSに通信されるIF周波数信号(6645)、またはBBSからRFEMによって受信されるIF信号(6647)は、10.56GHz信号であり得る。しかし、10.6GHzのIF信号は、Wi-Fi帯域の1つまたは複数の高調波と同じ範囲内にあってもよい。

《同軸上のCLK信号のFCC/ETSI制御違反》
分散システムでは、COAXケーブル(CLK、IFデータ)上の信号は、COAX(ケーブルおよびコネクタ)およびPCB相互接続から漏洩(leak)する。この漏洩は、FCC/ETSI規制違反を引き起こす。漏れ電力を低下させるためには、高品質のRFシールド、高絶縁COAXを用い、場合によってはCAOX上の信号レベルをさらに低下させる必要がある(これは、システムの性能に影響を与える可能性がある)。
いくつかの態様において、RFEM6602は、処理およびダウンコンバージョンのためにRF信号を処理し、同軸ケーブル6606を介してBBS6604に通信するように構成することができる。同様に、BBS6604は、データ信号をRF信号にアップ変換し、同軸ケーブル6606を介してRF信号をRFEM6602に通信することができる。この点に関し、RFEM6602内のIF処理を除去することにより、分散フェーズドアレイ通信システム内のIF処理に関連する上述の欠点を除去することができる。
図69は、幾つかの態様に従ってRF信号を通信する単一の同軸ケーブルを介してBBSに結合されたRFEMを示す。図69を参照すると、分散フェーズドアレイ通信システム6900は、単一の同軸ケーブル6906を介してベースバンドサブシステム6904に結合されたRFEM6902を含むことができる。RFEM6902は、フェーズドアンテナアレイ6908、RF受信器6910、RF送信器6912、デュプレクサ6936、および送信(TX)/受信(RX)スイッチ6934を含むことができる。RF受信器6910は、複数の電力増幅器6916、複数の移相器6918、加算器6920、およびRF増幅器6922を含むことができる。RF送信器6912は、RF増幅器6932、加算器6930、複数の移相器6928、および複数の増幅器6926を含むことができる。
受信動作の一例では、スイッチ6934は、受信器チェーン処理を起動することができる。フェーズドアンテナアレイ6908は、複数の信号6914を受信するために使用することができる。受信信号6914は、増幅器6916によって増幅され得、それらの位相は、対応する移相器6918によって調整され得る。各移相器6918は、制御回路から(例えば、BBS6904内のモデムから)別個の位相調整信号(図69には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ6908を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器6918の出力における位相調整信号は、加算器6920によって加算され、次いで、RF増幅器6922によって増幅され、RF入力信号6923を生成し得る。RF入力信号6923は、デュプレクサ6936および同軸ケーブル6906を介してBBS6904に通信することができる。いくつかの態様において、RF入力信号6923は、60GHz信号または5G通信帯域を含むミリ波帯域(millimeter wave band)内の別の信号であり得る。
送信動作の一例では、スイッチ6934は、送信器チェーン処理を起動することができる。RFEM6902は、同軸ケーブル6906およびデュプレクサ6936を介して、BBS6904からRF出力信号6931を受信することができる。RF信号6931は、RF増幅器6932によって増幅され、次いで、加算器6930に通信され得る。加算器6930は、増幅されたRF信号の複数のコピーを生成し、信号コピーを複数の移相器6928に通信する。複数の移相器6928は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器6926によって増幅することができる。複数の増幅器6926は、フェーズドアンテナアレイ6908によって送信するための複数の信号6924を生成する。
図70は、いくつかの態様による、図69のBBS6904を示すより詳細な図である。図69を参照すると、BBS6904は、デュプレクサ7002、RF受信器7004、RF送信器7006、モデム7024、水晶発振器7030、シンセサイザ7028、および分割器7026を含むことができる。シンセサイザ7028は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器7030からの信号を使用して信号7032などのクロック信号を生成してもよい。生成されたクロック信号7032は、RF受信器7004によって使用され、ミキサー7010を使用して受信信号をダウン変換することができる。生成されたクロック信号7032はまた、RF送信器7006によって使用され、ミキサー7018を使用して信号をアップ変換することができる。クロック信号7032は、分割器7026によって分割され、第2のクロック信号7034を生成し得る。生成された第2のクロック信号7034は、RF受信器7004によって使用され、ミキサー7010を使用して受信信号をダウン変換することができる。生成された第2のクロック信号7034はまた、RF送信器7006によって使用され、ミキサー7018を使用して信号をアップ変換することができる。図70に見られるように、2つの別個のクロック信号7034および7032は、シンセサイザ7028および分割器7026によって生成され得る。2つのクロック信号7034および7032のうち一方または両方を、1つまたは複数の中間IF段階を使用してRF信号をベースバンドにダウン変換するため、または場合によっては、中間IF段階変換なしでRFからベースバンドに変換するために使用することができる。同様に、クロック信号7034および7032の一方または両方を、1つまたは複数の中間IF段階を用いてベースバンド信号をRF信号に変換するか、または場合によっては、中間IF段階変換なしでベースバンドからRFに変換するために使用することができる。
RF受信器7004は、RF増幅器7008、ミキサ7010、フィルタ7012、およびアナログ-デジタル変換(ADC)ブロック7014を含むことができる。RF送信器7006は、デジタル-アナログ変換(DAC)ブロック7022、フィルタ7020、ミキサ7018、およびRF増幅器7016を含むことができる。
受信動作の一例では、RF信号(例えば、6923)は、単一同軸6906およびデュプレクサ7002を介してRFEM6902から受信され、RF増幅器7008によって増幅される。増幅されたRF信号は、ミキサ7010によってベースバンド信号にダウン変換され、次いでローパスフィルタ7012によってフィルタされ、ADCブロック7014によってデジタル信号に変換されてから、モデム7024によって処理される。
送信動作の一例では、モデム7024によって出力されたデジタル信号は、DACブロック7022によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ7020によってフィルタリングされ、次いで、ミキサ7018によってRF信号にアップ変換される。RF信号は、RF増幅器7016によって増幅され、次いで、デュプレクサ7002および単一の同軸ケーブル6902を介してRFEM6906に通信され得る。
いくつかの態様において、同軸ケーブル6906は、DC電力信号(例えば、BBS6904からRFEM6902へ)、制御信号およびフェーズドアンテナアレイ6908によって受信または送信されるRFデータ信号の通信に使用することができる。制御信号は、位相調整信号、パワーアップ信号、パワーダウン信号、およびBBS6904からRFEM6902へ通信される他の制御信号を含むことができる。いくつかの態様において、制御信号は、RFEM6902からBBS6904に通信される要求信号または他のデータ要求信号の位相調整を含むことができる。この点に関し、直接変換方式は、RFEMおよびBBSが単一の同軸ケーブルを介して結合される分散フェーズドアレイシステムに関連して使用することができる。
いくつかの態様において、制御信号は、RFEM動作を制御する(例えば、出力電力レベル、AGC、ON/OFFなどを制御する)ために使用することができる。さらに、RFEMとBBSとの間の制御リンクは、双方向であってもよく、BBSからRFEMへのコマンドおよびRFEMからBBSへの遠隔測定転送(telemetry transfer)(例えば、PA電力検出器読み出しデータ、制御コマンド受信後のACK、温度検出器読み出しデータなど)のために使用することができる。
いくつかの態様において、異なるタイプの同軸ケーブル(6906)を、同軸上でRFを通信する分散フェーズドアレイ通信システムに接続して使用することができる。例えば、高品質同軸ケーブル、半剛性ケーブル、または可撓性半剛性ケーブルをケーブル6906として使用することができ、これは、RF信号の高周波通信を妥当な損失で可能にする。
別の例では、低コストの同軸ケーブルを同軸6906として使用することができ、これは、高RF周波数通信でマッチング(S11)および高損失(S21)問題を生じ得る。これらの欠点は、適応的なケーブルマッチングの改善、ロバストなRX及びTXラインアップ、及びRX及びTX非線形歪みキャンセルのようなシステム設計変更によって改善することができる。

適応的ケーブルマッチング改善
ケーブルを介したRF信号通信は、高損失およびマッチング問題に関連し得る。RFケーブル通信に関連した高周波のため、ケーブルマッチングの変動は大きく、予期できないことがあり、ケーブルと負荷との間の電力損失に影響を及ぼす。一例では、これらの欠点を克服するために、図69~5に見られるように、適応的インピーダンスマッチング回路(例えば、6938および7036)をRFEM6902およびBBS6904で使用することができる。

ロバストRX、TXラインナップ
幾つかの態様では、同軸ケーブルに関連するより高い信号損失は、追加の利得増幅/調整段階(図には示されていない)を追加することによって(例えば、RFEM6902内のケーブル6906および適応マッチング6938の前で)解決することができ、これにより、同軸ケーブルの潜在的な高い信号損失が、通信されたRF信号のSNRを劣化させないことを保証することができる。

RXおよびTXの非線形歪キャンセレーション
幾つかの態様では、RX及びTXラインアップにおける付加的な利得段階は、非線形歪みをもたらすことがある。しかしながら、これらの信号歪みは、TX経路における予歪調整回路(pre-distortion adjustment circuitry)またはRX経路における後歪調整回路(post-distortion adjustment circuitry)(図示せず)のようなデジタル機構を介して補償することができる。
図71は、幾つかの態様に従って、単一のBBSに結合された複数のRFEMを使用する例示的な大規模アンテナアレイを示す。図71を参照すると、分散フェーズドアレイ通信システム7100を、大規模アンテナアレイを実施するために使用することができる。より具体的には、複数のRFEM(7102、7138、...、7140)を単一のBBS(7104)と共に使用することができ、各RFEMは位相アンテナアレイを含む。RFEM7102、7138、...、7140は、対応する単一同軸ケーブル7106、7144、...、7146を介してBBS7104に結合することができる。
いくつかの態様では、単一のLOソース(例えば、ミリメータ波シンセサイザ)をBBS7104内に配置し、TXおよびRX信号のアップコンバージョンおよびダウンコンバージョンにそれぞれ使用することができる。このようにして、MAA7100で使用されるすべてのRFEMにおいて、共通のLO信号位相(例えば、TXまたはRX信号の同期位相)を確実にすることができる。これと比較して、異なるRFEMに配置されたIF-over-同軸分散フェーズドアレイシステム、シンセサイザ(synthesizers)および周波数分割器は、RFEMの電源が投入されるかまたは動作周波数が変更されるたびに、非同期位相を有し得る。従って、非同期化位相は、新しいビーム成形手順を予備成形することを必要とし得、これは全体的なリンクスループット及び品質を低下させる時間のかかる操作となり得る。
図71を参照すると、分散フェーズドアレイ通信システム7100は、単一の同軸ケーブル7106を介してベースバンドサブシステム(BBS)7104に結合されたRFEM7102を含むことができる。RFEM7102は、フェーズドアンテナアレイ7108、RF受信器7110、RF送信器7112、デュプレクサ7136、および送信(TX)/受信(RX)スイッチ7134を含むことができる。RF受信器7110は、複数の電力増幅器7116、複数の移相器7118、加算器7120、およびRF増幅器7122を含むことができる。RF送信器7112は、RF増幅器7132、加算器7130、複数の移相器7128、および複数の増幅器7126を含むことができる。
受信動作の一例では、スイッチ7134は、受信器チェーン処理を起動することができる。アンテナアレイ7108は、複数の信号7114を受信するために使用することができる。受信信号7114は、増幅器7116によって増幅され得、それらの位相は、対応する移相器7118によって調整され得る。各移相器7118は、制御回路から(例えば、BBS7104内のモデムから)別個の位相調整信号(図71には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ7108を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器7118の出力における位相調整信号は、加算器7120によって加算され、次いで、RF増幅器7122によって増幅され、RF入力信号7123を生成し得る。RF入力信号7123は、デュプレクサ7136および同軸ケーブル7106を介してBBS7104に通信することができる。いくつかの態様において、RF入力信号7123は、60GHz信号または5G通信帯域を含むミリメータ波帯域(millimeter wave band)内の別の信号であり得る。
送信動作の一例では、スイッチ7134は、送信器チェーン処理を起動することができる。RFEM7102は、同軸ケーブル7106およびデュプレクサ7136を介して、BBS7104からRF出力信号7131を受信することができる。RF信号7131は、RF増幅器7132によって増幅され、次いで、加算器7130に通信され得る。加算器7130は、増幅されたRF信号の複数のコピーを生成し、信号コピーを複数の移相器7128に通信する。複数の移相器7128は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器7126によって増幅することができる。複数の増幅器7126は、フェーズドアンテナアレイ7108によって送信するための複数の信号7124を生成する。いくつかの態様において、RFEM7138~7140は、RFEM7102と同じであり得る。
図71はBBS7104の詳細を提供しないが、BBS7104はBBS6904と同じであり得、図70に示されるブロックを含むことができる。図70に見られるように、単一のシンセサイザ(synthesizer)7028は、BBS6904内で使用される。RFEM間で共有されるLO発生器(例えば、RFシンセサイザ、IFシンセサイザ等を含むことができるシンセサイザ7028)を含む単一のBBS(例えば、7104)を使用することによって、単一のBBS内のLO発生器によって生成されるLO周波数と、複数のRFEMのフェーズドアンテナアレイによって通信される出力RF信号との間に位相相関が存在する。この点に関し、RFEM7102、7138、...、7140のフェーズドアンテナアレイによって送信される信号の全ては、同じ位相を有する。
図69、図70、および図71は、BBSをRFEMに接続するための単一の同軸ケーブルの使用を開示しているが、本開示は、この点に関して限定されず、他のタイプの接続も使用可能である。例えば、単一の同軸ケーブルの代わりに、別のタイプのミリ波接続またはケーブルを使用することができる。使用可能な他のタイプの接続は、半剛性ケーブル、可撓性基板のフレキシブルケーブル、PCB上のプリントRF伝送ライン、剛性フレックスボード等を含む。例えば、図71を参照すると、同軸ケーブル7106、7144、および7146の代わりに剛性フレックスボードを使用することができ、その場合、接続ボードの可撓性部分(例えば、剛性フレックスボードの可撓性部分上のRF)を用いて、メインBBS7104からRFEMの異なる位置に伝搬するRFラインを介して、複数のRFEMを給電(feed)することができる。このようにして、RFEMは、PC/モバイルフォームファクタに基づくシステム内、または基地局シャーシ内の異なる領域で折り畳み(fold over and bend over)が可能である。
同軸(coax)ケーブルは、ノートブックのマザーボードからノートブックのヒンジ付き蓋にRF信号を伝送するための伝送ラインとして使用されており、そこから信号は、同軸を介してノートブックの蓋のアンテナまたはフェーズドアンテナアレイに伝送することができる。ケーブルは、通常キーボードの下に位置するマザーボード上の高周波集積回路(RFIC)を含むトランシーバから、ヒンジ内の孔またはチューブを通って蓋に進み、次いで蓋内のアンテナまたはアンテナアレイに進む。しかし、この構成は、信号損失、特に経時的なケーブル劣化の影響を受ける。この信号損失は、動作頻度が増加するにつれて悪化する。アプリケーションによっては、ノートパソコンは複数の周波数範囲、例えばWi-Fi、WiGig、5Gを同時に有し、すでに密集した環境であるヒンジを通過する複数のケーブルを必要とする。従って、ヒンジを通る1つ以上のケーブルの前述の問題を緩和する必要が生じ、特に動作周波数が著しく増加するにつれて、ケーブルによって生じる電力損失が生じる。
或る態様では、伝送ラインとして、RF信号周波数の数に依存して、1つ以上の導波路を使用することは、RF信号をマザーボードからヒンジを通って蓋に通す効果的な方法である。幾つかの態様では、本質的に任意のRF周波数範囲を扱うことができる光ファイバを使用することができる。さらに、光ファイバは、複数の周波数範囲を一度に送信することができる。さらなる利点は、光ファイバが同軸ケーブルと比較して経時的に劣化することが少ないことである。導波路または光ファイバを伝送ラインとして使用することにより、前述の問題を低減または最小限に抑えることができる。幾つかの態様では、上記の解決法を用いて、タブレットまたは電話のマザーボードからタブレットまたは電話の筐体にRF信号を伝えることもできる。
上記のいずれの場合(ノートブック、タブレット、電話)も、いったんRF信号が密なデバイスのRFICから送られると、解決すべき重要な第2の問題は、ノートブックの密な蓋内、またはタブレットまたは電話のシャーシ内でRF信号をどのように通すかである。密な蓋またはシャーシの上または内部にRF信号をどのように通すかという問題は、いくつかの態様において、金属シャーシ内に実装された導波路を使用することによって解決することができる。いくつかの態様では、導波路は、標準的な中空管導波路として、またはPCB上の基板集積導波路として実装することができる。同時に、同軸ケーブル、導波管、または光ファイバのいずれであっても、上記の伝送線ラインによる信号電力の損失が生じ得る。
増幅を含む無線フロントエンドモジュール(RFEM)は、これらの損失に対処するために、1つ以上のアンテナの前に、導波路または光ファイバの端に結合され得る。次いで、RF信号は、アンテナ要素に、または蓋内にあり得るフェーズドアンテナアレイに送信され得る。光ファイバーラインを介して信号を分配するいくつかの態様において、光ファイバーラインを介してRFICからの伝送を可能にするために、RF信号は光信号に変換され得る。光信号からRF信号への逆変換は、RFEMを通りアンテナまたはアンテナアレイへの伝送を可能にする。
図72は、幾つかの態様による、ノートブックコンピュータの蓋に到達するためのRF信号のための導波管を示すノートブックコンピュータの分解図である。RF信号導波路は、図3Aに示されるmmWave通信回路300のアンテナアレイ回路330に組み込まれてもよいが、RF信号導波路はこれに限定されない。分解図7200は、ノートブック7201を示し、キーボード(図示せず)は記号7203で示され、蓋は記号7205で示される。蓋75205Aの分解図は、ノートブック蓋内の導波管伝送ラインの概略図である。RF信号は、ノートブックのマザーボード上のRFIC内のMedium Access Control(MAC)層/Baseband(MAC BB)サブシステムから進行する。導波管は、ヒンジ7207内の孔または管を通って進むか、またはヒンジ7207の一部として作られ、導波管7207Aは、次に、蓋の内部をスプリッタ/コンバイナ(combiner)7209まで進み、RF信号を複数の導波管7211、7213、7215、7217に供給し、導波管出口7219、7221、7223、7225から蓋外側に位置するそれぞれのアンテナまたはアンテナアレイに供給する。実際には、単一の周波数帯域のみがあってもよいし、(例えば、1つ以上のLO信号を使用することによって)RFICによって生成される複数の周波数帯域があってもよい。例えば、Wi-Fi、WiGig、または5M mmWave技術用の周波数帯が、いくつかの態様により生成されてもよい。
図73は、いくつかの態様による、ノートブックコンピュータのRFICから進み、ノートブックのヒンジの孔を通って入り、ノートブックの蓋に向かう1つ以上の同軸ケーブルを示す図である。この図では、ノートブックは蓋カバーを有し、キーボードカバーは取り外されている。RFICは、いくつかの態様において、同軸ケーブル7301からマザーボード外側(motherboard outboard)に配置することができる。同軸ケーブル7301は、RFICから点7301Aへと進み、そこで、ヒンジ穴(またはチューブ)7303を通りノートパソコンの蓋へ向かう。図では、いくつかの態様により、2つの周波数帯域が生成される場合について、2つのケーブル7301を示す。幾つかの例では、一つの同軸はWi-Fi周波数帯RFICからであり得、それは幾つかの実装において、多入力多出力(MIMO)アンテナモードのための最大3つの同軸ケーブルを有し得る。検討中の態様における第2の周波数帯域は、WiGig周波数であってもよい。
図74は、いくつかの態様による、ノートブックコンピュータの無線サブシステムからの、ノートブックの蓋のヒンジの孔を出て、蓋中のアンテナまたはアンテナアレイへの経路を取る(en route)、1つの同軸ケーブルの図である。イラスト7400は、ノートブックにヒンジ止めされたノートブックの蓋を示す。同軸ケーブル7401は、いくつかの態様によると、ノートパソコンの蓋内のアンテナまたはアンテナアレイにルートされる(en route)、ヒンジ7403Aの孔7403を通って進んでおり、このアンテナアレイは、点7401Aの外側にある。スクリーンの裏面を7405で示し、ネジ7405Aは、裏面7405がノートブックのシャーシに固定され得る一点に位置する。使用されるアンテナは、動作の周波数帯に関係する。幾つかの態様では、Wi-FiまたはLTE(Long Term Evolution)の場合、アンテナは受動的な個別アンテナであり得る。WiGigまたは5G周波数帯域の場合、同軸ケーブルは、以下にさらに詳細に説明するように、他の態様では、1つ以上のアンテナに結合される個々のRFEMインスタンス(instances)に結合されてもよい。
図75は、いくつかの態様による、ノートブックコンピュータのマザーボードからノートブックの蓋および無線フロントエンドモジュール(RFEM)への信号のための伝送ラインを示す概略図である。7500で示すのは、キーボード下のノートブックシャーシ7502と、概して7504で示す蓋を示す概略図である。蓋7504は、ヒンジ7505、7507によってシャーシ7502にヒンジ接続(hinge)される。RFIC7501は、伝送ライン7503に接続される。伝送ライン7503は、導波路または光ファイバのいずれであってもよい。
伝送ライン7503は、ヒンジ7505を通って進み、ヒンジを出ることができる。導波路が伝送ラインである態様では、導波路はヒンジの一部であり得る。導波路はロッシー(lossy)なので、信号が導波路または光ファイバ7509に沿って進むにつれて、ある量の信号減衰があるであろう。伝送ライン7509が光ファイバである場合、光信号をRF信号に変換する変換器(an optical signal to RF signal convertor)が7511Aに配置され、RFEM7511はRF信号を利用可能である。いくつかの態様では、RFEM7511は、電力増幅器を含んでもよく、信号を増幅し、信号減衰を説明するために使用されてもよい。
いくつかの態様では、RFIC側に、伝送ライン7503が光ファイバラインである場合、RFIC7501から出ると、RF信号光信号変換器(an RF signal to optical signal converter)が7501Aに組み込まれてもよい。いくつかの態様において、このRF信号から光信号への変換にレーザを使用してもよい。光信号がRFEM7511Aに近づくと、光信号は7310でRF信号に再変換されなければならない。いくつかの態様において、PINダイオードまたはアバランシェPINダイオードが、7511Aに配置され、この光信号からRF信号への変換に使用されることができる。
RF信号から光信号への変換の別の実装は、Radio over Fiberと呼ばれることがあるRF Over Fiberである。RoF(Radio over Fiber)またはRF over Fiber(RFoF)は、光が無線周波数信号によって変調され、光ファイバリンクを介して伝送される技術を指す。ファイバ光リンクを使用する主な技術的利点は、全電気的信号伝送と比較して、伝送損失が低く、ノイズに対する感度および電磁的干渉が低いことである。いくつかの態様において、光信号は、特に、Wi-Fi、LTE、5G、およびWiGigを含む基本的に全ての周波数でデータを通すことができる。
いくつかの態様において、光信号からRF信号への変換は、PINダイオードまたはアバランシェPINダイオードによって実現することができ、これは、後述するように、図75の7511Aまたは図76の7610’および7612に配置することができる。図75の7501AでRF信号から光信号への変換にレーザを用いた場合、7511AでPINダイオードまたはAvalanche PINダイオードからの変換された出力RF信号は、デジタルビットであってもよい。従って、非常に高速な光インターフェースは、ディジタルビットをREFMに通すことができる。従って、RFEMのトランシーバにディジタル電気ビットを供給することができ、RFEMは、RF信号としてアンテナによる最終的な放射のためのディジタルビットを増幅するように動作する。
あるいは、RFoFを7501AにおいてRF信号から光への変換に用いる場合、RF信号から光信号への変換は、RF信号によって変調された光信号を生じる。この場合、図75の7511AにおけるPINダイオードまたはアバランシェPINダイオード変換(または場合に応じて図76の7610’および7612)により、RF変調光信号から元のRF信号を生成し、そのRF信号を処理のためにRFEM7511に送ることができる。
図76は、いくつかの態様による、ノートブックコンピュータのマザーボードからノートブックの蓋および複数のRFEM7611および7613への信号のための伝送ラインを示す概略図である。図76の概略図は、2つのRFEMが使用されることを除いて、図75の概略図と同様である。いくつかの態様によれば、上述したような光信号からRF信号への変換器が、伝送ラインが光ファイバである2箇所、7610’および7612で使用されてもよい。
ある態様によれば、2つのRFEM7611および7613が同一の周波数帯域で動作しているが、それらのうち一方のみが一度に動作している場合、これは、5GおよびWiGigの実装の両方に関連するが、1つの光信号からRF信号への変換器のみが必要であり、これは7610’に配置されてもよい。この場合、2つのRFEM7611、7613が同じ周波数で動作しているという事実のために、2つの光信号対RF信号変換器(7610、7612)は必要ない。これは、2つのより良いカバレッジを提供するRFEMがアクティブであるので、より良い空間カバレッジを提供するオプションである。これは、受信装置またはシステムからのフィードバック情報に基づいてアルゴリズム的に制御される2つのRFEMによって達成され、ある態様に従って、どのRFEMが所与の時点でより良いカバレッジを提供するかを決定する。
一方、2つのRFEM7611、7613が異なる周波数帯域、例えば5GとWiGigで動作する場合、2つのRFEMは同時に動作する。この場合、いくつかの態様において、それぞれ7610’および7612に配置された、上述した2つの光信号対RF信号変換器があってもよい。
図77Aおよび77Bは、いくつかの態様によると、基板集積導波路の図である。図77Aにおいて、7700は、幾つかの態様による、RF信号ソースとしてSIWに接続された共平面伝送ラインを有するSIWを示す斜視図である。SIW自体は、FR4などのPCBまたは他の適当なPCBから作ることができる。SIW7700は、上部7701および下部7703と、2ラインのビアとを有し、そのうちの1つはビア7705から始まり、もう1つはビア7707から始まる。いくつかの態様によれば、ビアのラインは、RF信号を所望の方向にガイドするPCBの側面として有効に機能するのに十分に密である。コプレーナ導波路7701Aは、一態様においてRF信号ソースを含み、信号伝送は、説明の態様において矢印の方向である。
図77Bは、いくつかの態様に従って、SIWに供給するマイクロストリップを有するSIWとしての図である。SIW7702は、上部7704と、下部(図示せず)と、2つのラインのビアとを有する。ビアのラインの1つはビア7706から始まり、もう1つのラインはビア7708から始まり、ここで、いくつかの態様によれば、ビアのラインは、所望の方向にRF信号をガイドするPCBの側面として有効に機能するのに十分密である。幾つかの態様において、フィンガー(fingers)が7708に実装され、マイクロストリップライン7704はフィンガーと一致し、RF信号ソースを含む。信号伝送は、この説明の態様においては、矢印の方向である。当業者には言うまでもなく、上記の2つの図が単なる例であり、SIWの他の形態が使用され得る。
分散フェーズドアレイシステム(例えば、WiGigおよび5Gセルラシステム)は、現在、ノートブック、タブレット、スマートフォン、ドッキングステーションおよび他のアプリケーションで使用されている。WiGigおよび5G通信に使用される現在の分散フェーズドアレイシステムは、スーパーヘテロダイン(デュアル変換)またはスライディングIFシステムのいずれかである。これらのシステムにおいて、MAC-PHYベースバンドサブシステムは、中間周波数(IF)信号を受信または送信し、それは、IF増幅段、RF-IFミキサ、高選択性帯域通過フィルタ、および回路間のIF信号の通信に必要なその他の回路の使用、ならびにIF信号のアップ変換およびダウン変換を必要とする。
データ信号は、しばしば、直流(DC)電力信号でフロントエンドモジュールと通信される。データ信号のいくつかは、ベースバンド付近で変調することができ、信号内に低周波成分が存在するため、フロントエンド回路でRFチョーク回路を使用して、クリーンなDC電力信号を生成する。しかしながら、RFチョーク回路は高価でかさばる可能性がある。さらに、クロック信号がフロントエンドモジュールに通信されると、クロック信号成分が通信媒体から漏れることがあり、これは通信システムにおいて大きなノイズソースとなり得る。
図78は、幾つかの態様による、クロックノイズリーク低減した分散フェーズドアレイシステム7800の例示的なRFフロントエンドモジュール(RFEM)を示す。分散フェーズドアレイシステム7800は、図3Aに示されるmmWave通信回路300のデジタルベースバンド回路310、送信回路315、および受信回路320に組み込まれてもよいが、分散フェーズドアレイシステム7800は、これらに限定されない。
図78を参照すると、RFEM7802は、単一の同軸ケーブル7806を介してベースバンドサブシステム(BBS)7804に結合される。RFEM7802は、フェーズドアンテナアレイ7808、RF受信器7810、RF送信器7812、ローカル発信器(LO)発生器7844、クロックデスプレッダ7852、トリプレクサ7848、および送信(TX)/受信(RX)スイッチ7840を含むことができる。RF受信器7810は、複数の電力増幅器7816、複数の移相器7818、コンバイナ7820、RF増幅器7822、LO増幅器7826、および乗算器(またはミキサ)7824を含むことができる。また、RF受信器7810は、IF増幅器7842を含むことができる。いくつかの態様において、IF増幅器7842は、受信器7810の一部であってもよく、または受信器7810の外部に実装されてもよい。
RF送信器7812は、乗算器(またはミキサ)7838、LO増幅器7840、RF増幅器7836、スプリッタ7834、複数の移相器7832、および複数の増幅器7830を含むことができる。また、RF送信器7812は、IF増幅器7846を含むことができる。いくつかの態様において、IF増幅器7846は、送信器7812の一部であってもよく、または送信器7812の外部に実装されてもよい。
BBS7804は、RFEM7802に通信するための1つ以上の制御信号を生成するように構成することができる。制御信号の例としては、電力ON/OFF信号、送信(TX)モード起動、受信(RX)モード起動、信号電力UPまたはDOWN、システムウェイクアップ信号、低電力起動信号、位相またはゲイン調整信号などがある。制御信号は、RFEMへの通信に先立って、ベースバンド付近で変調されるので、これにより、信号における大きな低周波数成分を生じ得る。次に、大きな低周波成分は、RFEMにおいて大きなRFチョーク成分となり、クリーンなDC電力信号(制御信号と共に通信される)を生成する。図には、BBSからRFEMに伝達される制御信号を示しているが、この点に関して本開示は限定されず、制御信号はRFEMからBBSに伝達されてもよい。例えば、RFEMは、電力読み出し信号、温度読み出し信号、コマンドアクノレッジ信号などのような制御信号をBBSに送ることができる。
いくつかの態様では、BBS7804とRFEM7802とを接続する同軸ケーブル7806からの基準クロック信号の漏れは、(例えば、クロックスプレッダ7850を使用して)クロック信号を使用して制御信号を変調し、クロック信号の代わりに(BBSからRFEMへ)変調信号を通信することによって低減することができる。RFEMは、制御信号およびクロック信号を回復するために使用することができるクロックデスプレッダ(clock despreader)7852を含むことができる。変調信号を(別個の制御信号及びクロック信号の代わりに)通信することにより、RFチョーク成分の要件を改善することができる(例えば、より小さいインダクタ又はフェライトビーズをRFチョークに使用する)。なぜなら、得られた変調信号は、DCから離れており、ベースバンド変調制御信号ほど多くの低周波数成分を含まないからである。
受信動作の一例では、スイッチ7840は、受信器チェーン処理を起動することができる。アンテナアレイ7808は、複数の信号7814を受信するために使用することができる。受信信号7814は、増幅器7816によって増幅され得、それらの位相は、対応する移相器7818によって調整され得る。各移相器7818は、制御回路から(例えば、BBS7804内のモデムから)発生する制御信号(例えば、受信された変調信号7854を分配する際にクロックデスプレッダ7852によって生成された制御信号7860)の形態で、別個の位相調整信号(図78には図示されていない)を受け取ることができる。
個々の位相調整信号は、フェーズドアンテナアレイ7808を介して受信した信号を処理するときに、所望の信号指向性に基づいて行うことができる。移相器7818の出力における位相調整信号は、コンバイナ(combiner)7820によって結合され、次いで、RF増幅器7822によって増幅され得る。LO発生器7844は、同軸ケーブル7806を介してBBS7804から受信した変調信号7854を使用して、クロックデスプレッダ(clock despreader)7852によって生成されたクロック基準信号7858を使用して、LO信号を生成することができる。LO信号は、増幅器7826によって増幅され、次いで、IF入力信号7845を生成するために、乗算器7824を使用して増幅器7822の出力に乗算され得る。IF入力信号7845は、増幅器7842によって増幅され、次いで、トリプレクサ7848および同軸ケーブル7806を介してデータ信号7856として、BBS7804に通信され得る。いくつかの態様において、IF入力信号7845は、10.56GHz信号であり得る。
送信動作の一例では、スイッチ7840は、送信器チェーン処理を起動することができる。BBS7804は、クロックスプレッダ7850を用いてクロック基準信号7858上の制御信号7860を変調して、変調信号7854を生成することができる。変調信号7854およびIFデータ信号7856は、同軸ケーブル7806を介してRFEM7802に通信することができる。データ信号7856は、送信するIF信号7847を含むことができる。RFEM7802は、同軸ケーブル7806およびトリプレクサ7848を介してIF信号7847を受信することができる。IF信号7847は、IF増幅器7846によって増幅され、次いで、乗算器7838に通信され得る。乗算器7838は、LO発生器7844およびLO増幅器7840からアップ変換LO信号を受け取ることができる。増幅されたLO信号は、増幅された受信IF信号と乗算器7838により乗算され、RF信号となる。次いで、RF信号は、増幅器7836によって増幅され、スプリッタ7834に通信される。スプリッタ7834は、増幅された信号の複数のコピーを生成し、信号コピーを複数の移相器7832に通信する。複数の移相器7832は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器7830によって増幅することができる。複数の増幅器7830は、フェーズドアンテナアレイ7808によって送信する複数の信号7828を生成する。
いくつかの態様において、添付図面に示されるトリプレクサは、送信/受信スイッチも含むことができ、このスイッチは、トリプレクサによって多重化されるべき信号を決定するために使用することができる。
図79は、幾つかの態様による、クロックノイズリーク低減を有する分散フェーズドアレイシステムのベースバンドサブシステム(BBS)を示す。図79を参照すると、BBS7804は、トリプレクサ7902、IF受信器7904、IF送信器7906、モデム7924、水晶発振器7930、シンセサイザ7928、分割器7926、及びクロックスプレッダ7850を含むことができる。シンセサイザ7928は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器7930からの信号を使用してクロック信号を生成してもよい。生成されたクロック信号は、分割器7926によって分割されて、出力クロック基準信号7858になる。出力クロック基準信号7858は、制御信号7860と共にクロックスプレッダ7850に通信することができる。制御信号7860は、モデム7924によって生成することができ、RFEM7802の機能性などの通信システム7800の1つ以上の機能を制御するために使用することができる。
制御信号7860を用いて制御することができる機能の例としては、送信モードの作動、受信モードの作動、電力アップ、電力ダウン、低電力モードの作動、回路ウェイクアップ、ビーム変更信号、位相および/またはゲイン調整などがある。クロックスプレッダ7850は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、クロック基準信号7858上の制御信号7860を変調して、同軸ケーブル7806を介してRFEM7802へ送信する変調信号7854を生成するように構成してもよい。いくつかの態様において、生成されたクロック信号は、1.32GHzを中心としたものであってもよい。
IF受信器8204は、IF増幅器7908、ミキサ7910、フィルタ7912、およびアナログ-デジタル変換(ADC)ブロック7914を含むことができる。IF送信器7906は、デジタル-アナログ変換(DAC)ブロック7922、フィルタ7920、ミキサ7918、およびIF増幅器7916を含むことができる。
受信動作の一例では、IF信号(例えば、データ信号7856として受信された7845)は、RFEM7802からトリプレクサ7902を介して受信され、IF増幅器7908によって増幅される。増幅されたIF信号は、ミキサ7910によってベースバンド信号にダウン変換され、次いでローパスフィルタ7912によってフィルタされ、ADCブロック7914によってデジタル信号に変換されてから、モデム7924によって処理される。
送信動作の一例では、モデム7924によって出力されたデジタル信号は、DACブロック7922によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ7920によってフィルタリングされ、次いで、ミキサ7918によってIF信号にアップ変換される。IF信号は、IF増幅器7916によって増幅され、次いで、トリプレクサ7848および単一の同軸ケーブル7802を介して、変調信号7854とともに、データ信号7856として、RFEM7806に通信され得る。いくつかの態様では、BBS7804は、DC電力信号をデータ信号7856および変調信号7854と共にRFEM7802に通信することもできる。
図80は、幾つかの態様によるRFEMとBBSとの間で通信される信号を示す周波数図である。図80を参照すると、周波数図8000は、単一の同軸ケーブル7806を介してRFEM7802とBBS7804との間で通信され得る様々な信号を示す。例えば、BBS7804は、DC電力信号8002、制御信号8004、およびクロック信号8006を通信することができる。さらに、データ信号8010は、BBS7804とRFEM7802との間で通信され得る。
例えば、IFデータ信号7845は、RFEM7802からBBS7804に通信することができ、IFデータ信号7847は、BBS7804からRFEM7802に通信することができる。クロック信号8006は、BBS7804からRFEM7802によって受信されたLO生成クロック基準信号7858と同じであり得る。いくつかの態様において、クロック信号8006は、1.32GHz信号を中心としてもよい。いくつかの態様において、制御信号8004は、BBS7804からRFEM7802に通信することができ、移相器7818および移相器7832によって使用される位相調整値を示すことができる。制御信号8004は、RFEM7802に、パワーアップ、パワーダウン、送信パワーの増加または減少、ゲイン調整、及び本明細書で上記したその他の制御機能を指示することができる。
図80に見られるように、RFEM7802とBBS7804との間で通信される信号の信号スペクトルは、クロック高調波8008と、制御信号8004の高調波とのようないくつかの望ましくない信号を含むことがある。制御信号8004は、RFEMへの通信に先立って、ベースバンド付近で変調されるので、これにより、信号における大きな低周波数成分を生じ得る。次に、大きな低周波成分は、RFEMにおいて大きなRFチョーク成分となり、クリーンなDC電力信号8002(制御信号と共に通信される)を生成する。さらに、基準クロック信号8006(および関連する高調波8008)は、同軸ケーブル接続部7806から漏れ出ることがあり、プラットフォーム内のノイズソースとなり得る。いくつかの態様では、クロックススプレッダ回路7850およびクロックデスプレッダ回路7852は、それぞれ、BBS7804およびRFEM7802において使用され、同軸ケーブル7806上の別個の制御信号およびクロック信号の通信に関連する上述の欠点に対処することができる。
図81は、幾つかの態様による、クロックノイズ漏れ低減に関連して使用することができるクロックスプレッダおよびデスプレッダ回路を示す。図81を参照すると、BBS7804およびRFEM7802を含む通信システム7800の別の図が示されている。より具体的には、図81は、クロック・スプレッダ7850およびクロック・デスプレッダ7852をより詳細に示す図である。
図81から分かるように、BBS7804は、トランシーバ8120およびクロックスプレッダ7850を含むことができる。トランシーバ8120は、クロックスプレッダ7850を除く、図79に示されるすべてのブロックを含むことができる。同様に、RFEM7802は、クロックデスプレッダ(clock despreader)7852、LO発生器7844、スイッチ8132、およびトランシーバ8130を含むことができる。トランシーバ8130は、例えば、図78に示される受信器7810、送信器7812、増幅器7842および7846、ならびにトリプレクサ(triplexer)7848を含むことができる。
クロックスプレッダ7850は、パルス成形器回路8106および変調器回路8102を含むことができる。パルス成形器回路8106は、制御信号7860を受信し、帯域制限制御信号7861を生成するように構成することができる。いくつかの態様において、パルス成形器8106は、制御信号7860に関連する1つ以上の高調波を減衰して、帯域制限制御信号7861を生成することができる。変調器8102は、帯域制限制御信号7861およびクロック基準信号7858を受信し、それらを乗算して変調信号7854を生成するために使用することができる乗算器8104を含むことができる。
いくつかの態様において、変調器8102は、二値位相シフトキーイング(BPSK)変調器、差分位相シフトキーイング(DPSK)変調器、直交位相シフトキーイング(QPSK)変調器、ガウス周波数シフトキーイング(GFSK)変調器、またはその他の種類の変調器のうちの1つであり得る。いくつかの態様において、変調器8102は、擬似ランダムシーケンスを用いてクロック基準信号7858を拡散して変調信号7854を生成するように構成することができる。
変調信号7854は、同軸ケーブル接続7806を介してRFEM7802に(例えば、DC電力信号およびIFデータ信号と共に)通信することができる。RFEM7802内のクロックデスプレッダ7852は、クロック回復回路8134および復調器8136を含むことができる。変調信号7854は、クロック回復回路8134および復調器8136の両方に通信することができる。クロック回復回路8134は、乗算器8138および分割器8140を含むことができる。クロック回復回路8134は、変調信号7854を使用して、クロック基準信号7858を回復することができる。回復されたクロック基準信号は、スイッチ8132に、及び復調器8136に、通信することができる。復調器8136は、変調信号7854を受信し、クロック基準信号7858を使用して、制御信号7860を復調し、回復することができる。制御信号7860は、スイッチ8132に通信することができる。スイッチ8132は、制御信号7860および基準クロック信号7858をトランシーバ8130に通信するように構成することができ、またクロック信号7858をLO発生器7844に通信してアップコンバージョンまたはダウンコンバージョンのLO基準信号を生成するように構成することができる。
図82は、幾つかの態様による、クロックノイズリーク低減を用いて、RFEMとBBSとの間で通信される信号を示す周波数図である。図82を参照すると、クロックノイズ漏れ低減が停止(deactivate)または作動(activate)される場合の通信信号を示する周波数図8202および8210が図示されている。より具体的には、(図80の信号図8000と同様の)図8202は、クロックノイズ漏れ低減がアクティブでないとき、通信システム7800内で通信することができる信号を示す。図8202に見られるように、DC電力信号8203、制御信号8204、クロック信号8206、ならびに制御信号8204の高調波8208は、クロックノイズリーク低減が作動されていない場合(例えば、クロックスプレッダ7850およびクロックデスプレッダ7852が使用されていない場合)、BBSからRFEMに通信することができる。
クロックノイズ漏れ低減が作動され、クロックスプレッダ7850およびクロックデスプレッダ7852が使用されている例において、通信された信号を図8210に示す。より具体的には、制御信号8204は、クロック信号8206を変調させて、変調信号8212を生成し、変調信号8212は、別個の信号8204および8206の代わりに、BBSからRFEMに(高調波8214と共に)通信される。図8210に見られるように、変調信号8212は、DC信号8203からさらに離れ、RFEMにおけるRFチョークの必要条件を緩和するために使用することができる(例えば、RFチョークは、より小さいインダクタまたはフェライトビーズを含むことができる)。変調信号8212は、同軸ケーブル7806に沿ったノイズ漏れを低減する単一の正弦波クロック信号8206の代わりに通信されるので、さらなる利点も実現される。
分散フェーズドアレイシステム(例えば、WiGigおよび5Gセルラシステム)は、現在、ノートブック、タブレット、スマートフォン、ドッキングステーションおよび他のアプリケーションで使用されている。WiGigおよび5G通信に使用される現在の分散フェーズドアレイシステムは、スーパーヘテロダイン(デュアル変換)またはスライディングIFシステムのいずれかである。これらのシステムにおいて、MAC-PHYベースバンドサブシステムは、中間周波数(IF)信号を受信または送信し、それは、IF増幅段、RF-IFミキサ、高選択性帯域通過フィルタ、および回路間のIF信号の通信に必要なその他の回路の使用、ならびにIF信号のアップ変換およびダウン変換を必要とする。
IF信号処理用の追加の回路は、フロントエンドモジュールがより大きくなり、分散フェーズドアレイシステムに対するコストがより高くなり、システム性能がより低くなる。さらに、一部のシステムベンダでは、ベースバンドサブシステムで実行される一部のmmWaveおよびIF周波数処理が望ましくない場合がある。さらに、IF回路(特に周波数ソース)と高電力増幅器との間の相互作用は、システム性能を低下させる多種類の干渉を引き起こす可能性がある。
図83は、幾つかの態様による、IF処理を有する分散フェーズドアレイシステムの例示的なRFフロントエンドモジュール(RFEM)を示す。分散フェーズドアレイシステムは、図3Aに示されるmmWave通信回路300のデジタルベースバンド回路310、送信回路315、および受信回路320に組み込まれてもよいが、分散フェーズドアレイシステムは、これらに限定されない。
図83を参照すると、RFEM7802は、単一の同軸ケーブル8306を介してベースバンドサブシステム(BBS)8304に結合される。RFEM8302は、フェーズドアンテナアレイ8308、RF受信器8310、RF送信器8312、ローカル発振器(LO)発生器8344、トリプレクサ8348、および送信(TX)/受信(RX)スイッチ8340を含むことができる。RF受信器8310は、複数の電力増幅器8316、複数の移相器8318、およびコンバイナ(combiner)8320、RF増幅器8322、LO増幅器8326、およびミキサ8324を含むことができる。また、RF受信器8310は、IF増幅器8342を含むことができる。
RF送信器8312は、ミキサ8338、LO増幅器8340、RF増幅器8336、スプリッタ8334、複数の移相器8332、および複数の増幅器8330を含むことができる。また、RF送信器8312は、IF増幅器8346を含むことができる。
受信動作の一例では、スイッチ8340は、受信器チェーン処理を起動することができる。アンテナアレイ8308は、複数の信号8314を受信するために使用することができる。受信信号8314は、増幅器8316によって増幅され得、それらの位相は、対応する移相器8318によって調整され得る。各移相器8318は、制御回路から(例えば、BBS8304内のモデムから)別個の位相調整信号(図83には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ8304を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器8318の出力における位相調整信号は、コンバイナ(combiner)8320によって結合され、次いで、RF増幅器8322によって増幅され得る。LO発生器8344は、同軸ケーブル8306を介してBBS8304から受信されたクロック周波数信号8343を使用して、LO信号を生成することができる。LO信号は、増幅器8326によって増幅され、次いで、IF入力信号8345を生成するために、乗算器8324を使用して増幅器8322の出力と乗算され得る。IF入力信号8345は、増幅器8342によって増幅され、次いで、トリプレクサ8348および同軸ケーブル8306を介してBBS8304に通信され得る。いくつかの態様において、IF入力信号8345は、10.56GHz信号を中心とした信号であり得る。
送信動作の一例では、スイッチ8340は、送信器チェーン処理を起動することができる。RFEM8302は、同軸ケーブル8306およびトリプレクサ8348を介して、BBS8304からIF信号8347を受け取ることができる。IF信号8347は、IF増幅器8346によって増幅され、次いで、ミキサ8338に通信され得る。ミキサ8338は、LO発生器8344およびLO増幅器8340からアップ変換LO信号を受け取ることができる。増幅されたLO信号は、増幅された受信IF信号と乗算器8338により乗算され、RF信号を生成する。次いで、RF信号は、増幅器8336によって増幅され、スプリッタ8334に通信される。スプリッタ8334は、増幅された信号の複数のコピーを生成し、信号コピーを複数の移相器8332に通信する。複数の移相器8332は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器8330によって増幅することができる。複数の増幅器8330は、フェーズドアンテナアレイ8308によって送信する複数の信号8328を生成する。
図84は、幾つかの態様による、図83の分散フェーズドアレイシステムのベースバンドサブシステム(BBS)を示す。図84を参照すると、BBS8304は、トリプレクサ8402、IF受信器8404、IF送信器8406、モデム8424、水晶発振器8430、シンセサイザ8428、および分割器(divider)8426を含むことができる。シンセサイザ8428は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器8430からの信号を使用してクロック信号を生成してもよい。生成されたクロック信号は、分割器8426によって分周されて、RFEM8302に通信する出力クロック基準信号を生成する。いくつかの態様において、生成されたクロック基準信号は、周波数1.32GHzを中心としたものであってもよい。
IF受信器8404は、IF増幅器8408、ミキサ8410、フィルタ(例えば、ローパスフィルタ)8412、およびアナログ-デジタル変換(ADC)ブロック8414を含むことができる。IF送信器8406は、デジタル-アナログ変換(DAC)ブロック8422、フィルタ8420、ミキサ8418、およびIF増幅器8416を含むことができる。
受信動作の一例では、IF信号(例えば、8345)は、RFEM8302からトリプレクサ8402を介して受信され、IF増幅器8408によって増幅される。増幅されたIF信号は、ミキサ8410によってベースバンド信号にダウン変換され、次いでローパスフィルタ8412によってフィルタされ、ADCブロック8414によってデジタル信号に変換されてから、モデム8424によって処理される。
送信動作の一例では、モデム8424によって出力されたデジタル信号は、DACブロック8422によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ8420によってフィルタリングされ、次いで、ミキサ8418によってIF信号にアップ変換される。IF信号は、IF増幅器8416によって増幅され、次いで、トリプレクサ8402および単一の同軸ケーブル8306を介してRFEM8302に通信され得る。
図85は、幾つかの態様による、RFEM内のIF処理を伴うマルチバンド分散フェーズドアレイシステムを示す。図85を参照すると、RFEM8502、...、8504は、対応する接続(例えば、それぞれ同軸ケーブル8552、..、8554)を介してベースバンドサブシステム(BBS)8506に結合される。いくつかの態様において、RFEM8502、...、8504の各々は、特定の帯域(例えば、28GHz帯域、39GHz帯域、WiGigなどの60GHzのISM帯域、または5G通信帯域)における無線信号の受信および送信のために構成することができる。RFEM8502の機能性の説明は以下で提供されるが、追加のRFEM(例えば、RFEM8504)は、同様の方法で構成することができる。
RFEM8502は、フェーズドアンテナアレイ8508、RF受信器8510、RF送信器8512、ローカル発振器(LO)発生器8542、トリプレクサ8550、および送信(TX)/受信(RX)スイッチ8548を含むことができる。RF受信器8510は、複数の電力増幅器8516、複数の移相器8518、およびコンバイナ(combiner)8520、RF増幅器8522、LO増幅器8526、およびミキサ8524を含むことができる。また、RF受信器8510は、IF増幅器8544を含むことができる。
RF送信器8512は、ミキサ8538、LO増幅器8540、RF増幅器8536、スプリッタ8534、複数の移相器8532、および複数の増幅器8530を含むことができる。また、RF送信器8312は、IF増幅器8546を含むことができる。
受信動作の一例では、スイッチ8548は、受信器チェーン処理を起動することができる。アンテナアレイ8508は、複数の信号8514を受信するために使用することができる。受信信号8514は、増幅器8516によって増幅され得、それらの位相は、対応する移相器8518によって調整され得る。各移相器8518は、制御回路から(例えば、BBS8506内のモデムから)別個の位相調整信号(図85には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ8508を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器8518の出力における位相調整信号は、コンバイナ(combiner)8520によって結合され、次いで、RF増幅器8522によって増幅され得る。LO発生器8542は、同軸ケーブル8552を介してBBS8506から受信されたクロック周波数信号を使用して、LO信号を生成することができる。LO信号は、増幅器8526によって増幅され、次いで、IF入力信号を生成するために、ミキサ8524を使用して増幅器8522の出力と乗算され得る。IF入力信号は、増幅器8544によって増幅され、次いで、トリプレクサ8550および同軸ケーブル8552を介してBBS8506に通信され得る。いくつかの態様において、IF入力信号は、10.56GHz信号であり得る。
送信動作の一例では、スイッチ8548は、送信器チェーン処理を起動することができる。RFEM8502は、同軸ケーブル8552およびトリプレクサ8550を介して、BBS8506からIF信号を受け取ることができる。IF信号は、IF増幅器8546によって増幅され、次いで、ミキサ8538に通信され得る。ミキサ8538は、LO発生器8542およびLO増幅器8540からアップ変換LO信号を受け取ることができる。増幅されたLO信号は、増幅された受信IF信号と乗算器8538により乗算され、RF信号を生成する。次いで、RF信号は、増幅器8536によって増幅され、スプリッタ8534に通信される。スプリッタ8534は、増幅された信号の複数のコピーを生成し、信号コピーを複数の移相器8532に通信する。複数の移相器8532は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器8530によって増幅することができる。複数の増幅器8530は、フェーズドアンテナアレイ8508によって送信する複数の信号8528を生成する。
図86は、幾つかの態様による、RF信号を通信する単一の同軸ケーブルを介してBBSに結合されたRFEMを有する分散フェーズドアレイシステムを示す。図86を参照すると、分散フェーズドアレイ通信システム8600は、単一の同軸ケーブル8606を介してベースバンドサブシステム(BBS)8604に結合されたRFEM8602を含むことができる。RFEM8602は、フェーズドアンテナアレイ8608、RF受信器8610、RF送信器8612、デュプレクサ8636、および送信(TX)/受信(RX)スイッチ8634を含むことができる。RF受信器8610は、複数の電力増幅器8616、複数の移相器8618、コンバイナ8620、およびRF増幅器8622を含むことができる。RF送信器8612は、RF増幅器8632、スプリッタ8630、複数の移相器8628、および複数の増幅器8626を含むことができる。
受信動作の一例では、スイッチ8634は、受信器チェーン処理を起動することができる。アンテナアレイ8608は、複数の信号8614を受信するために使用することができる。受信信号8614は、増幅器8616によって増幅され得、それらの位相は、対応する移相器8618によって調整され得る。各移相器8618は、制御回路から(例えば、BBS8604内のモデムから)別個の位相調整信号(図86には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ8608を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器8618の出力における位相調整信号は、コンバイナ(combiner)8620によって結合(combine)され、次いで、RF増幅器8622によって増幅され、RF入力信号8623を生成し得る。RF入力信号8623は、デュプレクサ8636および同軸ケーブル8606を介してBBS8604に通信することができる。いくつかの態様において、RF入力信号8623は、60GHz信号または5G通信帯域を含むミリメートル波帯域(millimeter wave band)内の別の信号であり得る。いくつかの態様において、RFEM8602は、以下に説明するように、同軸ケーブル8606を介した信号の通信に先立って、インピーダンスマッチングのための適応的マッチングブロック8638を含むことができる。
送信動作の一例では、スイッチ8634は、送信器チェーン処理を起動することができる。RFEM8602は、同軸ケーブル8606およびデュプレクサ8636を介して、BBS8604からRF出力信号8631を受信することができる。RF信号8631は、RF増幅器8632によって増幅され、次いで、スプリッタ8630に通信され得る。スプリッタ8630は、増幅されたRF信号の複数のコピーを生成し、信号コピーを複数の移相器8628に通信する。複数の移相器8628は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器8626によって増幅することができる。複数の増幅器8626は、フェーズドアンテナアレイ8608によって送信する複数の信号8624を生成する。
図87は、いくつかの態様による、図86のBBSを示すより詳細な図である。図87を参照すると、BBS8604は、デュプレクサ8702、RF受信器8704、RF送信器8706、モデム8724、水晶発振器8730、シンセサイザ8728、および分割器8726を含むことができる。シンセサイザ8728は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器8730からの信号を使用して、信号8732などのクロック信号を生成してもよい。生成されたクロック信号8732は、RF受信器8704によって使用され、ミキサー8710を使用して受信信号をダウン変換することができる。生成されたクロック信号8732はまた、RF送信器8706によって使用され、ミキサー8718を使用して信号をアップ変換することができる。
クロック信号8732は、分割器8726によって分割され、第2のクロック信号8734を生成し得る。生成された第2のクロック信号8734は、RF受信器8704によって使用され、ミキサー8710を使用して受信信号をダウン変換することができる。生成された第2のクロック信号8734はまた、RF送信器8706によって使用され、ミキサー8718を使用して信号をアップ変換することができる。図87に見られるように、いくつかの態様において必要であれば、複数のダウンコンバージョンまたはアップコンバージョン方式を実行するために、2つの別個のクロック信号8734および8732が、シンセサイザ8728および分割器8726によって生成され得る。
2つのクロック信号8734および8732のうち一方または両方を、1つまたは複数の中間IF段階を使用してRF信号をベースバンドにダウン変換するため、または場合によっては、中間IF段階変換なしでRFからベースバンドに変換するために使用することができる。同様に、クロック信号8734および8732の一方または両方を、1つまたは複数の中間IF段階を用いてベースバンド信号をRF信号にアップ変換するか、または場合によっては、中間IF段階変換なしでベースバンドからRFに変換するために使用することができる。
RF受信器8704は、RF増幅器8708、ミキサ8710、フィルタ8712、およびアナログ-デジタル変換(ADC)ブロック8714を含むことができる。RF送信器8706は、デジタル-アナログ変換(DAC)ブロック8722、フィルタ8720、ミキサ8718、およびRF増幅器8716を含むことができる。
受信動作の一例では、RF信号(例えば、8623)は、単一同軸8606およびデュプレクサ8702を介してRFEM8602から受信され、RF増幅器8708によって増幅される。増幅されたRF信号は、ミキサ8710によってベースバンド信号にダウン変換され、次いでローパスフィルタ8712によってフィルタされ、ADCブロック8714によってデジタル信号に変換されてから、モデム8724によって処理される。
送信動作の一例では、モデム8724によって出力されたデジタル信号は、DACブロック8722によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ8720によってフィルタリングされ、次いで、ミキサ8718によってRF信号にアップ変換される。RF信号は、RF増幅器8716によって増幅され、次いで、デュプレクサ8702および単一の同軸ケーブル8606を介してRFEM8602に通信され得る(例えば、信号8631)。
いくつかの態様において、同軸ケーブル8606は、DC電力信号(例えば、BBS8604からRFEM8602へ)、制御信号およびフェーズドアンテナアレイ8608によって受信または送信されるRFデータ信号の通信に使用することができる。制御信号は、位相調整信号、パワーアップ信号、パワーダウン信号、およびBBS8604からRFEM8602へ通信される他の制御信号を含むことができる。いくつかの態様において、制御信号は、RFEM8602からBBS8604に通信される要求信号または他のデータ要求信号の位相調整を含むことができる。この点に関し、直接変換方式は、RFEMおよびBBSが単一の同軸ケーブルを介して結合される分散フェーズドアレイシステムに関連して使用することができる。
いくつかの態様において、制御信号は、RFEM動作を制御する(例えば、出力電力レベル、AGC、ON/OFFなどを制御する)ために使用することができる。さらに、RFEMとBBSとの間の制御リンクは、双方向であってもよく、BBSからRFEMへのコマンドおよびRFEMからBBSへの遠隔測定転送(telemetry transfer)(例えば、PA電力検出器読み出しデータ、制御コマンド受信後のACK、温度検出器読み出しデータなど)のために使用することができる。
いくつかの態様において、異なるタイプの同軸ケーブル(例えば、8606)を、同軸上でRFを通信する分散フェーズドアレイ通信システムに接続して使用することができる。例えば、高品質同軸ケーブル、半剛性ケーブル、または可撓性半剛性ケーブルをケーブル8606として使用することができ、これは、RF信号の高周波通信を妥当な損失で可能にする。
別の例では、低コストの同軸ケーブルを同軸8606として使用することができ、これは、高RF周波数通信でマッチング(S11)および高損失(S21)問題を生じ得る。これらの欠点は、適応的なケーブルマッチングの改善、ロバストなRX及びTXラインアップ、及びRX及びTX非線形歪みキャンセルのようなシステム設計変更によって改善することができる。
ケーブルを介したRF信号通信は、高損失およびマッチング問題に関連し得る。RFケーブル通信に関連した高周波のため、ケーブルマッチングの変動は大きく、予期できないことがあり、ケーブルと負荷との間の電力損失に影響を及ぼす。一例では、これらの欠点を克服するために、図86~87に見られるように、適応的インピーダンスマッチング回路(例えば、8638および8736)をRFEM8602およびBBS8604で使用することができる。
幾つかの態様では、同軸ケーブルに関連するより高い信号損失は、追加の利得増幅/調整段階(図には示されていない)を追加することによって(例えば、RFEM8602内のケーブル8606および適応マッチング8638の前で)解決することができ、これにより、同軸ケーブルの潜在的な高い信号損失が、通信されたRF信号のSNRを劣化させないことを保証することができる。
幾つかの態様では、RX及びTXラインアップにおける付加的な利得段階は、非線形歪みをもたらすことがある。しかしながら、これらの信号歪みは、TX経路における予歪調整回路(pre-distortion adjustment circuitry)またはRX経路における後歪調整回路(post-distortion adjustment circuitry)(図示せず)のようなデジタル機構を介して補償することができる。
図88は、幾つかの態様に従って、単一のBBSに結合された複数のRFEMを用いて、複数の通信帯域をサポートする例示的な分散フェーズドアレイシステムを示す。図88を参照すると、分散フェーズドアレイ通信システム8800を、マルチバンドシステムを実施するために使用することができる。より具体的には、複数のRFEM(8802、...、8640)を単一のBBS(8604)と共に使用することができ、各RFEMは特定の通信帯域で無線信号を処理するフェーズドアンテナアレイを含む。RFEM8802、...、8840は、対応する単一同軸ケーブル8806、...、8807を介してBBS8804に結合することができる。
図88を参照すると、分散フェーズドアレイ通信システム8800は、単一の同軸ケーブル8806を介してベースバンドサブシステム(BBS)8804に結合されたRFEM8802を含むことができる。RFEM8802は、フェーズドアンテナアレイ8808、RF受信器8810、RF送信器8812、デュプレクサ8836、および送信(TX)/受信(RX)スイッチ8834を含むことができる。RF受信器8810は、複数の電力増幅器8816、複数の移相器8818、コンバイナ8820、およびRF増幅器8822を含むことができる。RF送信器8812は、RF増幅器8832、スプリッタ8830、複数の移相器8828、および複数の増幅器8826を含むことができる。
受信動作の一例では、スイッチ8834は、受信器チェーン処理を起動することができる。アンテナアレイ8808は、複数の信号8814を受信するために使用することができる。受信信号8814は、増幅器8816によって増幅され得、それらの位相は、対応する移相器8818によって調整され得る。各移相器8818は、制御回路から(例えば、BBS8804内のモデムから)別個の位相調整信号(図88には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ8808を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。移相器8818の出力における位相調整信号は、コンバイナ(combiner)8820によって結合(combine)され、次いで、RF増幅器8822によって増幅され、RF入力信号8823を生成し得る。RF入力信号8823は、デュプレクサ8836および同軸ケーブル8806を介してBBS8804に通信することができる。いくつかの態様において、RF入力信号8823は、60GHz信号または5G通信帯域を含むミリメートル波帯域(millimeter wave band)内の別の信号であり得る。
送信動作の一例では、スイッチ8834は、送信器チェーン処理を起動することができる。RFEM8802は、同軸ケーブル8806およびデュプレクサ8836を介して、BBS8804からRF出力信号8831を受信することができる。RF信号8831は、RF増幅器8832によって増幅され、次いで、スプリッタ8830に通信され得る。スプリッタ8830は、増幅されたRF信号の複数のコピーを生成し、その信号コピーを複数の移相器8828に通信する。複数の移相器8828は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器8826によって増幅することができる。複数の増幅器8826は、フェーズドアンテナアレイ8808によって送信する複数の信号8824を生成できる。いくつかの態様において、システム8800内の残りのRFEMは、RFEM8802と同じであり得る。
図86、図87、および図88は、BBSをRFEMに接続するための単一の同軸ケーブルの使用を開示しているが、本開示は、この点に関して限定されず、他のタイプの接続も使用可能である。例えば、単一の同軸ケーブルの代わりに、別のタイプのミリ波接続またはケーブルを使用することができる。使用可能な他のタイプの接続は、半剛性ケーブル、可撓性基板のフレキシブルケーブル、PCB上のプリントRF伝送ライン、剛性フレックスボード等を含む。例えば、図88を参照すると、同軸ケーブル8806、...、8807の代わりに剛性フレックスボード(rigid flex board)を使用することができ、その場合、接続ボードの可撓性部分(例えば、剛性フレックスボードの可撓性部分上のRF)を用いて、メインBBS8804から異なる位置のRFEMに伝搬するRFラインを介して、複数のRFEMを給電(feed)することができる。このようにして、RFEMは、PC/モバイルフォームファクタに基づくシステム内、または基地局シャーシ内の異なる領域で折り畳み(fold over and bend over)が可能である。
図89は、いくつかの態様による、図88のBBSを示すより詳細な図である。図89を参照すると、BBS8804は、受信器8904、送信器8908、トリプレクサ8902および8906、モデム8934、シンセサイザ8948、8950および8952、ダウンコンバージョンブロック8936、およびアップコンバージョンブロック8942を含むことができる。いくつかの態様において、ミキサー8910および増幅器8912は、ダウン変換ブロック(例えば8936)を形成することができ、これは、受信器8904から分離することができる。いくつかの態様において、ミキサー8924および増幅器8922は、アップ変換ブロック(例えば8942)を形成することができ、これは、送信器8908から分離することができる。ダウン変換ブロック8936およびアップ変換ブロック8942は、RFEM8840に関連する受信または送信信号を処理するために使用することができる。追加のRFEMに関連する信号を処理するために、追加のアップコンバージョンまたはダウンコンバージョンブロックをBBS8804内で使用することができる。
シンセサイザ8950、8952および8948は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器8948からの信号を使用してクロック信号を生成してもよい。いくつかの態様において、第1のシンセサイザ8952は、第1の周波数帯域のRF信号(例えば、RFEM8802から受信したミリ波帯域内のRF信号)をIF信号にダウン変換するためのLO信号を生成することができる。いくつかの態様において、第2のシンセサイザ8948は、第2の周波数帯域のRF信号(例えば、RFEM8840から受信したミリ波帯域内のRF信号)を、シンセサイザ8952に関連する同じIF周波数のIF信号にダウン変換するためのLO信号を生成することができる。いくつかの態様において、シンセサイザ8950は、LO信号を生成するように構成することができ、このLO信号は、ミキサ8916によってIF信号をベースバンドにダウン変換するために使用され得るか、またはミキサ8928によってベースバンド信号をIF信号にアップ変換するために使用され得る。
受信器8904は、ミキサー8910、LO増幅器8912、IF増幅器8914、ミキサー8916、フィルター(例えば、ローパスフィルター)8918、およびアナログ-デジタル変換(ADC)ブロック8920を含むことができる。送信器8908は、デジタル-アナログ変換(DAC)ブロック8932、フィルタ8930、ミキサー8928、IF増幅器8926、ミキサー8924、およびLO増幅器8922を含むことができる。第2のRFEMのためのダウン変換ブロック8936は、ミキサー8938およびLO増幅器8940を含むことができる。第2のRFEMのためのアップ変換ブロック8942は、ミキサー8946およびLO増幅器8944を含むことができる。
RFEM8802に関連する受信動作の一例では、RF信号がRFEM8802からトリプレクサ8902を介して受信される。受信されたRF信号は、シンセサイザ8952によって生成されたLO信号を使用して、ミキサ8910によってIF信号にダウン変換される。IF信号は、IF増幅器8914によって増幅される。増幅されたIF信号は、シンセサイザ8950によって生成されたLO信号を使用して、ミキサ8916によってベースバンド信号にダウン変換することができる。次いで、ベースバンド信号は、ローパスフィルタ8918によってフィルタリングされ、ADCブロック8920によってデジタル信号に変換されてから、モデム8934によって処理される。
RFEM8802に関連する送信動作の一例では、モデム8934によって出力されたデジタル信号は、DACブロック8932によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ8930によってフィルタリングされ、次いで、シンセサイザ8950により生成されたLO信号を使用して、ミキサ8928によってIF信号にアップ変換される。IF信号は、IF増幅器8926によって増幅され、次いで、ミキサー8924およびシンセサイザー8952によって生成されたLO信号を使用してRF信号にアップ変換することができる。次に、RF信号は、トリプレクサ8902および単一同軸ケーブル8806を介してRFEM8802に通信される。
RFEM8840に関連する受信動作の一例では、RF信号がRFEM8840からトリプレクサ8906を介して受信される。受信されたRF信号は、シンセサイザ8948によって生成されたLO信号を使用して、ミキサ8938によってIF信号にダウン変換される。IF信号は、IF増幅器8914によって増幅される。増幅されたIF信号は、シンセサイザ8950によって生成されたLO信号を使用して、ミキサ8916によってベースバンド信号にダウン変換することができる。次いで、ベースバンド信号は、ローパスフィルタ8918によってフィルタリングされ、ADCブロック8920によってデジタル信号に変換されてから、モデム8934によって処理される。
RFEM8840に関連する送信動作の一例では、モデム8934によって出力されたデジタル信号は、DACブロック8932によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ8930によってフィルタリングされ、次いで、シンセサイザ8950により生成されたLO信号を使用して、ミキサ8928によってIF信号にアップ変換される。IF信号は、IF増幅器8926によって増幅され、次いで、ミキサー8946およびシンセサイザー8948によって生成されたLO信号を使用してRF信号にアップ変換することができる。次に、RF信号は、トリプレクサ8906および単一同軸ケーブル8807を介してRFEM8840に通信される。
BBS8804は、図89において、RFEM8802および8840に関連する2つのトリプレクサおよび2つの別個のアップコンバージョンおよびダウンコンバージョンチェーンのみを有するものとして図示されているが、この点に関して、本開示は限定されない。より具体的には、BBS8804は、追加のRFEMによってサービスされる他の無線帯域内の信号を処理するための追加のアップコンバージョンおよびダウンコンバージョンチェーンを含むことができる。
本明細書で説明するように、図83~85に関連して説明する通信アーキテクチャソリューションは、同軸ケーブルを介して送られるIF信号を使用する。これは、モジュラリティに役立つ(lends itself to modularity)が、追加の回路(シンセサイザ回路、基準生成および回復、IF増幅器、ミキサ、および周波数プランがより厳しいことによるより複雑となるトリプレクサ)と、RFEM上のより多くの信号(例えば、シンセサイザのための基準周波数および制御信号)とを必要とするかもしれない。小さなプラットフォーム(特に携帯電話プラットフォーム)では、プラットフォームのエッジ付近の面積および容量は高価である可能性があるため(特にプラットフォームがますます薄くなっていく場合、限定された容量に対し多くの競合するアンテナおよびプロトコルを有する)、この追加されるコンテンツは、実装および処理効率で問題を生じる可能性がある。
図86~89に関連して説明した通信アーキテクチャソリューションは、回路の複雑性を低減するための代替的ソリューションである。より具体的に、図86~89に見られるように、IFおよびシンセサイザコンテンツはRFEMから除去され、それによって、アンテナの周囲のシリコン面積およびソリューションボリューム(solution volume)を大幅に減少させる。しかし、図86~89のソリューションは、モジュラリティ(modularity)に関連した幾つかの欠点を有し得る。例えば、サポートが必要とされる任意の帯域に対して、(特定のRFおよびIF周波数に対して)新しいBBSチップが必要とされることがある。これは、一部のBBSが、一部のシステムベンダで要求されない無線帯域処理を含む可能性があるか、または他のベンダで要求される特定の帯域処理機能を含まないため、欠点となり得る。
いくつかの態様では、コンパニオンチップソリューションを導入し、分散フェーズドアレイ通信システム内に実装することができる。コンパニオンチップソリューションは、図90~92を参照して本明細書に示される。より具体的には、RFEMは、(図86~89のRFEMと同様の)RFoC処理に基づいており、BBSは、IF信号を処理するように構成される。これにより、異なる分散フェーズドアレイ通信システムにおいてBBSを同じに保つことができる。コンパニオンチップは、RFEMとBBSとの間のリンクとして導入され、特定の無線帯域に関連するRF-to-IF信号処理のために構成することができる。この点で、プラットフォームエッジでの面積とボリュームが減少し、BBSは、(処理帯域要件に基づいて異なる通信システムに導入された異なるコンパニオンチップを有する)複数の通信システムに対して同一に保つことができる。コンパニオンチップを使用することにより、プラットフォームエッジにおけるモジュラリティと最小ボリュームの両方を達成することができる。
本明細書で使用されるように、用語「コンパニオンチップ」は、用語「補足中間周波数サブシステム(SIFS)」と交換可能に使用される。
図90は、RFEM、コンパニオンチップおよびBBSを含む例示的な分散フェーズドアレイシステムを示し、いくつかの態様では、IF処理はコンパニオンチップにオフロード(offload)される。図90を参照すると、分散フェーズドアレイ通信システム9000は、RFEM9002、コンパニオンチップ9040、およびベースバンドサブシステム(BBS)9004を含むことができる。RFEM9002は、単一の同軸ケーブル9042を介してコンパニオンチップ9040に結合される。コンパニオンチップ9040は、接続9006を介してBBS9004に結合される。いくつかの態様において、接続9006は、(例えば、図91の9122および9124で示されるような)PCB接続トレースであり得る。
RFEM9002は、フェーズドアンテナアレイ9008、RF受信器9010、RF送信器9012、デュプレクサ9036、および送信(TX)/受信(RX)スイッチ9034を含むことができる。RF受信器9010は、複数の電力増幅器9016、複数の移相器9018、コンバイナ9020、およびRF増幅器9022を含むことができる。RF送信器9012は、RF増幅器9032、スプリッタ9030、複数の移相器9028、および複数の増幅器9026を含むことができる。
受信動作の一例では、スイッチ9034は、受信器チェーン処理を起動することができる。アンテナアレイ9008は、複数の信号9014を受信するために使用することができる。受信信号9014は、増幅器9016によって増幅され得、それらの位相は、対応する移相器9018によって調整され得る。各移相器9018は、制御回路から(例えば、BBS9004内のモデムから)、別個の位相調整信号(図90には図示せず)を受信することができ、ここで、個々の位相調整信号は、フェーズドアンテナアレイ9008を介して受信された信号を処理するときに、所望の信号指向性に基づくことができる。
移相器9018の出力における位相調整信号は、コンバイナ(combiner)9020によって結合(combine)され、次いで、RF増幅器9022によって増幅され、RF入力信号9023を生成し得る。RF入力信号9023は、デュプレクサ9036および同軸ケーブル9042を介してコンパニオンチップ9040に通信することができる。いくつかの態様において、RF入力信号9023は、60GHz信号または5G通信帯域を含むミリメートル波帯域(millimeter wave band)内の別の信号であり得る。いくつかの態様において、RFEM9002は、以下に説明するように、同軸ケーブル9042を介した信号の通信に先立って、インピーダンスマッチングのための適応的マッチングブロック9038を含むことができる。
送信動作の一例では、スイッチ9034は、送信器チェーン処理を起動することができる。BBS9004は、ベースバンド信号を生成することができ、これは、BBS9004内のIF信号に変換することができる。IF信号は、接続9006を介してコンパニオンチップ9040に通信することができ、そこでRF出力信号9031に変換することができる。RFEM9002は、同軸ケーブル9042およびデュプレクサ9036を介して、コンパニオンチップ9042からRF出力信号9031を受信することができる。
RF出力信号9031は、RF増幅器9032によって増幅され、次いで、スプリッタ9030に通信され得る。スプリッタ9030は、増幅されたRF信号の複数のコピーを生成し、信号コピーを複数の移相器9028に通信する。複数の移相器9028は、異なる位相調整信号を用いて、複数の位相調整信号を生成することができ、この信号は、複数の増幅器9026によって増幅することができる。複数の増幅器9026は、フェーズドアンテナアレイ9008によって送信する複数の信号9024を生成する。
図91は、いくつかの態様による、図90のコンパニオンチップとBBSを示すより詳細な図である。図91を参照すると、コンパニオンチップ9040は、デュプレクサ9102、受信器9104、送信器9106、およびLOシンセサイザ9108を含むことができる。受信器9104は、ミキサ9110、LO増幅器9112、およびIF増幅器9104を含むことができる。送信器9106は、ミキサ9118、LO増幅器9116、およびIF増幅器9120を含むことができる。
BBS9004は、RF受信器9126、RF送信器9128、モデム9130、水晶発振器9136、シンセサイザ9134、および分割器9132を含むことができる。シンセサイザ9134は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器9136からの信号を使用して、信号9135などのクロック信号を生成してもよい。生成されたクロック信号9135は、RF受信器9126によって使用され、ミキサー9140を使用して(コンパニオンチップ9040からの)IF信号をダウン変換することができる。生成されたクロック信号9135はまた、RF送信器9128によって使用され、ミキサー9148を使用してベースバンド信号をIF信号にアップ変換することができる。
いくつかの態様において、LO信号9135は、分割器9132によって分割されて、クロック基準信号9133を生成することができる。クロック基準信号9133は、コンパニオンチップ9040に通信され、シンセサイザ9108によって使用されて、RF信号(例えば、9023)をIF信号にダウン変換するため、またはIF信号をRF信号(例えば、9031)にアップ変換するために使用されるLO信号9154を生成することができる。
RF受信器9126は、IF増幅器9138、ミキサ9140、フィルタ9142、およびアナログ-デジタル変換(ADC)ブロック9144を含むことができる。RF送信器9128は、デジタル-アナログ変換(DAC)ブロック9152、フィルタ9150、ミキサ9148、およびIF増幅器9146を含むことができる。
受信動作の一例では、RF信号(例えば、9023)は、RFEM9002から、単一の同軸9042およびデュプレクサ9102を介して、コンパニオンチップ9040によって受信される。RF信号9023は、受信器9104によってダウン変換され、IF信号9156を生成する。より具体的には、RF信号9023は、増幅器9112によって増幅されたLO基準信号9154を使用して、ミキサ9110によってダウン変換される。ダウン変換された信号は、増幅器9114によって増幅され、IF信号9156を生成する。IF信号9156は、接続9006(例えば、基板トレース9122)を介してBBS9004に通信され、受信器9126による追加処理が行われる。最初に、IF信号9156は、IF増幅器9138によって増幅される。増幅されたIF信号は、ミキサ9140によってベースバンド信号にダウン変換され、次いでローパスフィルタ9142によってフィルタされ、ADCブロック9144によってデジタル信号に変換されてから、モデム9130によって処理される。いくつかの態様では、コンパニオンチップ9040およびBBS9004の両入力/出力側にTX/RXスイッチを含んでいてもよく、単一の信号(例えば、結合信号)がサブシステム9040または9004に通信され得る。この場合、複数のセットの代わりに、1つのセットの基板トレース(例えば、9122のみ)を使用することができる。
送信動作の一例では、モデム9130によって出力されたデジタル信号は、DACブロック9152によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ9150によってフィルタリングされ、次いで、ミキサ9148によってIF信号にアップ変換される。IF信号は、IF増幅器9146によって増幅され、IF信号9158を生成することができる。IF信号9158は、接続9006(例えば、ボードトレース9124)を介してコンパニオンチップ9040に通信される。コンパニオンチップ9040において、IF信号9158は、送信器9106内の増幅器9120によって最初に増幅され、次いで、増幅器9116によって増幅されたLO信号9154を使用して、ミキサ9118によってアップ変換される。ミキサ9118は、RF出力信号9031を生成し、これはデュプレクサ9102および同軸ケーブル9042を介してRFEM9002に通信される。
いくつかの態様において、同軸ケーブル9042は、DC電力信号(例えば、BBS9004からRFEM9002へ)、制御信号およびフェーズドアンテナアレイ9008によって受信または送信されるRFデータ信号の通信に使用することができる。制御信号は、位相調整信号、パワーアップ信号、パワーダウン信号、およびBBS9004からRFEM9002および/またはコンパニオンチップ9040へ通信される他の制御信号を含むことができる。いくつかの態様において、制御信号は、RFEM9002からコンパニオンチップ9040を介してBBS9004に通信される位相調整要求信号またはその他のデータ要求信号を含むことができる。この点に関し、直接変換方式は、RFEMおよびBBSが単一の同軸ケーブルを介して結合される分散フェーズドアレイシステムに関連して使用することができる。
いくつかの態様において、制御信号は、RFEM動作を制御する(例えば、出力電力レベル、AGC、ON/OFFなどを制御する)ために使用することができる。さらに、RFEMとBBSとの間の制御リンクは、双方向であってもよく、BBSからRFEMへのコマンドおよびRFEMからBBSへの遠隔測定転送(telemetry transfer)(例えば、PA電力検出器読み出しデータ、制御コマンド受信後のACK、温度検出器読み出しデータなど)のために使用することができる。
図92は、幾つかの態様による、コンパニオンチップ内のIF処理を伴うマルチバンド分散フェーズドアレイシステムを示す。図92を参照すると、分散フェーズドアレイ通信システム9200を、マルチバンドシステムを実施するために使用することができる。より具体的には、複数のRFEM(9202、...、9204)を単一のコンパニオンチップ9206および単一のBBS9208と使用することができ、各RFEMは特定の通信帯域で無線信号を処理するフェーズドアンテナアレイを含む。RFEM9202、...、9204は、対応する単一同軸ケーブル9210、...、9212を介してコンパニオンチップ9206に結合することができる。
図92を参照すると、コンパニオンチップ9206は、複数の処理チェーンを含むことができ、各チェーンは、別個のRFEMと関連付けられる。より具体的には、コンパニオンチップ9206内の第1の処理チェーンは、RFEM9202に関連付けることができ、デュプレクサ9216、IF受信器9218、LO発生器9222、およびIF送信器9220を含むことができる。コンパニオンチップ9206内の第2の処理チェーンは、RFEM9204に関連付けることができ、デュプレクサ9236、IF受信器9238、LO発生器9242、およびIF送信器9240を含むことができる。
BBS9208は、受信器9260、送信器9262、モデム9264、発振器9270、シンセサイザ9268、および分割器9266を含むことができる。シンセサイザ9268は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、水晶発振器9270からの信号を使用してクロック信号を生成してもよい。いくつかの態様において、シンセサイザ9268は、IF信号9258をダウン変換するためにミキサ9274によって使用される、または増幅器9280による増幅のためにベースバンド信号をIF信号にアップ変換するためにミキサ9282によって使用されるLO信号を生成することができる。いくつかの態様において、シンセサイザ9268はLO信号を生成でき、これは分割器9266によって分割されて、クロック基準信号9267を生成することができる。クロック基準信号は、基板トレース9214を介してコンパニオンチップ9206に通信され、シンセサイザの9222および9242によって、対応するLO信号9223および9243を生成するのに使用される。
受信器9260は、IF増幅器9272、ミキサ9274、フィルタ(例えば、ローパスフィルタ)9276、およびアナログ-デジタル変換(ADC)ブロック9278を含むことができる。送信器9262は、デジタル-アナログ変換(DAC)ブロック9286、フィルタ9284、ミキサ9282、およびIF増幅器9280を含むことができる。
RFEM9202に関連する受信動作の一例では、RF信号は、同軸ケーブル9210及びデュプレクサ9216を介して、RFEM9202からコンパニオンチップ9206で受信される。受信されたRF信号は、シンセサイザ9222によって生成されたLO信号9223を使用して、ミキサ9224によってIF信号にダウン変換される。IF信号は、IF増幅器9228によって増幅される。増幅されたIF信号9258は、受信器9260によるさらなる処理のために、基板トレース9214を介してBBS9208に送信される。受信器9260において、IF信号9258は、最初に増幅器9272によって増幅され、シンセサイザ9268によって生成されたLO信号を使用して、ミキサ9274によってベースバンド信号にダウン変換される。次いで、ベースバンド信号は、ローパスフィルタ9276によってフィルタリングされ、ADCブロック9278によってデジタル信号に変換されてから、モデム9264によって処理される。
RFEM9202に関連する送信動作の一例では、モデム9264によって出力されたデジタル信号は、DACブロック9286によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ9284によってフィルタリングされ、次いで、シンセサイザ9268により生成されたLO信号を使用して、ミキサ9282によってIF信号にアップ変換される。IF信号は、IF増幅器8926によって増幅され、IF信号9256を生成することができる。IF信号9256は、基板トレース9214を介してコンパニオンチップ9206に通信され、IF送信器9220によってさらに処理される。送信器9220内で、IF信号9256は、増幅器9234によって増幅され、増幅器9230によって増幅されたLO信号9223を使用して、ミキサ9232によってRF信号にアップ変換される。RF信号は、デュプレクサ9216および同軸ケーブル9210を介してRFEM9202に通信され、RFEMアンテナアレイによるさらなる処理および送信が行われる。いくつかの態様において、TX/RXスイッチをコンパニオンチップ9206およびBBS9208の両方において使用して、単一のセットの基板トレースが、任意の時点において単一の信号(結合信号であってもよい)を通信するために使用できるようにしてもよい。
RFEM9204に関連する受信動作の一例では、RF信号は、同軸ケーブル9212及びデュプレクサ9236を介して、RFEM9204からコンパニオンチップ9206で受信される。受信されたRF信号は、シンセサイザ9242によって生成されたLO信号9243を使用して、ミキサ9244によってIF信号にダウン変換される。IF信号は、IF増幅器9248によって増幅される。増幅されたIF信号9258は、受信器9260によるさらなる処理のために、基板トレース9214を介してBBS9208に送信される。受信器9260において、IF信号9258は、最初に増幅器9272によって増幅され、シンセサイザ9268によって生成されたLO信号を使用して、ミキサ9274によってベースバンド信号にダウン変換される。次いで、ベースバンド信号は、ローパスフィルタ9276によってフィルタリングされ、ADCブロック9278によってデジタル信号に変換されてから、モデム9264によって処理される。
RFEM9202に関連する送信動作の一例では、モデム9264によって出力されたデジタル信号は、DACブロック9286によってアナログ信号に変換することができる。次いで、アナログ信号は、ローパスフィルタ9284によってフィルタリングされ、次いで、シンセサイザ9268により生成されたLO信号を使用して、ミキサ9282によってIF信号にアップ変換される。IF信号は、IF増幅器8926によって増幅され、IF信号9256を生成することができる。IF信号9256は、基板トレース9214を介してコンパニオンチップ9206に通信され、IF送信器9240によってさらに処理される。送信器9240内で、IF信号9256は、増幅器9254によって増幅され、増幅器9250によって増幅されたLO信号9243を使用して、ミキサ9252によってRF信号にアップ変換される。RF信号は、デュプレクサ9236および同軸ケーブル9212を介してRFEM9204に通信され、RFEMアンテナアレイによるさらなる処理および送信が行われる。
コンパニオンチップ9206は、RFEM9202および9204に関連する2つのデュプレクサおよび2つの別々の処理チェーン(各処理チェーンに受信器および送信器を有する)のみを有するものとして図92に示されているが、この点に関して、開示は限定されない。より具体的には、コンパニオンチップ9206は、追加のRFEMによってサービスされる他の無線帯域内の信号を処理するための追加の処理チェーンを含むことができる。
図91~92では、LO発生器としてサブシステム9108、9134、9222、9242、および9268を説明したが、これらのサブシステムはまた、他のタイプの周波数ソース(例えば、周波数乗算器など)を含むことができる。
図83~92は、トリプレクサ(またはデュプレクサ)と受信器および/または送信器および/または周波数ソースとの間の直接的な接続を図示しているが、この点に関して本開示は限定されず、対応するTX/RXスイッチを使用して、1つの結合された信号のみをトリプレクサ(またはデュプレクサ)に通信することができる。例えば、図83を参照すると、トリプレクサ8348とLO発生器(または周波数ソース)8344との間にTX/RXスイッチを設けることができ、TXまたはRX信号のみがトリプレクサ8348を介して一度に通信されることを可能にする。同様のTX/RXスイッチを、トリプレックサ/デュプレクサ8402、8550、8636、8702、8836、8902、8906、9036、9102、9216、および9236に関連して使用することができる。
RF通信システムは、しばしば、半導体ダイ上に形成されるサブシステム(例えば、電圧制御発振器(VCO)、電力増幅器、トランシーバ、モデムなど)を利用する。しかし、オンチップ集積デバイスは、任意のプロセスノード、特に高度なプロセスノードに関連する金属スタックと、それらの受動要素に関連する劣悪な品質ファクタとを含むことがある。この点で、特にチップ上に実装される大規模電力コンバイナに対する全体的な電力結合効率が低くなり得る。
図93は、幾つかの態様による、双方向電力コンバイナ(two-way power combiner)の例示的なオンチップ実装を示す。図93を参照すると、抵抗9306に結合された電力増幅器9302および9304を含むことができる双方向電力コンバイナ9300が図示されている。双方向電力コンバイナ9300は、図3Aに示されるmmWave通信回路300のRF回路325に組み込まれてもよいが、これらに限定されない。さらに、電力増幅器9302は、伝送ライン9308に結合され、電力増幅器9304は、伝送ライン9310に結合される。伝送ライン9308および9310は、1/4波長伝送ラインであり得る。両方の伝送ライン9308および9310の出力は、結合されて、アンテナ9312で終端され得る。図93に見られるように、双方向電力コンバイナ9300は、半導体ダイ又はチップ9320内に完全に実装される。チップ9320は、PCB基板9330と共にパッケージすることができる。アンテナ9312は、PCB基板9330上に実装することができ、例えば、フェーズドアンテナアレイを含むことができる。
図94は、幾つかの態様による、大規模電力コンバイナ(large scale power combiner)の例示的なオンチップ実装を示す。図94を参照すると、複数の電力増幅器9406、9408、...、9410に結合された電力コンバイナ9412が図示されている。電力増幅器出力は、電力コンバイナ9412の入力に結合され得る。電力コンバイナ9412は、3つ以上の電力増幅器に結合されてもよく、従って、大規模電力コンバイナと呼び得る。
電力コンバイナ9412は、出力数は減少する(最後の結合段は単一の出力を有する)複数の結合段となる、伝送ラインおよび抵抗のカスケード接続を含む。例えば、電力増幅器9406および9408は、それぞれ伝送ライン9414および9416に結合され得る。電力増幅器9406および9408の出力は、抵抗9436によって結合することができる。伝送ライン9414及び9416の出力は、単一の出力9422に結合され、それは次の結合段に出力される。同様に、電力増幅器9410および隣接する電力増幅器(図94には図示されていない)は、伝送ライン9418および9420、ならびに抵抗9438に結合される。伝送ライン9418及び9420の出力は、単一の出力9424に結合され、それは次の結合段に出力される。
前の段の出力を結合し、次の結合段への少ない数の入力を生成するこのプロセスは、最後の2つの伝送ライン9426および9428まで続く。伝送ライン9426および9428への入力は、抵抗9440を介して結合され、伝送ライン9426および9428の出力は、電力コンバイナ9412の単一の出力9430に結合される。電力コンバイナ9412の出力信号9430は、接続端子9432を介してアンテナ9434に通信される。図94に見られるように、電力増幅器9406~9410および電力コンバイナ9412は、半導体ダイまたはチップ9402内に実装される。チップ9402は、PCB基板9404と共にパッケージすることができる。いくつかの態様において、接続端子9432は、チップ9402をPCB基板9404に接続するために使用される複数の半田ボールのうちの1つであってもよい。
図95は、いくつかの態様による、インピーダンス変換ネットワークの例示的なオンチップ実装を示す。図95を参照すると、インピーダンス変換ネットワーク9508を介してアンテナ9512に結合された電力増幅器9506が示されている。インピーダンス変換ネットワーク9508は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、電力増幅器9506の出力におけるインピーダンスを、アンテナ9512の入力におけるインピーダンスとマッチングするように構成されてもよい。インピーダンス変換ネットワーク9508は、接続端子9510を介してアンテナ9512に結合することができる。
いくつかの態様において、接続端子9510は、試験または測定装置を電力増幅器9506に結合するために使用することができる。試験または測定装置は、50オームの抵抗を伴うことができるが、これは電力増幅器9506には高すぎるかもしれない。インピーダンス変換ネットワーク9508は、接続端子9510において電力増幅器9506と試験装置とを結合し、インピーダンスを適宜調整するために使用することができる。図95に見られるように、電力増幅器9506およびインピーダンス変換ネットワーク9508は、半導体ダイまたはチップ9502内に実装される。チップ9502は、PCB基板9504と共にパッケージすることができる。いくつかの態様において、接続端子9510は、チップ9502をPCB基板9504に接続するために使用される複数の半田ボールのうちの1つであってもよい。
図93、図94、および図95に見られるように、電力増幅器、電力コンバイナ、およびインピーダンス変換ネットワークは、チップ上に実装される。しかしながら、オンチップ電力結合およびインピーダンス変換は、パッシブかつ損失のあるシリコン基板の品質が悪いと、損失が大きくなり、全体的な伝送効率を低下させる。このような損失は、より高いレベルの結合および/または急激なインピーダンス変換と共に急速に増大し得る。損失的(lossy)電力結合とインピーダンス変換は、シリコンメタライゼーションの悪い先進的な技術ノードにおいては、さらに悪化されることがある。いくつかの態様において、損失的(lossy)な電力結合およびインピーダンス変換は、電力結合に、半導体ダイに関連するPCB基板上のインピーダンス変換ネットワークを実装することによって、改善することができる。この点に関し、オンパッケージ損失(on package losses)は、電力結合のために大幅に低減することができる。これは、大幅な効率向上を提供でき、特に、1/4波長伝送ラインまたは複数の伝送ラインを使用するアーキテクチャの場合に、大規模電力結合に十分に適している。インピーダンス変換ネットワークおよび電力結合がPCB基板上に実装される例示的な態様は、図96、図97、図98、および図99を参照して以下に図示される。
図96は、幾つかの態様による、双方向電力コンバイナ(two-way power combiner)の例示的なオンパッケージ実装を示す。図96を参照すると、抵抗9606に結合された電力増幅器9602および9604を含むことができる双方向電力コンバイナ9600が図示されている。さらに、電力増幅器9602は、伝送ライン9608に結合され、電力増幅器9604は、伝送ライン9610に結合される。伝送ライン9608および9610は、1/4波長伝送ラインであり得る。両方の伝送ライン9608および9610の出力は、結合されて、アンテナ9612で終端され得る。
図96に見られるように、電力増幅器9602および9604は、半導体ダイまたはチップ9620内に実装することができる。チップ9620は、PCB基板9630と共にパッケージすることができる。抵抗器9606、伝送ライン9608および9610、およびアンテナ9312は、PCB基板9630上に実装することができる。伝送ライン9608および9610および抵抗器9606は、接続端子9614および9616を介して電力増幅器9602および9604に結合され得る。いくつかの態様において、接続端子9614および9616は、チップ9620をPCB基板9630に接続するために使用される複数の半田ボールのうちの1つであってもよい。
図97は、幾つかの態様による、大規模電力コンバイナ(large scale power combiner)の例示的なオンパッケージ実装を示す。図97を参照すると、複数の電力増幅器9706、9708、...、9710に結合された電力コンバイナ9712が図示されている。電力増幅器出力は、電力コンバイナ9712の入力に結合され得る。電力コンバイナ9712は、3つ以上の電力増幅器に結合されてもよく、従って、大規模電力コンバイナと呼び得る。いくつかの態様において、電力コンバイナ9712は、N:1 RF電力コンバイナであり得る。
電力コンバイナ9712は、出力数は減少する(最後の結合段は単一の出力を有する)複数の結合段となる、伝送ラインおよび抵抗のカスケード接続を含む。例えば、電力増幅器9706および9708は、それぞれ伝送ライン9714および9716に結合され得る。電力増幅器9706および9708の出力は、抵抗9740によって結合することができる。伝送ライン9714及び9716の出力は、単一の出力9722に結合され、それは次の結合段に出力される。同様に、電力増幅器9710および隣接する電力増幅器(図97には図示されていない)は、伝送ライン9718および9720、ならびに抵抗9742に結合される。伝送ライン9718及び9720の出力は、単一の出力9724に結合され、それは次の結合段に出力される。
前の段の出力を結合し、次の2つの結合段への入力数を低減するこのプロセスは、最後の2つの伝送ライン9726および9728まで続く。伝送ライン9726および9728への入力は、抵抗9744を介して結合され、伝送ライン9726および9728の出力は、電力コンバイナ9712の単一の出力9730に結合される。電力コンバイナ9712の出力信号9730は、アンテナ9732に通信される。
図94に見られるように、電力増幅器9706ー9710は、半導体ダイまたはチップ9702内に実装することができる。チップ9702は、PCB基板9704と共にパッケージすることができる。電力増幅器9706~9710の出力は、接続端子9734、9736、...、9738を介して電力コンバイナ9712の対応する伝送ラインに結合することができる。いくつかの態様において、接続端子9734ー9738は、チップ9702をPCB基板9704に接続するために使用される複数の半田ボールのうちの1つであってもよい。
いくつかの態様において、電力コンバイナ9712、電力増幅器9706~9710、および/またはアンテナ9732は、無線トランシーバの一部であり得る。無線トランシーバを用いて、Wireless Gigabit Alliance(WiGig)プロトコルまたは5Gプロトコルのような1つ以上の無線プロトコルに準拠した信号を受信および送信できる。
図98は、いくつかの態様による、インピーダンス変換ネットワークの例示的なオンパッケージ実装を示す。図98を参照すると、インピーダンス変換ネットワーク9808を介してアンテナ9812に結合された電力増幅器9806が示されている。インピーダンス変換ネットワーク9808は、適切な回路、論理、インターフェースおよび/またはコードを含んでもよく、電力増幅器9806の出力におけるインピーダンスを、アンテナ9812の入力におけるインピーダンスとマッチングするように構成されてもよい。インピーダンス変換ネットワーク9808は、接続端子9810を介して電力増幅器9806に結合することができる。いくつかの態様において、接続端子9810は、試験または測定装置を電力増幅器9806に結合するために使用することができる。試験または測定装置は、50オームの抵抗を伴うことができるが、これは電力増幅器9806には高すぎるかもしれない。インピーダンス変換ネットワーク9808は、接続端子9806において電力増幅器9810と試験装置とを結合し、インピーダンスを適宜調整するために使用することができる。
図98に見られるように、電力増幅器9806は、半導体ダイまたはチップ9802内に実装することができる。チップ9802は、PCB基板9804と共にパッケージすることができる。インピーダンス変換ネットワーク9808およびアンテナ9812は、PCB基板9804内に実装することができる。いくつかの態様において、接続端子9810は、チップ9802をPCB基板9804に接続するために使用される複数の半田ボールのうちの1つであってもよい。
図99は、幾つかの態様による、Doherty電力増幅器の例示的なオンパッケージ実装を示す。図99を参照すると、Doherty電力増幅器9900は、キャリア電力増幅器9906およびピーキング電力増幅器9908を含むことができる。信号入力端子9922は、キャリア電力増幅器9906の入力に直接結合することができる。信号入力端子9922はまた、1/4波長伝送ライン9910を介してピーキング電力増幅器9908の入力に結合されてもよい。搬送波電力増幅器9906の出力は、オフセット伝送ライン9912ならびに1/4波長伝送ライン9916および9918を介して、アンテナ9920に結合することができる。ピーキング増幅器9908の出力は、オフセット伝送ライン9914および1/4波長伝送ライン9918を介してアンテナ9920に結合することができる。伝送ライン9918の出力における結合出力信号9924は、伝送のためにアンテナ9920に通信することができる。
図99に示すように、キャリア(carrier)電力増幅器9906、ピーキング(peaking)電力増幅器9908、および1/4波長伝送ライン9910は、半導体ダイまたはチップ9902内に実装することができる。チップ9902は、PCB基板9904と共にパッケージすることができる。伝送ライン9912、9914、9916、および9918、ならびにアンテナ9920は、PCB基板9904内に実装することができる。この点に関し、PCB基板9904上に複数の長い伝送ラインを実装することにより、Doherty電力増幅器9900の効率を改善することができる。
mmWave周波数範囲で動作するマイクロ波アンテナサブシステムは、ミクロン範囲で非常に小さい。従って、シャーシサイズの要件のため、及び部品及びアンテナの密なパッケージングのために、空間が貴重(premium)であるモバイル装置に使用するために、アンテナ及び無線サブシステムのサイズ、特に厚さを低減する方法を発見することが重要である。同時に、熱的、電気的、機械的オーバーレイ(mechanical overlay)の問題に対処し、低減すべきである。コスト改善も大きな課題である。コンポーネント、アンテナ及びアンテナのサブシステムを互いにオーバーレイ(overlay)することにより、サブシステムのサイズ及び厚さの両方が減少する。オーバーモールド(overmold)における相互接続を有するオーバーモールドの使用は、アンテナをサブシステムの側面に配置することを可能にし、競合する技術よりも熱的および機械的改善を提供する別の概念である。
図100Aは、幾つかの態様による、コネクタを使用する非成形積層パッケージ・オン・パッケージ埋め込みダイ無線システム(unmolded stacked package-on-package embedded die radio system)を示す側面図である。埋め込みダイ無線システムは、図3Aに示されるmmWave通信回路300のアンテナアレイ回路330に組み込まれてもよいが、埋め込みダイ無線システムは、これに限定されない。この態様は、未成形パッケージ10005およびパッケージ10007を含む、非成形積層パッケージオンパッケージ埋め込みダイ10000を含む。パッケージ10005は、PCBのような積層構造を含んでもよく、その中にRFIC10006が埋め込まれている。この文脈で使用されるように、「非成形(unmolded)」とは、ダイ10006が金型に包まれていないか、またはカプセル化されていないことを意味する。パッケージの種々の部品のz-高さに対して図示される寸法は、例示を目的としたものであり、パッケージが使用を見出すモバイル装置の容積が非常に制限されている場合に処理される非常に小さい寸法を図示するのに役立つ。
また、PCB10005の上部および下部の最初の数ミクロンは、RFICが埋め込まれるPCBのコアの前にあってもよいプレ含浸(pre-impregnation(PrePreg))層であってもよい。PrePregは、その非常に薄い厚さのおかげで使用できる。PrePregは非常に薄く、例えば、25umまたは30umであり得る。PrePregは、エポキシ材料であってもよいが、積層材料、例えば銅クラッドラミネート(Copper Clad Laminate(CCL))であってもよい。この技術は、有機ポリマーを基材とする積層材だけでなく、セラミックを基材とする無機層にも及ぶ。
アンテナ基板産業で使用されるように、「コア(core)」は、基板の内部部分であって、基板の他の領域、例えばPrePregよりも厚く、かつより剛性であり得るものを意味し得る。パッケージ10005は、パッケージ内にカプセル化されていないPCBのような層状基板であるという点で、成形されない。シールド10001はパッケージ10005の上部にあり、構成要素10003をRFI/EMIからシールドする。コネクタ10023は、1つ以上のパッケージを外部の世界に接続することができる。或る態様では、コネクタ10023は、システムによる伝送のための中間周波数(IF)信号を提供する。いくつかの態様では、パッケージ10005は、適宜、トレース及びビアによって、以下で説明する様々なアンテナ及びアンテナアレイに給電するRFICダイ10006を含む。
1つのRFICダイ10006を図示したが、当業者には言うまでもなく、1つ以上の周波数帯域で動作するように、複数のRFICダイを設けることができる。換言すれば、態様では、少なくとも1つのRFICダイが存在し得る。
図示されたパッケージは、いくつかの態様に従って、多くの異なる構成、動作周波数、および帯域幅のアンテナおよびアンテナアレイを含むことができる。図100Aでは、アンテナ構造10009、10011、10013、10015、および10019が図示されている。これらは、サイドビューにおける単一のアンテナ、または図のページを見る1xN、2xN、...、NxN要素アレイなどのアンテナアレイであってもよい。一例において、アンテナ10009は、デュアルパッチアンテナであってもよく、パッチアンテナ要素10010と10012との間の距離d2(この態様では10065ミクロン)と、パッチアンテナ要素10010と接地との間の別の距離d1を有する。距離d1およびd2に依存して、アンテナの帯域幅は、パッチアンテナの容積が変化するため、変化する。記号d1およびd2は、図100Bにおいてより明確に見ることができる。
図100Bは、いくつかの態様によるデュアルパッチアンテナの側面図である。図では、P1はデュアルパッチアンテナの第1の要素であり、P2はデュアルパッチアンテナの第2の要素または駆動要素である。d2はP1とP2間の距離であり、d1はP1と接地面GND間の距離であることが分かる。P1とGNDの間の所与の距離d1に対して、P1とP2の間の距離d2を変えると、アンテナの体積が増加する。
ある態様では、帯域幅は、この態様では、変化する距離d2の関数であるアンテナの体積の変化に基づいて変化する。これは図100Cに見られる。図100Cは、いくつかの態様による、アンテナの体積が増加するにつれて、図100Bのデュアルパッチアンテナの戻り損失(return loss)のシミュレーショングラフであり、アンテナの体積が変化したときの帯域幅の変動を示す。この態様では、帯域幅は、d2を変化させることによって測定される。帯域幅は、図100Cのシミュレーションにおける-10dBの戻り損失グラフの幅として示されるが、P1とGNDとの間の大きさd1が与えられると、d2が増加するにつれて増加する。
後述するように、PCB10005は、この態様ではレベルL1からL6として示される層状構造を有する。種々のレベルのため、10010、10012などのアンテナ要素は、デュアルパッチアンテナ要素間の種々の距離d2に配置することができ、またレベルが多様であるため、パッチアンテナ要素10010とGNDとの間の距離d1も種々の距離に設定することができ、その結果、所与の設計に必要とされる帯域幅の選択をもたらす。言い換えると、デュアルパッチアンテナ要素10010と10012との間の距離は、10065ミクロンに限定されないが、密に充填された積層板のレベルが利用可能であるため、いくつかの距離のいずれにも設定可能である。これは、デュアルパッチアンテナ要素10010と接地面10014との間の距離と同じであり、図100Cに示すように帯域幅を測定する能力を設定する。しかし、レベルL1-L6は多くの態様の一つに過ぎない。他の態様は、示された6層L1~L6よりもはるかに多くの非常に高密度に充填された層を有してもよく、これらの非常に高密度に充填された層は、必要に応じて様々な機能に使用され得る。
図100Aの説明を続けると、10024は、いくつかの態様において、簡単に上述した1xN、2xN、...、NxN要素アレイなどのアンテナまたはアンテナアレイであり得る。いくつかの態様において、10024は、表面実装技術(SMT)と呼ばれることがある表面実装デバイス(SMD)によって構成される自立型アンテナであり得る。いくつかの態様において、PCB10005内の必要なアンテナまたはアンテナアレイに十分な高さがない場合、アンテナまたはアンテナアレイ10010、10012は、いくつかの態様では、例えば、アンテナ要素10012をPCB10005の上部に配置し、必要体積を提供するように構成することができる。
別の例では、デュアルパッチアンテナ要素10012は、PCB10005の上ではなく、表面実装デバイス10024の上に配置して、アンテナまたはアンテナアレイに追加の高さを与えることができ、これは、いくつかの態様において、上述のように、体積を増加させ、帯域幅を改善する。
別の例は、アンテナ10015で見られる。この例では、アンテナ(または上述のアンテナアレイ)10015は、基板10005内にアンテナパッチ10018を含み、これは、上述のように、複雑かつ非常に密に充填された基板であってもよく、デュアルパッチ要素10017は、第2のアンテナボード10007上にあり得る。幾つかの態様では、アンテナボード10007は、誘電体、セラミック、PCB等であってもよく、PCB10005のように密に充填された層状基板であってもよい。その結果、アンテナ機能は、パッケージ・オン・パッケージ構成で生じる2つ以上のアンテナ・ボード間、またはその間に割り当てることができる。従って、1つの媒体上に十分なz高さ(z-height)がない場合、アンテナの一部は、いくつかの態様において、帯域幅、低損失などの所望のパラメータを提供するボリュームを得るために、所望のz高さを与えるために、10007などの第2の媒体上に実装されることができる。換言すれば、場合によっては、mmWave周波数で動作するためのフォームファクタ要件による基板の厚さが極端に小さい寸法であるとき、アンテナ要素(およびディスクリート部品)は、いくつかの態様において、PCB10005の上部および/または下部、PCB10005の側面、および種々の追加構成で配置され得る1つ以上の追加媒体上に配置され得、その結果、追加の基板厚さおよび必要に応じて増加する帯域幅が得られる。
同様に、アンテナ機能は、例えば、主媒体とみなすことができるPCB10005と、二次媒体とみなすことができるアンテナボード10007などの異なるアンテナ基板の間で、または異なるアンテナボード間で分割することができる。さらに、基板の上、または下、またはサイドのこのような媒体は、接地、シールド、給電等の種々の機能に使用することができる。
さらに、PCB10005の上に、2つ以上の媒体10024が存在し得る。PCB10005の上部には、多数のアンテナ媒体が存在し、各々は、上述のように、アンテナまたはアンテナアレイの一部または全部を提供することもできる。PCB10005の下側または側面にアンテナ媒体を配置する場合も同様である。さらに、二次媒体は、必要に応じて、アンテナのゲインを改善し、またはパターンを成形するために、寄生要素(parasitic elements)に使用することができる。
アンテナ10011、10013、10015、および10019は、アンテナボード10007上に構成され、RFICダイ10006から給電(feed)される他のアンテナまたはアンテナアレイであり得る。また、ビア10020、10022も示されている。いくつかの態様では、多くのビアが存在し得る。一般に、基板10005が厚ければ厚いほど、ビア10020、10022の直径は大きくなる。極薄基板が必要とされるいくつかの態様において、ビアは、他の態様について後述するように、はるかに小さい直径とすることができる。10028のようなビアは、10027のようなはんだ接続によってRFICダイ10006に接続されてもよい。ビアは、無線サブシステム内の他の場所の構成要素に接続するために、1つ以上の水平層10030によって接続されてもよく、水平層10030は、ページの紙面方向である。
図101Aは、幾つかの態様による、フレックス相互接続を使用する非成形積層パッケージオンパッケージ埋め込みダイ無線システムを示す図である。図101Bは、幾つかの態様による、フレックス相互接続を写真的表現で示す、フレックス相互接続を使用する非成形積層パッケージオンパッケージ埋め込みダイ無線システムを示す側面図である。図101Aは、図1001Aとほぼ同じであるが、相違点は、図101Aにはコネクタ10023が存在しないことである。代わりに、フレックス相互接続10026が使用され、1つのPCBを第2のPCBに接続しており、第2のPCBは、PCBの外部へのコネクタを有してもよい。フレックスコネクタ10026は、PCB10005の適切な内部トレース、または適切な内部トレースおよび1つ以上のビアによってRFICダイ10006に接続されてもよい。フレックス相互接続は、半田、クリンピング、または他のプロセスによってPCTに接続されてもよく、いくつかの態様において、同様に第2のPCBに接続されてもよい。
図102は、幾つかの態様による、非成形積層パッケージ・オン・パッケージ埋め込みダイ無線システム(unmolded stacked package-on-package embedded die radio system)を示す側面図である。図102では、パッケージ10200は、いくつかの態様では、PCBのようなアンテナボードなどのレベル10201と、モールドまたはカプセル化であるレベル10203と、PCBのようなアンテナボードを含むレベル10205とを含む基板を含む。レベル10201は、トレースのような導電レベル10207を含んでもよい。レベル10203は、10209のような導電レベルと、10219、10219Aなどの、しばしば「スルーモールドビア」と呼ばれるビアを含んでもよい。レベル10205は、導電レベル10209への半田接続によって接続される導電レベル10211を含んでもよい。
パッケージ10200の導電性レベルおよびビアは、幾つかの態様において、ダイ10206、10208から種々のアンテナおよびその他の構成要素に給電(feed)するように構成可能である。導電レベル10207および10211は、図102において短い水平層として示されるが、実際には、それらは、種々の態様によると、図103における10309、10311のようなより長い導電層であってもよく、または図103における10307、10311Aのような種々の層構成であってもよく、または図105の基板10501における10502または図105の基板10505における10511に示されるような基板を実質的に完全に横切っていてもよい。
いくつかの態様において、導電性レベル10207、10211は、図104を参照して後述する再分配層(RDL)を用いて作製されてもよい。ビア(または成形パッケージ内のスルーモールドビア)は、銅製スタッド、モールドその他の層を貫通するレーザー、および導電性インク、またはその他の手段によって作ることができる。
ビア、導電層、および/またはRDLの使用を通して、ダイは、パッケージの任意の側面のアンテナおよびアンテナアレイに非常に迅速に接続することができ、これらのアレイは、いくつかの態様において、SMD10216、10218、10220の上または内部に具現化されたアンテナであってもよい。密に充填されたビア、および密に充填された水平層により、ダイは、基板10201、10205上のアンテナまたはアンテナアレイに接続することができ、給電構造(feed structure)からのファンアウト(fan-out)はほとんどまたは本質的に無い。
さらに、10219、10219Aのようなスルーモールドビアは、幾つかの態様において、ダイおよび他の構成要素をRFIおよびEMIからシールドするファラデーケージ(Faraday cage)を構成するために、ダイまたはダイ(複数)の周囲の金属化層(本明細書では層10209のみを例示するが、10219または10219Aのようなビアの頂部は、ビアの頂部(現在図示される)の金属化層に接続されてもよい)に接続された密にパックされたビアのトレンチ内に構成されてもよい。ビアは、単一のポストのような非常に小さいビアであり得る。10219、10219A(スルーモールドビア)のようなパッケージ間の高密度相互接続を有するパッケージ・オン・パッケージを使用する場合、パッケージを別々に構築し、上部またはその下の他のダイに対して、下部ダイ用に調整された異なる材料を使用することができる。また、個々のダイを積み重ねる前に、それぞれのパッケージで試験することができるので、歩留まりが改善される。
また、必要に応じて金型を完全に除去することができ、スルーモールドビア(through mold vias)を、上部パッケージに接続され垂直相互接続として機能する半田ボールと置き換えることができることを理解することも重要である。図102の態様において、2つ以上のダイ10206、10208は、基板内に含まれ、銅充填剤であってもよいはんだバンプ、10210のようなはんだ接点、またはLGA/VGAパッドであってもよいし、或る態様ではパッケージであってもよい接点によって固定されてもよい。
また、いくつかの態様において、ディスクリート要素10212、10214が図示される。ダイ10206、10208は、フリップチップ・ダイ、ウェハ・レベル・チップ・スケール・パッケージ(CSP)、ワイヤ・ボンダブル・ダイなどの任意のタイプのダイであってもよい。
あるいは、単一のダイを用いることもできる。いくつかの態様において、10216、10218、10220などのSMDアンテナは、基板の第1の側面に構成されてもよく、SMDアンテナ10216A、10218A、10220Aは、基板の反対側面に構成されてもよい。他の態様では、アンテナは、SMD上またはSMD内の代わりに基板上に構成されてもよい。前述のアンテナは、図100Aに関して説明したものと同じタイプのアンテナであってもよく、いくつかの態様では、SMD上またはSMD内にあってもよい。さらに、アンテナ10216、10218、10220は、アンテナアレイとして構成されてもよい。さらに、前述のアンテナのいずれかまたは全部のようなアンテナは、図100Aのアンテナ(またはアンテナ・アレイ)10024に関して説明したようなSMD上またはSMD内に実現されてもよい。
また、パッケージ10200の一方または両側(例えば、10201、10205)は、ディスクリート部品10222、10224、および10222A、10224Aであってもよい。さらに、システムインパッケージ(SIP)またはパッケージとも呼ばれることがあるシステム10221、10221Aは、いくつかの態様において、パッケージ10200の上部(例えば、上部10201の上)および/または下部(例えば、10205の下)および/または側面に設定され、パッケージオンパッケージ構成を提供してもよい。SIP10221、10221Aは、SIP10221、10221Aが構成されるレベル10201、10203、10205を含むパッケージと非常によく似たシステムであってもよい。SIP10221、10221Aは、いくつかの方法でパッケージに積み重ねられ、パッケージに物理的に接続されてもよい。
さらなるダイ10206、10208は、いくつかの態様において、10226に示される適切な接点によって基板10203に接続されてもよい。このような適切な接点は、銅充填剤、はんだバンプ、またはパッケージさえ含み得る。接点10226は、パッケージ・オン・パッケージの態様の本体内の非常に小さな接続であってもよい。このようなシステム構成は、パッケージ・オン・パッケージ構成を示す。
さらに、各パッケージの1つ以上のダイは、上述したパッケージ内の密度が非常に高いため、5G周波数で動作する1つのダイおよびWiGig周波数で動作する第2のダイのように、同じ周波数または異なる周波数で動作するように構成される。
さらに、パッケージ・オン・パッケージの態様のアンテナ/アンテナ・アレイは、例えば、モバイル・デバイスの方向性のために、必要に応じて、多数の方向のいずれかに、または基本的にあらゆる方向に放射されてもよい。換言すれば、アンテナ、およびアンテナアレイは、パッケージ10200の上方、下方、および側面にパッケージ10221、10221Aを積み重ね、物理的に接続することによって、または必要に応じてそれらの組み合わせによって、ある態様に従って、パッケージ10221、10221Aの上方または内側のアンテナおよびアンテナアレイ構成で、パッケージの基本的にあらゆる所望の方向で、パッケージ10200中に配置することができる。
上記に加えて、パッケージ10200は、半田ボール10213、10215によってさらに別の基板(図示せず)上に半田付けされてもよく、これは、半田ボールまたはコンタクト10226よりも大きいものとして図示した。半田ボール10226はパッケージオンパッケージ態様内にあり、非常に小さく且つ非常に狭い間隔で配置してもよいが、いくつかの態様では、半田ボール10213、10215は「外部の世界への」接続だからである。
例えば、パッケージ10200が、半田ボール10213、10215によってさらにはんだ付けされるボードは、いくつかの態様によれば、電話、タブレット、モバイルデバイス、または他のエンドユーザ機器のためのホストボードであってもよい。図100Aと図102の主な相違点は、図102のダイが、基板内のダイの形状を保護し強化するモールドによって包囲されていることである。
成形態様(molded aspect)の利点は、図100Aの非成形基板に埋め込まれたダイを大量生産することが困難だということである。成形基板(molded substrate)の構成は、上述のように、個々のダイを積み重ねる前に、それぞれのパッケージで試験することができるので、歩留まりが改善されるため、大量生産により適合性が高い。
さらに、10212、10214のような成形構成要素は、成形基板内に容易に構成することができる。図100Aの具体化ダイは、いくつかの態様によれば、単一のダイのみを埋め込むことに特有であることが多い。
さらに、成形構成(molded configuration)は、非成形構成(unmolded configuration)よりも多くの高密度層を可能にする。図100Aの埋め込みダイにおいて、各構成要素は、1つのシステムとして接続される。1つのビアなどの1つの部品が故障した場合、基板内のシステム全体が故障する。
他方、図102の成形構成では、基板自体を別々に形成することができ、ダイを接続する層を別々に接続することができ、システムは、最終ステップまで、接続されない。ここで、最終ステップは、すべての部品を一緒に半田付けすることであってもよい。図100Aの態様では、内部にははんだは存在せず、このシステムは、ほとんどまたは全てが同時に組み立てられる銅ビアを含んでいる。言い換えると、成形積層パッケージを構成するプロセスは、未成形パッケージを構成するプロセスとはまったく異なる。
スタッドは、上部パッケージの底部層上に配置またはめっきされ、これらは、高いアスペクト比および非常に小さな直径でめっきされ得る。次に、上部パッケージと下部パッケージを、はんだまたは熱機械的圧縮を用いて接続する。オーバーモールドは、液体であってもよく、射出され、次いで流れてギャップを覆う。これは非成形パッケージよりも高密度で高歩留まりプロセスである。
図103は、幾つかの態様による、付加的詳細を示す成形パッケージ・オン・パッケージ埋め込みダイ無線システム(molded package-on-package embedded die radio system)を示す側面図である。レベルAないしGは、幾つかの態様において、表1に示す個々の構成要素技術を含む。
Figure 2024059722000005
図103において、要素10326は、パッケージからの信号ソースであるコネクタであってもよい。また、アンテナ要素またはアンテナアレイ10324が図示され、これは、場合に応じて、表面実装型デバイスアンテナまたはアレイであってもよい。アンテナ要素は、10329のような図示された水平基板導電層の適当な1つによってダイ10306によって給電されるビア10322を含む。ダイおよび以下に説明するビアは、モールド10332によってカプセル化されてもよい。トレース層または水平層10329は、アンテナまたはアンテナアレイ10324に給電する目的で、ダイ10306(接続は図示せず)に接続されてもよく、さらに詳細に後述する。
前述のように、SMDは、いくつかの態様では、図面のページを見つつ、10322のようなアンテナ要素のアレイの一部であってもよい。以下に説明する水平導電性レベルおよび垂直ビアの密度は、ダイをビア10322に接続することを可能にし、表面実装デバイス10324を、いくつかの態様において、本質的に垂直パッチアンテナにする。SMD10324内の部品を含むビア10322は、共振目的のために所望の長さを提供する。いくつかの態様において、ビア10322は、動作の周波数に必要なアンテナ長の一部であってもよく、必要な長さの残りは、SMD10324の上部に構成されたトレース(図示せず)であってもよい。必要な接触は、いくつかの態様において、はんだを使用して達成可能である。その結果、アンテナ10322、および以下で説明する10318、10320は、アンテナまたはアンテナアレイの一部としてのパッケージのz高さの有利な利用を示す。
製造が容易な実装は、SMD上または内部の垂直モノポールまたはダイポールである。別の実施形態は、上述したSMDおよびモールドのエッジ上にメッキされるパッチアンテナであってもよい。レベルB及びEに示されるような密な水平導電層及びビアの利用可能性(導電層は、図に対して水平であり且つ図の紙面方向にあってもよい(into the page of the drawing of the figure))は、水平及び垂直(或る態様では、ビアによるような垂直)の両方の多重相互接続の柔軟性を与え、或る態様に従って垂直パッチアンテナ、垂直蛇行アンテナ、垂直螺旋アンテナ及び同様のアンテナを構成する能力を提供する。
アンテナ要素(または図の紙面方向の(looking into the page of the drawing)アンテナアレイ)10318、10320は、SMD10324の上または内部に構成されてもよく、いくつかの態様において、スルーモールドビア10322を含んでもよい。このようなスルーモールドビアのいくつかを図に示し、そのうちの1つだけをここでは10325とした。図103において、要素10325は、半田ボールまたはモールドが構成の周りに充填されるめっきされたスタッドのようなその他の導電性要素であってもよい。ビア10325は、接続されたビア10321、10323、10325、10327などのアンテナ要素の一部であってもよく、ビア10321は、SMD10320内にあり、いくつかの態様において、共振目的のための追加のアンテナ長さの必要性に応じて、ビア10321、10323、10325、10327に実質的に垂直なトレースを有してもよい。
アンテナは、水平導電層10331によってダイ10306に接続されてもよい(図示しないが、実際には、10331は、ダイ10306への接続であってもよい)。ディスクリート要素10328が含まれてもよく、いくつかの態様において、シールド10330によってRFI/EMIからシールドされてもよい。アンテナまたはアンテナアレイ10318は、10320に示されているものと同様であるか、または同じであり、10320について説明されたものと同様の方法でダイに接続されてもよい。同様に、アイテム10318A、10320Aは、10318、10320と同様のSMDアンテナであり、SMDアンテナ10318、10320と同様の方法でダイ10306によって給電されてもよい。いくつかの態様において、アイテム10318、10320、10324は、図の紙面方向に(looking into the page of the figure)、アンテナアレイとしてアンテナボード(図示せず)上に構成されてもよい。同様の状況は、SMD10318A、10320Aにも当てはまる。従って、図103の組み合わせレベルB、C、およびE、ならびに10318、10320、10324が構成されるアンテナボードは、説明の態様に従って、パッケージ・オン・パッケージ構成を含む。いくつかの態様において、上記アンテナ要素は、場合に応じて、SMD10318、10320、10324、または10318’、10320’、10324’の中または中へ、関連するアンテナボードのうちの1つを通って進むことができる。
図104は、幾つかの態様による、再分配層を使用するパッケージ・オン・パッケージ埋め込みダイ無線システム(package-on-package embedded die radio system)を示す側面図である。基板10400は、アルファベット順のレベルAないしFを含み、その各々は、下記の表2に示される材料および/またはコンポーネントを含んでもよく、それらのいくつかまたは全ては、手元の設計に従って、種々の態様であってもよい。
Figure 2024059722000006
基板10400は、いくつかの態様において、レベルDに少なくとも1つの埋め込みダイ10406を含み、これは、幾つかの態様では、ダイからの信号を複数のパッケージに再分配する非常に薄い導電層を有する、ウェハレベルパッケージであってもよい。再分配に使用されるこのような非常に薄い導電層は、再分配層(RDL)と呼ばれることがある。さらに、例えば、基板10419の上部には、1つ以上のアンテナが、10416などの1つ以上の表面実装デバイスの上または内部にあってもよく、上述のように、ダイ10406から、相互接続部10421、10423、10425および基板中の利用可能なビア(図示せず)を介して、ならびに、いくつかの態様において、そのようなビアに接続され得るRDLによって給電されてもよい。
ダイ10406は、例えば、上述したようなフロープロセスによって、金型10418によってカプセル化されてもよい。種々のアンテナは、他の図を参照して上述したように、レベルA上に、またはレベルA内にあってもよい。レベルAは、いくつかの態様では、パッケージ・オン・パッケージ・システムをもたらすSIPのためにも使用され得る。さらに、ディスクリート部品10428は、幾つかの態様において、レベルAの上または内部であってもよく、所望に応じて、シールド10430によってRFI/EMIからシールドされてもよい。10432のような他の構成要素は、シールドを必要とせず、ある態様では提供され得るいかなるシールドの外であってもよい。
さらに、異なる層で接続を利用可能にする再分配層(RDL)が図に示されている。RDLのうちの2つは、10407、10409に示されるが、表2が示すように、それらは、いくつかの態様において、層Dの上部および下部に、および必要に応じた数であり得る。10415のような水平層は、非常に高密度のモールドレベルDに見られ、上述のように、いくつかの態様において、層間の追加の接続性およびダイ10406との接続性を提供し得る。
さらに、RLDは、10413-10413’におけるように、水平層間の垂直接続性を提供し得る。ここで、10413’は、RDLの垂直接続である。この点に関し、態様によっては、10413’のような導電性水平層をモールド内に非常に高密度に配置することを可能にする。
RDLは、いくつかの態様において、例えば10410で示されるシリコン・ダイ上に直接プリントされてもよく、これにより、ダイ10306からアンテナ又はアンテナ・アレイに信号を再分配するために、それらを超高密度にする。説明したRDL構成では、図103の10325のようなはんだボールビアのバンプは必要ではない。ダイ10406はそのままにしておき、RDLは信号分配に使用され、これは大きな利点を提供する。
再分配レイヤは、互いの上にスピンコーティングされ、非常に薄い材料と重合体とを用いて作製することができる。これにより、非常に微細なピッチビアおよび非常に微細なビア直径が可能になる。10407、10409などのRDLは、いくつかの態様において、はんだLGA/VGAパッド、または他のはんだ接点10440、10442、...、10450を介して、アンテナボード10412にはんだ付けされてもよい。アンテナボード10412は、別の基板の一部であってもよく、パッケージオンパッケージ構成で基板10400上に積み重ねられ、基板10400に物理的に接続されてもよい。アンテナボード10412にはアンテナが図示されていないが、このようなアンテナは、図100Aのパッケージ10007上のアンテナおよび図102のアンテナ10216’、10218’、10220’ならびに他の図のアンテナと同様であり得る。
図105は、幾つかの態様による、Z方向の高さを得るために、成形レイヤ(molded layers)に凹部を有する成形積層パッケージオンパッケージ埋め込みダイ無線システム(molded stacked package-on-package embedded die radio system)を示す側面図である。図105の基板10500は、図102のパッケージ10200と同様である。
いくつかの態様において、材料10501、10503、10505は、図102の材料10201、10203、10205と同一または同様であり得る。アンテナ10516、10516’、10516”およびディスクリート部品10528、10528’は、基板レイヤであってもよいレイヤ10501の第1の平行レイヤ上またはその内部に構成されてもよい。同様に、アンテナおよびディスクリート部品は、基板レイヤであってもよい第3の平行レイヤ10505の上または内部に構成されてもよい。いくつかの態様において、SIP10521は、パッケージオンパッケージ構成を含む、レベル10501、10503、10505、およびSIP10521の組み合わせであるレベル10505と物理的に接触し、それに接続されてもよい。
密に充填された導電性水平レイヤは、そのうちの2つが10502、10504とされ、レイヤ10501、10505内に構成されてもよい。しかし、図102とは異なり、幾つかの態様によれば、成形レイヤ10503には、導電性水平レイヤが全くまたはほとんどなくてもよい。図105は、いくつかの態様において、いくつかの態様において必要とされ得るz高さを調整するために材料10501の凹部10527内に配置され得るコネクタ10526を示す。図105は、説明の態様による、図102の複数のダイ10206、10208の代わりに、単一のダイ10506を示す。当業者には言うまでもないが、図102および図105のコンポーネントの一部または全部は、所望の解決法の要件に従って、任意の所与の態様に存在してもよく、およびいくつかの態様は複数の埋め込みダイを含み得る。モールド10524は、ダイ10506およびビア10514をカプセル化することができる。モールドには、水平相互接続レイヤはない、またはほとんどない。相互接続は、RDLによって行うことができる(図105には示されていないが、いくつかの態様において図103に示されている)。
図106は、非成形積層パッケージオンパッケージ埋め込みダイ無線システム(molded stacked package-on-package embedded die radio system)を示す側面図である。上述のように、10602のようなビアは、トレンチとしてダイを取り囲み、ファラデーケージシールドを提供することができる。追加のシールドが望ましい場合、またはビアが十分に密な形態で利用できない場合、機械的シールド10602が、いくつかの態様に従って、RFI/EMIシールドおよび熱拡散のために含まれてもよい。図106のモールド基板10600は、モールド10624と、図105の材料10501、10503、10505と同様であるかまたは同じ材料10601、10603、10605とを含む。機械的シールド10602は、いくつかの態様に従って、パッケージにはんだ付けされてもよい。はんだ付けされたシールドは、最終的にモールド(mold)にカプセル化される基板のコア内にはんだ付けする能力を示し、はんだの機能は、未成形シールド(unmolded shield)における大量生産には困難な機能である。幾つかの態様に従って、ダイ10606は、はんだボール10608によってボリューム10603の「ルーフ(roof)」表面にはんだ付けされ、この表面は、最終的にモールド材料によってカプセル化される。
図107は、幾つかの態様による、横方向に配置されたアンテナ又はアンテナアレイを有するパッケージ無線システム内の積み重ねられた極薄システムの斜視図である。図107のシステムの一態様の推定パラメータは、いくつかの態様について以下の表3に示される。
Figure 2024059722000007
図107において、パッケージ10700は、コアレス基板と呼ばれることがある基板10701、10703、10705の下の機械的シールド10709によってシールドされる、シールドダイ(shielded die)10706を含む極薄の用途を含む。いくつかの態様において、コアレス基板は、製造中に犠牲材料上に積層されるPrePregのみを使用する。従って、剛性の犠牲材料のために剛性が存在する。上述のコアベースの基板では、コア(犠牲ではない)が剛性を与え、従ってより厚くなる。
この文脈で使用される「コアレス」とは、(より厚い基板を含む)コアとは異なり、非常に薄い基板を意味する。材料10701、10703、10705は、コアレスまたはコアベースの基板のレイヤを形成することができる。材料10704は、性能のために、アンテナがより多くの体積を必要とするのでより厚い。いくつかの態様において、材料10701、10703、10705は、いくつかの態様に従って、極薄PrePregであり得る。
パッケージ10700は、さらに、いくつかの態様において、機械的シールド10708によってシールドされるコネクタ10707およびコンポーネント10710を含んでいてもよい。基板の上(top)と下(bottom)の要素は、Z次元とX次元のほとんどを占め、説明の態様では、アンテナを配置する余裕はほとんどない。その結果、アンテナは、いくつかの態様では、表面実装デバイスであってもよいアンテナボード10704、10704’を使用することによって、基板の両側に10702で横方向に配置されてもよい。
アンテナは、基板の上のSMD10704上に構成されるアンテナ要素10714-10714’、10716-10716’、および10718-10718’を含むアンテナアレイであってもよく、アンテナは、基板の下のSMD10704’上に構成されるアンテナ要素10722-10722’、10724-10724’、および10726-10726’を含むアンテナアレイであってもよい。極薄基板に隣接してアンテナを配置することにより、X-YおよびZ次元で追加の余地が提供され、これにより容積が増大し、上述のように、帯域幅および利得が向上し、損失が少なくなる。
2x4アレイを図示したが、当業者には言うまでもなく、所望のソリューションに応じて、基板の上部、底部または側面に、NxMアレイを構成してもよい。いくつかの態様において、アンテナアレイがSMDの上部、下部および側面に沿って配置される場合、放射方向は、アンテナ発射およびアンテナ極性のアルゴリズム制御に応じて、どの方向に制御されてもよい。
極薄用途の例は、GOOGLE(登録商標)GLASS(登録商標)、薄いヘッドセット、非常に薄いタブレットなどの非常に薄い領域に必要なアンテナであってもよく、ここでは、利用可能なリアルエステート(real estate)が非常に薄く、利用可能なリアルエステートがアンテナに使用される可能性は非常に低い。このような環境において、アンテナは、上述したようにパッケージに隣接して配置することができ、アンテナの種類または配置によるだけでなく、アンテナの発射シーケンスにより、無指向性アンテナを生成することができる。
アンテナおよびアレイは、ダイ10706によって供給され、超薄コアレス基板のさらなる利点は、より薄い材料のために、上述のように、より高密度のラインおよびビア(スペース的制約のため図示せず)が使用され得ることである。例えば、図100Aのビア10020および10022、ならびに図102のビア10219、10219’から分かるように、より厚い材料は、通常、通らなければならない厚さのため、より大きなビアを必要とする。他方、ほぼ毛髪サイズの直径のビアは、ビアが通るのに必要とされる距離がずっと短いため、極薄コアレス基板に具現化できる。
図108Aないし108Cは、いくつかの態様による、埋め込みダイパッケージを示す。2.4GHz、3.6GHz、4.9GHz、5GHz、および5.9GHzの周波数帯などのWi-Fi周波数で動作する場合、ダイ、給電ライン、およびアンテナの寸法は、WiGigまたは5GmmWave周波数帯で動作する場合よりも、上記の60GHzまたは他のWiGig範囲においてはるかに大きくなる。Wi-Fi周波数における寸法または給電ラインによって維持される電力損失は、WiGigまたは5GmmWave周波数で動作する場合、非常に大きくなり、いくつかの態様では、本質的に耐えられなくなる。
従って、主に、機能を低減したダイに非常に近接して配置された少数の「専用」アンテナアレイグループに必要な電子的機能のみを、ダイに実質的に組み込むことによってダイのサイズを低減すると、供給ライン相互接続が非常に短くなり、従って電力損失が低減し得る。換言すれば、ダイのサイズおよび形状は、主に、ダイがサービスする専用アンテナの数および電子信号要件によって設定される。いくつかの態様において、電子信号要件は、信号パラメータのうち、1つ以上の極性の信号、1つ以上の周波数範囲の信号、1つ以上の振幅の信号、または所与の電力の信号を含むことができる。
前述のように、この機能の低減により、ダイのサイズを低減することが可能になり、それにより、ダイを、これらの電子信号を使用する専用アンテナまたはアンテナグループに非常に近接して配置されることを可能にする。これにより、給電ライン・ルーティングがより短くなり、電力損失が相応に低くなる。幾つかの態様では、大型ダイは、直列の非常に小さなダイに縮小され、その各々は、基板の上部及び/又は底部の専用アンテナ又は専用アンテナアレイに給電し、その結果、非常に近接し、従って、非常に短く且つ低損失の相互接続となる。いくつかの態様において、これは、ダイの制限された電子的機能を使用するアンテナアレイに物理的に非常に近い基板中の基板位置に、ダイを埋め込むことによって行うことができる。
このような態様の1つが、図108Aに見られ、いくつかの態様によれば、複数のダイが、特定のダイのそれぞれの機能を使用するアンテナの上下の基板に埋め込まれている。図108Aでは、いくつかの態様では、ダイ10809および10810におけるような関連ディスクリート部品が、パッケージ10801に埋め込まれる。アンテナ10803および10811は、基板10801の上部および下部に構成されるが、パッケージが存在する移動装置の向きに応じて、アンテナが適切な方向に送信する必要があるためである。
ダイとアンテナが近接しているため、非常に短い給電機構(この図には示されていない)が、ダイとアンテナ10803および10811とを相互接続する。さらに、空間条件が要求する場合、1つのダイが基板の一方の側のアンテナ(またはアンテナアレイ)に給電するように構成することができ、第2のダイは基板の他方の側の第2のアンテナ(またはアンテナアレイ)に給電するように構成することができ、アンテナまたはアンテナアレイの2組は、適切な制御プログラムによってアルゴリズム的に駆動することができる。
例えば、図108Aにおいて、ダイ10809は、アンテナ10803を駆動することができ、一方、ダイ10809’は、アンテナ10803および10811’が所望のシーケンス、すなわち偏波、または方向において発射する、アルゴリズム的に制御されたプログラムにおいて、アンテナ10811’を駆動することができる。言い換えると、1つの大きなダイは、複数の小さなダイに構成されて、その複数の小さなダイに近接して接続されるアンテナを制御し、手元の設計の要件を満たすために任意の所望のシーケンスで発射するようにプログラムされてもよい。
アイテム10813、10813’は、はんだボール、ビア、スラグ、または密に間隔をあけて配置され、上述したようなダイのRFI/EMIシールド用のファラデーケージを形成するように構成された他の接点のような接点とすることができる。他の形態のシールドも使用でき、例えば、ビア、またはダイおよび関連コンポーネントの全周をシールドするため導電性材料でメッキされたトレンチさえも使用できる。
基板10801内に埋め込まれたシールドダイおよび関連部品と、専用アンテナ10803、10819とのこの組み合わせは、埋め込みダイ専用アンテナのコンビネーション10801-1を含む。このような埋め込みダイ専用アンテナコンビネーション10801-1、10801-2、10801-3、...、10801-Nがあり得る。
図108Aでは、Nは4に等しいが、任意の適切な数のこのような組み合わせをパッケージ内に実装してもよく、いくつかの態様では、単一のパッケージのみを含む複数ダイ埋込サブシステム(multi-embeddd die sub-system)10800を形成することができる。他の態様では、パッケージオンパッケージの態様に関して上述したように、複数のパッケージを積み重ねることができる。各ダイは、アルゴリズム制御を介して互いに通信し、移動デバイスの方向、および場合に応じて所望の偏波またはダイバーシティに応じて、所与の時間にどのアンテナまたはアンテナアレイが発射するかを決定する。他の態様では、縮小サイズ、縮小機能およびアンテナ限定ダイ(ダイに非常に近接して配置された専用アンテナまたはアンテナをサービスするという意味でアンテナ限定のもの)の概念は、本明細書で説明した態様の埋め込みダイに限定されず、上述したタイプの積み重ねパッケージを使用する態様で実装することもできる。
図108Bは、幾つかの態様では、図108Aのパッケージ10801の上部に構成された、N個の専用アンテナアレイ10801’-1、10801’-2、10801’-3、10801’-Nの上面図10802を示す(ここで、N=4である)。アンテナアレイ10803A-19803Bは、専用アンテナアレイ10802を含み、これは、図108Aの埋め込みダイ専用アンテナコンビネーション(embedded die-dedicated antenna combination)10801-1の一部であり得るアンテナアレイを示す。図108Cは、幾つかの態様による、図108Aの表面10819の底部に構成されたN個の専用2x4アンテナアレイの底面図10804で示す。
2つのアンテナまたはアンテナアレイを有する10801-1のような埋め込みダイ専用アンテナコンビネーションが図示され、説明されている態様であるが、他の態様では、他のコンビネーションも実現可能である。例えば、単一のダイは、2つ以上の専用アンテナまたはアンテナアレイに給電することができ、次いで、ダイはそれに応じて電子的に構成され得る。このような場合、専用ダイは、アンテナが非常に短い給電ラインで給電されることを可能にするために、専用アンテナと合理的に可能な限り近接して維持される。
さらに、ダイの受信器の低雑音増幅器(LNA)の前、またはダイの送信器の電力増幅器(PA)の後の電力損失は大きな損失であることを理解することが重要である。これを防ぐために、アンテナへの接続は一般に非常に短く保たれる。換言すれば、ダイ内の損失は、RFチェーンがダイのトランシーバのPAを離れた後、またはRFチェーンがダイのトランシーバのLNAによって増幅される前における損失ほど、システムに対して大きな負の影響を及ぼさない。なぜなら、これらの後者の場合(PA後およびLNA前)の損失は、システム全体の信号対雑音比に深刻な負の影響を及ぼす可能性があるからである。従って、ダイとアンテナとの間の相互接続が非常に短いことが極めて重要であり、本明細書に記載する埋め込みダイ専用アンテナの態様をもたらす。従って、説明された態様は、アンテナに近接したダイの空間的位置を提供する。
パッケージの積み重ねおよび互いの上にコンポーネントを積み重ねることの重要な利点は、複数の無線器および複数のシステムが互いの上に積み重ねられることを可能にすることである。ある態様では、アンテナは、Wi-Fi周波数帯域内で動作するWi-Fiシステムの無線に結合されてもよく、スタックされたパッケージ構成の同一または異なるパッケージ内の他のアンテナは、mmWave Wireless Gigabit (WiGig)システムの無線に結合されてもよく、ある態様では、同じダイがWi-Fiシステム構成およびmmWave WiGigシステム構成を有してもよい。
いくつかの態様において、ダイは、実際には、複数のダイを含んでいてもよく、例えば、第1のグループのアンテナに接続されたWi-Fi動作のために構成された第1のダイと、第2のグループのアンテナに接続されたmmWave WiGig動作のために構成された第2のダイを含んでいてもよい。上述のように、ダイは、パッケージオンパッケージ構成の同じパッケージに含まれてもよいし、またはパッケージオンパッケージ構成の異なるパッケージに含まれてもよい。さらに、パッケージオンパッケージ構成におけるアンテナ要素のオーバーレイのために、パッチ要素などのアンテナアレイが互いに対向する場合、および複数のアンテナが一緒に放射するように制御される場合、放射線は、エッジファイア動作において横向きになり得る。さらに、幾つかの態様において、パッケージの対向する側のアンテナアレイの放射は、反対方向に、たとえ180度の角度対向であっても、放射するようにアルゴリズム的に制御することができ、幾つかの態様において、パッケージの対向する側のアンテナアレイの放射は、同じ方向で放射するようにアルゴリズム的に制御することができる。
ミリ波周波数帯域で利用可能な広い帯域幅は、毎秒ギガビットのデータレートを必要とする無線バックホールなどのアプリケーションにとって特に興味深い。FCC(Federal Communications Commission)は最近、64GHzから71GHzのスペクトルを5Gユースケースに開放し、各々2.16GHzの帯域幅を持つ6つの周波数チャネルの使用を可能にした。従って、無線フロントエンドと空中線インターフェース(air interface)とをインターフェースするアンテナは、大きな周波数帯域幅にわたって動作しなければならない。
広い帯域幅を有するプリントアンテナアレイの設計に存在する課題に対処するために、厚い基板を積み重ね共振器と組み合わせて使用して、特定のプリントアンテナの帯域幅を広げることができる。いくつかの態様では、積み重ねられたパッチアンテナを用いて、アンテナ帯域幅を向上させることができる。より具体的には、2つの垂直に積み重ねられたパッチアンテナ(またはパッチ)は、結合共振器として動作することができ、ここで、2つの共振器間の結合は、アンテナのインピーダンス帯域幅を調整するように制御することができる。
カップリングは、種々の基板厚さを用いて、磁気的性質を有するカップリングを制御することによって制御することができる。特に、積み重ねられたパッチ間の高さの増加(すなわち、基板厚の増加と同等なもの)により、より広い帯域幅をもたらし得る。積み重ねられた共振器間のより厚い基板は、一般に、アンテナ要素のより広い有効帯域幅をもたらし得るが、基板厚の増加は、プリントされたフェーズドアレイの視野においてヌルの走査(scanning nulls)を生じ得る。本明細書で説明される態様はそのような課題に対処し、アンテナ帯域幅を広げるために3つ以上の容量結合共振器を有する積層リング共振器(SRR)アンテナを含む。
図109は、幾つかの態様による、例示的な積層リング共振器(SRR)アンテナパッケージセルの側面図のブロック図を示す。アンテナパッケージセルは、図3Aに示されるmmWave通信回路300のアンテナアレイ回路330に組み込まれてもよいが、アンテナパッケージセルは、そのようなものに限定されない。
図109を参照すると、SRRアンテナパッケージ10900が示され、これは多層PCB上に実装することができる。SRRアンテナパッケージ10900は第1の金属化レイヤを含むことができ、これは接地面10902を形成することができる。SRRアンテナパッケージ10900は、さらに、単一リング共振器10906を形成することができる第2の金属化レイヤを含むことができる。第3の金属化レイヤは、リング共振器10910および10912のような追加のリング共振器を形成することができる。図109は、第3の金属化レイヤ内に2つのリング共振器を示すが、本開示はこれに関して限定されず、他の構成のリング共振器を使用することができる。例えば、図111に示すように、3番目のレイヤに4つのリング共振器を使用することができる。
いくつかの態様では、接地面レイヤ10902は、1つまたは複数のPCBレイヤ10904によって単一共振器10906から分離され、単一共振器10906は、1つまたは複数の追加のPCBレイヤ10908によってリング共振器10910および10912から分離される。いくつかの態様において、単一リング共振器10906は、リング共振器10910および10912に容量的に結合され、リング共振器10910および10912は、互いに容量的に結合され得る。この点に関し、SRRアンテナパッケージ10900の帯域幅は、PCBレイヤ10904および10908の厚さを調整することによって、ならびに共平面リング共振器10910および10912の間の距離を調整することによって、共振器リング間の容量性結合を変えるように制御することができる。
いくつかの態様において、複数のアンテナパッケージ(SRRアンテナパッケージ10900など)は、図114に示されるように、大規模ミリ波フェーズドアンテナアレイなどのアンテナアレイ内のアンテナセルとして使用することができる。
図110は、幾つかの態様において、図109のアンテナパッケージセルの1つ以上のレイヤで使用することができる例示的なリング共振器を示す。本明細書に開示されるリング共振器は、アンテナパッケージセルの一部であってもよく、共振器は、アンテナパッケージの1つ以上のレイヤを占有し、アンテナパッケージセルを介して受信または送信される信号を増幅および/またはそれと共振する。図110を参照すると、SRRアンテナパッケージ10900の第2の金属化レイヤの単一リング共振器10906と、SRRアンテナパッケージ10900の第3の金属化レイヤからの共平面容量結合リング共振器10910および10912とが示されている。いくつかの態様において、SRRアンテナパッケージ10900は、アンテナポート11000において単一の給電線を使用することができ、これは単一リング共振器10906に結合され、単一の直線偏波を生成し得る。
図111は、幾つかの態様において、図109のアンテナパッケージセルの1つ以上のレイヤで使用することができる、異なる偏波を利用する複数の給電線を有する例示的なリング共振器を示す。図111を参照すると、SRRアンテナパッケージ10900の第2の金属化レイヤで使用され得る単一リング共振器11102が図示されている。いくつかの態様において、SRRアンテナパッケージ10900の第3の金属化レイヤは、複数の共平面結合リング共振器11104を含むことができる。より具体的には、複数の共振器11104は、リング共振器11106、11108、11110、および11112を含むことができ、これらは、互いに、ならびに単一リング共振器11102に容量的に結合することができる。
いくつかの態様において、SRRアンテナパッケージ10900は、単一リング共振器11102において二重給電線給電アンテナポート11114および11116を使用して、2つの直線直交偏波を生成することができる。図111に見られるように、アンテナポート11114は、水平信号偏波に使用することができ、アンテナポート11116は、垂直信号偏波に使用することができる。
図112は、いくつかの態様による、図109のSRRアンテナのEプレーン内の電場線11200を示す。図112を参照すると、SRRアンテナパッケージ10900の第1、第2および第3の金属化レイヤ間に形成される電場線11200が図示されている。より具体的には、電場線11200は、接地面11202、(第2の金属化レイヤ上の)単一リング共振器11204、および2つの共平面リング共振器11206、11208の間の容量性結合によって形成される。図112はまた、単一リング共振器11204上のアンテナ給電ポート11210も示す。
図113は、いくつかの態様による、図109のSRRアンテナパッケージセルの反射係数およびボアサイト実現利得(boresight realized gain)のグラフである。より具体的には、図113は、図111のSRRアンテナトポロジのシミュレーション性能に基づく、反射係数グラフ502(戻り損失を示す)およびボアサイト実現利得グラフ504を示す。図113に見られるように、10dB戻り損失帯域幅(10dB return loss bandwidth)は、55GHzから74GHzまで、すなわち19GHzの帯域幅に及ぶ。
さらに、3dBボアサイト実現利得帯域幅は、54GHzから69GHzまで、すなわち15GHzの帯域幅に及ぶ。図113に見られるように、70GHzを超えると、ボアサイト利得は急速に低下し始め、その時点で、要素はもはやブロードサイド型の放射挙動を示さない。したがって、SRRアンテナパッケージ(例えば、10900)は、ブロードサイドで放射しながら、55GHz-69GHzの実効帯域幅内で利用されてもよい。上半球において指向性ビームを生成するため、フェーズドアレイ応用においては、ブロードサイドパターンが興味深い。また、SRRアンテナパッケージ(例えば、10900)は、用途に応じて、より具体的な周波数帯をカバーするように、周波数を拡大または縮小することができる。
図114は、いくつかの態様による、図109のSRRアンテナパッケージセルを使用する例示的アンテナアレイのブロック図を示す。図114を参照すると、アンテナアレイ11400は、図109のSRRアンテナパッケージ10900と同様の複数のアンテナパッケージセルを含む、大規模ミリ波フェーズドアレイアンテナである。いくつかの態様において、アンテナアレイ11400は、任意の数のSRRアンテナパッケージセル(例えば、4×4、8×8、および16×16)を含む、タイル構成で配置されたSRRアンテナパッケージセルの配列を含む。特定の電場(E-フィールド)ベクトル(図114に図示される)および特定の磁界(M-フィールド)ベクトル(図114に図示されていない)が、アンテナアレイ11400(ならびに各SRRアンテナパッケージセル(例えば、SRRアンテナパッケージ10900))と関連付けられる。
アンテナアレイ11400は、SRRアンテナパッケージ10900などの複数のSRRアンテナパッケージを使用して形成することができる。図114は、アンテナアレイ11400内の第2の金属化レイヤ11402および第3の金属化レイヤ11408を示す。第2の金属化レイヤ11402は、複数の単一リング共振器11404を含む。単一のリング共振器11404のそれぞれは、第3の金属化レイヤ11408内に対応するリング共振器11410のセット(例えば、4つの共面容量結合リング共振器)を有する。
いくつかの態様では、レイヤ11402および11408内のリング共振器の要素間間隔は、0.5λに設定することができるが、アンテナアレイ11400の走査範囲要件に基づいて変更されてもよい。
いくつかの態様では、ビルドアップパッケージ上の金属密度を等しくするために、非共振ダイポール(またはダミー金属ストリップ)11406および11412を隣接する共振器素子間に追加することができる。アンテナアレイ11400において、SRRアンテナ共振器(例えば、11404)の各々は、単一のアンテナポートから給電され、単一の直線偏波(いくつかの例では、二重偏波を代わりに使用することができる)を形成することができる。図114に見られるように、非共振ダイポール11406および11412は、放射要素と非共振ダイポールとの間の結合を低減するために、電場ベクトルに対して直交している。
図116は、いくつかの態様による、図109のSRRアンテナパッケージセルの積み重ねのブロック図を示す。SRRアンテナパッケージセル11600は、それぞれ11604-11622として参照される10個の基板レイヤ(M1-M10)を用いて形成することができる。SRRアンテナパッケージセル11600は、上基板レイヤ11622上に配置される共面リング共振器11636、基板レイヤ11618内に配置される単一リング共振器11634、基板レイヤ11614内に配置されるアンテナ接地面11632、基板レイヤ11612内に配置されるアンテナ給電部11630、基板レイヤ11616、11618、11620および11622上に配置される非共振ダイポール11638、および基板レイヤ11604および11614の間に配置されるインピーダンストランスフォーマ(例えば、同軸インピーダンストランスフォーマ11640)を含む。
SRRアンテナパッケージセル11600は、信号ルーティングを提供する10レイヤの基板レイヤを含むが、態様はこれに限定されず、アンテナパッケージセル11600は、異なる数の基板レイヤを含むことができる。いくつかの態様では、アンテナパッケージセル11600の基板レイヤ(例えば11604~11612)は、アンテナパッケージセル11600の反りを軽減するために積み重ね対称性を提供する。SRRアンテナパッケージセル11600は、PCBのような表面上に実装されてもよい。
いくつかの態様において、SRRアンテナパッケージセル11600は、アンテナアレイのサブアレイ(例えば、図114に見られるようなフェーズドアンテナアレイ)の一部としてのサブアレイ要素である。ある態様では、SRRアンテナパッケージセル11600は、同軸インピーダンストランスフォーマ11640を介して、集積回路、例えば高周波集積回路11602、の複数のポートのうちの1つに結合される。しかし、態様はこれに限定されず、SRRアンテナパッケージセル11600は、より大きいまたはより小さいサブアレイのサブアレイ要素でもあってもよく、他の方法を介してRFICに結合してもよい。さらに、いくつかの態様において、各サブアレイは、フェーズドアレイアンテナ(例えば、大規模mmWave通信のためのフェーズドアレイアンテナ)を構成するように構成され得る。
ある態様では、アンテナ給電11630は、基板レイヤ11614上の接地面に隣接して、基板レイヤ11612上に配置される。さらに、いくつかの態様において、アンテナ給電11630は、インピーダンストランスフォーマ11640に結合される。インピーダンストランスフォーマ11640に結合することによって、アンテナ給電部11630は、SRRアンテナパッケージセル11600による送信のためにRF信号を受信するか、またはアンテナ給電部11630にRF信号、例えば、SRRアンテナパッケージセル11600によって受信されたRF信号を送信することができる。いくつかの態様において、インピーダンストランスフォーマは、複数の基板レイヤ(例えば、基板レイヤ11604-11612)内に配置される複数のビアを含む。このようなビアは、複数の基板レイヤ(例えば、基板レイヤ11604-11612)を介して、RFIC11602(例えば、RFICバンプ11603を介して)をアンテナ給電部11630に結合することができる。特に、インピーダンストランスフォーマ11640のビアは、RFIC11602をアンテナ給電部11630に結合する1つのビアを含むことができる。
いくつかの態様において、SRRアンテナパッケージセル11600のアンテナ給電11603は、25Ωのストリップラインで設計された等相給電分配ネットワーク(equiphase feed distribution network)から給電され得る。従来の50Ωのストリップラインと比較してストリップラインへのオーミック損失を低減するために、インピーダンスシステムを25Ωと選択することができる。
いくつかの態様では、SRRアンテナパッケージセル11600は、基板レイヤ(例えば、レイヤ11616-11622のうちの1つまたは複数)上に配置された複数の非共振ダイポール11638をさらに含む。いくつかの態様において、非共振ダイポール11638は、反りを緩和し得るSRRアンテナパッケージセル11600の金属密度を増加し得る。さらに、非共振ダイポール11638は、SRRアンテナパッケージセル11600の電場に直交して、基板レイヤ11616-11622のうちの1つまたは複数の上に配置されて、非共振を確実にすることができる。
いくつかの態様において、RFIC11602は、リング共振器11636および11634、アンテナ給電11630、およびインピーダンストランスフォーマ11640から、SRRアンテナパッケージセル11600に対するRF信号を受信するように構成される。さらに、いくつかの態様では、RFIC11602は、SRRアンテナパッケージセル11600から、インピーダンストランスフォーマ11640、アンテナ給電11630、およびリング共振器11634および11636を介してRF信号を送信するように構成される。いくつかの態様では、態様はこれに限定されないが、RFIC11602は、フリップチップ取り付けによってSRRアンテナパッケージセル11600に取り付けられる。RFIC11602は、(例えば、無線通信装置内の)SRRアンテナパッケージセル11600の一部であってもよく、またはSRRアンテナパッケージセル11600から分離されて、SRRアンテナパッケージセル11600に動作可能に結合されてもよい。さらに、ある態様では、RFIC11602は、SRRアンテナパッケージセル11600から送信され、SRRアンテナパッケージセル11600によって受信される通信信号を処理するため、制御信号およびベースバンド信号を受信するように制御およびベースバンド回路に動作可能に結合することができる。
図115は、幾つかの態様による例示的なSRRアンテナパッケージセル(例えば、11600)を構成する一組のレイヤ11500を示す。より具体的には、図示された基板レイヤ11502-11520は、図116の基板レイヤ11604-11622に対応する。図115に見られるように、共平面リング共振器11528は、上部基板レイヤ11520内に配置され、一方、単一リング共振器11526は、基板レイヤ11516内に配置され、積み重ねられたリング共振器のセットを形成する。単一リング共振器11526は、基板レイヤ11510に位置するアンテナ給電部11522に結合されるアンテナポート11524を含む。
いくつかの態様では、SRRアンテナパッケージセル11600は、基板レイヤ11514-11520上に配置された複数の非共振ダイポール11530をさらに含む。図116と同様に、非共振ダイポール11530は、基板レイヤ11514-11520間の金属密度を増加させることによって、SRRアンテナパッケージセル11600の反りを緩和するために使用され得る。非共振ダイポール11530は、非共振を確実にするために、SRRアンテナパッケージセル11600の電場に直交して配置され得る。
図117は、いくつかの態様では、図109のSRRアンテナパッケージセルのための給電線として使用することができる複数のストリップラインのブロック図を示す。図117を参照すると、接地平面レイヤ間に配置された、基板レイヤM5(または図115の11510)の給電線の別の図11700が図示されている。より具体的には、レイヤM5は、接地面レイヤM4(11508)とM6(11512)との間に配置された複数のストリップ線(例えば、11702)を含むことができる。例えば、図117は、接地平面レイヤのうちの1つ(例えば、M4または11508)の金属化表面11708を示す。ストリップライン11702は、非金属化領域11710内に配置され、複数の接地ビア11704によって遮蔽され得る。いくつかの態様において、各ストリップライン11702は、25Ωのストリップラインであり得る。参照番号11702は、図117における2つのストリップラインのみに接続されているが、図117に示される残りのストリップラインも参照番号11702で参照される(同様に、11704は図示されたすべての接地ビアを指し、11706はすべてのストリップラインベンドを指し、11710は、図117におけるすべての非金属化領域を指す)。
SRRアンテナパッケージセル11600の給電ネットワークは、ミリ波周波数領域(mmWave frequency regime)におけるRFIC11602と放射素子(例えば11634および11636)との間の損失の原因となり得る。いくつかの態様において、アンテナアレイ内の各SRRアンテナパッケージセル(例えば、複数のSRRアンテナパッケージセルを使用する図114に示されるアレイ)に対する各25Ωストリップライン11702は、アレイ内のすべてのアンテナ要素に対して同じ挿入位相を保証するために同じ長さであり得る。さらに、アンテナアレイ内の各SRRアンテナパッケージセルに対する各25Ωのストリップライン11702は、(例えば、オーバーモーディングを防止するために)接地ビア11704によって遮蔽されてもよい。さらに、アンテナアレイ内の各SRRアンテナパッケージセルに対する各25Ωストリップライン11702は、アンテナアレイの全ての給電ラインに対する周波数に関して平坦な挿入位相応答を保証するために、なめらかな曲げ(例えば、曲げ11706は、鋭い角度の曲げを含まない)を有するPCBパッケージにルーティングされ得る。
RF通信システムは、しばしば、半導体ダイ上に形成されるサブシステム(例えば、電圧制御発振器(VCO)、電力増幅器、トランシーバ、モデム、アンテナサブシステムなど)を利用する。携帯機器に適用される無線通信規格数の増加は、アンテナに対する大きな設計課題を引き起こす可能性がある。アンテナは、携帯機器内の他のコンポーネントとは根本的に異なる可能性のあるコンポーネントのカテゴリーを表す。例えば、アンテナは、自由空間において効率的に放射するように構成されてもよく、一方、他のコンポーネントは、それらの周囲から分離されてもよい。
ミリメートル波(mmWave)周波数で動作するアンテナ(高データレート短距離リンク用)は、人気を集めると予想される。ここで動作する通信システムの一例は、無線ギガビットアライアンス(WiGig)と呼ばれる、適切な回路、論理、インターフェースおよび/またはコード波周波数(code-wave frequencies)を含んでもよく、これは、60GHz周波数帯域で動作する。加えて、mmWave無線システムの利用は、5Gセルラ無線のような標準で大きな役割を果たすことが予測される。典型的には、これらの短距離mm波無線システムは、送信機と受信アンテナとの間の視線(LOS)が妨害されないことを必要とする。LOS要件では、送信アンテナと受信アンテナの方向は、無線リンクを最大化するために、それぞれのメインローブを互いに向き合わせる必要がある。ラップトップコンピュータ、タブレット、スマートフォンなどのようなモバイル機器用の現在のアンテナ設計は、カバレッジが制限されており、ミリ波動作周波数で大きな損失を被る。さらに、適切な回路、論理、インターフェース及び/又はコード波通信システムを含んでもよく、しばしば、アンテナシステム内で導波路を使用することができる。通信のための導波路の使用は、適切な回路、論理、インターフェース及び/又は符号波信号を含むことができるが、コストがかかり得る精密な微細加工されたコンポーネントを伴う。
本明細書に記載の導波路構造は、図3Aに示されるミリ波通信回路300のアンテナアレイ回路330に組み込むことができるが、導波路構造はこれに限定されない。図118Aは、幾つかの態様による複数の導波路アンテナを使用する例示的な移動装置を示す。図118Aを参照すると、移動装置11800は、導波路11808を介して信号を無線で送信または受信するために使用され得る無線周波数フロントエンドモジュール11802を含むことができる。いくつかの態様において、導波路11808は、デバイス11800の内部およびデバイス11800の外部で、ミリ波無線信号などの無線信号(例えば、WiGigまたは5Gセルラ信号)を通信するために使用され得る。図118Aに見られるように、4つの別個の導波路11808は、アンテナとして使用することができ、デバイス11800の外側の異なるアンテナローブ内の信号を案内する。
図118Bは、幾つかの態様による導波路遷移要素を有する例示的な無線周波数フロントエンドモジュールを示す。図118Bを参照すると、RFEM11802は、トランシーバサブシステム11804、遷移構造11806、および導波路11808を含む。いくつかの態様において、無線信号は、トランジション構造11806を介してトランシーバ11804と導波路11808との間で通信され得る。トランジション構造11806は、トランシーバ11804と導波路11808との間で無線信号を通信するストリップライン間の遷移に使用することができる。
導波路11808は、導電性材料(例えば、金属被覆テフロン(登録商標)材料または他の材料)で被覆された低損失プラスチック材料で作ることができる。遷移構造11806は、接地されたコネクタシャーシの内部に給電プローブを有する微細加工コネクタまたはアダプタを使用してもよい。使用可能な信号給電技術は、導波路の内部に配置されたマイクロストリップパッチアンテナの近接場結合を含む。しかし、遷移構造11806は、微細加工されたコネクタまたはアダプタのため、製造コストがかかることがある。
いくつかの態様において、異なるタイプの導波路遷移構造(図119A-123を参照して本明細書に開示されるようなもの)が、PCB(または別の基板)上の伝送ラインから導波路に無線信号(例えば、mmWave無線信号)を給電するために使用されてもよい。遷移構造は、多レイヤPCB上の平面伝送ラインに接続された給電プローブ(例えば、電場または磁界給電プローブ)を含むことができる。導波路遷移構造のより大きな部分は、PCBと導波路との間の接地接続、ならびに、PCBに取り付けられた金属アダプタで実現可能な、導波路への機械的取り付けおよび機械的支持を含むことができる。アダプタは、PCBにはんだ付けまたは(図から分かるように)ネジを使用して取り付けることができる。遷移構造の最小部分(例えば、給電プローブ)がPCB内に実装されるので、提案した導波路遷移構造設計は、機械にとって困難で費用がかかる小さくて公差に敏感な部品を利用する必要がないかもしれない。
図119Aおよび図119Bは、いくつかの態様によるPCBと導波路アンテナとの間を遷移するための導波路構造の斜視図を示す。図119Aを参照すると、PCB11902と導波路11906との間の遷移に使用されるアダプタ11904の分解図11900が図示されている。PCB11902は、接地レイヤ11910Aと11910Bとの間の複数レイヤ11908を含むことができる。伝送ライン11918は、PCB11902の片側(例えば、レイヤ11910A)に配置することができ、導波路11906とトランシーバサブシステム(例えば、11804)との間でミリ波無線信号を伝送するために使用することができる。伝送ライン11918は、マイクロストリップライン、ストリップライン、または共平面導波路伝送ラインを含む平面伝送ラインとすることができる。いくつかの態様において、伝送ライン11918は、グラウンドバック共平面(ground-backed coplanar)導波路(CPW)伝送ラインであり得る。幾つかの態様では、伝送ライン11918は、同軸または別の導波路のような非平面型であってもよい。さらに、伝送線路11918は、基板レイヤ11908のDL電気レイヤによって接地面(例えばレイヤ11910A)から分離されている導電性コンポーネントを含むことができる。伝送線路11918は、(例えば、図120A~図120Bに示すように)導波路11906との間で信号をやり取りするためのフィードプローブを含み得る。
PCB11902はさらに、切欠き11912を含み、これは、PCB11902および導波路11906がアダプタ11904を介して取り付けられたときに、導波路11906を受けるために使用することができる。アダプタ11904は、ネジ11914を介してまたは他の手段を介してPCB11902に取り付けられてもよい(例えば、アダプタ11904は、他の手段を介してPCB11902に接着または取り付けられてもよい)。
導波路11906は、低損失材料(テフロン(登録商標)など)で作製することができ、金属化(または金属)レイヤ11916によって覆うことができる。アダプタ11904は、金属から製造することができ、PCB11902および導波路11906が、アダプタ11904を介して結合されるとき、金属化レイヤ11916が、PCB11902の接地レイヤ(例えば、11910Aおよび11910B)に結合され得る。図119Bは、PCB11902、アダプタ11904、および導波路11906の組み立て図11920を示す。
図120A、図120B、および図120Cは、幾つかの態様による、図119A-119Bの導波路遷移構造の様々な断面を示す。図120Aを参照すると、PCB11902と導波路11906に取り付けられるアダプタ11904の断面12000が示されている。いくつかの態様において、PCB11902は、接地ビアフェンス12010を形成する複数のビアを含むことができる。接地ビアフェンス12010の少なくとも一部は、接地レイヤ11910Aおよび11910Bを結合することができる。
図120Bおよび図120Cは、ねじ11914を使用してPCB11902および導波路11906に取り付けられたアダプタ11904を含む導波路構造の追加のビュー12002および12004を示す。ビュー12002に見られるように、アダプタ11904は、PCB11902上に実装されたとき、伝送ライン11918を受けるための開口12016を含むことができる。いくつかの態様において、PCB11902は、さらに、PCB11902を通るメッキされた1以上のビアおよび給電プローブ12012を形成する伝送ライン11918を含むことができる。給電プローブ12012は、給電線11918と導波路11906との間で無線信号を通信するために使用することができる。この点に関し、伝送ライン11918の接地部分は、金属アダプタ11904を介して導波路の接地部分(例えば、金属化レイヤ11916)と、接地ビアフェンス12010(例えば、電気接点は、接地レイヤ11910A、11910B、接地ビアフェンス12010、金属アダプタ11904、および導波路11906の金属化レイヤ11916との間に形成される)とに結合され得る。
いくつかの態様において、PCB伝送ライン-導波路遷移アダプタ11904は、PCB11902および導波路11906がアダプタ11904に取り付けられる場合、PCB11902のエッジ(例えば、給電プローブ12012の位置に近いPCBのエッジ)と、導波路11906のエッジとの間に形成される空隙12014をさらに含むことができる。空隙12014は、インピーダンス整合を目的として設定可能な寸法(例えば、幅、高さ、および/または深さ)を有することができる。
図121A、図121B、および図121Cは、幾つかの態様によるインピーダンス整合空洞を含む、図119A-119Bの導波路遷移構造(waveguide transitioning structure)の様々な斜視図を示す。図121A、図121B、および図121Cを参照すると、PCB11902、アダプタ11904、および導波路11906を含む導波路遷移構造の追加図12100、12102、および12104が図示されている。より具体的には、図12102および12104は、フェンス12010および給電プローブ12012を介した接地に対する空隙12014の位置を示す。
図122は、幾つかの態様による、PCBおよび導波路が図119A-119Bの導波路遷移構造を介して取り付けられているときの空気キャビティの他のビューを示す。図122を参照すると、ダイアグラム12200は、PCB11902、空隙(または空洞)12014、およびテフロン(登録商標)導波路11906の相対誘電率(Er)を示す。より具体的には、相対誘電率Er=1は、空隙12014内の空気と関連しており、相対誘電率Er=3は、PCB11902および導波路11906と関連している。図122に見られるように、インターフェース境界(例えば、PCB11902と空隙12014との間の境界、および空隙12014と導波路11906との間の境界)における反射信号12202および12204は、PCB11902と導波路11906との間を伝搬する2つの信号波のインピーダンスとみなすことができる。従って、空隙12014の寸法を調整することにより、インピーダンス整合を目的としてインピーダンスを変化させることができる。
図123は、いくつかの態様による、空隙幅に関する反射係数値のシミュレーション結果のグラフ的表現を示す。より具体的には、ダイアグラム12300は、空隙12014の幅に対する反射係数S11の値を示す例示的なシミュレーション結果を示す。図123に見られるように、空隙12014は、アダプタ11904を用いて遷移構造のインピーダンス整合を最適化するために使用され得る。いくつかの態様において、幅以外の空隙寸法、または空隙形状は、インピーダンス整合の目的のために変更されてもよい。
偏波多入力多出力(MIMO)アンテナ構造と偏波ダイバーシティは、将来の5Gミリメートル波無線システムにとって非常に高いデータレートを可能にする鍵の1つとなることが計画されている。このことは、mmWave無線通信システムにおける使用に適した二重偏波アンテナ及びアンテナアレイの必要性を生み出す。
従来のソリューションは、二重偏波放射応答を生成するための複雑な、または比較的複雑な給電ネットワークまたはアンテナパターン形状を有する様々なタイプの平面マイクロストリップおよびプリントダイポールアンテナを含む。5GおよびWIGIG偏波MIMOシステムに対して最適または改善された特性を提供するために、アンテナは、信号給電ポート間の高分離でほぼ純粋な二重偏波応答を示すべきである。更に、アンテナは、小型で、PCB/シリコンに組み込むことが容易であり、アンテナアレイ内の単一のアンテナ要素として使用可能であるべきである。第2の問題は、PCB/基板集積アンテナで発生する有害で損失の多い基板波(substrate waves)の励起を防止することである。従来のソリューションは、二重偏波放射応答を生成するための複雑な、または比較的複雑な給電ネットワークまたはアンテナパターン形状を有する様々なタイプの平面マイクロストリップおよびプリントダイポールアンテナを含む。
上記の必要性に対する1つのソリューションは、いくつかの態様では、共有ダイポールアームを有する2つの90度折り畳みダイポールアンテナを使用することである。このような適切に配置されたダイポールのアンテナ構造は、直交偏波アンテナ対を生成する。ダイポールの信号給電が良くバランスしている時、アンテナ間の結合は非常に弱い。上述のアンテナは、アンテナ構造がマルチレイヤPCBまたは基板に一体化される態様で実現可能である。さらに、基板波の励起は、適切に配置されたPCBホールを、前述のアンテナ構造の平面ダイポールアームストリップおよびアンテナ領域のPCB誘電体に設計することにより、防止または大幅に低減することができる。いくつかの態様において、表4のシミュレートされた設計パラメータを実現することができる。
Figure 2024059722000008
以下に説明するアンテナ構造は、共用アンテナアームが、非常にコンパクトなアンテナ構造で二重偏波応答(dual polarized response)を実現することを可能にし、ダイポールのバランスが取れた性質が、余分な労力と複雑さを伴わずにアンテナ間の低相互結合を提供し、複雑な給電とインピーダンス整合ネットワークなしで二重偏波応答を達成するという点で、上記のニーズに対する現在知られているソリューションを改善する。開示されたアンテナ構造の他の改良点は、PCBまたは他のタイプの多層基板に一体化することが非常に容易であることである。更に、アンテナの平坦な部分に孔を配置する簡単な技術を、有害な基板波を抑制するために使用することができる。このような孔はまた、アンテナ構造内の誘電損失を低減する。更に、開示されたアンテナ構造は、コンパクトなアンテナアレイの構成ブロックとして使用することが容易である。当業者には言うまでもなく、前述の改良は1以上の態様で実現することができ、本明細書に記載のアンテナ構造の種々の態様は、手近な設計問題に応じて、前述の改良の全部または一部を提供することができる。
図124は、いくつかの態様による二重偏波アンテナ構造を示す。アンテナ構造12400は、2つの90度折り畳みダイポールアンテナ12401、12403を含む。アンテナ構造12400は、図3Aに示されるミリ波通信回路300のアンテナアレイ回路330に組み込むことができるが、導波路構造はこれに限定されない。折り畳まれたダイポール12401は、平面アーム12402および垂直アーム12410を含む。折り畳まれたダイポール12403は、平面アーム12406および垂直アーム12410を含む。折り畳まれたダイポール12401、12403は、並べて配置される。並列配置は、直交偏波アンテナ対を生成する。
各ダイポール12401、12403は、それ自身の「アンテナアーム」12410を有し、12404、12408に象徴的に示される個々の給電を有する。アンテナアーム12410は、両方のダイポールに共通である。換言すれば、アーム12410は、いくつかの態様によれば、2つの折り畳まれたダイポールの共用アンテナアームである。図124に示されるように、各折り畳まれたダイポールは、45度傾斜した直線偏波を生成する。このような二つの隣り合ったダイポールは、直交偏波アンテナ対を生成する。これについては、以下でさらに詳細に説明する。更に、2つのアンテナが十分にバランスしている場合、アンテナ間の結合はほとんどない。
図125A-125Cは、いくつかの態様による、多層PCB上に実装される図124の二重偏波アンテナ構造を示す。一態様では、図示されたダイポールは、約29GHzの周波数で動作するように設計される。図125A-125Cにおいて、PCB12503は、約20×10mmの接地面を含み、アンテナ構造12511が集積される部分である。図125Cで付番され、図125A-125Cの各々に図示したダイポールアーム12502、12506は、説明中の態様では、各アンテナに特異的であり、長さが約2mmの平面PCB銅ストリップで実装される。両方のアンテナと共有される垂直ダイポールアーム12510は、PCBに取り付けられた長さ約2.5の垂直金属バーで実施される。平面ダイポールアーム12502、12506上のPCBの孔12507は、有害な基板波の励起を防止または低減するために使用される。PCB12503および拡張部12509は、物理的に同じPCBである。換言すれば、拡張部12509は単なるPCBの輪郭の形状である。
図126は、いくつかの態様による、図125A-125Cに示されるアンテナ構造のシミュレートされたSパラメータを示す。図125Aの12511として付番したが、図125A-125Cの各々に示したアンテナ構造は、CST MICROWAVE STUDIO(登録商標) (CST(登録商標) MWS(登録商標))電磁シミュレーションソフトウェア(SW)を用いてシミュレーションされた。この実施例におけるPCB12503材料は、FR4(εr=4.4)であり、この特定の態様の場合、説明したシミュレーションではすべての導体材料は銅である。シミュレートされたSパラメータを図126に示す。結果が示すところでは、両方のアンテナ(例えば、記号的には図124の12401、12403、および図的には図125A-125Cの12501、12503)が29GHz帯で良好にインピーダンス整合され、アンテナ間の結合が低い。
図127Aおよび127Bは、幾つかの態様による、図125A-125Cに示されるアンテナ構造のシミュレーションされたファーフィールド放射パターンを示す。幾つかの態様によれば、ダイポールの放射は、主偏波成分よりも10-30dB低い交差偏波成分で直交偏波される。このPCB実装において、ダイポール12501、12503の両方は、約4 dBiの最大指向性および約3 dBiの最大利得を有する。シミュレーション結果は、アンテナ構造12511が意図されたように動作することを示す。
望ましくない有害な表面または基板波モードへの電力漏れは、mmWave回路において問題となり得る。実際には、これは、RF電力が基板に漏れ込み、さらなる誘電損失を生じ、放射パターンとアンテナの偏波を損なうことを意味する。このアンテナ構造12511において、表面波および基板波の励起は、アンテナ領域のPCBに穴をあけることによって防止または低減される。図128Aは、図125Aー125Cのアンテナ構造の上面図を示し、いくつかの態様によれば、表面波孔がダイポールアームの平面アームに穿孔されている。図128Bは、図125Aー125Cのアンテナ構造の上面図を示し、いくつかの態様によれば、他の構成において表面波孔が穿孔されている。図128Aは、孔のうちの1つが12807で付番され、いくつかの態様では、直径が0.2mmである孔が、それらが電場最大の領域にほぼ位置するように、平面ダイポールアーム12802、12806に配置される技術を示す。
代替の実施形態を図128Bに示し、ここで、表面および基板波の励起をさらに抑制するために、領域12812、12814、12816において、アンテナから離れてPCB内に追加の孔が存在する。ダイポール構造では、電場の最大位置は、典型的には、ダイポールアームの開放端付近である。しかし、この態様では、孔は、アンテナの他の、それほど重要でない領域にも配置される。なぜなら、一般に、可能な限りアンテナに近い損失材料を除去することが有益であるからである。損失的材料(lossy material)は、基板を含み、いくつかの態様では、基板は、難燃剤4(Flame Retardant 4(FR4))である。
図129は、いくつかの態様による二重偏波アンテナ構造の他の実施形態を示す。図130Aは、幾つかの態様による、図129のアンテナの上面図を示し、図130Bおよび図130Cは、いくつかの態様による、図129のアンテナの斜視図である。図129において、図125Aから125Cに示す共通ダイポールアーム12510は、各アンテナについてそれぞれ密接に分離された個々のダイポールアーム12906、12908と置き換えられる。図125A-125Cにおけるように、各アンテナは、個々の給電12903、12905を含み、45度傾斜した直線偏波を生成する。誘電体基板13003は、εr=4.3かつ損失正接=0.02@29GHzの誘電体であってもよい。図130Aのアンテナは、いくつかの態様では、二重垂直要素13010、13011、および平面ダイポールアーム13002、13006を含む。一態様では、両方の平面アームの孔13015は、PCB13003上の表面波または基板波を防止または低減するのに役立つ。追加の孔13012、13014、13016が図示のように配置されてもよく、速度表面または基板波(rate surface or substrate waves)を防止または低減する役に立つ。給電13005、13007は、基板13003内の無線周波数集積回路(RFIC)などの信号源に接続されてもよい。一般的には、接続は、マイクロストリップまたはストリップライン伝送ラインによって行われる。ミリ波回路では、通常ビアが回避されるが、これはビアが引き起こす可能性があるインピーダンス整合の問題による。場合によっては、ビアを使用する必要がある(例えば、RFICが給電とは反対側にある場合)。図示の寸法はシミュレーション目的で使用されているが、当業者には言うまでもなく、当面の設計問題に従って他の寸法を使用できる。
図130Bおよび130Cは、いくつかの態様による、図129のアンテナの斜視図である。図130Bのカットアウェイ(cut-away)は、13011における2つの垂直アームのうちの1つを示し、一方、図130Cは、両方の垂直アーム13010、13011を示す。
図131Aは、いくつかの態様による、図130A-130Cのアンテナ構造に対する総放射効率対周波数のシミュレーションを示す。ここで使用される「総放射効率」という用語は、アンテナによって放射される電力の、ソース(例えば、RFトランシーバのような50オームの信号発生器)から利用可能な最大電力に対する比(dB単位)を意味する。総放射効率は、インピーダンス不整合損失とアンテナ構造における損失(RFエネルギーが熱になる誘電損失と抵抗損失)の両方を占める。図131Aは、図131Cのアンテナの1つの効率をプロットする(これは対称である2つの直交アンテナを有するので、両方のアンテナの効率は同じになる)。
図131Bは、いくつかの態様による、図130A-130Cに示されるタイプのアンテナの4x1アレイの上面図を示す。図131Cは、いくつかの態様による、図131Bに示されるタイプのアンテナの4x1アレイの斜視図である。4x1デュアル偏波アンテナアレイは、幾つかの態様では、各タイプを図130A-130Cに示した4つの二重偏波アンテナ要素13121、13123、13125、13127を含む。アンテナ要素の各々は、2つの垂直ダイポールアーム13110、13111および2つの平面ダイオードアーム13102、13106を含む。アレイは、シミュレーションの目的で示された寸法であり、構造13109を介して主PCB13103に取り付けられる。アンテナ素子は、PCB13103の端部までコンパクトなアンテナアレイを形成することを可能にし、それは、スペースが貴重である移動体無線装置における実装にとって魅力的である。
図131Dおよび131Eは、いくつかの態様に従って、120o位相における、図131Bおよび図131Cの4×1アンテナアレイのシミュレーション放射パターン13100Dおよび13100Eを示す。図131Fおよび131Gは、いくつかの態様では、120o位相における、図131Bおよび図131Cの4×1アンテナアレイのシミュレーション放射パターン13100Fおよび13100Gを示す。各シミュレーションパターンは、シミュレーションパターン図に示されるように、+45oアレイまたは-45oアレイを示す。これらの図の結果は、図131Cの構造から得られ、”+45”は、それぞれ、他のダイポールに対して+45度傾斜ダイポールと、-45度傾斜ダイポールとによって形成されるアンテナアレイを指す。図131Dおよび131Eは、すべての個々のアンテナ給電が入力信号の同じ位相で励起される場合である。図131Fおよび131Gは、各給電の位相がその隣の要素から120度シフトされる場合である。これにより、アレイパターンのメインローブが中心からオフセットする。位相調整は、アンテナメインローブを所望の方向に誘導するために使用される。
図132のプロットは、いくつかの態様による、図132のアンテナアレイの隣接アンテナ間の最悪ケースの結合のシミュレーションを示す。図132のアンテナアレイは、基板13203上のアンテナを含む。アンテナは、13209で始まり、隣接するアンテナ13202を含む。一例として、シミュレーションプロットは、図132の13202に示される2つのアンテナのような隣接するアンテナ間の結合を示す。S44およびS55は、2つの隣接するアンテナ13202の入力整合(「戻り損失」)であり、S45は、これら2つのアンテナ間の結合である。パターンから分かるように、幾つかの態様によれば、アンテナ間の結合は、関心のある全ての周波数において-10dB未満であることが示され、これはMIMO性能のために十分な分離である。
図133は、幾つかの態様による、0度位相調整における、図131Bおよび131Cの4x1アンテナアレイに対するエンベロープ相関を示す。図133は、図131Bおよび図131C(「+45アレイ」および「-45アレイ」)の2つのアンテナアレイ間のシミュレーションされたエンベロープ相関である。エンベロープ相関を用いて2つのアンテナ間の相関を定量化する。エンベロープ相関が1である場合、両方のアンテナは正確に同じ信号を受信するので、MIMOまたはダイバーシティ受信には役に立たない。理想的なケースでは、エンベロープの相関はゼロになる。一般に、0.4以下のエンベロープ相関はMIMO性能に非常に良いと考えられる。
図134は、いくつかの態様では、以下に説明する極性シミュレーション放射パターンの座標系を示す。
現在、WiGigサブシステムのための2つの実装、すなわち埋め込みダイ無線サブシステムとパッケージオンパッケージ無線サブシステムが提案されている。ダイは、いくつかの態様において、シリコントランシーバであってもよく、アンテナに接続されてもよい。埋め込みダイの実装において、幾つかの態様では、無線システムの主基板の内部に埋め込まれたダイと、主基板の上に表面実装されたデバイスとがあり、両方をカバーする共形シールドを有する。場合によっては、シールドの下に選択的モールドが存在する。さらに、アンテナが主基板の底面に設けられ、アンテナコネクタがシールド近くに設けられてもよい。これは、XYフォームファクタが小さいとの利点を有するが、放射線が底部のアンテナからのみであるという欠点を有する。
第2の実施形態は、主基板の上側に配置されたダイおよび表面実装デバイスを有するパッケージオンパッケージ無線サブシステムを含み、この基板は、表面実装デバイスおよびダイのためのキャビティを有する別の基板によって覆われてもよい。いくつかの実施態様では、アンテナは、主基板の下、パッケージオンパッケージ・サブシステムの上に、上側及び下側に配置されてもよい。再び、主基板上のパッケージオンパッケージモジュールの近くに信号コネクタが存在していてもよい。この実装は、パッケージの両側からの放射があるという利点を有するが、XYフォームファクタ(form factor)が大きくなるという欠点があり、これは給電線が長くなることによる電力損失をもたらし得る。
本明細書に開示される態様において、アンテナその他のコンポーネントのような所定数の要素を記載してもよい。当業者には言うまでもないが、説明した数のアンテナその他のコンポーネントは例示のためのものであり、他の態様では、異なる数のアンテナその他のコンポーネントを、手近なソリューションのために必要に応じて構成することができる。
図135は、いくつかの態様による、主基板の内側に埋め込まれたダイと、主基板の上のシールドされた表面実装デバイスとを有する無線システムパッケージを示す。本明細書に記載の無線システムパッケージは、図3Aに示すmmWave通信回路300のRF回路325およびアンテナアレイ回路330に組み込むことができるが、無線システムパッケージはこれに限定されない。
無線サブシステム13500を図135に示す。サブシステムの主基板は13502で示す。主基板に接続されるのは、無線周波数干渉(RFI)および電磁干渉(EMI)に対してシールドするための機械的シールド13516である。シールドの内側13518にあるのは、インダクタ、抵抗器、キャパシタなどであり得る表面実装デバイスである。ダイ13504は主基板13502内に埋め込まれ、アンテナ13506、13508、13510、13512およびアンテナコネクタ13520は主基板に取り付けられ、ダイ13504に接続される。或る態様では、アンテナは、主基板の底部に他のアンテナとともに構成され、アンテナアレイを形成する。一般的に、アンテナはシールドを介して放射できないため、その領域にアンテナは配置されない。従って、いくつかの実装では、XYフォームファクタは小さくてもよいが、実装の底部からの放射線しかない。
図136は、いくつかの態様による、副基板のキャビティ内で主基板の上方に配置されたダイおよび表面実装デバイスを有する無線サブシステムを示す。無線サブシステム13600を図136に示す。サブシステムは、その底部にアンテナ13606、13608、13610、13612を有する主基板13602を含み、これは、いくつかの態様において、主基板の底部に他のアンテナを有する1つ以上のアンテナアレイに構成される。ダイおよび表面実装デバイス(図示せず)は、副基板13604内に構成されてもよい。アンテナ13614、13616は、アンテナアレイとして、副基板13604の上面上に他のアレイと共に構成されてもよい。コネクタ13618が設けられ、いくつかの態様では、放射される無線信号を給電するようにダイに接続される。上記の結果は、パッケージオンパッケージ無線サブシステムである。上述のように、両側からの放射があるが、パッケージオンパッケージ構成は、幾つかの場合には、大きなXYフォームファクタを有するという欠点を有し、これは、この実装が使用できる移動装置において利用できるよりも多くのスペースを必要とすることがある。
図137は、いくつかの態様による、主基板の内側に埋め込まれたダイと、副基板のキャビティ内の主基板の上に配置された表面実装デバイスとを有する無線システムパッケージを示す。無線パッケージ13700は、いくつかの態様によれば、組込みダイとパッケージオンパッケージサブシステムとを組み合わせたものである。パッケージは、いくつかの態様によれば、第2の基板、すなわち副基板13713に取り付けられた第1の基板すなわち主基板13702を含む。ダイ13704は、説明中の態様において、主基板13702内に埋め込まれる。キャビティ13717は、隠れビュー(hidden view)で表示される。アンテナ13718およびディスクリートデバイス13720など(および各々が複数であってもよく、アンテナは、単独でまたは1つ以上のアレイ内に構成され得る)の表面実装デバイスは、主基板にはんだ付けされるかまたは接続され、いくつかの態様では、キャビティ13717によって覆われ、またはその中に配置される。幾つかの態様によれば、いくつかの表面実装デバイスは、単独で構成される、又は1つ以上のアンテナアレイ内に構成されるアンテナを含み、副基板のキャビティ13717内に配置される。アンテナ13706、13708、13710、13712は、いくつかの態様では、主基板13702の底部に取り付けられる。以下の図から分かるように、いくつかの態様のアンテナは、1つ以上のアンテナアレイとして他のアンテナとともに構成することができる。コネクタ13720は、幾つかの態様では、ダイ13704に無線信号を給電するために提供されてもよい。他の態様では、可撓性相互接続は、第1の基板または第2の基板を、システム全体の1つ以上の第3の基板、パッケージ、またはボードに接続することができる。埋め込みダイ13704を有する主基板13702、および副基板13713のキャビティ内に取り付けられたアンテナを含む表面実装デバイスを有する取り付けられた副基板は、いくつかの態様では、埋め込みダイにパッケージオンパッケージをプラスした組み合わせを含む。さらに、幾つかの態様では、アンテナ及び/又はアンテナアレイは、上部及び下部に加えて、主基板若しくは副基板のいずれか、又は両方の側面に配置されて、側面方向に放射線を提供することができる。このような装置は、いくつかの態様では、エンドファイアモード(end-fire mode)で動作し得る。
図138Aは、幾つかの態様による、主基板内に埋め込まれたダイと、副基板のキャビティ内かつ主基板上に配置された表面実装デバイスとを有する無線システムパッケージの斜視切り取り図である。組み合わされた埋め込みダイ/パッケージオンパッケージの組み合わせ13800は、主基板13802に埋め込まれたダイ13804、および表面実装デバイス13818を有する副基板13813を含み、これは、いくつかの態様では、副基板13813内のキャビティ13817内のアンテナおよびアンテナアレイであり得る。13820におけるような、キャビティ13817内の図示された表面実装デバイスのいくつかは、幾つかの態様では、必要とされ得るディスクリート回路部品であり得る。主基板13802の底部には、上述の構成のアンテナ13806、13808、13810、13812がある。いくつかの態様によれば、副基板13813の頂部には、上述のように単独でまたはアンテナアレイとして取り付けられたアンテナ13814A、13814B、13816A、13816Bがある。
図138Bは、いくつかの態様による、主基板の底面を図示する、図138Aの無線システムの斜視図である。組み合わされた埋め込みダイ/パッケージオンパッケージの組み合わせ13801は、主基板13802および副基板13813に埋め込まれたダイ(図示せず)を含み、表面実装デバイス13818、13820は、いくつかの態様において、副基板13813内のキャビティ13817内に実装されたアンテナ13818またはアンテナアレイを含んでもよい。キャビティ13817内の図示された表面実装デバイスのいくつかは、幾つかの態様では、必要とされ得るディスクリート回路部品13820であり得る。主基板13802の底部には、上述の構成のアンテナ13806、13808、13810、13812がある。いくつかの態様によれば、副基板13813の頂部には、単独でまたはアンテナアレイとして取り付けられたアンテナ13814A、13814B、13816A、13816Bがある。
図139は、いくつかの態様による、副基板の内部を図示する、図138Aの無線システムの斜視図である。埋め込みダイ/パッケージオンパッケージの組み合わせ13900は、いくつかの態様において、主基板13902に埋め込まれたダイ13904と、13918のような表面実装デバイスを有する副基板13913とを含む。ダイ13904は、はんだ接点13925によって基板13902に接続されてもよい。13918などの表面実装デバイスは、いくつかの態様では、副基板13913内のキャビティ13917内に実装されるアンテナまたはアンテナアレイを含んでもよい。キャビティ13917内の図示された表面実装デバイスのいくつかは、幾つかの態様では、必要とされ得るディスクリート回路部品13920であり得る。主基板13902の底部には、上述の構成のアンテナ13906、13908、13910、13912がある。いくつかの態様によれば、副基板13913の頂部には、単独でまたはアンテナアレイとして取り付けられたアンテナ13914A、13916Aがある。コネクタ13922は、幾つかの態様において、ダイ13910に対して無線信号源として提供されてもよい。
図140Aは、図138Aの無線システムの部分透視上面図である。ダイ(図示せず)は、いくつかの態様において、主基板14002内に埋め込まれてもよい。上述のタイプの表面実装デバイス14018、14020は、いくつかの態様では、主基板14002に接続される。幾つかの態様では、上述の幾つかの図に示されるように、キャビティを有する副基板は、表面実装デバイスを覆う。はんだ接点は、14022、14024および14026、14028で示したが、これを使用して副基板に接続する。さらに詳細は後で説明する。コネクタ14020はいくつかの態様で提供されてもよい。
図140Bは、幾つかの態様による、図140Aのはんだ接点に一致するように副基板上に構成されたはんだ接点を示す図138Aの無線システムの部分斜視図である。上部副基板14013は、全体を14000で示したが、いくつかの態様において、上述したタイプのキャビティ14017を含む。はんだ要素は、その一部を14022A、14024Aおよび14026A、14028Aで示したが、図140Aに示したはんだコンポーネントと一致するように副基板14013上に構成され、2組みのはんだ接続がリフローされると、2つの基板間の機械的接続およびいくつかの態様では、電気的接続のために使用され得るはんだ接続を提供する。2×2要素アレイおよび2×4要素アレイを本明細書では例示するが、当業者には言うまでもなく、N×M要素アレイは、所望の解決法に応じて、主基板および/または副基板の上部、底部または側面に構成してもよい。いくつかの態様において、アンテナアレイが主基板及び/又は副基板の上部に、下部に、および側面に沿って配置される場合、放射方向は、アンテナ発射およびアンテナ極性のアルゴリズム制御に応じて、どの方向に制御されてもよい。
アンテナのエッジファイア動作(edge-fire operation)が必要なので、及びこのようなアンテナが使用され得るモバイルデバイス内のスペースが非常に限られているので、非常に小さく、5G mmWave周波数で動作し、よりハイエンドのマルチレイヤスタックアップアンテナ(multilayer stack-up antennas)よりもスペースが小さく、製造コストがより低いエッジファイアアンテナのオプションを見出すことが望ましい。1つのソリューションは、小さな表面コンポーネントを、ユーザのモバイルデバイスで使用する主PCBのエッジにはんだ付けまたはその他の方法で取り付けられ得ることである。このソリューションは、センタビアを有するモバイルデバイスに一般的に見られる低コストの(表6に示し、後で説明するように)PCBの一部をカットし、主PCB接地に接続して導波路のように機能する部分的にめっきされた側壁をカットすることによって実現することができる。表面コンポーネントの非めっき部分は、導波路から空気へのインピーダンス変換を提供する拡張誘電体材料(extended dielectric material)である。拡張誘電体材料の長さは、所与の設計において所望の目的を達成するために、インピーダンス整合およびビーム成形のための同調ノブとして機能し得る。このような表面成分は、かなり広い帯域幅で、28GHzで約30%であり、通常のモノポールアンテナと比較して、より指向性の高いビームで達成することができ、二重偏波動作にも使用できることが分かった。いくつかの態様において、表5のシミュレートされた設計パラメータを実現することができる。
Figure 2024059722000009
図141Aは、一態様による、PCBに取り付けられた表面コンポーネントを含む単一要素エッジファイアアンテナを示す。単一要素エッジファイアアンテナ(single element edge-fire antenna)は、図3Aに示されるmmWave通信回路300のアンテナアレイ回路330に組み込むことができるが、単一要素エッジファイアアンテナはこれに限定されない。
表面コンポーネント14101は、モバイルデバイスの主PCBであり得る主PCB14103にはんだ付けされるか、または別様に取り付けられる。表面コンポーネントの要素は、以下に説明するようにメッキされており、図中に示されている寸法であり、モノポールアンテナは14105で表面コンポーネント内にある。モノポールアンテナ14105は、PCBの底部から6mmのマイクロストリップ・給電14107によって給電されてもよく、ここで、無線周波数集積回路(RFIC)のような信号源に取り付けられてもよい。モノポールアンテナ14105は、給電ライン14107に取り付けられた小型PCB14101内のビアであり得る。表面コンポーネントは導波路状のカバーとして機能し、モノポールから放射されるビームを通常のモノポールのビームと比較して大幅により指向性のあるものにする。拡張誘電体14109は、アンテナ14105を含む表面実装されためっき表面要素14101を空中(air)に拡張するものと見なすことができる。拡張誘電体14109は、導波路内のモノポールから自由空間(free air)への漸進的なインピーダンス移行を提供する。誘電体の長さは同調ノブとして作用することができ、長さは所望の設計に対するインピーダンス要件に対して決定され、空中に対して開放端にある通常の導波路アンテナと比較して広い帯域幅を提供するのに役立つ。さらに、拡張誘電体の長さはわずか数mmであるため、このエッジファイアアンテナはスペースが貴重なモバイルデバイスのPCBのエッジに配置できる。
図141Bは、一態様によると、図141Aの単一要素アンテナ構造のめっきおよび材料の詳細を示す。例えば、Isola FR408HR材料であり得る表面コンポーネントの、底面を含む5側面の銅めっきは30ミクロン銅であってもよく、拡張誘電体部分はめっきされなくてもよい。換言すれば、アンテナ要素は、組み合わせずに単一の小さなPCB片で実現でき、これにより大量生産のコストを下げることができる。金属および誘電体の例を表6に示す。金属導電率がメートル当たりシーメンスで測定され、この態様では銅の導電率である。この態様では、使用される材料の誘電率εrおよび損失正接は、表6にも示した。表6から分かるように、示された金属は、定期的に使用される安価なPCBである。さらに、拡張誘電体材料は、同じ表面コンポーネントの非めっき部分である。アンテナを実装する1つの方法は、2つのコンポーネント(導波路+拡張誘電体)を作り、それらを互いに取り付けるのではなく、通常のPCB(内蔵ビア/モノポールを有する)の小片および表面のめっき部分をカットすることである。これは、この態様が低コストで製造容易である理由の一つである。
Figure 2024059722000010
図141Cは、一態様による、図141Aおよび141Bに示される単一要素アンテナの端面図を示す。この態様では、モノポールアンテナ14105を所与の寸法で示し、PCB上レイヤを具体的な厚さ、ここでは32ミクロンで示している。当業者には言うまでもなく、記載された種々の寸法および金属、ならびに種々の誘電体は、幾つかの態様にのみ使用され、ならびに、手近な特定の設計に応じて、他のこのような材料を使用可能である。モノポールアンテナ14105は、いくつかの態様において、給電ライン14107に取り付けられたビアによって形成され得る。
図141Dは、一態様による、各々が半波長(λ/2)分離した、図141Aおよび図141Bに示されるタイプの4つのアンテナ要素を含む4アンテナ要素アレイを示す。この態様では、各アンテナ要素は、寸法、材料、その他のパラメータに関して、図141A-141Cのアンテナ要素と同じであり、アレイは、同じ偏波で放射(fire)するように構成される。
図142は、一態様による、拡張誘電体の2つの異なる長さに対する、図141Aおよび141Bに示されるアンテナの帯域幅を示す。曲線14201は、3.5mmの拡張誘電体の示された周波数範囲にわたるシミュレートされた帯域幅を示す。曲線14203は、5mmの拡張誘電体の示された周波数範囲にわたる帯域幅を示す。ここで、S11は、入力インピーダンス整合のために通常行われるように、-10dBポイントにおける帯域幅を測定するために使用される。図142の曲線は、6mmの給電ラインを含む態様でシミュレートされる。シミュレーションは、長さ3.5mmのより短い拡張誘電体が、長さ5mmのより長い拡張誘電体を有する態様よりも良好な帯域幅を有することを示す。図から分かるように、曲線14201の帯域幅は、約23GHzから約34GHzである。しかし、下のシミュレートされた曲線に示される利得は、2つのサイズの拡張誘電体の帯域幅および利得に関してトレードオフがあることを示す。
図143は、一態様による、図141Aおよび141Bに示されるアンテナの周波数範囲にわたる総合効率を示す。このグラフは放射電力におけるアンテナ構造の効率を測定したものである。最良の効率は一般に0dBであるが、負のdB測定値はアンテナ構造の損失を示し、したがって周波数範囲にわたって効率が低いことを示す。シミュレーション結果を図143に示し、14301は、長さ3.5mmの拡張誘電体であり、14303は、長さ5mmの拡張誘電体である。グラフから分かるように、2つの長さの拡張誘電体のクロスオーバー点は、約28.2GHzであり、この図示のアンテナ構造では、3.5mmのより短い拡張誘電体は、27GHzから28.2GHzの間で、減少するがより良い効率を有する。点14305を超えると、グラフ14303によって示される5mmの拡張誘電体は、28.2GHz-29.5GHzの間でより良い効率を有する。図示のポイントは、異なる長さの拡張誘電体は異なる周波数で異なる効率を提供することができるため、長さの調整を所望のソリューションのパラメータの1つとして使用することができるということである。
図144は、一態様による、図143の周波数範囲よりも大きい周波数範囲にわたって、図141Aおよび141Bに示されるアンテナの全効率を示す。図144のより広い周波数範囲は、全体的な性能のより良い指標である。グラフ14401は、長さ3.5mmの拡張誘電体のものであり、グラフ14403は、長さ5mmの拡張誘電体のものである。28.2GHzにおける全効率についてのクロスオーバー点14405は、図143におけるクロスオーバー点14305と同じである。図144は、第2のクロスオーバー点14407が約30.3GHzのより高い周波数にあり、その点においてグラフ14403は非常に急速に効率が低下し始めるが、グラフ14401は比較的一定の効率を維持し、実際にはその周波数範囲の一部にわたって効率が増加する。その結果、検討中のアンテナ構造の総効率は、検討中の具体的な周波数範囲に依存することが分かる。図144は、グラフ14401が、3.5mmの拡張誘電体長を示すが、5mmの拡張誘電体についてのグラフ14403よりも良好な帯域幅を有するということをより良く示している。これは、図142から導かれた結論の確認である。
図145は、一態様による、図141Aおよび141Bに示されるアンテナの周波数範囲にわたる最大実現利得を示す。この文脈で使用される場合、最大実現利得とは、アンテナ構造そのものに起因するだけでなく、アンテナへの入力におけるインピーダンス不整合を考慮したシミュレーション利得にもよる主(最大)放射方向において実現するシミュレーション利得を意味する。例えば、シミュレートされた最大実現利得は、6mmの給電ラインを考慮に入れ、その結果、理論的利得だけでなく、実際のアンテナにおいて主張される理論的利得および他の要因による実際の利得をもたらす。シミュレーションされた量を示す他の図は、同様に6mmの給電ラインを考慮に入れている。グラフ14501は、長さ3.5mmの拡張誘電体の周波数範囲にわたる最大実現利得を示し、グラフ14503は、5mmの拡張誘電体の示された周波数にわたる最大実現利得を示す。2つのグラフから分かるように、長さ3.5mmの拡張誘電体が図142に見られるようにより広い帯域幅を有しても、長い方の拡張誘電体、すなわち14503は、図145に示される周波数範囲にわたってより良好な実現最大利得を有する。
図146は、一態様による、図141Aおよび141Bに示されるアンテナの他の周波数範囲にわたる最大実現利得を示す。図145の周波数範囲は、図146の周波数範囲の一部である。図146のより広い周波数範囲24GHz-34GHzにわたって見ると、グラフ14601が示す、長さ5mmの拡張誘電体を有するアンテナ構造の最大実現利得は、グラフ14603が示す、長さ3.5mmの拡張誘電体を有するアンテナ構造の最大実現利得よりも、一貫してかつますます大きくなることが分かる。従って、図142は、より短い長さの拡張誘電体を有するアンテナ構造が、より広い-10dBの帯域幅を有することを示し、図146は、より長い拡張誘電体を有するアンテナ構造が、より大きな最大実現利得を有することを示す。
図147は、一態様による、図141Dに示されるアンテナアレイの2つの隣接するアンテナ要素間の分離を示す。図示された周波数範囲にわたって、グラフ14703は、隣接要素間の分離を図示するが、その各々が長さ5mmの拡張誘電体を有し、グラフ14701によって示される長さ3.5mmの拡張誘電体を有するアレイの2つの隣接要素間の分離よりも優れていることを示す。負のdBが大きいほど、隣接する要素間の結合レベルは低く、したがって分離が良好である。図142-147を合わせて見ると、ある設計に対する所望のソリューションに応じて、拡張誘電体の長さの多くのトレードオフを設計者が有することが明らかである。この一組の図は、図示された態様に対して、拡張誘電体長同調をどのように実装するか、言い換えると、3.5mm長の拡張誘電体または5mm長の拡張誘電体を使用するかどうかを示している。当業者には言うまでもなく、2つの拡張誘電体長さ、すなわち3.5mmおよび5mmのみがこれらのグラフにおいてシミュレートされているが、他の寸法の拡張誘電体長を、所与の設計のために必要に応じてシミュレートし、使用することができる。
図148Aは、一態様による、図141Aおよび141Bに示されるアンテナ要素についての28.25GHzにおける三次元放射パターンを示す。図148Bは、一態様による、図141Aおよび141Bに示されるアンテナ要素についての28.25GHzにおける三次元放射パターンを示す。この態様は、単一のアンテナ要素だが、異なる拡張誘電体長を有するものに対するものである。この2つの図では、検討中のアンテナの態様がエッジファイア動作のために実装されるので、放射の主方向はPCBのエッジに向かっている。2つの図に見られるように、図148Aおよび図148Bの各々の実現利得のdB単位の最大値は、それぞれ3.93dBおよび5.17dBである。方向は、図148Aおよび148Bの各々のシェーディングが、各放射パターンに隣接する垂直実現利得テーブルにキーイングされるということから分かる。検討中の態様において、Z-X平面に沿った図148Aまたは148Bの放射パターンのカットを取れば、E平面の放射パターンを見ることができ、図148Aまたは148BのX-Y平面に沿ったカットを取れば、アンテナ要素のH平面の放射パターンを見ることができる。
図148Cは、図141Dに示される4アンテナ要素アレイの28.25GHzにおける三次元放射パターンを示し、各アンテナ要素は、一態様に従って、第1の拡張誘電体長を有する。図148Dは、図141Dに示される4アンテナ要素アレイの28.25GHzにおける三次元放射パターンを示し、各アンテナ要素は、一態様に従って、第2の拡張誘電体長を有する。E平面およびH平面カットに関して図148Aおよび148Bに関してなされたように、図148Cおよび148Dに関して同様のコメントをつけることができるが、各拡張誘電体長に対する利得は、各放射パターンに隣接して実現された利得テーブルにキー付けされるアンテナパターンに見られるように異なる。実現利得の注記として、1.05E+01は1.05×10^1 = 10.5 dBを意味する。7.65E+00は、7.65×10^0 = 7.65dBを意味する。この場合も、5mmの誘電体を有するアレイは、より高い利得(より合焦されたビーム/エネルギー)を達成する。
図149は、一態様による、図141Aおよび141Bに示されるアンテナ要素についての所与の周波数におけるE平面放射パターンを示す。図149において、放射パターン14901は、長さ3.5mmの拡張誘電体に対する放射パターンを示し、放射パターン14903は、長さ5mmの拡張誘電体に対する放射パターンを示す。図149から分かるように、3.5mmの長さの拡張誘電体に対する放射パターン14901は、14903で示される5mmの長さの拡張誘電体を有する要素よりも利得が少ない。
図150は、一態様による、図141Aおよび141Bに示されるアンテナについての所与の周波数におけるE平面交差偏波放射パターンを示す。図148Aおよび148Bに戻り、Z-X平面でカットを行う場合、そのカットは、φを0度に固定することと等価であり、図149のようにE平面のビューをもたらす。検討中のタイプの送信アンテナに対して共偏波(co-polarization)(例えば、送信アンテナと実質的に同一の偏波)を有する受信アンテナについては、アンテナがエッジファイア動作のために構成されているならば、送信アンテナの3 dBより良い利得は、移動装置のエッジ方向である主方向で測定されるであろう。他方、図150の放射パターンの場合のように、受信アンテナが検討中のタイプの送信アンテナと交差偏波(例えば、送信アンテナと実質的に直交偏波)にある場合、測定される送信アンテナの利得は非常に小さく、例えば、主方向において最大約-37dBである。
図151は、一態様による、図141Aおよび141Bに示されるアンテナ要素についてのH平面共偏波放射パターンを示す。図148Aおよび148Bに戻り、図148Aまたは図148BのX-Y平面でカットを行う場合、そのカットは、θを90度に固定することと等価であり、H平面のビューをもたらす。検討中のタイプの送信アンテナに関して共偏波を有する受信アンテナについては、図151に示すように、3 dB以上の利得が、図151の主方向において測定されるだろう。
図152は、一態様による、図141Aおよび141Bに示されるアンテナについての所与の周波数におけるH平面交差偏波放射パターンを示す。この放射パターンは、検討中のタイプの送信アンテナと交差偏波している受信アンテナのものである。この場合も、交差偏波のため、例えば、主方向において約-35dBなど、送信利得は非常に小さくなる。
図153Aは、一態様による、図141A-図141Bに示す単一偏波アンテナと同様のアンテナ素子を実装するための代替案を示す。表面コンポーネントは、メッキ部分15301および非メッキ部分15309を含み、これはアンテナの上部であってもよく、アンテナの下部は、メインPCB15303と一体化(merge)してもよい。参照番号15304は、導波路形状に適合するようにカットされた主PCB 15303の拡張誘電体部分を示し、参照番号15305は、この態様による、小さい表面コンポーネントPCB内のビアによって形成されたモノポールである。アンテナ構造の一部を主PCBと結合することにより、主PCB 15303の表面上の総高さが下がり、これは、一定のコンパクトな用途において重要になる可能性がある。主PCB15303の誘電材料は、電磁波の一部が主PCB15303を通って進むので、小型表面コンポーネントPCBの誘電損失と同様の誘電損失を有すると予想される。換言すれば、アンテナの一部は、高さを低減するために、主PCB15303の表面の下にある。主PCB15303は、表面コンポーネントの誘電材料と同様の誘電材料を有する。この2つが組み合わせられ(幾つかの態様では、はんだ付けされ)、導波路構造を形成する。
例えば、いくつかの態様において、表面コンポーネントPCBおよび主PCBの誘電体材料は、10GHzの周波数においてεrが4.6であり、10GHzの周波数においてTanD=0.004の損失正接(loss tangent)を有してもよい。これらのパラメータを有するPCBは、一般的に使用されるPCBである。また、導波路部品の主PCB部分を形成することにより、以下で説明するように、二重偏波を提供することができる水平給電(horizontal feed)も可能になる。前述のパラメータのPCBがこの特定の態様のシミュレーションに使用されたが、具体的な設計要件に応じて、上述のパラメータとは異なるパラメータを有するPCBを使用することができる。
図153Bは、一態様による、より厚い主PCB15303と、垂直給電ポートおよび水平給電ポートを示すその他の詳細と、水平モノポール15307とを有する、図153Aに示されるアンテナ要素を示す。各々は、幾つかの態様によれば、RFICに接続する給電トレースを有する。主PCB15303の厚さが導波路高さの少なくとも半分である場合、水平モノポールが導波路高さの半分であれば、水平マイクロストリップ15312は、中間点で水平モノポール15307に給電することができる。垂直モノポール15305は、主PCB(図示せず)の底面からマイクロストリップによって給電することができる。垂直モノポールおよび水平モノポールは、互いに直交しており、以下にさらに詳細に説明するように、二重偏波を提供する。この態様では、導波路の一部は主PCBにあるため、主PCB内に垂直な金属壁を設けるべきである。これは、後述する高密度の垂直ビアによって実現することができる。図141Aおよび141Bにおいて、PCBは、表面コンポーネントアンテナであるように小さなピースにカットされる。その場合、幾つかの態様では、主PCBのカットはない。
図154Aは、いくつかの態様による、サンドイッチ構造としての図141Aおよび141Bの表面コンポーネントを示す。サンドイッチ構造の表面コンポーネントは15401および15401’に見られる。表面コンポーネント15401は、主PCB15403の上部にあり、表面コンポーネント15401’は、主PCB15403の底部にある。
図154Bは、一態様による、図154Aに示したアンテナ要素をさらに詳細に示す。主PCB内の給電ストリップライン15407は、表面コンポーネント15401のモノポール15405に接続される。この場合、主PCBはサンドイッチ導波路構造の中央にあるため、15407はもはやアンテナ構造の底部から給電することができない。幾つかの態様では、図示のように、導波路の端部から給電する必要がある。これは、実現利得に関して上述したように、利得および整合に影響を及ぼす可能性がある。拡張誘電体15409は、表面コンポーネント15401の非めっき部分である。
図155Aは、一態様による、小さな表面コンポーネントおよび主PCBを一緒にはんだ付けした後の、図153Bの二重偏波アンテナの斜視図である。導波路15501の一部は、拡張誘電体15509と共に、主PCB15503と一体化(merge)される。二重偏波アンテナのある態様の寸法を示す。主PCB上の水平マイクロストリップ15512は、導波路内に延在し、水平モノポールとして作用する。ビア15514は、主PCBの上部および第2の接地金属レイヤを接続するために使用される。図155Bは、一態様による、垂直モノポール15505のための主PCBの底面上の導波路およびマイクロストリップ給電線15511の内側寸法を含む図155Aの透視図を示す。シミュレーションでは、主PCBの表面下の導波路の垂直接地壁の一部を理想(固体)金属で近似した。実際には、密な接地ビアによって実現することができる。
図155Cは、一態様による、図155Aおよび155Bの二重偏波アンテナの正面図である。正面図は、図155Aまたは155Bの拡張誘電体15509を見ている。幾つかの態様では、寸法は、アンテナ15505に関して見られる。15515は、水平モノポールとして機能する水平マイクロストリップの拡張部分であり、15505は、この態様において垂直モノポールである。
図155Dは、一態様による、図155Aおよび155Bの二重偏波アンテナの側面図である。この図では、垂直モノポールは、導波路の垂直接地壁によってブロックされて見えず、水平モノポールも、主PCBの上部金属レイヤによってブロックされている。15516は、水平マイクロストリップ給電部15514が入る導波路垂直接地壁上の開口を示す。15511は、垂直モノポール用のマイクロストリップ給電である。いくつかの態様において、開口部15516は長方形であってもよい。
図156Aは、一態様による、図155Aのアンテナの水平給電部(15603)および垂直給電部(15601)の両方に対する戻り損失(S11)曲線のプロットである。垂直および水平給電(偏波)の両方が27GHzから34GHzまでの広帯域入力インピーダンス整合(S11<-10dB)を達成し、28GHz付近の潜在的な5G帯域をカバーする。曲線15701によって示される垂直給電のための最適インピーダンス整合は、29.8GHzに現れ、一方、水平給電のための最適ポイントは、30.2-30.4GHzに現れる。
図156Bと図156Cは、一態様による、図155Aのアンテナの垂直フィードおよび水平フィードについての28GHzでのシミュレートされた3D実現利得パターンを示す。2つの図は、各給電(偏波)の最大実現利得が同様であり、垂直給電の最大実現利得が5.2dB、水平給電の最大実現利得が4.7dBである。
図157Aは、一態様による、示された周波数範囲に対するシミュレートされた垂直給電E平面パターン掃引を示す。それは周波数範囲にわたって1.1dBの利得変動(27GHzで4.7dB、29.6GHzで5.8dB)を示す。図157Bは、一態様による、示された周波数範囲に対するシミュレートされた水平給電H平面パターン掃引を示す。また、周波数範囲(27GHzで3dB、29.6GHzで4dB)にわたって1dB付近の利得変動を示す。
図158は、一態様による、3つのφ設定(three phi settings)における、図155Aのアンテナの水平給電E平面パターンの実現利得を示す。パターン15801は、60度に設定されたφの利得を示し、パターン15803は、90度に設定されたφの利得を示し、パターン15805は、120度に設定されたφの利得を示す。その結果、水平偏波パターンはブロードサイド(90°)から左右約30度でより高い利得を達成することを示した。
偏波ダイバーシティは、マルチパス干渉とフェージングを軽減するのに役立つだけでなく、信号品質と信頼性を向上させるのに役立つアンテナダイバーシティ技術の1つである。偏波ダイバーシティは一般に、余分な帯域幅および/またはアンテナ間の物理的分離を必要とせず、1つの二重偏波アンテナのみを実施に使用することができる。残念ながら、二重偏波アンテナ(dual-polarized antennas)は、その二つのポート間のクロスカップリングが問題となる。このようなアンテナがその2つの偏波をどの程度うまく分離するかを指定するために、アンテナポート間アイソレーション、交差偏波、および偏波アイソレーションという用語が通常使用される。ダイバーシティ利得はアンテナのクロスカップリングに依存しており、交差偏波が実際にうまく機能している偏波ダイバーシティ方式にとって重要であることを示している。例えば、1つの二重偏波アンテナ上の2つの励起ポートは、互いに分離され、対になった相補的な偏波アンテナが、不整合偏波によって引き起こされる干渉に対するイミュニティ(immunity)を高めることができるようにする。
図159Aは、いくつかの態様による、直交垂直および水平励起を有するアンテナ要素を示す。本明細書に記載のアンテナ要素は、図3Aに示されるミリ波通信回路300のアンテナアレイ回路330に組み込むことができるが、アンテナ要素はこれに限定されない。図159Bは、いくつかの態様による、+45°およびー45°励起を有するアンテナ要素を示す。パッチ要素15901、15903を用いる2つの主要な二重偏波アンテナ構造15900、15902が、図159Aおよび159Bに示される。
偏波ダイバーシティ技術は、図159Aに示されるアンテナ要素15901の2つの直交励起方式15907、15909および図159Bに示されるアンテナ要素15903の2つの直交励起方式15908、15910を利用することができる。
図159Aにおいて、2つのポート15907、15909は、各ポートがそれぞれ垂直偏波(V偏波)および水平偏波(H偏波)を表すように、直交的に配置される。図159Bにおいて、2つの励起ポート15908、15910は、±45度の傾斜励起に配置される。偏波は、図159Bの両方のポートにおける励起信号間の位相関係によって決定することができる。
図159Aに示される第1の方法は、2つの直交偏波が無相関であるということに基づいている。したがって、2つの直交整列アンテナ要素(two orthogonally aligned antenna elements)は、互いに偏波分離を達成することができる。図159Bに示される別の方法は、±45度傾斜アンテナ励起要素上の位相関係による信号キャンセル機構を利用する。図160Aは、いくつかの態様による、V偏波を決定するための零度位相差プロセスの使用を示し、図160Bは、いくつかの態様による、H偏波を決定するための180度プロセスの使用を示す。両図とも±45度傾斜励起を示す。
図160Aは、垂直偏波16013が、両方のポートに対して同相励起によって実現され得ることを示す。この場合、16009、16011の水平偏波は逆位相信号となる。従って、幾つかの態様によれば、それは相殺され、垂直方向に偏波された放射線16013を生じる。
図160Bは、水平偏波が、2つのポート間の180度の位相差によって実現可能であることを示す。この場合、垂直偏波16019、16021は、逆位相信号であり、相殺される。従って、いくつかの態様によれば、これは、水平偏波放射線16027を生じる。
上記の2つの方法は異なる問題がある。図159Aに示される第1の方法について、このタイプのアンテナは、励起ポートまたは要素15907、15909の配置に起因する偏波分離を達成する。
図161Aは、いくつかの態様による、垂直および水平励起ポートを有する図159Aのアンテナ要素を示す。図161Aにおいて、励起ポート16107、16109の各々は、直交して配置され、それぞれ垂直偏波および水平偏波を表す。図161A16100は、レイヤ状構造16101上のアンテナ16103を示す。図161Bは、いくつかの態様による、共偏波および交差偏波のシミュレートされた放射パターンを示す。
図161Bにおいて、上部トレース16121は、共偏波(co-polarization)を示し、下部トレース16123は、交差偏波(cross-polarization)を示す。共偏波と交差偏波の差は偏波分離であり、このシミュレートされたケースでは、約23.86dBの分離が得られる(例えば、ゼロ度における点m1と点m2の間のdB単位の差)。偏波ダイバーシティのために、より良い放射信号品質が各偏波に対して得られるように、より高い偏波分離を有することが望ましい。各ポート16107、16109は、それぞれ、各偏波を表すので、ポート間分離は、偏波分離に比例する。したがって、ポート間分離は有限であるため、偏波分離は、このタイプのアンテナでは容易に劣化する。
図161Bから分かるように、有限のポート間分離のために、他の偏波ポートへの望ましくないカップリング信号は、このアンテナ構造において高い交差偏波レベルをもたらす。
他方、図159Bに示されるアンテナ構造は、両方のポートに対して実質的に同時励起を必要とし、偏波は、上述のように、励起信号の位相に依存する。このタイプのアンテナ構造15905は、ポート-ポート信号結合に対するイミュニティを有し、従って、より高い偏波分離をもたらす。しかし、この構成は、同時励起条件の必要性により、それ自身の問題を有する。
このため、このアンテナでは、偏波ダイバーシティのために2つの偏波を分離するために、ラットレースリングなどのいくつかの態様で180度ハイブリッドカプラを必要とするする。ラットレースリングおよび他のハイブリッドのサイズは比較的大きいため、アンテナ要素のサイズおよび信号給電線の複雑さが増大し、同様に信号損失を増大させる可能性がある。これは、所望の要素間隔を有する大きなアンテナアレイを作成する際の課題を提起する。
図162Aは、いくつかの態様による、直交励起アンテナ要素を使用する4×4アレイの概略図を示す。アンテナアレイ16200は、基板16201上にあるものとして示される。この二重偏波アンテナアレイ態様も短いコミング(comings)を有する。要素16203、16205、16207、16209は、4×4アレイの4つのアンテナ要素の例として示されている。ポートP11およびP12は、それぞれ、水平極性励起ポートおよび垂直励起ポートを表す。
ポートP13、P14、ポートP15、P16、およびポートP17、P18は、それぞれ、対水平偏波および垂直偏波励起ポートを表す。4×4アレイの残りは、アレイの上記の4つのアンテナ要素がセットアップされるときにセットアップされる。
図162Bは、いくつかの態様による、二重偏波アンテナ要素を有する、図162Aの4x4アレイに対してシミュレートされた放射パターンを示す。いくつかの態様では、プロット16221は共偏波を示し、プロット16223は交差偏波を示す。
図162Cは、幾つかの態様による、二重偏波アンテナアレイによる+45度の走査角におけるシミュレートされた放射パターンを示す。シミュレーション結果に基づいて、このアレイアンテナは、点m1と点m2の差に示されるように、図162Bに示されるように、約23dBの偏波分離のみを達成し、これは、図162Cに示されるように、より高い走査角度でさらに劣化することがある。
図162Cは劣化を示し、これと比較して、より高い走査角の方がより劣化することは明らかである。さらに、図162Cの点m1と点m2の差で示されるように、図のシミュレーション結果グラフは、+45度の走査角度で19.6dBの偏波分離のみが達成可能であることを示す。フェーズドアレイシステムにおいて交差偏波が困難になるにつれて、理想的なビーム形成MIMO応用においては、改良されたまたは可能な限り高い偏波分離が望まれる。
従来の直交二重偏波アンテナと比較して、以下に述べる信号キャンセルのための提案したアンテナ構成は、いくつかの態様に従って、より高い交差偏波抑制を可能にする。このようなアレイの単一アンテナ要素に対して、提案したアンテナトポロジをシミュレートし、従来のアンテナトポロジと比べて11dB以上の交差偏波抑制を示した。4x4アレイについて、新しいトポロジーをシミュレートし、アンテナ要素を用いた4x4アレイと比較して38dBのより強い交差偏波抑制を示した。
シミュレーションは、交差偏波性能が、従来のフェーズドアレイシステムにおいてより高い走査角度で(例えば、開示された抑圧技術なしで)さらに劣化することを示した。しかし、開示されたアンテナアレイスキームは、より高い走査角度でさえも高い交差偏波抑制を維持し、その結果、無線通信システムにおける信号のより良い品質がもたらされ、これは特にアップリンク伝送にとって重要である。また、±45度傾斜アンテナと比較して、これらの提案した方法は、かさばる180度ハイブリッドまたはラットレースカプラーの使用を回避でき、従って信号給電ネットワークの複雑さを低減する。交差偏波抑制は、図163A、163B、および163Cのアンテナ要素に関連して以下に図示し、説明する提案の4ポートアプローチによって達成されるので、図165A-165Cに説明されるように、単純でコンパクトな信号スプリッタを使用して、給電ネットワークを置換することができる。下記の図166A-図166Cに示され、これに関連して説明されるように、アンテナアレイのいくつかの態様についても、同様の利点を達成することができる。
上述した二重偏波アンテナ構成の両方に関する問題のソリューションとして、逆位相相殺技術を直交励起アンテナ構造に適用して、ある態様では、他の偏波ポートへの望ましくない結合信号によって生じる交差偏波レベルを抑制することができる。各偏波ポートに余分な逆位相ポートを設けることができる。したがって、二重偏波アンテナ構成は、4つのポート(垂直、水平、反垂直、および反水平)を含む。別の偏光ポートへの不要な結合信号は、逆位相ポートからのカップリング信号によって相殺され、その一方で、共偏波信号は結合されて増強される。このような態様は、図163A-163Cのアンテナ要素に見られる。図163Aは、いくつかの態様による、逆位相構成における二重偏波差動4ポートパッチアンテナを示す。図163Aの4ポートアンテナ素子は、垂直および水平方向の励起ポートが直交して配置された図159Aに示す直交励起構造に基づいている。さらに、交差偏波抑制を強化するためのトポロジーを作るために、2つの追加ポート(反水平(アンチH)および反垂直(アンチV))を導入する。この4ポート構成では、対向ポートは、180度の位相差(例えば、図163Aに示すように、水平偏波に対してはHおよびアンチH、垂直偏波に対してはVおよびアンチV)で共に励起することができる。図163Aの提案されたアンテナ要素構造16300では、ラジエータは16301で見られ、カップラは16303で見られる。4ポート構造は、アンテナポート16307(垂直偏波)、16309(水平偏波)、16311(反垂直)および16313(反水平)を含む。交差偏波は、単一要素で抑制されるので、4ポートアンテナ要素16307、16309、16311、16313を含むアレイアンテナも、高偏波分離を達成することができる。
図163Bは、いくつかの態様による、図163Aのアンテナ構成を側面図で示す。図163Cは、いくつかの態様による、図163Aおよび163Bのアンテナ構成のためのレベルL1-L6を含む積層構造スタックアップを示すが、レベルは6より多くてもよい。図163Bの16302において、ラジエータ16301は、図163Cの6レベルのスタックアップ16304の図のレベルL1に実装されることが分かる。カップラ16303は、この態様では、スタックアップのレベルL4で実装される。アンテナポートは、T接合スプリッタによって給電される。これについては、以下でさらに詳細に説明する。種々のポートは、レベルL5にあり、T接合スプリッタから接続するビアによって給電される。このT接合スプリッタは、説明の態様において、GNDレベルL6の下のレイヤ、例えばレベルL7(図示せず)にある。ビア16309A’(水平ポートに給電する)、16307A’(垂直ポートに給電する)、および16313A’(反水平ポートに給電する)が示され、ビア16311A’(反垂直ポートに給電する)は、ビア16307A’の後ろに隠れており、従って、図163Bの側面図では見えない。パッチアンテナの各対向エッジ上の電場は、図163Dに示されるように、反対の極性(すなわち、180度の位相差)を有するため、追加の逆位相信号(anti-phase signal)は、図163Eに示されるように、放射エッジにおける共偏波を結合及び維持しつつ、望ましくない信号の交差偏波(非放射エッジ)とのカップリングをキャンセルすることによって、交差偏波レベルの抑制を可能にする。
図164は、いくつかの態様による、図163A-163Cの4ポートアンテナ構成態様のシミュレーション放射パターンを示す。図164において、上部トレース16421は、共偏波(co-polarization)を示し、下部トレース16423は、交差偏波(cross-polarization)を示す。このシミュレーション結果に基づいて、39.4dBの偏波分離を達成した。これは、図161Aの直交ポートの場合、図161Bに示される結果と比較して、交差偏波抑制の約16dBの改善である。
図165Aは、いくつかの態様では、4つのポートのそれぞれへの給電源からの給電線を有する4ポート励起アンテナトポロジを示す。給電源は、幾つかの態様によれば、4つのポートの各々に対する無線周波数集積回路(RFIC)であってもよい。図165Bは、図165Aの4ポート構成における給電線を示し、いくつかの態様に従って、積層パッチアンテナの被駆動パッチが給電線に重ね合わされている。図165Aにおいて、給電ラインは、基板16501上にあるものとして示される。16508における垂直給電源P1Vは、いくつかの態様によるRFICポートであり、垂直偏波のためにアンテナの給電点16507に接続する線16507Aに接続されるT接合スプリッタ16505に接続される。線16509Aは、いくつかの態様では、T接合スプリッタ16505から反偏波Vの給電点16509に接続する。水平給電源P1Hは、幾つかの態様によるRFICポートであり、T接合スプリッタ16514に接続されたものとして16512に示される。ライン16511Aは、スプリッタ16514から接続され、水平偏波給電点16511に進み、一方、スプリッタ16514に接続されるライン16513Aは、反H給電点16513に進む。図165Bにおいて、図165Aの給電線構成は、積み重ねられたパッチアンテナの駆動要素が16515に重ねられた状態で示される。残りの給電源および給電ラインは、図165Aにおけるものと同様であるか、または同じである。このような構成は、増大した分離および交差偏波パラメータを維持しながら、サイズ、給電ネットワーク損失、およびコストを低減するのに役立つ。
図165Cは、図165Bの態様の12レベルのスタックアップを示す。RFIC16510からストリップラインT接合スプリッタまでの経路および構成は、いくつかの態様では、図165CのパッケージスタックアップのレイヤL7に実装される。レイヤL7は、幾つかの態様によれば、図165Cに示されるように、L8の別の接地レイヤより上にある。提案した4ポートアンテナ構造は、(図165A、16505、16514に見られる)単純でコンパクトなT接合スプリッタを信号給電ネットワークとして使用することができる。なぜなら、それは、偏波を分離するためにラットレースリング(rat-race ring)を必要とせず、従って、信号給電ネットワークを単純化する結果となるからである。
図165Cのスタックアップにおいて、いくつかの態様において、接地付きアンテナは、最初の6レイヤ(L1-L6)に設計され、信号給電線は、レイヤL7に設計される。検討中の態様では、T接合電力スプリッタ16505、16514は、L7の信号給電レイヤに実装される。RFIC16510の垂直偏波源16508は、T接合スプリッタ16505に接続される。スプリッタ16505は、垂直ポート16507に接続するライン16507Aに接続する。ライン16509Aはスプリッタ16505から反Vポート16509に接続する。RFIC16510の水平偏波源16512は、T接合スプリッタ16514に接続される。スプリッタ16514は、ライン16511Aに接続し、Hポート16511に給電する。ライン16513Aはスプリッタ16514に接続され、アンチHポート16513にアンチH信号を給電する。各偏波ポートに対する180度の位相差は、幾つかの態様において、物理的伝送線長差または移相器によって設計される位相遅延によって生成されてもよい。当業者には言うまでもなく、他のスタックアップ設計が可能である。
上述のように、交差偏波レベルを抑制するために、相殺ポートが直交励起アンテナ構造に導入される。2つの対向ポートは180度の位相差を有するため、望ましくない結合信号をキャンセルすることができる。このようにして、偏波分離の強化がもたらされる。しかし、アレイ構成における交差偏波抑制の追加の方法が実装されてもよい。そのような方法の1つは、以下で論じる4ポートアンテナアレイ励起である。
上述のように、交差偏波は単一要素において抑制されるので、4ポート励起アンテナを含むアレイアンテナは、より高い偏波分離および交差偏波抑制も達成することができる。図166Aは、いくつかの態様による、給電ネットワークと一体化された4ポート要素を使用する4x4アンテナアレイの概略図を示す。16600に示されているのは、PCB16601上の4x4アンテナアレイであり、16603、16605、16607、および16609に列挙された16個のアンテナ要素のうちの4個を有する。アンテナ要素16603に対する給電ネットワーク16603H(水平)および16603V(垂直偏波)は、図165Bの二重Tスプリッタ給電回路と同様である。各アンテナアレイは、図165Bに示されるように、各アンテナ要素間の距離が0.5lの4ポート励起アンテナ要素を含む。
図166Bおよび図166Cは、いくつかの態様による、図166Aの4ポートアンテナアレイに対するシミュレートされた放射パターン結果を示す。シミュレートされた結果に基づいて、図166Bのシミュレートされたパターン16621および16623上の点m1と点m2の差によって見られるように、約61dBの偏波分離が達成され、ここで、上部トレース16621は共偏波を示し、下部トレース16623は交差偏波を示す。これは、図4Bの二重偏波アレイと比較して約37dBの改善である。
加えて、+45度の走査角におけるシミュレートされた放射パターンの結果は、図166Cのシミュレートされたパターン16622および16624上の点m1と点m2の差によって示され、ここで、上部トレース16622は共偏波を示し、下部トレース16624は交差偏波を示す。約59dBの偏波分離が達成される。これは、図162Cに示される結果と比較して、交差偏波抑制の約40dBの改善である。60度の走査角度であっても、図166Cに見られるように、57dBの偏波分離を達成することができる。これは、提案した4ポートアンテナ要素を含むアレイが、より高い走査角度でもより高い偏波アイソレーションを達成できることを確認する。
4ポート励起アレイアンテナに加えて、逆位相相殺技術は、N×Mアレイ構成(NおよびMは、偶数、例えば2x2、2x4、4x4など)において適切に2ポート直交励起アンテナ要素を用いてアレイを作成することによって実現することができる。垂直方向および/または水平方向に反転された、1つのアレイサブセクションと他の隣接するアレイサブセクションとを整列させることによって、開示された逆位相相殺技術は、以下に説明するように、アンテナアレイ構成で実現することができる。
第1の構成は、図167Aに示される。図167Aは、いくつかの態様による、2ポート二重偏波アンテナ要素を使用するアレイ構成を示す。上述したような2ポート二重偏波アンテナ要素のアレイは、アレイ16706、16708、16710および16712を含むように図167Aの16700に示される2ポート二重偏波アンテナ要素を使用する。各アンテナ要素は、アレイサブセクション16706のための[P11、P12]、[P13、P14]、[P21、P22]、[P23、P24]に見られるような2ポートを有し、ポートは、いくつかの態様では、V偏波およびH偏波信号とペアで給電されるように構成される。各2x2要素サブセクションは、配列内の他のサブセクションのそれぞれに対して反転され、4x4アレイを構成する。
例えば、アレイ16706と16708との間の水平反転は、ポートP11、P13、P21、およびP23に対して水平に反転されているポートP15、P17、P25、P27によって示されている。アレイ16706および16710間の垂直反転は、ポートP12、P14、P22およびP24に対して垂直反転されるポートP32、P34、P42およびP44によって示される。残りの2x2サブセクションの要素のポート間の水平および垂直の反転も同様に示されている。各2x2アレイサブセクションを180度の位相差信号で励起することにより、この4x4アレイアンテナは交差偏波をさらに抑制することができる。図167Bおよび図167Cは、いくつかの態様による、図167Aのアンテナアレイのシミュレートされた放射パターン結果を示す。
図167Bにおいて、上部トレース16721は、共偏波(co-polarization)を示し、下部トレース16723は、交差偏波(cross-polarization)を示す。シミュレーション結果に基づいて、約54.8dBの偏波分離が達成され、これは、図162Bと比較して約32dBの改善である。加えて、+45度の走査角におけるシミュレートされた放射パターンが、図167Cに示され、ここで、上部トレース16722は共偏波を示し、下部トレース16724は交差偏波を示す。約56dBの偏波分離が達成される。これは、図162Cに示される結果と比較して、交差偏波抑制の約36dBの改善である。また、この場合、図167Bおよび167Cのそれぞれの共偏波プロットおよび交差偏波プロット間のdB差の比較から分かるように、60度走査角よりも高い角度でもより高い交差偏波抑制が維持される。
図168Aは、いくつかの態様による、2ポート二重偏波アンテナ要素を使用する他のアレイ構成を示す。図示は、2x2アレイサブセクション16806、16808、16810および16812である。2x2アレイのアンテナ要素は、2x2アレイサブセクション内の各隣接アンテナ要素を、2x2アレイサブセクション内の他のアンテナ要素のそれぞれに対して反転させる。例えば、要素16806AのポートP11は、要素16806BのポートP13に対して水平に反転される。要素16806AのポートP12は、要素16806CのポートP22に対して垂直に反転される。要素16806AのポートP11およびP12は、要素16806DのポートP24およびP23に関して、各々反転され、要素16806Aに対して直径方向で反対である。この場合、ポートP11はポートP23に対して水平に反転され、ポートP12はポートP24に対して垂直に反転される。一般に、各エレメントは、サブセクションにおいてそのエレメントと直角に位置する別のエレメントに対して反転された1つのポートを有し、サブセクションにおいてそのエレメントと直径方向に対向して位置するエレメントに関して反転された2つのポートを有する。一般に、理想的な対称アレイ構成と比較して、ある程度の劣化が予想される。非対称性の回避は、より良いアンテナ性能を達成することが期待できる。
180度の位相差信号で各隣接アンテナ要素を励起することにより、このアレイアンテナ構成は交差偏波レベルを抑制できる。図168Bおよび図168Cは、いくつかの態様による、図168Aのアンテナアレイ構成に対する放射パターンに関するシミュレーション結果を示す。図168Bにおいて、上部トレース16821は、共偏波(co-polarization)を示し、下部トレース16823は、交差偏波(cross-polarization)を示す。シミュレーション結果に基づいて、約63.5dBの偏波分離が達成され、これは、図162Bと比較して約40dBの改善である。加えて、+45度の走査角におけるシミュレートされた放射パターンが、図168Cに示され、ここで、上部トレース16822は共偏波を示し、下部トレース16824は交差偏波を示す。約74dBの偏波分離が達成され、これは図162Cに示される結果と比較して約55dBの交差偏光抑制の改善である。この場合、走査角度が60°より高くても交差偏波抑制の高い値も維持される。
ワイヤレス通信のユビキタス化は、多くの困難な問題を提起し続けている。特に、異なるニーズを持つ多種多様なデバイスと使用されるスペクトルの両方のため、5Gの出現と共にさらなる挑戦が進化した。とりわけ、困難な問題は、放射された電波の空間的カバレッジの必要性、移動装置が異なる場所に移動する際の信号強度の維持の必要性、又はユーザが移動装置を時々異なる方向に向けるかもしれないことに起因する。これは、多数のアンテナの使用、様々な極性、放射線の方向、様々な時間における様々な放射電波の空間的多様性、および関連する問題につながる。特に、通信に使用される周波数帯域の範囲は増加しており、これは最近ではライセンス帯域と非ライセンス帯域のキャリアアグリゲーションとmmWave帯域の近くの使用が原因である。
増大する懸念の1つの問題は、ミリ波ビーム形成アンテナに関連する非効率性である。より具体的には、ミリ波ビーム形成アンテナは、一般的に、一方向をカバーし、狭いビームを有する。ミリ波アンテナが移動式である場合(例えば、V2X mmWave通信)、しばしば、ある方向の基地局に整列(align)する必要があり、一定時間後に、異なる方向に整列する必要がある。さらに、1つのミリ波アンテナは、高周波数で通信する場合、空気を通しての信号透過損失が高い可能性があるため、非効率的であり得る(例えば、2G/3G/4G通信の場合は、1番目のメータでは36-38dBの損失であるのに対し、1目のメータでは60dBの損失となる)。
態様は、360°のカバレッジを提供するための、mmWaveビームステアリングおよびアンテナスイッチングのためのシステム、デバイス、装置、アセンブリ、方法、およびコンピュータ読み取り可能媒体に関する。mmWaveビームステアリングおよびアンテナスイッチングの態様は、図3Aに示されるmmWave通信回路300に組み込むことができるが、mmWaveビームステアリングおよびアンテナスイッチングの態様は、かかる態様に限定されない。アンテナブロックは、複数の(例えば、少なくとも4つ)フェーズドアンテナアレイを含むことができ、各アンテナアレイは、ビームを水平方向または垂直方向にステアリングできるように、二重偏波(例えば、水平方向または垂直方向偏波)にすることができる。さらに、アンテナブロック内のフェーズドアンテナアレイの各々は、1つ以上のトランシーバが利用可能なeNBのスキャン専用にできるように、別個のトランシーバと関連付けることができ、残りの1つ以上のトランシーバがmmWave信号通信に使用可能である。例えば、利用可能なトランシーバのうちの2つは、eNBとの2×2MIMO通信に使用することができ、残りの2つのトランシーバは、後続のハンドオーバのために利用可能なeNBのスキャンに使用することができる。
図169は、幾つかの態様による、V2X(vehicle-to-everything)通信のための複数のアンテナアレイを有するマスト取り付けmmWaveアンテナブロックを示す。図169を参照すると、アンテナブロック16900は、アンテナマスト16916上に取り付けることができるアンテナアレイ16906、16908、16910、および16912を含むことができる。アンテナアレイ16906-16912を有するアンテナマスト16916は、プラットフォーム16914上に取り付けることができる。プラットフォーム16914は、プリント回路基板であってもよく、図174に示されるトランシーバおよび/または他のコンポーネントなどの1つ以上の他のコンポーネントを含んでもよい。いくつかの態様において、アンテナブロック16900は、移動ユニット(例えば、車両)におけるミリ波通信に使用することができる。この点に関し、アンテナブロック16900は、車両の屋根に取り付けるための「シャークフィン」カバーのような空気力学的カバー16902を含むことができる。
図169に示されるように、4つのアンテナアレイ16906-16912のそれぞれは、構成16904において、アンテナマスト16916上に実装することができ、ここで、アレイのそれぞれは、隣接するアンテナアレイから90°だけオフセットされる。これに関して、第1のアンテナアレイ(16906)が西方向に向いている場合、残りのアレイ(16908、16910、および16912)は、それぞれ北方向、東方向、および南方向に向いている。アンテナブロック16900は、4つのアンテナアレイで示されているが、本開示はこの点に関して開示は限定されず、異なる構成の異なる数のアンテナアレイを使用することもできる。
図170は、いくつかの態様による、単一のevolved Node B(eNB)と通信するミリ波アンテナアレイにおける例示的なビームステアリングおよびアンテナ切替えを示す。図170を参照すると、通信システム17000は、eNB17002と通信する4つのアンテナアレイ16906~16912を有する、図169のアンテナブロック16900を含むことができる。アンテナブロック16900は移動中の車両に配置することができ、図170は、車両が位置P1から位置P3に移動するときのアンテナブロック16900の3つの別々の位置を示している。図170に見られるように、時点T1において、アンテナブロック16900を有する車両は、位置P1にあり、アンテナアレイ16910を使用してeNB17002と通信している。アンテナブロック16900を有する車両が時点T2において位置P2に移動すると、アンテナブロック16900は、eNB17002と通信するために、(位置P1において使用されるビームとは異なるビームで)アンテナアレイ16910を引き続き使用することができる。アンテナブロック16900を有する車両が時刻T3においてP3の位置に移動すると、アンテナブロック16900は、アンテナアレイを切り替え、アンテナアレイ16908を使用してeNB17002と通信することができる(アンテナアレイ16908はeNB17002の方向に向いているため)。eNB17002から発信される信号の受信信号強度は、受信信号の方向(例えば、eNB17002の方向)を決定(または推定)するために使用でき、eNBの決定された方向と整列された対応するアンテナアレイを使用することができる。
図171は、いくつかの態様による、複数のeNBと通信するミリ波アンテナアレイにおける例示的なビームステアリングおよびアンテナ切替えを示す。図171を参照すると、通信システム17100は、eNB17002および17104と通信する4つのアンテナアレイ16906~16912を有する、図169のアンテナブロック16900を含むことができる。アンテナブロック16900は、位置P0から位置P4に、方向17106に移動する車両上に配置することができる。いくつかの態様において、4つのアンテナアレイ16906-16912の各々は、1つ以上のミリ波帯域で動作することができる対応するトランシーバと関連付けることができる。図171に見られるように、時点T0において、アンテナブロック16900を有する車両は、位置P0にあり、アンテナアレイ16912を使用して、アンテナビーム17112を介してeNB17104と通信している。
いくつかの態様において、アンテナアレイ16906-16912のそれぞれは、二重偏波フェーズドアンテナアレイであり得、その結果、1つの水平偏波ビームおよび1つの垂直偏波ビームは、2つのトランシーバを使用して、アンテナアレイ(例えば、2X2MIMO構成)から同時に通信され得る。例えば、アンテナアレイ16912は、ビーム17112として表される垂直および水平偏波ビームを使用して、2x2MIMO構成で2つのトランシーバを介してeNB17104と通信することができる(例えば、1つのトランシーバは、垂直偏波ビームでアンテナアレイ16912と通信することができ、第2のトランシーバは、同じアンテナアレイ16912を使用して水平偏波ビームと通信することができる)。
2つのトランシーバがeNB17104との通信に使用されるので、残りのトランシーバ(例えば、図174に示されるように、第4のトランシーバ通信装置が車両内で使用される場合における2つの残りのトランシーバ)が、別のeNBについて利用可能な通信チャネルを走査するために使用され得る。例えば、図171に見られるように、残りのアンテナアレイ16906-16910のうちの1つ以上は、利用可能なeNBをスキャンするために1つ以上のビーム17108を使用することができる。いくつかの態様において、走査ビーム17108のうちの1つ以上は、別のeNB17102が通信のために利用可能であることを決定することができる。走査ビーム17108に関連するトランシーバを使用して、eNB17102からの信号を受信することができ、受信信号をさらに処理して、これらの信号に関連する受信信号強度インジケータ(RSSI)または他の信号品質メトリックを決定することができる。新しいeNBに切り替えるか否かの決定は、RSSIまたはその他の品質メトリックに基づいて行われる。
時点T1では、アンテナブロック16900を有する車両は、位置P1にあり、アンテナアレイ16912および16910を使用して、それぞれ、アンテナビーム17114および17116を使用して、同時にeNB17104および17102と通信している。アンテナブロック16900とeNB17104および17102とを用いた車両間の通信は、4つの利用可能なトランシーバ全てを使用して、二重偏波アンテナアレイ16912および16910との2×2MIMO通信を使用することができる。時点T1で、アンテナブロック16900に関連するプロセッサ(例えば、図174におけるアプリケーションプロセッサ17403)は、信号品質測定に基づいて、eNB17104からeNB17102へ切り替えることを決定することができ、一方、アンテナブロックはeNB17104および17102の両方に接続される。例えば、eNB間のスイッチングは、閾値レベルを下回る受信信号品質(例えば、受信信号強度)に基づくことができる。
時刻T2において、アンテナブロック16900を有する車両は位置P2にあり、アンテナアレイ16910に関連するアンテナビーム17118を使用して、eNB17102とのみ通信している。同様に、時刻T3において、アンテナブロック16900を有する車両は位置P3にあり、アンテナアレイ16910に関連するアンテナビーム17120を使用して、eNB17102と通信している。位置P3において、残りのトランシーバは、ビーム17120を送信するために使用されないが、これらを使用して、残りのアンテナアレイのうちの1つ以上を使用して、eNB17102への利用可能な通信チャネルを走査することができる。
時刻T4において、車両は位置P4にあり、アンテナビーム17122を使用してeNB17102と通信するためにアンテナアレイ16910からアンテナアレイ16908に切り替わっている。eNB17102との通信は、2つのトランシーバおよびアンテナアレイ16908のための二重偏波(例えば、1つのトランシーバから垂直に偏波されたものと、第2のトランシーバからの水平に偏波されたものを、eNB17102との通信のために使用することができる)を使用して、ミリ波2×2MIMO構成を使用して行うことができる。アンテナブロック16900を使用するミリ波通信装置(例えば、17400)は、アンテナビーム17122および利用可能なトランシーバのうちの2つを介してeNB17102と通信しているが、残りのトランシーバは、残りのアンテナアレイのうちの1つ以上を使用して、走査ビーム17110を使用して利用可能な通信チャネルを走査することができる。
いくつかの態様において、ミリ波通信装置内の1つ以上のトランシーバ(例えば、図174における17400)は、専用の走査トランシーバであってもよく、1つ以上のアンテナアレイ16906-16912を使用して、新しいeNBまたは基地局の利用可能な通信チャネルを常に走査する。この点に関し、ミリ波通信装置は、第1のeNB(例えば、17104)に接続することができ、専用の走査トランシーバが第2のeNB(例えば、eNB17102)を位置決め(locate)した後、(位置P1における図171に見られるように)eNB17104および17102の両方に接続を確立することができる。時刻T2では、ミリ波通信装置がeNB17104への接続を中断し、アンテナビーム17118を介してeNB17102のみと通信するため、ソフト・ハンドオフが実現される。
いくつかの態様では、ミリ波通信装置内の1つまたは複数の受信機は、新しいeNBの利用可能な通信チャネルを、スキャンを専用にすることができる。新しいeNBが検出され、信号品質インジケータが受信信号の閾値レベルを超えると、現在のeNBとの通信を停止し、次いで新しいeNBとの接続を開始することによって、ハードハンドオフを実行することができる。
図172は、幾つかの態様による、複数のアンテナアレイを有するアンテナブロックを使用する複数のデバイスとの同時ミリ波通信を示す。図172を参照すると、通信システム17200は、複数の車両(17204、17206、および17208)およびeNB17202を含む。車両17204-17208の各々は、1以上のミリ波周波数帯域および/または1以上の他の通信帯域で通信するように構成されたアンテナブロック(例えば、16900)およびミリ波通信装置(例えば、17400)で構成され得る。
いくつかの態様において、車両17208は、17210として示される事故または他の道路危険のために動かない場合がある。車両17206は、走査信号17212を使用して道路危険度17210を検出することができる車載用カメラおよび/または近接センサを含むことができる。車両17206は、第1のアンテナアレイを使用してビーム17216を介してeNB17202と通信し、第2のアンテナアレイを使用してビーム17220を介して隣接する車両17204と通信することができる。いくつかの態様において、車両17206は、ビーム17216を介してeNB17202と通信している間に、ビーム17220を介した通信を使用して、車両17204に検出された道路危険度17210を通知する。
いくつかの態様において、eNB17202は、(例えば、車両17208または他の車両により)道路危険性17210について通知されることができ、eNB17202は、道路危険性17210と通信していることを他の車両に通知することができる。車両17204が、車両17206が行う前に、道路危険性17210の通知を受信した場合、車両17204は、ビーム17218を介した通信を使用して、近づく道路危険性17210を車両17206に通知することができる。
この点に関し、車両17204-17208の各々は、複数の送信および受信通信経路を同時に使用することができる。例えば、送信/受信パスがeNBと通信している場合、残りのパスを、V2V通信(またはV2X通信を使用するインフラストラクチャまたは人との通信)を使用する隣接車両との通信に使用することができる。
いくつかの態様において、アプリケーションプロセッサ(例えば、17403)は、eNB17202との4G/LTE通信、他の車両との5G通信(V2V通信)、および車両対個人インターフェースのためのWi-Fi/802.11通信を使用することができる。
図173は、幾つかの態様による複数のアンテナアレイを含むアンテナブロックによるミリ波通信に使用することができる複数のビームを示す。図173を参照すると、通信システム17300は、eNB17302と通信するアンテナブロック17304(デバイス17400などのミリ波通信デバイスの一部であり得る)を含むことができる。アンテナブロック17304は、二重偏波アンテナアレイ17306-17312を含むことができる。
アンテナブロック17304を使用するミリ波通信装置は移動可能であるため、eNB17302とミリ波通信リンクが確立されると、ビーム取得が実行可能である。例えば、ミリ波通信装置は、利用可能なビーム17314-17318を調べ(go through)、各利用可能なビームについてRSSI (または別の信号品質インジケータ)を測定し、最も高い測定された信号品質インジケータ(例えば、ビーム17316)を有するビームを選択することができる。測定された信号品質インジケータの表は、後で参照するために記憶され、ビームの切り替えまたはハンドオーバを実行するために使用される。
幾つかの態様では、通信ビームは、所定の領域をカバーして、各ビームの方向が分かるように、予め選択することができる(又は、位相シフタがビームに使用される面設定に基づいて、方向を計算することができる)。この点で、eNBとの通信のためにビームが選択されると、eNBの方向を決定することができる。車両が移動するにつれて、走行方向と現在のeNBの方向に基づいて異なるビームを選択することができる。
図174は、幾つかの態様による、図169の複数のアンテナアレイを有するアンテナブロックを使用するミリ波通信デバイスの例を示すブロック図である。図174を参照すると、通信装置17400は、アプリケーションプロセッサ17403、モデム17402、中間周波数変換ブロック17404、トランシーバアレイ17440、スイッチアレイ17450、およびアンテナアレイセット17460を含むことができる。
アンテナアレイセット17460は、図169のアンテナブロック16900と同様であってもよい。より具体的には、アンテナアレイセット17460は、二重偏波アンテナアレイ17424、17426、17428、および17430を含むことができる。アンテナアレイ17424-17430の各々は、トランシーバアレイ17440内の対応するトランシーバ17442、17444、17446、および17448と関連している。図174に見られるように、アンテナアレイ17424-17430の各々は、二重偏波アンテナアレイ(例えば、4×4アンテナアレイ)であり、2つの別個のIFデータ入力を受け取ることができる。これらは、異なる偏波(例えば、水平または垂直)であってもよく、トランシーバアレイ17440内の2つのトランシーバよって同時に送信されてもよい
スイッチアレイ17450は、対応するIFデータ入力17406に結合され得る信号スイッチ17408、17410、17412、および17414を含む。スイッチ17408-17414の各々は、アンテナアレイセット17460に通信される対応するスイッチ出力信号17416、17418、17420、および17422を生成する。
動作中、モデム17402からのデータは、IF変換ブロック17404を介してIFデータ17406に変換することができる。IFデータ17406は、スイッチ17450に通信することができる。アプリケーションプロセッサ17403は、どのトランシーバ、及びどのアンテナアレイが、eNBおよび/または他の車両と信号を通信するために使用され得るか、また、どのトランシーバおよびアンテナアレイが、利用可能なeNBまたは基地局のための1つまたは複数の通信チャネルを走査するために使用され得るかを決定することができる。これに関して、アプリケーションプロセッサ17403は、スイッチアレイ17450内のスイッチ17408-17414のうちの1つ以上を放射することができ、スイッチ出力信号17416-17422のうちの1つ以上は、アンテナアレイセット17460内の対応するアンテナアレイに通信される。
RFサブシステム((RFサブシステム)または(RFS))は、高いデータレート要件のため、WiGigおよび5Gの態様のため、新しいモバイル無線デバイスに統合する必要がある。このような種類のRF-サブシステムは、WiGigおよび5G周波数での動作に望ましい小さなサイズのため、マイクロストリップアレイとして構成されたマイクロストリップアンテナを使用することが多い。マイクロストリップアンテナ(プリントアンテナとしても知られる)は、通常、プリント回路基板(PCB)上にマイクロストリップ技術を用いて製造されるアンテナを意味する。個々のマイクロストリップアンテナは、通常、PCBの表面に種々の形状の金属箔のパッチ(パッチアンテナ)を含み、基板の反対側に金属箔接地面、またはPCBの内部レベルに接地面を有する。マイクロストリップアンテナは、主にブロードサイドで放射し、5GおよびWiGig動作のすべての使用例には適していない可能性がある。WiGig RFサブシステムは、使用されるマイクロストリップアンテナの放射方向の制約のため、ラップトップの蓋にしばしば配置される。さらに、5Gミリ波ハンドヘルドシステムでアンテナが人体に向かって(またはディスプレイに向かって)放射する場合、ブロードサイド放射(broadside radiation)が比吸収率(SAR)の問題を引き起こす可能性がある。これらの問題のソリューションには、5Gの包括的なカバレッジを得るために、バック・ツー・バックで積み重ねられた複数のRFSを使用することが含まれる。しかし、これは装置の厚さおよびコストを増大させ、PCBの表面上に広い面積を必要とし、サブシステムを最適設計または改良設計に必要とされるよりも大きくする。さらに、マイクロストリップアンテナは、一般に、広い帯域幅を達成することができず、実際、時には、狭い帯域幅しか達成することができない。このようなマイクロストリップアンテナのアレイは、ある状況では、全方向に放射するように設計することができるが、帯域幅やRFSサイズの改善のような全体的性能を改善するのに利用可能な広い範囲が依然として存在する。パッチアンテナは一般に広い帯域幅を持たず、このタイプの改良には役立たないかもしれない。従って、WiGigおよび5G技術に用いられる、及びその他のmmWaveアンテナ設計に用いられるアンテナおよびアンテナアレイが必要である。
上記のソリューションは、PCB製造プロセスによって製造される基板ビアを含むビアアンテナである。様々な態様において、ビアアンテナは、他のアンテナよりも占める表面積が小さく、ビアアンテナに使用されるビアの3D構造のために5G技術において使用可能な帯域幅を有する。ビアアンテナは、幾つかの態様では、誘電体レイヤを含むPCBのRFS、またはマザーボードの内部レイヤにおいて、設計することができるという点で製造上の利点を提供する。さらに、ビアアンテナは、PCBの内部の、目に見えないレイヤに配置することができるので、本質的に目に見えないようにすることができる。ビアアンテナは、モノポールまたはダイポールとして設計することができる。例えば、単一の給電ビア態様は、モノポール・ビア・アンテナとして機能し、一方、バック・ツー・バック・ビア・態様は、ダイポールとして機能するビアアンテナになる。さらに、ビアアンテナは、5Gテクノロジー使用ケースに非常に望ましい、エンド放射(end-fire radiation)を提供するアレイとして構成することができる。ビアアンテナのエンド放射パターンは、ラップトップの基部におけるWiGig RFSの配置に有用であり得、従って、ケーブルの長さ及び損失を低減する。さらに、いくつかの態様では、5GおよびWiGig技術のためにボード内ビアアンテナを設計して、ラップトップのベースにWiGig RFSまたは5G RFSのいずれかを配置するオプションを提供することができる。ビアアンテナは、所望の放射方向を失うことなくマザーボードと一体化することもできる。他の利点には、5G動作に必要なRFS数の減少が含まれる。なぜなら、ビアアンテナアレイは、少なくとも2つのカバレッジ方向を有するエンド放射(end-fire radiation)のために構成することができ、その結果、以前の設計で複数のRFSを利用した。
また、ビアアンテナはPCBの一体部分であり得るので、設計コストの節約も提供される。ビアアンテナは、ビアアンテナのための給電ネットワークと共に、実質的にPCBの任意の中間レイヤに設計することもできる。上述のように、ある状況では、1つのRFのみで360度の完全なカバレッジを得ることは困難である。これに対するソリューションは、バック・ツー・バックでスタックされた複数のRFSを使用して、5Gに対する360度のカバレッジを得ることを含み得る。しかし、これは装置の厚さおよびコストを増大させ、PCBの表面上に広い面積を必要とし、サブシステムを最適設計または改良設計に必要とされるよりも大きくする。一方、Via-Antennaは、バック・ツー・バックで配置される場合、良好な全周カバレッジを提供し、単一のRFSのみを使用してこの問題を解決することができる。
5G RFSに利用可能なソリューションは、PCB上にプリントされたパッチアンテナを含む。パッチアンテナの一方向性放射のため、5Gシステムは、最大指向性カバレッジのために複数のRFSを必要とすることがある。システムにより多くのRFSを追加すると、より多くのスペースと追加のコストを占める。同様に、既存のWiGig RFSは、マイクロストリップおよび平面ダイポールアンテナのアクティブアンテナアレイを有する。アレイはあらゆる方向に放射するように設計されているが、それでも帯域幅やRFSサイズの向上など、全体的なパフォーマンスを向上させるために利用できる広い範囲(wide scope)がある。パッチアンテナは一般に広い帯域幅を持たず、このタイプの改良には役立たないかもしれない。
現在の標準的なWiGig RFSサイズは約20×7×1.7mm(長さ×幅×高さ)である。RFS長は、性能を犠牲にすることなく、提案したビアアンテナアレイを使用することによりさらに短縮できる。現在のWiGig RFSは、主にノートパソコンのLIDに配置できる。しかし、提案したビアアンテナ実装をRFSで使用することにより、いくつかの態様では、RFSをノートパソコンのベースに、および他の場所に配置するオプションが提供される。ビアアンテナは、PCBの複数の内部誘電体レイヤを使用して、PCB内部に設計することができる。これは、幾つかの態様では、基本的にPCBの任意のレイヤに、ビアアンテナアレイ及び給電ネットワークを設計する柔軟性を与えることによって、アンテナパラメータの調整を助ける。
図175Aは、いくつかの態様による、携帯電話内に構成されたビアアンテナアレイの図である。本明細書に記載のビアアンテナ要素は、図3Aに示されるミリ波通信回路300のアンテナアレイ回路330に組み込むことができるが、ビアアンテナ要素はこれに限定されない。図175Aは、携帯電話上のビアアンテナ17505、17507のアレイを示す。ビアアンテナが2方向に放射する能力のため、ビアアンテナ、またはここでは、17505におけるような4要素ビアアンテナアレイを、X軸に実質的に平行に配置して、17505A、17507Aのように、図示された携帯電話のY方向に放射することができる。同じ理由で、いくつかの態様では、4要素ビアアンテナ17507をY軸に実質的に平行に配置して、17507A、17507Bのように、図示された携帯電話のX方向に放射することができる。
図175Bは、いくつかの態様による、マザーボードPCB上に構成されたビアアンテナアレイの図である。17509に回路部品および導電線を含むマザーボード17502は、17511にビアアンテナアレイを含む。ビアアンテナは、2つの方向に放射する能力があるため、携帯電話の場合のように、放射は、17511Aおよび17511Bの2つの方向にもなり得る。
図175Aは、いくつかの態様による、ノートブック内に構成されたビアアンテナアレイの図である。上述のビアアンテナの二方向放射のため、ビアアンテナアレイの配置は、放射線方向17517Aを有するノートパソコンの蓋に限定されず、いくつかの態様によれば、17519に配置して、放射線方向17519Aおよび17521としてもよいし、17521に配置して、放射方向17521Aとしてもよい。
一般的に言えば、ビアアンテナアレイは、手元の使用ケースの方向、周波数、および放射パターンの要件を満たす任意の位置に配置することができる。1つの重要な利点は、ビアアンテナを配置して、比吸収速度(SAR)を減少させることができることである。換言すれば、携帯電話の図175Aに示したように、携帯電話に話しかけるときに、その最も強い放射が人の耳から離れる方向にあるように、ビアアンテナアレイを配置することができる。図175Aに見られるように、一次放射線は、いくつかの態様において、Y方向(17505A、17507A)またはX方向(17507A、17507B)であり得、一方、携帯電話の使用者は、概して、Z方向(Z方向は、ページに向かうまたはページから離れる方向)であり得る。
さらなる利点は、所望であれば、単一の方向の放射することである。ビアアンテナの放射は一般に2つの反対方向にあるが、もし放射が一方向であることが望まれるならば、幾つかの態様では、所望の方向に放射線を反射するために、ビアアンテナまたはビアアンテナアレイの反対方向に、望ましくない放射線の方向に金属反射体を配置し得る。
PCB内のビアは、一般的に、金属トレースを複数のレイヤに相互接続するために使用されてきた。PCBビアは、円筒形、長方形、円錐形、および他の幾何学的形状など、異なる形状およびサイズを有することができる。ビアアンテナは、幾つかの態様において、中空又は中実(solid)に設計することができる。ビアがメッキされ、ビアの孔が金属で満たされず、ビアが中空になることがある。他の例において、ビアの孔は、金属によって完全にまたは部分的に充填されて、それを固めることができる。この差は、ビアのPCB製造プロセスおよび/またはユースケースの要件に依存してもよい。いくつかの態様では、所望の要件を実現するため、性能をシミュレートすることができる。いくつかの態様では、ビアアンテナは、ビアの底部のエッジまたは中央で給電することができる。ビアアンテナの接地と底部は同一平面内に設計でき、共平面導波路(CPW)線を用いて給電できる。ビアは、任意の数のレイヤを介してPCB内に作成することができる。説明のビアアンテナは、幾つかの態様では、同じアプローチを用いて設計することもできる。
図176Aは、いくつかの態様による、マルチレイヤPCB内のビアアンテナの断面図である。PCB17600は、いくつかの態様では、Nレイヤ、レイヤ1-レイヤNを有するスタックアップ17601として示される。ここでNは整数である。1つ以上のレイヤは、誘電体レイヤであってもよい。他のレイヤは、導電レイヤであってもよい。ビア17603は、ビアが円錐形ビアである場合に湾曲し得る内部セクションを有するものとして示される。しかし、ビアアンテナのビアは、円錐形状に限定されない。ビアは、円錐形、円筒形、または必要とされるソリューションの要件を満たす任意の他の形状とすることができる。図中、ビアの外側表面は17603Aおよび17603Bの断面で示され、内部断面は17603Aおよび17603Bの間である。ライン17605は、いくつかの態様によれば、給電ラインであり得る。
図176Bは、いくつかの態様による、ビアアンテナの斜視図である。ビア17603は、いくつかの態様において、PCB17601内の複数のレイヤにあるものとして等角図で示される。上述のように、ビアアンテナの動作は、モノポールアンテナとして機能する。その結果、ビアアンテナは、マイクロストリップアンテナよりも広い帯域幅を有し、ビアアンテナは、特にミリメートル波長において利点を与える。一般に、ビアアンテナの性能は、PCBに依存しない。更に、図176Aにおけるビアアンテナと接地GNDとの間のギャップG、及びこの態様におけるビアアンテナの略円錐形状は、通常のマイクロストリップアンテナと比較して帯域幅を増加させるように機能する。
性能はまた、ビアに使用されるレイヤおよびレイヤ数によっても影響を受ける。ビアに使用されるレイヤは、様々な態様において、当面のアプリケーションの性能特性を提供するように調整され得るパラメータであってもよく、時としてアンテナの「同調(tuning)」と呼ばれる。いくつかの態様では、PCBの上レイヤにおけるビアアンテナの性能を評価して、設計の所与の周波数における性能要件が満たされているかどうかを判定することができる。要件が満たされない場合、PCBのより深いレイヤを、同調プロセスにおいてビアアンテナのために使用して、所望の性能を実現することができる。
利点の1つの例として、所望の性能がビアの1つの態様において付加的な高さを必要とする場合、1つ以上の付加的なレイヤにおいてビアを再構成して、その高さを増加させることができる。利点の別の例として、図176Bのビアアンテナ17603は、図示された構造体の上部に現れ、これは、いくつかの態様において、ラップトップの蓋であり得る。アンテナが蓋に見えないようにすることが望ましい場合、ビアは、第1のレイヤまたは底層ではなく、内部レイヤで作ることができ、ビアアンテナは見えないようにすることができる。
図177Aは、いくつかの態様による、PCBのビアの上面からの内部図におけるPCBのビアアンテナを示す図である。ビアアンテナ17703は、様々な積層レイヤ内に構成されたPCB17701に見られる。図177Bは、いくつかの態様による、PCBの底から見たPCBビアアンテナを示す図である。給電は、PCBの任意の所望のレイヤで行うことができる。給電ライン17705は、この例ではCPWである。しかし、ストリップライン、マイクロストリップライン、または他の任意の適切な伝送ラインのような、任意の平面給電機構を使用することができる。放射は、ビアに対して垂直ではなく、ビアアンテナのビアの周囲の方向にあるので、放射方向は、いくつかの態様では、ビアアンテナが配置される場所に依存する。いくつかの態様では、ビアアンテナは、中空または中実(solid)に設計でき、ビアの底部のエッジまたは中央で給電することができる。ビアアンテナの接地および底部は、同じ平面内に設計することができる。
図178Aは、いくつかの態様による、ビアアンテナアレイの上面図である。図178Aは、PCB17801におけるビアアンテナアレイ設計を示し、ここで、アレイ内のアンテナ要素17803の数は、いくつかの態様では、アンテナ利得およびビーム幅の要件に基づいて決定され得る。ビアアンテナは、上述のように、モノポールアンテナおよびダイポールアンテナの特性であるエッジファイア放射(edge-fire radiation)で動作する。ビアアンテナアレイは、RFSの中またはその一部として、またはRFSの近くに配置されてもよい。或る態様では、ビアアンテナアレイは、別個の小さなPCB内に設計され、その後、マザーボードまたはRFSに固着され、または他の方法で接続されて、アンテナ放射に対する表面波の影響を回避するか、他のノイズを低減するか、またはアレイが使用する装置内の障害物によってブロックされ得る到達困難な方向に放射することができる。図178Bは、いくつかの態様による、ビアアンテナに対する垂直給電の図である。
図178Cは、いくつかの態様による、ビアアンテナに対する水平給電の図である。ビアアンテナは、垂直給電または水平給電により給電されてもよく、垂直給電または水平給電の選択は、設計によって決定されるアンテナ性能に対する給電線干渉を低減するために行われても良い。概して、垂直給電は17805Aで示され、いくつかの態様では、給電されるビアは図178Bに見られるように17803である。水平給電を17805A’に示し、ここで、給電されるビアは、いくつかの態様では、17803’である。どちらの構成も円錐を垂直に励起し、偏波は給電の種類毎に同じになる。
放射は二つの方法で起こりうる。一つは、アンテナから空中を通って所定のカバレッジ方向への放射である。もう一つは、PCB自体の誘電体材料を通過し、最終的に空中(the air)を通る放射である。従って、選択される誘電体材料の選択は、誘電体を通る望ましくない放射線を低減する上で重要である。このような放射を低減するためには、誘電率がより低い、非常に低い誘電損失が望ましい。この種の不要輻射に対抗するための追加の方法は、ビアの近くの誘電材料に穴を開けることであり、これはこの不要輻射を除去しないとしても減少する傾向がある。この一例は、いくつかの態様による、図188に見られる。図示の態様では、孔18807は、ビアアンテナ18803に隣接するPCB18801内にドリル加工される。孔は、不要な放射を減らし、アレイ-アンテナ放射に対するその影響を減らすように機能する。なぜなら、その孔は、この放射が、ときに表面波と呼ばれるが、移動できる連続平面が存在することを妨げるからである。換言すれば、孔は効果的に不連続PCBを作り出し、その結果、表面波が生成されると、ダイはダウンし、アンテナ性能に影響を及ぼさない傾向がある。
図179Aは、いくつかの態様による、ダイポールビアアンテナとして構成されたバック・ツー・バック・ビアの斜視図である。ダイポールビアアンテナ17900は、バックツーバックビア17903A、17903Bを含み、いくつかの態様によれば、1.6mm厚の難燃剤4(FR4)基板内に構成される。いくつかの態様によれば、単一円錐形ビアの高さは0.7mm、頂部直径および底部直径はそれぞれ4mmおよび0.25mmであり、ダイポールの底部間のギャップは0.2mmである。図179Bは、いくつかの態様による、PCB積層体レイヤを示すダイポールビアアンテナとして構成される、図179Aのバックツーバックビアの斜視図である。PCB17901の積層レイヤは、いくつかの態様では、17903A、17903Bに示されるバック・ツー・バック・ビアを用いて側面図で示される。給電は、いくつかの態様では、2つのビア17903A、17903Bの間に配置された上記のような給電ラインによって示される。給電ラインは、17904において円筒形の周囲に配置されてもよく、ここで、給電は、いくつかの態様において、それぞれ+および-であり得る。設計寸法は、ユースケースに望ましい性能を得るために、シミュレーションにより求められる。
図180は、いくつかの態様による、図179Aおよび179Bのダイポールビアアンテナ構成に対する戻り損失のグラフである。ダイポールビアアンテナ動作は、27.5GHzから30.5GHzの広い帯域幅で示される。三角形内の4つのデータポイントは、説明中の態様について表7に示されるシミュレーション結果の指標を提供する。
Figure 2024059722000011
図181Aは、幾つかの態様による、Ludwig定義を使用して27.5GHzの周波数における図179Aおよび179Bのダイポールビアアンテナ構成のための、シミュレートされた遠距離場共平面放射パターンである。メインローブの大きさは、55.1度の角度ビーム幅(3dB)で、0度で2.08dBであり、説明中の態様に関してサイドローブレベルは-12.7dBとしてシミュレートされている。この設計は、良好なエッジファイア利得を示し、パターンは、2つの反対方向にカバレッジを提供する。
図181Bは、幾つかの態様による、Ludwig定義を使用して28 GHzの周波数における図179Aおよび179Bのダイポールビアアンテナ構成のための、シミュレートされた遠距離場共平面放射パターンである。説明中の態様の場合、メインローブの大きさは、54.9度の角度ビーム幅(3dB)で、0度で2.38dBであり、サイドローブレベルは-12.2dBとしてシミュレートされている。この設計は再び、良好なエッジファイア利得を示し、パターンは、2つの反対方向にカバレッジを提供する。
図181Cは、幾つかの態様による、Ludwig定義を使用して29.5 GHzの周波数における図179Aおよび179Bのダイポールビアアンテナ構成のための、シミュレートされた遠距離場共平面放射パターンである。説明中の態様の場合、メインローブの大きさは、54.9度の角度ビーム幅(3dB)で、0度で2.03dBであり、サイドローブレベルは-10.0dBとしてシミュレートされている。この設計は再び、良好なエッジファイア利得を示し、パターンは、2つの反対方向にカバレッジを提供する。
図182は、幾つかの態様による、5G技術のための28 GHZでの動作のための2要素ビアアンテナアレイ設計である。2要素ビアアンテナアレイ設計は5G技術に対し28GHzである。ビアアンテナは、27.5GHzから29.5GHzの5Gミリ波周波数帯をカバーするように設計されている。円錐の最上径は3.6mm、円錐の最下径は0.4mmである。コーンの高さは0.6mmである。コーンは、誘電率4.4を有する0.8mm厚のFR4 PCB上に設計される。アンテナは、エンドファイア放射する給電に対応して水平に配置され、ビアの中心間の距離は8.80mmである。
図183は、いくつかの態様によると、図182の2要素ビアアンテナアレイ設計のためのアンテナ戻り損失のシミュレーショングラフである。シミュレーション結果は、18301および18305におけるアンテナの両方の戻り損失、および18302におけるアンテナ間の分離を含む。最小戻り損失は29.5度である。点5での分離は27.7GHzで-20.2 dBであり、点7での分離は31.8GHzで-26.3 dBである。
図184Aは、いくつかの態様による、27.5GHzの周波数で動作する、図182の2要素ビアアレイのシミュレートされた放射パターンである。図は、27.5GHzにおけるアンテナアレイ放射パターン18401Aを示す。
図184Bは、いくつかの態様による、29.5 GHzの周波数で動作する、図182の2要素ビアアレイのシミュレートされた放射パターンである。図は、29.5GHzにおけるアンテナアレイ放射パターン18,401Bを示す。
図185は、いくつかの態様による、PCB中に設計されたビアアンテナを示す斜視図である。図は、PCBが6つの誘電体レイヤと0.8mm厚さを有することを示している。誘電体材料の電気的誘電率は3.3であり、第4および第5レイヤの厚さは0.2mmであり、他のレイヤの厚さは0.1mmである。ビアアンテナは、PCBの第3レイヤを通って第4レイヤまでで設計されている。ビアの設計寸法と形状は、57GHz-66GHzをカバーするWiGig周波数帯をカバーするシミュレーションによって得られた。
図186Aは、いくつかの態様による、図185のビアアンテナの接地面の底面図である。アンテナは、円錐のより小さい直径の端部で給電される。
図186Bは、いくつかの態様による、図185のビアアンテナの側面図である。寸法は、図185の寸法と一致する。
図186Cは、いくつかの態様による、図185のビアアンテナの斜視図である。寸法は、図185および図186Bの寸法と一致する。
図187は、いくつかの態様による、図185のビアアンテナに対するビアアンテナ戻り損失のシミュレーショングラフである。点1において、戻り損失は57.0GHzで-6.4 dBである。点2において、戻り損失は66.2 GHzで-8.7 dBである。
アンテナまたはアンテナアレイの所望の偏波放射に対する望ましくない偏波放射の比は、交差偏波(cross polarization)として知られている。交差偏波は、アンテナアレイの異なる偏波アンテナ要素間のアンテナ放射効率と分離に影響する。典型的には、連続する接地面上の3Dアンテナ要素は、あるレベルの望ましくない交差偏波と、アンテナ単独の効率およびアンテナアレイ効率を低下させる隣接要素への望ましくない結合を示す。少なくとも1つの発表された論文は、平面アンテナジオメトリのための欠陥接地構造(DGS)を用いたアンテナ交差偏波低減について述べている。「Printed Antenna Designs Using Defected Ground Structures-FERMAT www.e-fermat.org/files/articles/1534d5380e9790.pdf」と題するレビューペーパーは、交差偏波を低減するためのマイクロストリップパッチアンテナ要素の下での種々のDGSジオメトリを示す。以下の図に示すDGS構造のいくつかは、3Dコーンアンテナでシミュレートされている。これらの構造は交差偏波の有意な減少を示さない。このような構造は、平面アンテナに適しているが、3Dモノポール/コーンタイプのアンテナ構造には適していない。
接地に垂直であり得る3Dアンテナの下の接地面を修正することにより、アレイの交差偏波および要素対要素結合を低減でき、従って、幾つかの態様では、アンテナスタンドアロン効率およびアンテナアレイ効率を改善することが分かった。図189A-190Cは、幾つかの態様による、3Dコーンアンテナのための修正された接地構造のコンポーネントを示す。
図189Aは、PCB18903上の3D円錐アンテナ要素18901の上面図を示し、ここで、アンテナ要素は、PCBの上部にはんだ付けされてもよい。アンテナ要素は、いくつかの態様では、PCB18903の上部にある給電ライン18905によって給電される。図189Aは、いくつかの態様では、図189Aの円錐3Dアンテナのための接地面18907を示す。この接地面は、PCB内にある。
図189Bは、いくつかの態様による、3Dアンテナの下の接地面を示す。接地面18907は、銅であってもよい。
図189Cにおいて、いくつかの態様では、修正された接地面18908のような接地面修正が、PCBレイヤ18909上に示されており、それは、いくつかの態様において、3D-アンテナ18901の下の、18911に見られるように、選択的スロッティングと、それによる連続接地面の修正を含み、これは交差偏波を低減し、所望の放射利得を改善する電磁効果を生じる。エリア18910、18912は、金属を含まないエリアである。
アレイ内に構成される場合、このような態様は、連続接地面を分割し、また、アレイ内の要素間結合を減少させ、1つ以上の付随表面波を減少させる。このような態様は、5GおよびWiGigアンテナアレイ放射効率を改善し、アンテナが何らかの種類のPCB上で動作するように設計されるアンテナタイプのような5G、WiGigおよび/または他のミリ波モノポールに有用である。このような修飾を使用するいくつかの態様は、交差偏波において顕著な改善を示すことが分かった。
交差偏波放射は幾つかの態様で-7dBだけ低減され、共偏波放射線はこれらの態様で1 dBだけ改善され、従って、本明細書に記載の改良は、多入力多出力(MIMO)システムにおける偏波ダイバーシティに対して理想的である。
図189Dは、18911’などの様々な欠陥接地面を有する18901などの3Dコーンアンテナを示す。この図の実装の少なくともいくつかをシミュレートした。シミュレーションの結果は、これらの欠陥のある接地構造は、図189Aおよび図189Cの構成のように交差偏波において有意な排除を示さないことが分かった。欠陥接地構造は、3Dモノポール/コーン型アンテナの交差偏波を減少させるのに適していないようである。
本明細書に記載される改良は、いくつかの態様において、PCB内の接地面上に存在する3Dモノポールアンテナ要素の性能および挙動を改善する。これは、アンテナアレイが一般的に使用されるミリ波(mmWave)用途に特に適用可能である。このようなアンテナアレイでは、各アンテナは、理想的には所望の偏波で放射するように設計される。しかし、実際には、所望の放射偏波に加えて、所望の偏波に本質的に垂直な偏波へのリークが存在する。幾つかの態様では、アンテナ放射要素の下の開示された接地面修正は、望ましくない偏波への漏れを減少させ、所望の偏波における放射を改善し、従って、アンテナ効率を改善し、アンテナアレイの一部として使用されることをより適切なものにする。
小型のフォームファクタデバイスにおける5GおよびWiGigアプリケーションのためのモノポール型アンテナの使用は、いくつかの態様において、マイクロストリップパッチアンテナよりも幾らかの物理的利点を有する。しかし、モノポールアンテナは、アンテナ放射効率に影響する、より高い交差偏波、および垂直偏波と水平偏波の間の分離を示す。交差偏波問題を解決するために、またはいくつかの態様において交差偏波問題を改善するために、モノポールアンテナの下のアンテナ接地を、幾つかの態様について、上述したような望ましくない偏波への放射線漏れを低減するように選択的に修正することができる。
本明細書に記載のアンテナ構造は、図3Aに示されるミリ波通信回路300のアンテナアレイ回路330に組み込むことができるが、アンテナ構造はこれに限定されない。図190A-190Cは、幾つかの態様による、異なるタイプの接地面を有する円錐形状のモノポールアンテナ構造の一例を示す。図190Aにおいて、19000は、大きな接地面19007上のモノポール3Dアンテナ19001を示す。図190Bにおいて、19002は、有限正方形の接地面19009を有する3D円錐単極アンテナ19001の図である。正方形の接地面を図示するが、長方形または円形などの他の形状も使用することができる。19004において、図190Cは、いくつかの態様による、円錐形状のアンテナ19001の下の対角線状にスロットが設けられた有限接地面19009を示し、対角線状のスロットは19011に見られる。
図190A-190Bにおいて、アンテナ19001は基本的に垂直であり、接地面は水平であり、例えば、2つの面は互いに直角である。図190A-190Cに示されるように、異なる接地面を有するアンテナ19001は、開示された態様の結果を示すために、EMシミュレーションソフトウェアであるコンピュータシミュレーションテクノロジー(登録商標)(CST)を用いてシミュレーションされた。これらの結果は、図191Aおよび191Bに示されている。図191Aおよび191Bは、いくつかの態様による、図190Aから190Cのアンテナ構造間の放射パターン比較を示す。
図191Aは、図190Aの大きな接地面の場合、図190Bの正方形の接地面の場合、及び図191Cのスロット付き接地面の場合に、交差偏波比較を示す。図191Aは、大きな接地19003および有限正方形形接地面19009が、いくつかの態様によれば、ほぼ-3dBのピークを有する非常に類似した交差偏波レベルを有することを示す。いくつかの態様によれば、修正された接地は、同じ円錐アンテナ19001について約-10dBの非常に低いピークを伴って、著しく低い交差偏波レベルを有する。
図191Bは、3つの異なる接地構造に対するアンテナ共偏波放射利得を示す。いくつかの態様によれば、図190Cの修正された接地面19011は、実際に、図190Aの大きな接地面19003または図190Bの正方形の接地面よりも所望の方向に高い利得を有することが分かる。従って、交差偏波の減少が望まれる場合、対角スロット接地面19011のような修正された接地面が非常に有用であり得る。図192Aおよび192Bは、いくつかの態様による、図190Aから190Cのアンテナ構造間の放射パターン比較を示す。円錐形の3次元アンテナは、図192Aの斜視図において、19201に見られる。上部(または最大)直径19201および下部(または最小)直径19203を説明する。アンテナ構造は、5Gアプリケーションに対して28GHzで放射するように設計されている。円錐の寸法は図192Aに示され、円錐アンテナは、3つの異なる接地面を用いてシミュレートされる。接地は、図190A-190Cに示されている。図192Bは、対角状にスロットが設けられた有限の接地面19011の寸法を示し、幾つかの態様では、円錐アンテナの底部直径は、19203に点線で示され、PCBの反対側への円錐の配置を示している。接地面19211は、幾つかの態様では、アンテナの下の電流進行経路を分割するために斜めにスロット化される。
図193Aおよび193Bは、いくつかの態様による、3Dアンテナ構造の上面および下面図を示す。3Dアンテナ要素は、図193Aの19301に見られ、対向して設けられたにスロットを有する接地面19311は、図193Bに見られ、領域19310、19312は、金属化されていない。
図194は、いくつかの態様による、図192Aおよび192Bのアンテナの戻り損失間のグラフ比較である。図では、大きな接地面の場合は19403であり、有限正方形形接地平面の場合は19407であり、修正された対角状配置スロット付き接地平面の場合は19411であり、ここで参照番号は、いくつかの態様において、図190A-190Cの参照番号に対応する。プロット19403の28GHzにおける-6.5dBの戻り損失図と、プロット19407の-10.0 dBの戻り損失図と、プロット19411の-18.0dBの戻り損失図とは、いくつかの態様では、修正された、またはこの構成において、対角線状にスロットされた接地面19411は、大きな接地面の場合19403または正方形接地面の場合19407のいずれかよりも有意に優れた戻り損失を有することを示す。
図195A-195Cは、いくつかの態様による、190A-190Cの接地構造の電場分布(E-field distribution)を示す。図195Aにおいて、円錐形アンテナは19051に見られる。この場合の電場分布は19502、19504、および19506に示されている。図195Bにおいて、円錐形アンテナは19501’に見られ、この場合の電場分布は19502’、19504’および19506’で示されている。図195Bにおいて、円錐形アンテナは19501”に見られ、この場合の電場分布は19502”、19504”および19506”で示されている。図195Cから明らかなように、対角状にスロットされた有限接地面アンテナは、他の2つの接地面のそれとは異なる電場分布を有し、図195Aおよび195Bの電場と比較して、修正された対角状にスロットが設けられた接地面を有する電場変化は、幾つかの態様では、交差偏波を大幅に低減する。
図196A-196Cは、幾つかの態様による、修正された接地面を有さない及び有する5要素コーンアンテナアレイを示す。図196Aは、19601として示された1つのアンテナと、反射器19602とを有する円錐アンテナアレイ19600を示す。図196Bおよび図196Cは、それぞれ、接地面修正の有無による接地面を示す。図197Aおよび197Bは、幾つかの態様による、修正された接地面の有無による交差偏波放射パターンの比較を示す。図197Aは、交差偏波利得が約7 dBだけ減少することを示し、一方、図197Bは、28.25GHzで実行される比較で、修正された接地面では2.5 dBだけ増加する共偏波利得を示す。シミュレーション結果は、3Dアンテナの下の修正接地面の利点を示す。
図198Aおよび198Bは、幾つかの態様では、2つの図の各々に示されたアレイの5つのアンテナ要素のうちの2つだけの、アンテナ放射に対する接地面の効果を図示する。図198Aは、修正を加えずに、接地面について図197Bのアンテナアレイのエッジに向かう方向を示す。ある態様によれば、最大放射は円錐に対して直角にある。いくつかの態様によれば、図198Bは、修正された接地面を有するアレイがエッジの両側で放射のバランスをとり、非常に対称的なエッジファイア放射を示していることを示す。言い換えると、図198Bにおいて、放射パターンは、図198Aに見られるように、スロット付き接地面がない接地面と比較して、放射がほぼ完全に円錐アレイに対して直角であることを示す。
図199は、幾つかの態様による、改良された接地面を有するアンテナアレイに対する戻り損失と分離比較とを示す。図200は、幾つかの態様による、修正された接地面を有するアンテナアレイの戻り損失と分離比較とを示す。この2つの図は、修正された接地面の戻り損失と分離における改善を示している。より高い分離は、アンテナアレイ設計にとって重要であり、従って、3Dアンテナの下の改良された接地面には別の利点がある。
図201A-図201Cは、いくつかの態様に従う、試験のために3Dアンテナとともに使用したスロット付き接地面を有するPCBを例示している。図201Aは、20100にて、十字スロット付き接地面20111を有するPCB20101を示している。PCBの頂面がアンテナ給電アレイ及び取り付けパッド(図示せず)を有し、PCBの底面が対角状にスロットされた有限接地面を有する。複数の円錐アンテナ要素(うち1つに参照符号20103を付している)の底部が示されている。これらの円錐アンテナ要素は給電線(うち1つに参照符号20107を付している)によって給電される。
図201Bは、このセットアップを、スロットは図示せずに複数の供給線(うち1つに参照符号20107を付している)を図示して示している。図201Cは、20112にて示すアレイの1つの3D円錐アンテナ要素を備えたPCBの頂部を、給電線20107を図示して示している。楕円形の要素(うち1つに参照符号20109を付している)は、様々な要素をこの態様のための試験装置に接続するためのコネクタである。アンテナ取り付けパッド、アンテナ給電線、及びグランドの間に、誘電率3.5、厚さ0.15mmのFR-4材料が使用されている。一部の態様によれば、アンテナ取り付けパッドPAD及びアンテナ給電線はPCBの同じ面上に作製され、スロット付き接地面はFR4基板の他方側に作製され得る。必要に応じて、PCBを強化するために、もう数層の誘電体層を追加することができる。
試験の結果が示したことには、改良されていない接地での戻り損失は、許容できないほど高い15dBであった一方、改良された(ここでは、スロット付き)接地面の場合の戻り損失は、許容可能な広い帯域幅で、より許容可能な(約)-5dBであった。
モバイルデータ使用量は、年々倍増に近い割合で指数関数的に増加し続けており、この傾向が続くと予想される。セルラー技術における最近の進歩がモバイルネットワークの性能及び容量における改善をもたらしてきたが、そのような進歩は依然としてモバイルデータネットワークサービスに対して予測される需要に応えるにはなおも達しないと広く考えられている。
モバイルネットワーク容量を増大させるための1つのアプローチは、より高周波数の無線帯域を利用することである。例えば、ミリメートル波通信は、30-300GHzのレンジ内の無線周波数を使用して、例えば20Gb/s程度の、今日の標準による非常に広い帯域幅を提供しています。ミリメートル波無線信号の伝播は、より身近な2-5GHzレンジの無線信号とはかなり異なる。一つには、それらのレンジは、比較として、大気中での減衰によって有意に制限される。さらに、ミリメートル波信号は、より低い周波数の信号よりも遥かに大きい程度で、壁、建物及び他の物体によるブロック、反射、屈折、及び散乱を経験する。これらの物理的な難題は、通信システムの設計者に有益な機会を与えもする。例えば、限られた範囲でのミリメートル波伝送は、それを、多数のユーザ機器装置が存在し得る都市ブロック、オフィスビル、学校、スタジアム、及びこれらに類するものの中での高密度配備において、リソース-要素(タイムスロット及び周波数)の再利用に適したものとする。さらに、正確な指向性制御の可能性が、マルチユーザ多入力多出力(MU-MIMO)技術を広く使用する機会をもたらす。高度に指向性のある無線ネットワークでこれらの機会を実際に使用するためのソリューションが必要とされる。
ミリメートル波通信システム又は類似の高周波通信システムは典型的に、リンク構築に適した信号対雑音比(SNR)を達成するとともに、5G/NR(new radio)通信に共通するチャネルブロック問題を克服するために、基地局及びユーザ装置において指向性ビームフォーミングを使用する。5G通信システムは、8つという多さのアグリゲートされるコンポーネントキャリア(8-CA)を用いて少なくとも1つのミリメートル波帯での動作をサポートすることになると予想されている。この種の通信を取り扱うことができる5G受信器回路を実装することは、ミキサポートでの局所発振器(LO)多重化問題に関連する制約のために難題であり得る。
ここで使用されるとき、用語「スイッチモード」は、単一のベースバンド出力を生成するように到来RF信号が処理されて使用され得る受信器動作モードを指す。これに関連して、スイッチモードは、ADC処理前にチャネルフィルタの帯域幅よりも小さい帯域幅を有するベースバンド信号をもたらす連続的なキャリアアグリゲーション信号をRF入力信号が含む場合に使用されることができる。
ここで使用されるとき、用語「スプリットモード」は、2つのベースバンド出力信号を生成するように到来RF信号が分割されて処理され得る受信器動作モードを指す。これに関連して、スプリットモードは、ADC処理前にチャネルフィルタの帯域幅よりも高い帯域幅を有するベースバンド信号をもたらす非連続的なキャリアアグリゲーション信号又は連続的なキャリアアグリゲーション信号をRF入力信号が含む場合に使用されることができる。
ここに記載されるスケーラブル受信器アーキテクチャは、図3Aに示したミリメートル波通信回路300のRF回路325に組み込まれることができるが、このスケーラブル受信器アーキテクチャはそのように限定されるものではない。図202は、スイッチモード及びスプリットモードで動作する受信器のブロック図を示している。図202を参照するに、それぞれスイッチモード及びスプリットモードで動作する受信器20202及び20230が示されている。受信器20202は、低雑音増幅器(LNA)20218、ミキサ20214、バッファ20206及び20212、デバイダ20208、並びにマルチプレクサ20210及び20222を含むことができる。
受信器20202の動作において、差動LO信号20204が、先ず、バッファ20206によってバッファリングされ、次いで、デバイダ20208及びマルチプレクサ20210に伝達される。マルチプレクサ20210の出力におけるLO信号が、バッファ20212によってバッファリングされ、次いで、ダウンコンバージョンミキサ20214に伝達される。到来RF信号20220が、分割され、LNA20218によって増幅され、次いで、ミキサ20214によってバッファ20212の出力からの差動LO信号を用いてダウンコンバートされる。これらのミキサ20214のダウンコンバート出力が、マルチプレクサ20222を介して共に結合されて、単一のベースバンド出力信号20224(BB1)として伝達される。
受信器20230は、LNA20244、ミキサ20240、バッファ20232及び20238、デバイダ20234、並びにマルチプレクサ20236及び20248を含むことができる。受信器20230の動作において、差動LO信号20205が、先ず、バッファ20232によってバッファリングされ、次いで、デバイダ20234及びマルチプレクサ20236に伝達される。マルチプレクサ20236の出力におけるLO信号が、バッファ20238によってバッファリングされ、次いで、ダウンコンバージョンミキサ20240に伝達される。到来RF信号20246が、分割され、LNA20244によって増幅され、次いで、ミキサ20240によってバッファ20238の出力からの差動LO信号を用いてダウンコンバートされる。これらのミキサ20240のダウンコンバート出力が、マルチプレクサ20248を介して別々に出力され、別々のベースバンド出力信号20250(BB1及びBB2)として伝達される。
受信器20202及び20230は、6GHzを超える周波数で動作するときに欠点を伴い得る。より具体的には、LO分配回路内のスイッチ20210及び20236が、特にミリメートル波周波数で動作するときに、ミキサ20214及び20240を駆動するのに必要なLO駆動において難題を生み出し得る。より具体的には、ミキサをミリメートル波周波数で25%デューティサイクルのLO波形で駆動する必要があるとき、LO分配の電流ドレインが難題になり得る。キャリアアグリゲーション(CA)のケースを処理するようにスプリットモードで動作することが必要になるとき、電流ドレインがより高くなり得る。
一部の態様において、図202の受信器アーキテクチャにおけるLO分配は、LO分配ネットワーク内のマルチプレクサ20210及び20236を取り除くことによって単純化されることができる。さらに、LO分配ネットワーク内のマルチプレクサ20210及び20236を除去することにより、受信器20202及び20230は更に、ダウンコンバージョンミキサの出力におけるマルチプレクサ20222及び20248を取り除くことによって単純化されることができ、それが、ミキサの各々への負荷を低減することにつながる。いくつかの態様に従うアップデートされた受信器アーキテクチャの高レベル図及び関連する真理値表を図203に示す。
図203は、いくつかの態様に従う、複数のセグメント化低雑音増幅器(LNA)及び複数のセグメント化ミキサを使用する受信器20300のブロック図を示している。受信器20300は、2つの別々のRF処理パス20306及び20308を含んでいる。各処理パスが、1つのセグメント化LNA及び1つのセグメント化ミキサを含むことができる。例えば、RF処理パス20306は、LNA1-A 20312及びLNA1-B 20314を含むセグメント化LNAと、ミキサ20316及び20318を含むセグメント化ミキサとを含んでいる。同様に、RF処理パス20308は、LNA2-A 20322及びLNA2-B 20324を含むセグメント化LNAと、ミキサ20326及び20328を含むセグメント化ミキサとを含んでいる。ダウンコンバージョンミキサ20316及び20318は、LO信号20310を使用することができ、ダウンコンバージョンミキサ20326及び20328は、LO信号20311を使用することができる。LO信号20310及び20311は、差動LO信号とすることができる(例えば、LO信号20310及び20311は、1つ以上の同相(I)及び直交(Q)LO信号成分を含むことができる)。
図203に見られるように、受信器20300は、同じ設計で連続的及び非連続的なキャリアアグリゲーション(CA)伝送の受信を可能にするようにして、LNA及びダウンコンバージョンミキサカスケードのセグメント化した実装を使用する。LNA及びミキサは、各RF処理パス内の2つの等しい半分部分に分割され、それらを、ダウンリンク信号の構成に応じて(例えば、図204に見られるように、制御回路により生成される制御信号によって)イネーブル又はディセーブルすることができる。LNAのどのセグメント(20312、20314、20322、20324)及びミキサのどのセグメント(20316、20318、20326、20328)をイネーブルするかを選択することによって、開示された受信器20300のソリューションは、入力RF信号20304を受信する受信器入力を覗き込んでの入力インピーダンスを基本的に一定に維持しながら、非連続的及び連続的なキャリアアグリゲーションダウンリンク信号を受信するように構成されることができる。キャリアアグリゲーションダウンリンク信号を受信する。図202の受信器20202及び20230と比較して、受信器20300の構成は、ミキサ(20316/20318及び20326/20328)へのLO入力20310及び20311を多重化することなく達成されることができる。ミキサへのLO入力の多重化は5G用途では必要ないので、この例の受信器20300は、図202の受信器と比較して単純で効率的である。
真理値表20302は、受信器20300の動作モード(例えば、スイッチ動作モード又はスプリット動作モード)に基づいてどのLNAが起動され得るかを示している。例えば、受信器20300は、スイッチ動作モードを使用して、(ADC処理前にチャネルフィルタの帯域幅よりも小さい帯域幅を有するベースバンド信号をもたらす)連続的なキャリアアグリゲーション信号を処理することができる。スイッチ動作モードにおいて、入力RF信号20304は、第1のベースバンド出力信号20320を生成するために、LNA1-A及び1-B並びにミキサ20316及び20318を使用するRF処理パス20306のみに伝達されることができる。LNA2-A及び2-B(並びにミキサ20326及び20328)は、非アクティブのままであってもよいし、電源を切られてもよい。同様に、スイッチモードにおいて、入力RF信号20304は、第2のベースバンド出力信号20330を生成するために、LNA2-A及び2-B並びにミキサ20326及び20328を使用するRF処理パス20308のみに伝達されることができる。LNA1-A及び1-B(並びにミキサ20316及び20318)は、非アクティブのままであってもよいし、電源を切られてもよい。
受信器20300は、スプリット動作モードを使用して、非連続的なキャリアアグリゲーション信号(又は、ADC処理前にチャネルフィルタの帯域幅よりも高い帯域幅を有するベースバンド信号をもたらす連続的なキャリアアグリゲーション信号)を処理することができる。スプリット動作モードにおいて、入力RF信号20304は、第1の信号部分がRF処理パス20306で処理され、第2の信号部分がRF処理パス20308で処理されるように分割されることができる。一部の態様において、LNA1-BをオフにしてLNA1-Aをアクティブにすることができるとともに、LNA2-BをオフにしてLNA2-Aをアクティブにすることができる。別のスプリット動作モードの例では、LNA1-BをオフにしてLNA1-Aをアクティブにすることができるとともに、LNA2-AをオフにしてLNA2-Bをアクティブにすることができる。
図204は、いくつかの態様に従う、連続的なキャリアアグリゲーション信号を処理するために、スプリットモードで動作する複数のセグメント化低雑音増幅器(LNA)及び複数のセグメント化ミキサを使用する受信器のブロック図を示している。図204を参照するに、受信器20400は、2つの別々のRF処理パス20402及び20404を含んでいる。各処理パスが、1つのセグメント化LNA及び1つのセグメント化ミキサを含むことができる。例えば、RF処理パス20402は、LNA1-A 20406及びLNA1-B 20408を含むセグメント化LNAと、ミキサ20410及び20412を含むセグメント化ミキサとを含んでいる。同様に、RF処理パス20404は、LNA2A 20414及びLNA2-B 20416を含むセグメント化LNAと、ミキサ20418及び20420を含むセグメント化ミキサとを含んでいる。ダウンコンバージョンミキサ20410及び20412は、LO信号20403を使用することができ、ダウンコンバージョンミキサ20418及び20420は、LO信号20405を使用することができる。LO信号20403及び20405は、差動LO信号とすることができる(例えば、LO信号20403及び20405は、1つ以上の同相(I)及び直交(Q)LO信号成分を含むことができる)。
スプリットモード動作の一例において、受信器20400はRF入力信号20401を受信することができる。RF入力信号20401は、連続的なキャリアアグリゲーション信号20403とすることができる。図204に見られるように、連続的なキャリアアグリゲーション信号20403は、800MHzの総信号帯域幅で8つのコンポーネントキャリア(CC1-CC8、各々100MHz)を含むことができる。スプリット動作モードにおいて、連続的なキャリアアグリゲーション信号20403は、コンポーネントキャリアCC1-CC4が第1のRF処理パス20402によって処理され得るとともにコンポーネントキャリアCC5-CC8が第2のRF処理パス20404によって処理され得るように分割されることができる。このケースでは、アグリゲートされた信号帯域幅(800MHz)がチャネルフィルタ(20424又は20434)の帯域幅を超えているので、スプリットモードが使用される。
第1のRF処理パス20402は、ベースバンド信号20422を生成することができ、それがチャネルフィルタ20424によってフィルタリングされて、フィルタリングされたベースバンド信号20426を生成することができる。フィルタリングされたベースバンド信号20426がADC20428によってデジタル化されることで、コンポーネントキャリアCC1-CC4を含む連続したコンポーネントキャリア信号に対応するデジタル信号20430を生成することができる。
同様に、第2のRF処理パス20404は、ベースバンド信号20432を生成することができ、それがチャネルフィルタ20434によってフィルタリングされて、フィルタリングされたベースバンド信号20436を生成することができる。フィルタリングされたベースバンド信号20436がADC20438によってデジタル化されることで、コンポーネントキャリアCC5-CC8を含む連続したコンポーネントキャリア信号に対応するデジタル信号20440を生成することができる。
一部の態様において、受信器20400は更に制御回路20450を含むことができ、制御回路20450は、適切な回路、ロジック、インターフェイス、及び/又はコードを含み得るとともに、スプリット動作モードとスイッチ動作モードとの間の切り換え及び他の制御機能のために使用される1つ以上の制御信号を生成するように構成されることができる。例えば、制御回路20450は、RX1制御信号20452及びRX2制御信号20454を生成することができ、これらが、それぞれ、第1のRF処理パス20402及び第2のRF処理パス20404内の1つ以上の回路をアクティブにする(例えば、電源オンする)又は非アクティブにする(例えば、電源オフする)するために使用され得る。
例えば、制御回路20450は、入力RF信号20401に関連する信号特性の情報を(例えば、基地局から)受信することができる。入力特性の例は、入力RF信号20401が連続的なキャリアアグリゲーション信号であるのか非連続的なキャリアアグリゲーション信号であるのかを指し示す情報や信号20401の帯域幅などを含み得る。制御回路20450はまた、外部装置からの支援なしに、入力RF信号20401についてそのような決定をすることができる。例えば、制御回路20450は、到来RF信号20401が、800MHzの総帯域幅で8つのコンポーネントキャリアを含む連続的なキャリアアグリゲーション信号20403であると判定することができる。すると、制御回路20450は、スプリット動作モードをアクティブにする制御信号20452及び20454を発行することができる。より具体的には、制御信号20452及び20454は、出力信号20430及び20440を生成するために双方の処理パスをアクティブにすべく、LNA20406と20414又は20406と20416(及び対応するミキサ)をイネーブルすることができる。一部の態様において、制御回路20450は、到来RF信号20401の帯域幅が、チャネルフィルタ20424及び20434に関連する帯域幅よりも、又はADC20428及び20438に関連する帯域幅よりも高いことが判定されたときに、スプリット動作モードをアクティブにすることができる。一部の態様において、制御信号20452及び20454はまた、スプリット動作モード中に使用されないLNA、ミキサ、又は他の回路のうちの1つ以上を非アクティブにする(又は電源オフする)ことにも使用されることができる。
一部の態様において、制御回路20450は、入力RF信号20401が連続的なキャリアアグリゲーション信号であると判定されたときにスイッチ動作モードを起動することができる。スイッチ動作モードにおいて、制御回路は、第1のRF処理パス20402をアクティブにして入力RF信号20401全体を処理するようにするものである制御信号20452を生成することができる。制御回路20450はまた、第2のRF処理パス20404全体を非アクティブにする又は電源オフするものである制御信号20454を生成することができる。
一部の態様において、制御信号20452及び20454を使用して、ゲートバイアスを切り替えることによって、イネーブル/ディセーブルピンを用いることによって、又は他の方法によって、受信器20400内の様々な回路をアクティブ又は非アクティブにすることができる。LNAイネーブルピンの例が、図205、図206、図208、及び図209を示される。
ここに記載されるソリューションは更に、チャネルフィルタ(例えば、20424及び20434)及びアナログデジタル変換器(ADC、20428及び20438)における帯域幅制約に対処するスケーラブル受信器アーキテクチャの実装を可能にする。5G通信システムは、8つという多さのアグリゲートされるコンポーネントキャリアを用いて少なくとも1つのミリメートル波帯での動作をサポートすることになるであろう。各コンポーネントキャリアは、800MHzの総RF信号帯域幅(例えば、信号20403)に対して100MHzの帯域幅を有し得る。フィルタ(20424、20434)及びADC(20428、20438)は、800MHzのRF帯域幅を取り扱うための性能及び線形性の目標を達成する上で大きな難題を有することになる。ADCを強力な妨害要因から保護するために、高次のチャネルフィルタが必要となり得る。受動RCフィルタはADCの前で十分な保護(フィルタリング)を提供できないことがあり、故に、アクティブフィルタが必要とされ得る。しかしながら、800MHzのRF帯域幅を取り扱うことができるアクティブフィルタを達成することは、アクティブフィルタ内で使用されるオペアンプにおいて必要となる非常に高い利得・帯域幅積のために、既存のCMOSテクノロジで実現するのが難題となり得る。
ここで説明される受信器アーキテクチャ実装技術は、(1)受信信号をダウンコンバートするために使用される局所発振器波形の多重化を除去すること、及び(2)スプリット動作モードで使用されていないときに、受信器を覗き込んで見た入力インピーダンスに影響を与えることなく、RF処理パスの半分を電源オフ(又はシャットダウン)することを含み得る。
提案されるアーキテクチャには、図202に示示した受信器ソリューションに対する幾つかの利点が存在する。第1に、提案されるアーキテクチャ(例えば、図203-図209に見られる)は、受信したコンポーネントキャリアを2つ(又は複数)の専用パスに分割することによって、非常に広帯域幅のアクティブチャネルフィルタ及び非常に高性能なADCを実装することにおける難題を克服する。開示されるアーキテクチャ又は技術の第2の利点は、マルチプレクサ回路におけるLO信号のミキシングによる相互変調生成物の減少又は除去をもたらすLO信号の多重化の除去から得られる。開示されるアーキテクチャ又は技術の第3の利点は、(例えば、スイッチ動作モード中に)受信器の半分をシャットダウン(又は電源オフ)することから得られ、それが電力効率の良さ及びより長いバッテリ寿命につながる。開示されるアーキテクチャ又は技術の第4の利点は、LO分配における単純化であり、これは、6GHzよりも高い周波数での電力節減につながる(特に、スプリット動作モードで動作しているとき)。最後に、受信器アーキテクチャ全体の単純化により、制御ロジック(例えば、制御回路20450)も単純化され得る。
図205は、いくつかの態様に従う、LNA入力で信号分割してスイッチモードで動作するセグメント化LNA及びセグメント化ミキサを使用する受信器のブロック図を示している。図205を参照するに、受信器20500は、それぞれ図203及び図204に示した受信器20300及び20400の更に詳細な図を表し得る。受信器20500は、LNAスライス20504、20506、20508、及び20510を含んだ、複数のセグメント化されたLNAを含むことができる。例えば、LNA20504及び20506が1つのセグメント化LNAを形成することができ、LNA20508及び20510が他の1つのセグメント化LNAを形成することができる。1つのセグメント化LNAが実効サイズWを有する場合、図205に見られるように、例えばLNA20504及び20506などのLNAスライスの各々は実効サイズW/2を有する。同様に、LNAスライス20508及び20510を含んだセグメント化LNAは有効サイズWを有することができ、LNAスライス20508及び20510は実効サイズW/2を有する。
LNA20504、20506、20508、及び20510は各々、対応するダウンコンバージョンミキサ20512、20514、20516、及び20518、並びにチャネルフィルタ20536、20538、20540、及び20542に結合される。ミキサ20512、20514、20516、及び20518は各々、対応するLNAから受信した増幅RF信号をダウンコンバートするために使用する差動LO信号を受信するように構成される。
スイッチ動作モードの一例において、入力RF信号20502を、LNA20504及び20506のみに伝達することができる。LNA20504、ミキサ20512、及びフィルタ20536を含んだRF処理パスを用いて、ベースバンド出力信号の同相(I)成分20544を生成することができる。より具体的には、ミキサ20514からの信号出力20515A及び20515Bを、ミキサ20512からの信号出力20513A及び20513Bと共に用いて、差動ベースバンド信号20520及び20522を生成することができ、これらがフィルタ20536によってフィルタリングされることで、ベースバンド出力信号のI信号成分20544が生成される。
同様に、LNA20506、ミキサ20514、及びフィルタ20538を含んだRF処理パスを用いて、ベースバンド出力信号の直交(Q)成分20546を生成することができる。より具体的には、ミキサ20514からの信号出力20515C及び20515Dを、ミキサ20512からの信号出力20513C及び20513Dと共に用いて、差動ベースバンド信号20524及び20526を生成することができ、これらがフィルタ20538によってフィルタリングされることで、ベースバンド出力信号のQ信号成分20546が生成される。図205に示したスイッチ動作モード例では、LNA20508及び20510、並びにこれらのLNAに結合された処理パス全体(差動LO信号分配を含む)は、効率のために非アクティブにされてオフにされることができる。図205に見られるように、ミキサ20512-20516の各々がI及びQの双方の信号出力を生成する。
一部の態様において、スイッチ動作モードは、LNA20508及び20510に結合されたRF処理チェーンのみによって実行されてもよく、その間、LNA20504及び20506に結合されたRF処理チェーンは非アクティブされてオフにされることができる。RF入力信号20502がLNA20508及び20510によって処理される場合、対応する増幅信号が、差動LO信号に基づくダウンコンバージョンのためにミキサ20516及び20518に伝達される。ミキサ20516が差動ベースバンド信号20528及び20530を生成し、これらがフィルタ20540によってフィルタリングされることでベースバンド出力信号のI信号成分20548が生成される。ミキサ20518が差動ベースバンド信号20532及び20534を生成し、これらがフィルタ20542によってフィルタリングされることでベースバンド出力信号のQ信号成分20650が生成される。
図206は、いくつかの態様に従う、LNA入力で信号分割してスプリットモードで動作するセグメント化LNA及びセグメント化ミキサを使用する受信器のブロック図を示している。図206を参照するに、受信器20600は、それぞれ図203及び図204に示した受信器20300及び20400の更に詳細な図を表し得る。受信器20600は、LNAスライス20604、20606、20608、及び20610を含んだ、複数のセグメント化されたLNAを含むことができる。例えば、LNA20604及び20606が1つのセグメント化LNAを形成することができ、LNA20608及び20610が他の1つのセグメント化LNAを形成することができる。1つのセグメント化LNAが実効サイズWを有する場合、図206に見られるように、例えばLNA20604及び20606などのLNAスライスの各々は実効サイズW/2を有する。同様に、LNAスライス20608及び20610を含んだセグメント化LNAは有効サイズWを有することができ、LNAスライス20608及び20610は実効サイズW/2を有する。
LNA20604、20606、20608、及び20610は各々、それぞれ。対応するダウンコンバージョンミキサ20612、20614、20616、及び20618、並びにチャネルフィルタ20636、20638、20640、及び20642に結合される。ミキサ20612、20614、20616、及び20618は各々、対応するLNAスライスから受信した増幅RF信号をダウンコンバートするために使用する差動LO信号を受信するように構成される。
スプリット動作モードの一例において、入力RF信号20602を(例えば、図204に見られるように)分割して、第1のRF信号部分をLNA20606に伝達するとともに、第2の(残りの)RF信号部分をLNA20608に伝達することができる。LNA20606、ミキサ20614、並びにフィルタ20636及び20638を含んだRF処理パスを用いて、第1のベースバンド出力信号のI成分20644及びQ成分20646を生成することができる。LNA20608、ミキサ20616、並びにフィルタ20640及び20642を含んだRF処理パスを使用して、第2のベースバンド出力信号のI成分20648及びQ成分20650を生成することができる。
より具体的には、ミキサ20614からの信号出力20615A及び20615Bを用いて、差動ベースバンド信号20620及び20622を生成することができ、これらがフィルタ20636によってフィルタリングされることで第1のベースバンド出力信号のI信号成分20644が生成される。ミキサ20614からの信号出力20615C及び20615Dを用いて、差動ベースバンド信号20624及び20626を生成することができ、これらがフィルタ20638によってフィルタリングされることで第1のベースバンド出力信号のQ信号成分20646が生成される。
同様に、ミキサ20616からの信号出力を用いて、差動ベースバンド信号20628及び20630を生成することができ、これらがフィルタ20640によってフィルタリングされることで第2のベースバンド出力信号のI信号成分20648が生成される。また、ミキサ20616からの信号出力を用いて、差動ベースバンド信号20632及び20634を生成することができ、これらがフィルタ20642によってフィルタリングされることで第2のベースバンド出力信号のQ信号成分20650が生成される。
図206に示されるように、スプリット動作モードは、LNA20606及び20608に結合されたRF処理チェーンのみによって実行されることができ、LNA20604及び20610に結合されたRF処理チェーン、並びに対応するミキサ20612及び20618(並びにこれらのミキサにLO信号を提供するLO分配回路の部分)は、非アクティブにされてオフにされることができる。
図207は、いくつかの態様に従う、局所発振器(LO)信号生成回路の一例のブロック図を示している。図207を参照するに、ここに開示される受信器(例えば、図203、図204、図205、図206、図208、及び図209に示す受信器)に関連して使用されることがでるLO分配アーキテクチャ20700が示されている。LO分配アーキテクチャ20700は、LO発生器20702及び20712を含んでおり、これらが、複数のセグメント化ミキサ用の差動LO信号を生成するために使用され得る。LO発生器20702によって生成されたLO信号は、デバイダブロック20704によって分割され、次いで、強度1のバッファ20706内にバッファリングされることができる。これらバッファリングされたLO信号の各々が、分割され、強度2のバッファ20708によって再びバッファリングされ得る。これらのバッファから、必要に応じて、最終的な差動LO信号20710を出力することができる。例えば、どのLO差動信号20710を対応するミキサスライスに伝達することができるかを指し示すために使用され得るイネーブル信号を、制御回路20450が生成することができる。LO発生器20712によって生成されたLO信号は、デバイダブロック20714によって分割され、次いで、強度1のバッファ20716内にバッファリングされることができる。これらバッファリングされたLO信号の各々が、分割され、強度2のバッファ20718によって再びバッファリングされ得る。これらのバッファから、必要に応じて、最終的な差動LO信号200を出力することができる。図207には強度2のバッファが示されているが、本開示はこれに関して限定されるものではなく、他のタイプのバッファも同様に使用されることができる。
ここに開示される受信器アーキテクチャの様々な動作モードのためにどの差動LO信号をアクティブにして使用することができるかの例を、真理値表20722が提示している。例えば、(例えば、図205に見られるように)LO1を用いるスイッチモードにおいては、LO1発生器20702がオンであり、LO2発生器20712はオフである。アクティブにされる具体的な動作モード及び具体的なLO差動信号は、表20722にて見てとれる。表20722に見られるように、受信器がスイッチ動作モードで動作しているのか、それともスプリット動作モードで動作しているのかに応じて、LO分配アーキテクチャ20700の一部をオフにすることができ、それが効率の良さ及び電力節減をもたらす。
表20722の最下行に見られるように、LO1及びLO2を用いるスプリットモードにおいては、4つの「a」出力がオンであり、4つの「b」出力はオフである。他の一態様では、LO1及びLO2を用いるスプリットモードにおいて、4つの「b」出力をオンにするとともに、4つの「a」出力をオフにすることができる。
図208は、いくつかの態様に従う、LNA出力で信号分割してスイッチモードで動作するセグメント化出力LNA及びセグメント化ミキサを使用する受信器のブロック図を示している。図208を参照するに、受信器20800は、LNAスライス20812、20814、20816、及び20818を含んだ、セグメント化された出力LNA20802を含むことができる。LNA20812、20814、20816、及び20818は各々、対応するダウンコンバージョンミキサ20804、20806、20808、及び20810、並びにチャネルフィルタ20828、20830、20844、及び20848に結合される。ミキサ20804、20806、20808、及び20810は各々、LNA20802の対応するLNAスライスから受信した増幅RF信号をダウンコンバートするために使用する差動LO信号を受信するように構成される。
スイッチ動作モードの一例において、入力RF信号20852を、LNA20802に伝達し、次いで、LNAスライス20812及び20814のみによって増幅されるようにルーティングすることができる。これに関連して、入力RF信号は、LNA20802出力でルーティング又は分割される。図208に示すスイッチ動作モードシナリオでは、RF入力信号20852の複製が、LNAスライス20812及び20814に伝達され、次いで、対応するミキサ20804及び20806に出力される。LNA20812、ミキサ20804、及びフィルタ20828を含んだRF処理パスを用いて、ベースバンド出力信号の同相(I)成分20832を生成することができる。より具体的には、ミキサ20804及び20806からの信号出力を用いて、差動ベースバンド信号20820及び20822を生成することができ、これらがフィルタ20828によってフィルタリングされることで、ベースバンド出力信号のI信号成分20832が生成される。
同様に、LNA20814、ミキサ20806、及びフィルタ20830を含んだRF処理パスを用いて、ベースバンド出力信号の直交(Q)成分20834を生成することができる。より具体的には、ミキサ20804からの信号出力を、ミキサ20806からの信号出力と共に用いて、差動ベースバンド信号20824及び20826を生成することができ、これらがフィルタ20830によってフィルタリングされることで、ベースバンド出力信号のQ信号成分20834が生成される。図208に示したスイッチ動作モード例では、LNA20816及び20818、並びにこれらのLNAに結合された処理パス全体(差動LO信号分配及びダウンコンバージョンミキサを含む)は、効率のために非アクティブにされてオフにされることができる。図208に見られるように、ミキサ20804-20810の各々がI及びQの双方の信号出力を生成する。
一部の態様において、スイッチ動作モードは、LNA20816及び20818に結合されたRF処理チェーンのみによって実行されてもよく、その間、LNA20812及び20814に結合されたRF処理チェーンは非アクティブされてオフにされることができる。RF入力信号20852がLNA20816及び20818によって処理される場合、対応する増幅信号が、差動LO信号LO2に基づくダウンコンバージョンのためにミキサ20808及び20810に伝達される。ミキサ20808が差動ベースバンド信号20836及び20838を生成し、これらがフィルタ20844によってフィルタリングされることでベースバンド出力信号のI信号成分20846が生成される。ミキサ20810が差動ベースバンド信号20840及び20842を生成し、これらがフィルタ20848によってフィルタリングされることでベースバンド出力信号のQ信号成分20850が生成される。
図209は、いくつかの態様に従う、LNA出力で信号分割してスプリットモードで動作するセグメント化出力LNA及びセグメント化ミキサを使用する受信器のブロック図を示している。図209を参照するに、受信器20900は、LNAスライス20912、20914、20916、及び20918を含んだ、セグメント化された出力LNA20902を含むことができる。LNA20912、20914、20916、及び20918は各々、対応するダウンコンバージョンミキサ20904、20906、20908、及び20910、並びにチャネルフィルタ20928、20930、20944、及び20948に結合される。ミキサ20904、20906、20908、及び20910は各々、LNA20902の対応するLNAスライスから受信した増幅RF信号をダウンコンバートするために使用する差動LO信号を受信するように構成される。
スプリット動作モードの一例において、入力RF信号20952を、LNA20902に伝達し、次いで、LNAスライス20914及び20916による増幅のために分割することができる。これに関連して、入力RF信号20952は、図209に見られるように、LNA20902出力で分割される。図209に示すスプリット動作モードシナリオでは、RF入力信号20952の2つの部分がそれぞれLNAスライス20914及び20916に伝達され、次いで、対応するミキサ20906及び20908に伝達される。LNA20914、ミキサ20906、並びにフィルタ20928及び20930を含んだRF処理パスを用いて、LNAスライス20914に伝達されたRF入力信号20952の第1の部分に対応する第1のベースバンド出力信号の同相(I)成分20932及び直交(Q)成分20934を生成することができる。より具体的には、ミキサ20906からの信号出力を用いて、差動ベースバンド信号20920及び20922を生成することができ、これらがフィルタ20928によってフィルタリングされることで、第1のベースバンド出力信号のI信号成分20932が生成される。また、ミキサ20906からの信号出力を用いて、差動ベースバンド信号20924及び20926を生成することができ、これらがフィルタ20930によってフィルタリングされることで第1のベースバンド出力信号のQ信号成分20934が生成される。
同様に、LNA20916、ミキサ20908、並びにフィルタ20944及び20948を含んだRF処理パスを用いて、LNAスライス20916に伝達されたRF入力信号20952の第2の部分に対応する第2のベースバンド出力信号のI成分20946及びQ成分20950を生成することができる。より具体的には、ミキサ20908からの信号出力を用いて、差動ベースバンド信号20936及び20938を生成することができ、これらがフィルタ20944によってフィルタリングされることで、第2のベースバンド出力信号のI信号成分20946が生成される。また、ミキサ20908からの信号出力を用いて、差動ベースバンド信号20940及び20942を生成することができ、これらがフィルタ20948によってフィルタリングされることで第2のベースバンド出力信号のQ信号成分20950が生成される。
図210は、いくつかの態様に従う、スイッチモードで動作する受信器用のLO分配方式の例を示している。図210を参照するに、第1のLO分配方式21000は、例えば図202の受信器20202などの、スイッチモードで動作する受信器に関連して使用されることができる。第2のLO分配方式21040は、例えば図205の受信器20500などの、スイッチモードで動作する他の受信器に関連して使用されることができる。第1のLO分配方式21000は、分周器21004及び21022、並びにバッファ21002、21006、21008、21010、21012、21014、21020、21024、21026、21028、21030、及び21032を含んでいる。第1のLO分配方式21000はまた、入力LO信号LO1及びLO2に対応して生成される差動LO信号を使用するダウンコンバージョンミキサ21016、21018、21034、及び21036を含んでいる。
第2のLO分配方式21040は、分周器21044及び21062、並びにバッファ21042、21046、21048、21050、21052、21060、21064、21066、21068、及び21070を含んでいる。第2のLO分配方式21040はまた、入力LO信号LO1及びLO2に対応して生成される差動LO信号を使用するダウンコンバージョンミキサ21054、21056、21072、及び21074を含んでいる。
図210に見られるように、第1のLO分配方式21000は、1つの分周器と、7つのバッファと、2組のミキサとを使用する。比較して、第2のLO分配方式21040は、1つの分周器と、5つのバッファと、単一の組のミキサとを使用する。これに関連して、第2のLO分配方式21040では、LO分配ネットワークの単純化により、シミュレーションに基づく見積もりで約20%の電流節約が実現され得る。
図211は、いくつかの態様に従う、スプリットモードで動作する受信器用のLO分配方式の例を示している。図211を参照するに、第1のLO分配方式21100は、例えば図202の受信器20230などの、スプリットモードで動作する受信器に関連して使用されることができる。第2のLO分配方式21140は、例えば図206の受信器20600などの、スプリットモードで動作する他の受信器に関連して使用されることができる。第1のLO分配方式21100は、分周器21104及び21122、並びにバッファ21102、21106、21108、21110、21112、21114、21120、21124、21126、21128、21130、及び21132を含んでいる。第1のLO分配方式21100はまた、入力LO信号LO1及びLO2に対応して生成される差動LO信号を使用するダウンコンバージョンミキサ21116、21118、21134、及び21136を含んでいる。
第2のLO分配方式21140は、分周器21144及び21162、並びにバッファ21142、21146、21148、21150、21152、21160、21164、21166、21168、及び21170を含んでいる。第2のLO分配方式21140はまた、入力LO信号LO1及びLO2に対応して生成される差動LO信号を使用するダウンコンバージョンミキサ21154、21156、21172、及び21174を含んでいる。
図211に見られるように、第1のLO分配方式21100は、10個のバッファと4個のミキサとを使用する。比較して、第2のLO分配方式21140は、6個のバッファと2つのみのミキサとを使用する。これに関連して、第2のLO分配方式21140では、LO分配ネットワークの単純化により、シミュレーションに基づく見積もりで約40%の電流節約が実現され得る。
ミリメートル波周波数レンジ内で動作するマイクロ波アンテナサブシステムは極めて小さく、ミクロン域にある。従って、筐体サイズ要件のため並びに部品及びアンテナの高密度パッケージングのためにスペースが重視されるミリメートル波モバイルデバイスでの使用のために、アンテナ及びラジオサブシステムのサイズ、特に厚さ、を縮小する手法を発見することが重要である。サイズの縮小に特にニーズがある1つの分野は、非ディスクリート部品よりも多くの容積を占めるものであるディスクリート部品である。従って、極薄技術によりそれらを作製することによってディスクリート部品の体積を小さくすることが望まれる。同時に、熱的、電気的及び機械的な重ね合わせ(オーバーレイ)の問題も対処されて抑制されるべきである。コスト改善も主要な検討事項である。互いの上又は横での、部品、アンテナ、及びアンテナサブシステムの重ね合わせは、サブシステムのサイズ及び厚さの双方を縮小することになる。オーバーモールド内にインターコネクトを備えたオーバーモールドの使用は、アンテナをサブシステムの側面に配置することを可能にして競合技術に対する熱的及び機械的な改善を提供する別の概念である。
図212は、いくつかの態様に従う、コネクタを用いた、非成形積層型パッケージオンパッケージ埋込ダイラジオシステムの側面図である。ここに記載される埋込ダイラジオシステムは、図3Aに示したミリメートル波通信回路300のアンテナアレイ回路330に組み込まれることができるが、埋込ダイラジオシステムはそのように限定されるものではない。
この態様は、非成形のパッケージ21205及びパッケージ21207を含む非成形積層型パッケージオンパッケージ埋込ダイ21200を含む。パッケージ21205は、例えばPCBなどのラミネート基板を含むことができ、その中にRFIC21206が埋め込まれている。この文脈において使用されるとき、「非成形」とは、ダイ21206がモールド又は封入体の中に包まれていないことを意味する。パッケージの様々な部分のz高さについて示されている寸法は、単に例示目的に過ぎず、その中でこれらのパッケージが使用され得るモバイルデバイスの容積が非常に限られているときに扱われる極めて小さい寸法を例示する役割を果たす。さらに、PCB21205の頂部及び底部の最初の数ミクロンは、RFICが埋め込まれているPCBのコアの前にあり得るプレ含浸(プリプレグ)層であり得る。プリプレグは、その厚さのために使用される。プリプレグの厚さは、非常に薄くすることができ、例えば25μm又は30μmである。プリプレグはエポキシ材料とし得るが、例えば銅張積層板(CCL)といったラミネート材料とすることもできる。この技術は、有機ポリマー系のラミネートに限定されるものではなく、セラミック系の無機層であってもよい。アンテナ基板業界で使用されているように、「コア」は、例えばプリプレグといった基板の他領域よりも厚くてそれよりも剛性であるとし得る基板の内部部分を意味し得る。パッケージ21205は、パッケージ内に封入体を有しない例えばPCBなどの層状基板であるという点で非成形である。コンポーネント21203をRFI/EMIから遮蔽するために、パッケージ21205の上にシールド21201がある。コネクタ21223が、これらパッケージのうち1つ以上を外界に接続し得る。一部の態様において、コネクタ21223は、システムによる伝送のための中間周波数(IF)信号を提供する。パッケージ21205は、一部の態様によれば、適宜にトレース及びビアにより、以下に説明する様々なアンテナ及びアンテナアレイへの給電を提供するRFICダイ21206を含む。
1つのRFICダイ21206が示されているが、当業者が認識することには、1つ又は2つ以上の周波数帯域で動作するよう、2つ以上のRFICダイを設けることができる。換言すれば、態様において少なくとも1つのRFICダイが存在し得る。例示したパッケージは、一部の態様によれば、数多くの異なる構成、動作周波数、及び帯域幅のアンテナ及びアンテナアレイを含むことができる。図212には、アンテナ構造体21209、21211、21213、21215、及び21219が示されている。これらは、側面図において単一アンテナとすることができ、あるいは、図の紙面を覗き込んで例えば1×N、2×N、…、N×N素子のアレイなどのアンテナアレイとすることができる。一例において、アンテナ21209は、デュアルパッチアンテナとすることができ、パッチアンテナ素子21210と21212との間にこの態様では165ミクロンである距離d2を有し、パッチアンテナ素子21210とグランドとの間に別の寸法d1を有している。距離d1及びd2に応じて、パッチアンテナの体積が変化するためにアンテナの帯域幅が変化することになる。
以下にて説明するように、PCB21205は、この態様においては階層L1-L6として図示されている層状構造を有している。様々な階層に起因して、例えば21210、21212などのアンテナ素子は、デュアルパッチアンテナ素子間の様々な距離d2に配置されることがあり、また、多数の階層に起因して、パッチアンテナ素子21210とGNDの間の距離d1も様々に設定されることがあり、帯域幅の選択が所与の設計に必要とされるようにされ得ることをもたらす。言い換えれば、デュアルパッチアンテナ素子21210及び21212間の距離は165ミクロンに限定されず、密に詰め込まれたラミネート階層が利用可能なことにより、幾つかの距離のうちのいずれかに設定され得る。このことは、デュアルパッチアンテナ素子21210と接地面21214との間の距離についても同じであり、帯域幅を測定する能力を定める。しかしながら、階層L1-L6は数多くの態様のうちの1つにすぎない。他の設計は、図示した6階層L1-L6よりも遥かに多くの、もっと非常に密に詰め込まれた層を有していてもよく、それらの非常に密に詰め込まれた層は、必要に応じて様々な機能に使用されることができる。
図212の説明を続けるに、21224は、一部の態様において、上で簡単に説明したアンテナ又は例えば1×N、2×N、…、N×N素子アレイなどのアンテナアレイとし得る。一部の態様において、21224は、表面実装技術(SMT)と呼ばれることがある表面実装型デバイス(SMD)によって構成された自立型アンテナとし得る。一部の態様において、必要とされるアンテナ又はアンテナアレイのための十分な高さがPCB21205内に存在しない場合、アンテナ又はアンテナアレイ21210、21212は、一部の態様によれば、例えば必要な体積を設けるために、アンテナ素子21212をPCB21205の頂面に配置して構成されることができる。他の一例では、一部の態様において上述の増大された体積及び改善された帯域幅を提供することになる追加の高さをアンテナ又はアンテナアレイに提供するために、デュアルパッチ要素21212は、PCB21205の頂面に代えて、表面実装型デバイス21224の頂面に配置されてもよい。
他の一例をアンテナ21215に見てとることができる。この例では、アンテナ(又は上述のようなアンテナアレイ)21215は、上述のように複雑で非常に密に詰め込まれた基板とし得るものである基板21205内にパッチアンテナ素子21218を含んでいる。デュアルパッチアンテナ素子21217は、第2のアンテナボード21207上にあることができる。一部の態様において、アンテナボード21207は、誘電体、セラミック、PCB、及びこれらに類するものとすることができ、これもまた、PCB21205によく似た密に詰め込まれた層状基板とし得る。従って、アンテナ機能は、パッケージオンパッケージ構成をもたらす2つ以上のアンテナボードの間で分配されることができる。故に、1つの媒体上では十分なz高さが存在しない場合、例えば21207などの第2の媒体上にアンテナの一部を実装することで、例えば一部の態様において帯域幅、低損失、及びこれらに類するものなどの所望のパラメータを提供するための体積を得るために、所望のz高さを提供することができる。換言すれば、一部の例でミリメートル波又は他の周波数での動作のためのフォームファクタ要件に起因して基板の厚さが極めて小さい寸法になることを所与として、アンテナ素子(及びディスクリート部品)を1つ以上の追加媒体上に配置することができる。
一部の態様において、アンテナ要素は、PCB21205の頂面及び/又は底面に、PCB21205の側面に、そして、必要に応じて追加の基板厚さ及び帯域幅の増大をもたらす様々な追加の構成内に配置され得る。同様に、アンテナ機能は、例えば主媒体と見なすことができるものであるPCB21205と補助媒体と見なすことができるものであるアンテナボード21207との間といった、複数の異なるアンテナボードの間で同様に分割されることができる。
さらに、基板の上若しくは下、又は基板の側面の、このような媒体は、例えば接地、遮蔽、給電、及びこれらに類するものなどの様々な機能のために使用されることができる。また、PCB21205の頂面に2つ以上の媒体21224が存在することができる。PCB21205の頂面に、各々が上述のようにアンテナ又はアンテナアレイの一部又は全てを提供する多数のアンテナ媒体が存在してもよい。同じことが、PCB21205の下又は側面のアンテナ媒体の配置にも当てはまる。さらに、必要なように利得を改善し又はアンテナのパターンを整形するための寄生要素のために補助媒体を使用してもよい。
アンテナ21211、21213、21215、及び21219は、アンテナボード21207上に構成されてRFICダイ21206から給電される他のアンテナ又はアンテナアレイとし得る。ビア21220、21222も図示されている。一部の態様では、多くのビアが存在し得る。一般に、基板21205が厚いほど、ビア21220、212222の直径が大きくなる。超薄型基板が必要とされる一部の態様では、ビアは、他の態様に関して後述するように、遥かに小さい直径のものとすることができる。例えば21228などのビアは、例えば21227などのはんだ接続によってRFICダイ21206に接続され得る。ビアは、ラジオサブシステム内の他の箇所のコンポーネントへの接続のために、紙面を覗き込んで見られる1つ以上の水平層21230によって接続され得る。
図213は、いくつかの態様に従う、成形積層型パッケージオンパッケージ埋込ダイラジオシステムの側面図である。図213において、パッケージ21300は、一部の態様によれば、例えばPCBなどのアンテナボードといった階層21301と、(例えば、PCB製造中に射出されることができる)モールド又は封入体とし得るものである階層21303と、例えばPCBなどのアンテナボードを含み得るものである階層21305とを含んでいる。階層21301は、例えばトレースなどの導電階層21307を含むことができ、階層21303は、例えば21309などの導電階層と、「モールド貫通ビア」としばしば呼ばれる例えば21319、21319’などのビアを含むことができ、そして、階層21305は、導電階層21309にはんだ接続21226によって接続された導電階層21311を含むことができ、パッケージ21300の導電階層及びビアは、一部の態様において、ダイ21306、21308から様々なアンテナ及び他のコンポーネントに給電するように構成可能である。導電階層21307及び21311は短い水平層として図示されているが、実際には、様々な態様に従って、もっと長い導電層とすることができる。
一部の態様において、導電階層21307、21311は、再配線層(RDL)を用いて作製され得る。ビア(又は成形パッケージにおけるモールド貫通ビア)は、銅スタッド、モールド若しくは他の層を貫通するレーザ、及び導電性インク、又は他の手段によって作製され得る。ビア、導電層、及び/又はRDLの使用により、(1つ以上の)ダイを、パッケージのいずれかの側のアンテナ及びアンテナアレイ(一部の態様では、SMD21316、21318、21320の上又は中に組み込まれたアンテナとし得る)に非常に迅速に接続することができる。密集したビア及び密に詰め込まれた水平層により、給電構造のファンアウトを殆ど又は基本的に全く用いずに、ダイを基板21301、21305上のアンテナ又はアンテナアレイに接続し得る。また、例えば21319、21319’などのモールド貫通ビアは、一部の態様において、ダイ又は他のコンポーネントをRFI及びEMIから遮蔽するファラデーケージを形成するように1つ以上のダイの周りでメタライズ層に接続された(ここでは層21309のみが図示されているが、例えば21319又は21319’などのビアの頂部が、ビアの上のメタライズ層(図示せず)に接続され得る)、密集したビアのトレンチ内に構成され得る。一部の態様では、ダイの周囲のビア(図示せず)の上に層を形成する。ビアは、シングルポストなどの非常に小さいビアにすることができる。
例えばビア21319、21319’(モールド貫通ビア)などのパッケージ間の高密度インターコネクトを有するパッケージオンパッケージを使用するとき、パッケージを別々に構築し、底部のダイと、その上又は下の別のダイとに対して、全く異なる材料を使用することができる。そうすることはまた、個々のダイをそれぞれのパッケージを積層する前にそれぞれのパッケージにて試験することができるので、歩留まりを向上させる。必要な場合にはモールドを完全に排除して、モールド貫通ビアを、頂部パッケージに接続されて垂直インターコネクトとして機能するはんだボールで置き換え得ることを理解することも重要である。
図213の態様では、2つ以上のダイ21306、21308が基板内に含められ、例えば銅フィラーとし得るはんだバンプ、21310などのはんだコンタクト、又はLGA/VGAパッド、又は一部の態様ではパッケージなどの、コンタクトによって固定され得る。ダイ21306、21308は、フリップチップダイ、ウエハレベルチップスケールパッケージ(CSP)、ワイヤボンド可能なダイ、及びこれらに類するものなどの、任意の態様のダイとし得る。それに代えて、単一のダイが使用されてもよい。一部の態様において、例えば21316、21318、21320などのアンテナは、基板の第1の面上に構成される一方で、アンテナ21316’、21318’、21320’は基板の反対側の面上に構成され得る。
上述のアンテナは、図213に関して説明されたものと同じタイプのアンテナとすることができ、一部の態様では、SMDの上又は中にあり得る。さらにまた、アンテナ21316、21318、21320はアンテナアレイとして構成され得る。さらに、例えば上述のアンテナのいずれか又は全てなどのアンテナは、例えば図213のアンテナ(又はアンテナアレイ)に関して説明したものなどのSMDの上又は中に組み込まれてもよい。
パッケージ21300の片面又は両面(例えば21301、21305など)には、ディスクリート部品21322、21324、及び21322’、21324’も構成され得る。また、システムインパッケージ(SIP)と呼ばれることもあるシステム21321、21321’が、パッケージ21300の頂部(例えば、階層若しくはPCB21301の頂面など)及び/又は底部(例えば21305の底面など)及び/又は側面に構成されてもよく、一部の態様では、パッケージオンパッケージ構成を提供する。
SIP21321、21321’は、その上にSIP21321、21321’が構成され階層21301、21303、21305を含むパッケージによく似たシステムとし得る。SIP21321、21321’は、幾つかの手法でパッケージ上に積み重ねられて物理的に接続され得る。一部の態様では、更なるダイ21306、21308が、21326で示す好適なコンタクトによって基板21303に接続されてもよい。そのような好適なコンタクトは、銅フィラー、はんだバンプ、又はパッケージを含み得る。コンタクト21326は、パッケージオンパッケージ態様の本体内の非常に小さい接続とし得る。このようなシステム構成は、パッケージオンパッケージ構成を例示するものである。
また、上述のパッケージにおける密度はとても高いので、各パッケージの1つ以上のダイは、同じ周波数で動作するように構成されてもよいし、異なる周波数で動作するように構成されてもよく、例えば、1つのダイが5G周波数で動作し、第2のダイがWiGig周波数で動作するなどとし得る。また、パッケージオンパッケージ態様のアンテナ/アンテナアレイは、例えばモバイルデバイスの向きのためといった必要に応じて、数ある方向のうちのいずれか又は基本的に全方向に放射し得る。換言すれば、アンテナ及びアンテナアレイは、パッケージ21300の全体にわたって配置されることができ、すなわち、パッケージ21300の頂面、底面、及び側面に、又は必要に応じてそれらの組み合わせにて、そして、一部の態様によれば、必要に応じてパッケージ21321、21321’の上又は中のアンテナ及びアンテナアレイ構成にて、パッケージ21321、21321’を積み重ねて物理的に接続することによって、基本的に全ての所望方向に配置されることができる。以上に加えて、パッケージ21300は、はんだボール21313、21315によって更なる他のボード(図示せず)にはんだ付けされ得る。はんだボール21313、21315は、はんだボール又はコンタクト21326よりも大きいように図示されている。何故なら、はんだボール21326は、パッケージオンパッケージ態様の内部にあって、非常に小さく且つ非常に狭い間隔にされ得るのに対し、はんだボール21313、21315は、一部の態様によれば、“外界への”接続であるからである。
例えば、パッケージ21300がはんだボール21313、21315によって更にはんだ付けされるボードは、一部の態様によれば、電話、タブレット、モバイルデバイス、又は他のエンドユーザ装置のためのホストボードとし得る。
図212と図213との間の主な違いは、図213のダイが、基板内のダイの構成を保護して強化するモールドによって包まれていることである。この成形態様の1つの利点は、図212の非成形基板内の埋込ダイは大量生産するのが難しいことである。
成形基板構成は、上述のように、個々のダイを、それらを積み重ねる前にそれらそれぞれのパッケージにて試験することができるので、向上された歩留まりによって、大量生産にいっそう適合している。さらに、成形構成では、21312、21314のようなコンポーネントを成形基板内に容易に構成することができる。図212の統合されたダイは、一部の態様によれば、単一のダイのみを埋め込むことに特有であることが多い。また、図213の成形構成は、非成形構成よりも密な多数の層を可能にする。図212の埋込ダイでは、全てのコンポーネントが1つのシステムとして接続されている。
例えば1つのビアなどの1つの部分が故障すると、基板内のシステム全体が故障する。図213の成形構成では、基板それ自体を別々に作製することができ、ダイを接続する層を別々に接続することができ、そして、システムは、全ての部分を共にはんだ付けする最終工程まで共に接続されない。図212の態様では、内部にはんだが存在せず、システムが銅ビアを含んでおり、それらの殆ど又は全てがほぼ同時に組み立てられ得る。別の言い方をすれば、成形積層型パッケージを構築するプロセスは、非成形パッケージを構築するものとは非常に異なる。
例えば、図213では、頂部パッケージの最下層上にスタッドを配置又はめっきすることができ、それらは、非常に小さい直径で高アスペクト比にめっきされることができる。その後、はんだ又は熱機械的な圧接を用いて、上下のパッケージが接続される。オーバーモールドは液体であり、注入された後に流動して隙間を覆う。これは、非成形パッケージの場合よりも高密度で高歩留りのプロセスである。
成形態様の1つの利点は、図212の非成形基板内の埋込ダイは大量生産するのが難しいことである。成形基板構成は、上述のように、個々のダイを、それらを積み重ねる前にそれらそれぞれのパッケージにて試験することができるので、向上された歩留まりによって、大量生産にいっそう適合している。さらに、成形構成では、21312、21314のようなコンポーネントを成形基板内に容易に構成することができる。図212の統合されたダイは、一部の態様によれば、単一のダイのみを埋め込むことに特有であることが多い。
また、成形構成は、非成形構成よりも密な多数の層を可能にする。図212の埋込ダイでは、全てのコンポーネントが1つのシステムとして接続されている。例えば1つのビアなどの1つの部分が故障すると、基板内のシステム全体が故障する。図213の成形構成では、基板それ自体を別々に作製することができ、ダイを接続する層を別々に接続することができ、そして、システムは、全ての部分を共にはんだ付けする最終工程まで共に接続されない。図212の態様では、内部にはんだが存在せず、システムが銅ビアを含んでおり、それらの殆ど又は全てがほぼ同時に組み立てられ得る。
図214は、いくつかの態様に従う、更なる詳細を示す成形積層型パッケージ又は埋込ダイサブシステムラジオシステムの側面図である。一部の態様において、階層の個々のコンポーネント技術が表8に示される。
Figure 2024059722000012
パッケージ21401は第1のパッケージを示しており、パッケージ21403は第2のパッケージを示している。図214は、パッケージング基板(例えば、ラミネート)又はラジオサブシステムのホストPCBにおいてSMD他のコンポーネントを印刷することによって形成される例えば集積基板フロントエンド(iSFE)又は外部基板フロントエンド(eSFE)機能などの超薄型技術の使用による劇的な高さ及び体積の減少を示している。例えば、図214において、アイテム21415は、ノイズを低減するのに有用なデカップリングキャパシタ(DECAP)であり、21414は、例えばフィルタ、バラン(例えば、変成器)、マルチプレクサ、カプラ、高調波フィルタ、若しくはアンテナ、又はこれらに類するものなどの、後述するeSFEとして実装される機能である。矢印21413は、基板内のiSFEとして基板内に印刷されたRF機能を指し示している。アイテム21429、21431、21433は、一部の態様によれば、それぞれミリメートル波(mmWave)、Wi-Fi、及びLTEラジオシステムを具現化するダイである。特筆すべきことには、eSFE21414及びDECAP21415は、ほぼダイの高さであり、それにより、更に詳細に後述するように、これらの機能に関する高さ及び体積の劇的な減少を可能にする。
矢印21409は、必要に応じて上から下へ及び外側への短い同軸型のグランド-信号-グランド(GSG)遷移を有するPCB階層を指し示している。GSGは、高度に制御されたインピーダンスを可能にするとともに、上から下へのモールド又は空気を介した放出信号を低減するランチ(launch)である。矢印21411は、外部への短くて低損失の遷移を指し示しており、目標インピーダンスは必要に応じて30オームから60オームであり、これははんだボール21412により得る。
例えば21435などの位置のDECAP及びeSFE21437によって実装される機能にダイ21406を接続し得る水平接続21417も図示されている。モールド21424内のダイ21406はまた、例えば21419などの水平接続と、はんだ接続21423を介して階層214301内の水平接続に接続され得る例えば21421などのモールド貫通ビアとによって、ダイ21429、21431、21433に接続され得る。
図214は、1つのパッケージが階層214301上のダイ21429、21431、21433にて例えばmmWave、Wi-Fi、又はLTEなどの1つ以上の周波数レンジ内で動作する無線を実装し得るとともに、第2のパッケージがダイ21406にて例えばmmWave、Wi-Fi、又はLTEなどの別の周波数レンジで動作する無線を実装し得るパッケージオンパッケージ実装を示している。パッケージ21401内の機能21414、21415及びパッケージ21403内の機能21435、21437は、もはやディスクリート機能にて実装される必要はなく、代わりに、まさにPCB自体にインプリントされることができる。表8にて見て取れることには、例えばフィルタ、バラン、マルチプレクサ、カプラ、高調波フィルタ、又はアンテナなどの機能を実装するために使用される例えばDECAP及びインダクタなどのコンポーネントの劇的に薄い寸法が超薄型にされるため、これらのコンポーネントはPCB自体にインプリントされ得る。以下にて説明するように、iSFE及びeSFEテの技術は、これらのコンポーネントを、望ましい場合にはダイと同じ面内で、まさにPCB上にインプリントできることを提供する。
図215は、いくつかの態様に従う、RFフロントエンドのスタンドアロンコンポーネントを有するコンピューティングプラットフォームの断面図を示している。図215は、コンピューティングプラットフォーム(例えば、ハンドヘルド電話の回路基板)の断面21500を示している。断面21500は、PCB21501、はんだボール21502、マイクロバンプ及び再配線層を有するラミネート又は基板21503、RF能動及び受動デバイス21504(例えば、無線チップ)、表面実装型デバイス(SMD)21505及び21506、並びに成形コンパウンド21507を含んでいる。
SMD21505及び21506は、例えば先述のバラン、アンテナ、ダイプレクサ、マルチプレクサ、フィルタ(例えば、バンドパスフィルタ及びローパスフィルタ)などのフロントエンドコンポーネントを含み得る。これらのSMDは重要な機能を実行する。例えば、バランはコモンモードノイズを除去するために使用され、ダイプレクサ及びマルチプレクサはアンテナ共有を可能にし、バンドパス/ローパスフィルタは不要な信号及びブロッカを排除する。追加のサービスを提供するためにより多くの周波数帯域がコンピューティングプラットフォームに追加されるとき、コンポーネントの数がさらに増加する。しかしながら、これらのコンポーネントはプラットフォームの約50%から70%の領域を占め得るとともに、部品表(BOM)全体の約30%から50%のコストを占め得る。
一部の態様は、SMD及び他のコンポーネントをパッケージング基板(例えば、ラミネート)又はホストPCB内に印刷することによって形成される集積基板フロントエンド(iSFE)又は外部基板フロントエンド(eSFE)を記述する。そうして、プラットフォームの横方向の面積及び高さの節減が実現される。加えて、高度に統合されたコンピューティングプラットフォームが達成される。
一部の態様は、第1の面を有するダイ(例えば、プロセッサダイ)と、該第1の面に沿ってダイに結合された第1組のはんだボールとを含む装置(例えば、コンピューティングプラットフォーム)を記述する。当該装置は更に、第1組のはんだボールに隣接するラミネートベースの基板を含み、該ラミネートベースの基板はその中に埋め込まれた平衡フィルタを含み、該平衡フィルタは、第1組のはんだボールのうちの少なくとも1つを介して第1のダイに通信可能に結合される。ここで、ラミネートがiSFEを形成する。一部の態様において、利用可能なレイヤ数に応じて、iSFE部分もダイの真下にあることができる。
一部の態様において、第1の周波数帯域用の第1の送信パスと、第1の周波数帯域とは異なる第2の周波数帯域用の第2の送信パスとを含む装置が提供される。一部の態様において、当該装置は更に、第1及び第2の送信パスに共通のノードを、該ノードがアンテナに結合されることになるように含む。一部の態様では、当該装置は、共通ノードに結合された伝送ゼロ回路を含む。
一部の態様において、伝送ゼロ回路は、入力と出力との間の信号伝送が停止される周波数である伝送ゼロを提供する。例えば、フィルタが、当該フィルタの入力と出力との間の伝達関数を形成し、フィルタの応答を整形するために、伝送ゼロ周波数を、通過帯域エッジ周波数及び通過帯域リップルとともに使用する。一部の態様において、伝送ゼロ回路を有する装置はiSFEの一部である。
様々な態様のiSFEは、例えば、低温同時焼成セラミック(LTCC)プロセス、又はSOI(シリコンオンインシュレータ)若しくは高抵抗Si上のIPD(集積受動デバイス)、又はより高コストなラミネートパッケージなどの、他の集積方式よりもコスト的に低くなり得る。様々な態様のiSFEは、スタンドアロンコンポーネントとしてシリコン(Si)にカスタマイズされることができ、あるいは、Siパッケージ内に又はSiが上に位置するPCBに集積されることができる。断面21500は、集積されたSMD21505及び21506を有するラミネート21503を示している。
図216は、いくつかの態様に従う、ラミネート又は基板内にRFフロントエンドの集積コンポーネントを有するコンピューティングプラットフォームの断面図を示している。図216は、コンピューティングプラットフォームの断面21600を示している。他の図の要素と同じ参照符号(又は名称)を有する図216の要素は、説明されたものと同様の方法で動作又は機能することができるが、そのように限定されるものではない。図216は、ここでは、iSFE及びeSFEを説明するために使用される。図216はアンテナを図示していないが、ここでの以降の図が、図216で説明されるコンポーネント及び/又は技術が、超薄型プロセッサダイ-アンテナコンポーネントチップを得るために、どのようにしてミリメートル波レンジ又は他の周波数レンジのアンテナとともに実装され得るかを示す。
断面21600は、集積されたSMD21605及び21606を有するラミネート21603を示している。断面21500と比較して、ここでは、ディスクリート部品21505及び21506がもはやスタンドアロンコンポーネントとして必要とされず、ラミネート21603内に完全に一体化されて完全なiSFEコンポーネントを形成しているので、BOMが短縮される。一部の態様において、ラミネート21603は、最小限のレイヤ数(例えば、5層未満)でフロントエンド全体の機能を基板21603に集積/印刷する標準的なシリコンパッケージ基板技術を使用する。様々な態様のラミネートベースの基板21603は、例えばコアベースの基板又はコアレス基板などの伝統的な方式を用いて、低コストで製造される。様々な態様のラミネートベースの基板21603は、薄いコア及び薄い予め含浸された層を有するシリコンパッケージ又はスタンドアロンコンポーネントに資する。様々な態様のラミネートベースの基板21603はまた、ファンアウト及びiSFEにも資する。一部の態様において、ラミネート21603は、基板の厚さの利用可能性に応じて、最小数の層又は複数の層として1つの金属層を有することができる。
一部の態様において、単層又は1.5層のラミネート又は少ないレイヤ数を使用するとき、ビアの代わりにはんだ接続を使用することができ、メインPCB上のデバイスの下の領域をインダクタ及びキャパシタの部分としても使用することができる。図216は基板の頂面及び底面にはんだボールを示しているが、理解されることには、はんだボールが通常の表面実装技術(SMT)接続で置き換えられる場合、はんだボールをランドグリッドアレイ(LGA)接続で置き換えることができる。一部の態様において、頂面及び底面に、又はこれらの面の一方に、Cu(銅)ピラーを使用することができる。一部の態様において、基板は、集積受動部品と並んでダイ用のキャビティを有することができる。
一部の態様において、ラミネート21603は、一般的なパッケージ及びPCBに使用される材料を用いて作製されることができる。一部の態様において、ラミネート21603の材料の透磁率(εr)は、2-30の範囲である。一部の態様において、ラミネート21603の厚さは、密度及び絶縁の要件に応じて2μmから200μmの範囲とすることができる。一部の態様において、ラミネート21603は、マイクロビア及びスルーホールを用いて、あるいは、これらのインターコネクトのうち1つだけを用いて作製されることができる。一部の態様において、ラミネート21603は、1つのコア/プリプレグ材料を備えた2つの金属層と同じ少なさとし得る。一部の態様において、ラミネートベースの基板はマイクロビアから独立である。
最小数の金属層又は薄いパッケージング基板を使用するとき、理解されることには、局所的なグランドの存在が、かなりの寄生成分が追加することがあり、そのような寄生成分は特定の場合には非常に有用であるが、それらはまた、相互に結合されるインダクタ間のカップリングを劣化させてしまい得る。そのような一態様において、パッケージの主たる層が、特定の領域内に局所的に存在するグランドを有しないようにし得る。さらに、これまた理解されることには、概略図中のコンポーネントのうち幾つかを、例えばSMTバンドパスフィルタ、SMTキャパシタ及びインダクタ、又はオンSiキャパシタ及びインダクタなどの、ディスクリート部品を用いて実装してもよい。全ての部分が常に、基板上にプリントされたコンポーネントとして集積されるといったことは必須ではない。一部の態様はまた、このような基板のコアレス実装において奇数個の層を有することができる。最小数の層を使用するとき、様々な態様の技術は、それら自身を、フレキシブル/屈曲可能エレクトロニクスにとって極めて良好なものとする。
材料、厚さ、設計ルール、及びアーキテクチャの正しい組み合わせを使用することによって、完全なWi-Fi、BT(ブルートゥース(登録商標))、及び全地球的航法衛星システム(GNSS)フロントエンドを基板21603に集積して実装することができる。しかしながら、これらの態様は上での通信規格に限定されるものではない。一部の例では、10GHzを超えるものである例えばWiGig又は5G信号などの他の規格に関連付けられたハードウェアを基板21603に集積して実装してもよい。従って、シリコンチップ21604の周りの全てではないにしても多くのスタンドアロンコンポーネントを、完全に又はほぼ完全に排除することができ、パッケージを、より薄く、より安価に、より小さく、そして、より高性能にすることができる。例えば、成形コンパウンド21607の厚さは成形コンパウンド21507の厚さよりも小さく、従って、パッケージ厚さ(例えば、高さ)が低減される。
一部の態様において、ラミネート21603は、最小限の回路で他の周波数帯域の他の平衡フィルタに接続されることができる各周波数帯域用の集積平衡フィルタを含む。従って、一部の態様によれば、複数の帯域にわたるシングルエンドアンテナ共有又はダイポールアンテナ共有が達成される。一部の態様において、有意な追加の処理コストなしで、及び標準的ではないPCB/基板材料を必要とせずに、フロントエンドコンポーネントを超薄型基板21603及びPCB21601に集積するために、支配的誘導性及び支配的寄生容量性の設計が採用される。寄生容量を使用することにより、帯域内及び帯域外の所望の応答を達成するために、最小限の数の物理的に実現可能なコンポーネントが使用される。一部の態様では、パッケージそれ自体内では物理的なグランドが使用されない。代わりに、一部の態様では、リファレンスボードのグランドを使用することで、ラミネート21603及び/又はPCB21601の金属層が解放される。
図217は、いくつかの態様に従う、ラミネート/基板内に部分的に実装されるスマートデバイス又はコンピュータシステム又はSoC(システムオンチップ)を示している。接続21770は、複数の異なるタイプの接続を含むことができる。一般化するために、セルラー接続21772及びワイヤレス接続21774を有するコンピューティングデバイス21700を示す。セルラー接続21772は、一般に、例えば、GSM(グローバル・システム・フォー・モバイル・コミュニケーションズ)若しくは変形又は派生形、CDMA(符号分割多重アクセス)若しくは変形又は派生形、TDM(時間分割多重)若しくは変形若しくは派生形、又は他のセルラーサービス規格を介して提供されるなどの、無線キャリアによって提供されるセルラーネットワーク接続を指す。ワイヤレス接続(又はワイヤレスインターフェイス)21774は、セルラーではない無線接続を指し、パーソナルエリアネットワーク(例えばブルートゥース(登録商標)、ニアフィールドなど)、ローカルエリアネットワーク(例えばWi-Fiなど)、及び/又はワイドエリアネットワーク(例えばWiMaxなど)、又は他のワイヤレス通信を含むことができる。一部の態様において、例えばアンテナ、バラン、ダイプレクサ、トリプレクサ、マルチプレクサ、バンドパスフィルタ、ローパスフィルタなどの、セルラー接続21774の様々なフロントエンドコンポーネントがiSFEとして実装される。
上での技術は、とりわけ、モバイルデバイス実装に用途を見出すことになる。モバイルデバイスIC-アンテナ用途の過去の実装では、プロセッサICがアンテナと直接的にインターフェイスをとっていた。しかしながら、将来のミリメートル波及び他の周波数レンジ用途は、例えばマルチプレクサ、バラン、フィルタ、及びこれらに類するものなどの中間機能を、プロセッサダイとアンテナとの間の回路内に配置することを必要とすることになる。例えば携帯電話などのユーザデバイス内のスペースは非常に小さいので、今日においてはディスクリート部品及び表面実装型デバイス(SMD)によって実装されるのが通常であるこれらの機能を、そのようなディスクリート部品及びSMDよりも遥かに薄くして、遥かに小さい体積のみを占めるようにしなければならないことになる。例えば、将来の積層厚さは、ダイについて100ミクロン未満、そしてコンポーネントについて200ミクロン未満の範囲内になると予想される。従って、これらのコンポーネントを極薄にしなければならないことになる。
さらに、将来の実装はまた、ミリメートル波アプリケーションをWi-Fi、WiGig、及びLTEアプリケーションと組み合わせるかもしれない。従って、様々な周波数レンジで動作するネットワーク間の接続が必要になる。故に、例えばパッケージオンパッケージ又はサイドバイサイド実装をしたmmWaveアンテナソリューションとWi-Fiアンテナソリューションとの間に、中間回路が存在することを必要とすることになる。同じことが、LTE及びWiGigのアンテナソリューションとの相互接続についても言える。換言すれば、中間コンポーネントを有する異なる周波数の積層無線が望ましいことがあり得る。チップとアンテナの間のSMD及びコンポーネントが、超薄型、超低プロファイル、及びPCBライクなソリューションであることが必要不可欠である。何故なら、パッケージオンパッケージでは、より多くの無線、より多くのフィルタリング、及びより多くの他の無線コンポーネントが存在し、それらが継続的に密度を増しそうであるからである。iSFE及びeSFE技術は、これらの機能及び相互接続のための超薄型コンポーネントを必要とすることに対するソリューションを提供する。iSFE及びeSFE技術の使用は、バラン、フィルタ、及びこれらに類するものなどの必要機能をまさに基板それ自体に印刷して、PCBライクな超薄型部品を作製し、例えば上述のディスクリート部品などの高背コンポーネント及び比較的大きいSMDを排除又は実質的に減らすことを可能にする。換言すれば、iSFE及びeSFE技術を使用して、必要な機能を、部品としてではなく、プリントされた、インダクタ、及びキャパシタ、及びディスクリート部品にて通常見られる他の機能として、基板それ自体にプリントすることができる。多数の異なるインダクタ及びキャパシタを基板にプリントして、とりわけ、パッケージオンパッケージ構成にて実装された異なるネットワーク(Wi-Fi周波数から、LTE周波数まで、mm波周波数までとし得る)の相互接続に使用することができる。一例として、キャパシタプレートを20ミクロンから30ミクロンの間の範囲としたキャパシタとして二層構造が実装されている。これらの結果は、コンポーネントを(厚さに関して)可能な限りほぼ見えないものとし、ダイと同じ平面内に配置されるほどに薄くする。
図218は、いくつかの態様に従う、ダイと(1つ以上の)アンテナの間に構成され得る上述の超薄型コンポーネントを使用した、成形パッケージオンパッケージ埋込ダイラジオシステムの側面図である。図218のパッケージオンパッケージ実装は、図215の実装と非常に似ているが、一部の態様によれば、図218においては、eSFE技術によって実装される例えばバラン、フィルタ、及びこれらに類するものなどの機能が、PCB21808それ自体にインプリントされ得る。パッケージ21801は第1のパッケージを示し、パッケージ21803は第2のパッケージを示している。図218は、パッケージング基板(例えば、ラミネート)中又はラジオサブシステムのホストPCBにSMDその他のコンポーネントを印刷することによって形成される例えば集積基板フロントエンド(iSFE)機能又は外部基板フロントエンド(eSFE)機能などの超薄型技術の使用による劇的な高さ及び体積の減少を例示している。例えば、図218において、アイテム21805はデカップリングキャパシタ(DECAP)であり、21811はiSFEコンポーネントであり、これらの双方が、上述のiSFEとして実装されるフィルタ、バラン、マルチプレクサ、カプラ、高調波フィルタ、若しくはアンテナ、又はこれらに類するものなどのRF機能を実装する回路において使用され得る。これらのRF機能は、iSFEとして基板に印刷され得る。アイテム21806、21807、21809は、一部の態様によれば、それぞれ、ミリメートル波、Wi-Fi、及びLTEラジオシステムを具現化するダイである。特筆すべきことには、eSFE21811及びDECAP21805がダイの高さの範囲内にあり、このことは、それらがiSFE及び/又はeSFE技術にて実装されるので、これらの機能に関する高さ及び体積の劇的な減少を可能にする。矢印21821は、必要に応じて上から下へ及び外側への短い同軸型のグランド-信号-グランド(GSG)遷移を有するPCB階層を指し示している。矢印21823は、外部への短くて低損失の遷移を指し示しており、目標インピーダンスは必要に応じて30オームから60オームであり、これははんだボール21819により得る。ダイ21806及びeSFEコンポーネント21807はどちらもPCB21808上にインプリントされることができ、eSFEコンポーネント21807は、ダイ21806とアンテナ(この図ではスペースを考慮して示されていない)との間に結合される例えば上述のもののような回路の一部であるか、あるいはそれを形成するかである。ダイ21806を、例えば21815にあるものなどのDECAPによって実装される機能、及びeSFE21817に接続し得る水平接続21810、21812も図示されている。モールド21824内のダイ21806はまた、例えば21812などの水平接続及びモールド貫通ビア(やはり図示せず)によって、ダイ21806と(1つ以上の)アンテナ(図示せず)との間の機能を実装し得るDECAP21815及びiSFE21817に接続され得る。
図218は、1つのパッケージが、階層21801上の例えば21807、21809にあるWi-Fi又はLTEなどの1つ以上の周波数レンジで動作する無線を実装することができ、そして、第2のパッケージが、ダイ21806において例えばmmWaveなどの別の周波数レンジで動作する無線を実装することができるパッケージオンパッケージ実装を例示している。パッケージ21801内の機能21805、21811、及びパッケージ21803内又はその上の機能21813、21815、21817は、ディスクリート部品として実装されておらず、その代わりに、まさにPCBそれ自体上にインプリントされている。これは、iSFE及び/又はeSFE技術が、ここでは21806であるダイと同じ階層に例えばeSFE21813などの機能をインプリントするという更なる利点を伴って、上で説明したように実装される望ましい場合にはダイと同一面内のPCBそれ自体上にこれらのコンポーネントをインプリントする能力を提供するという1つの利点である。さらに、記載されるパッケージオンパッケージ態様においては、eSFE機能とiSFE機能とを交換することができる。例えば、Wi-Fiダイ21807を支援するiSFE又はeSFEを、mmWaveダイ21806の真下又は同じレベルに配置することができ、その逆も然りである。換言すれば、特定の周波数レンジの特定のダイを支援するiSFE/eSFEは、それが支援するダイと同一面内にある必要はない。一部の態様によれば、これは、或るダイを支援するiSFE/eSFE回路を、支援されるそのダイとは異なる面内に配置して、異なる面内で利用可能であり得るより多くの余地を利用するという利点を提供する。
図219は、いくつかの態様に従う、3つのパッケージが互いに上下に積み重ねられた成形積層型パッケージオンパッケージ埋込ダイラジオシステムの側面図である。全体として21900にて、3つのパッケージが21901、21902、及び21903にて示されている。一部の態様において、これらのパッケージは、それぞれ、ダイ21906の動作によるLTE周波数、ダイ21908の動作によるWi-Fi周波数、及びダイ21910の動作によるmmWave周波数で動作するとして示される。パッケージは、21924、21926にてモールドを有する成形パッケージとし得る。図示のコンポーネントは、一部の態様によれば、図218にて説明したそれぞれのコンポーネントと基本的に同じであり、一部の態様において、eSFEコンポーネント及びiSFEコンポーネントがダイとアンテナとの間の回路機能を提供して同様に機能し得る。eSFE機能とiSFE機能とを交換することができる。例えば、Wi-Fiダイ21908を支援するiSFE又はeSFEを、一部の態様においてスペースの使用にとって有利であり得るように、mmWaveダイ21910の真下又は同じレベルに配置することができる。
ここで企図されるサブシステムの各々は、集積チップ、システムインパッケージ、プロセッサ上で走るソフトウェアなどを使用して実装されることができる。
5G 30GHz及び40GHz帯域用のミリメートル波RFアーキテクチャが、WiGig 60GHz帯域とともに開示され、これらは、BBIC及びここではRFICとも称する無線周波数フロントエンド(RFFE)の2つのチップに基づくことができる。一部の態様によれば、BBIC及びRFICは単一のRFケーブルを介して互いに接続される。開示されるアーキテクチャは、57-70GHzスペクトルでのWiGig 2×2 MIMOの同時且つ自律的な送信及び受信と並行して、24-29.5GHzスペクトル又は37-45GHzスペクトルのいずれかでの、5G 2×2多入力多出力(MIMO)アンテナアレイの同時且つ自律的な送信及び受信を可能にする。前述の2つの5G周波数帯域は、一部の態様によれば、最新のWiGigチャネルと組み合わされる、新興の5G合意スペクトルを含む世界的な最小在庫管理単位(stock keeping unit;SKU)製品をサポートするために一般に使用される周波数帯域である。換言すれば、携帯電話は、その電話があり得る所与の地域でサポートされる(すなわち、所与の地域での送信及び受信に使用される)5G周波数帯域に関係なく、世界中で動作するように構成されることができる。開示されるシステムは、ICサブシステム間で1本のケーブルのみを使用するという追加の利点を有して、また、非常に少ない数の周波数シンセサイザ(一部の態様では最小限の数のシンセサイザ)を使用して、この能力を提供する。周波数シンセサイザは一般に、デジタル位相ロックループ(DPLL)と電圧制御発振器(又はデジタル制御発振器)とを含む。用語DPLL及びシンセサイザは、ここでは、交換可能に使用されることがある。開示されるアーキテクチャは24-29.5GHzスペクトル及び37-45GHzスペクトルの使用事例に関するものであるが、当業者が認識することには、開示されるアーキテクチャはこの使用事例に限定されるものではない。様々な地域によって最終的に他の周波数帯域が決定されれば、開示されるアーキテクチャはその決定された使用事例のスペクトルで動作することになる。
ここでの一部の例では、単に略記法の問題として、24-29.5GHzのスペクトルは、28GHz、29.5GHz、又は30GHzと称されることがあり、37-45GHzのスペクトルは39GHz又は40GHzと称されることがあり、57-70GHzスペクトルは、60GHz又は70GHzスペクトルと称されることがある。
5Gに関する標準化団体は現在、5Gエコシステムについて、上記の2つの5G周波数帯域のうちの一方のみが任意の所与の時点でデバイスに使用されることに合意している。例えば、米国ではこれらの5G周波数帯域のうちの1つがサポートされて使用され、欧州ではこれらの5G周波数帯域のうちの別の1つがサポートされて使用されることがあり得る。他国の例も挙げることができる。あるいは、1つのインターネットサービスプロバイダ(ISP)がこれらの5G周波数帯域のうちの1つでサービスを提供し、同じ国の別のISPがこれらの5G周波数帯域のうちの2つ目でサービスを提供することがあり得る。上記の2つの5G周波数帯域のうちの一方のみが任意の所与の時点でデバイスに使用されることが合意されているため、2つの5G周波数帯域のうちの一方は特定の地域で「不使用」又は「サポートなし」となり(すなわり、その所与の地域での送信又は受信には使用されない)、その特定の不使用帯域は、そのデバイスが使用される国、又は使用されているISPに依存する。
2×2MIMOアンテナサブシステムの場合、同じ周波数帯域で送受信される2つの情報ストリーム(例えば、垂直偏波情報ストリーム及び水平偏波情報ストリーム)が存在する。懸案事項は、MIMOアンテナサブシステムから最終的に同じ周波数で放射されることになる2つの情報ストリームを、同時に、許容できない歪みやその他のRF問題なしに、どのようにして、BBIC又は同様のサブシステムから、単一のRFケーブルを横切って、RFIC又は同様のサブシステムに送るかである。開示されるシステムの一態様は、上記の2つの5G帯域のうちの「不使用」周波数帯域を使用して、2つのMIMOストリームのうちの一方をその周波数帯域にて、BBICとRFICとを接続するRFケーブルを介して送受信し、それにより、2つの5G周波数帯域間の隔たりによって2つの情報ストリーム間の十分なアイソレーションを提供して、信号によって引き起こされる歪みを、送受信を無線ユーザデバイスにとって商業的に許容できるものにするレベルまで減少させる。動作において、一部の態様によれば、BBICは、ベースバンドから/へのRFへの/からの直接変換を実行し、RFICは主に、5G及びWiGig用の統合アンテナサブシステムにて配置されたミリメートル波アンテナ素子への送信/からの受信のために信号を分割/結合することを実行する。一部の態様により、以下にて、そのシステムを図220に示す。
第2のMIMOストリームに代替の(「不使用」)5G帯域を使用することは、各帯域についてBBIC内に単一のチェーンのみを必要とし、故に、シリコンサイズを節約する。双方のMIMOストリームに対する単一のDPLLは、より多くのシリコンスペースを節約するとともに、消費電力を節減することを可能にする。双方のMIMOストリームに対する単一のDPLLは、共通リファレンスクロックを用いる2シンセサイザソリューションとは対照的に、2つのストリーム間に位相雑音コヒーレンシを提供し、例えばリンクバジェット及び受信感度といったMIMO性能に寄与する。RFIC内のシンセサイザを回避することは、シリコンサイズを節約することを可能にし、シンセサイザVCOの引き込み効果及び周波数ジャンプを排除又は大幅に低減し、故に、TXからRX又はその逆への遥かに高速な移行を可能にし、最終的に、より良好なシステム性能につながる。さらに、RFIC内にシンセサイザを持たないことは、一部の態様において、RFICが、大規模アレイビームフォーミングを実行するための、独立したシンセサイザを有する複数のRFIC間での複雑な同期スキーム及びキャリブレーションを必要としないことを意味する。RFミリメートル波周波数への直接変換は、不所望なスプリアスやエミッションに対する回復力を向上させ、通常は大きなフィルタを回避して、故にシリコンサイズを節約することになる。さらに、ここに記載されるようなミリメートル波周波数への直接変換は、例えばロングタームエボリューション(LTE)、無線ローカルエリアネットワーク(WLAN)、ブルートゥース(登録商標)(BT)、及び全地球航法衛星システム(GNSS)などの他の通信プロトコルとの共存性を、これらのプロトコルの6GHz以下の周波数とミリメートル波周波数との間の良好な隔たり(後述する)によって向上させる。
図220は、いくつかの態様に従う、5G及びWiGigのためのミリメートル波RFアーキテクチャのハイレベルブロック図である。システム22000は、RFケーブル22002-22002を介して1つ以上のRFIC22003-22003に結合されるBBIC22001を含んでいる。一部の態様において、図中で縦方向のドットで指し示されるように、それ自身のRFICを有する1つ以上のケーブルが存在することができる。換言すれば、N本のケーブルとN個のRFICとが存在し得る。一部の態様において、Nの値は、例えば基地局の場合に8とすることができ、例えば携帯電話の場合に2とすることができる。当業者は、設計要求に応じて、異なる最大値のNを有するシステムを実装し得る。各RFICが、5G及びWiGig用の1つのmmWaveアンテナサブシステム22005-22005に結合される。一部の態様において、図中の縦方向のドットで指し示されるように、1つ以上のアンテナサブシステムが存在することができる。換言すれば、上述したようにNに対する値の例を有するN個のアンテナサブシステムが存在し得る。BBIC22001で実行されるベースバンドからRFへの変換(及びその逆)は、一部の態様において、2つのシンセサイザのみで行われ、後述するように、1つのシンセサイザは、57-70GHzスペクトルでのWiGig用の直接アップ/ダウンコンバージョン用であり、それと並列のもう1つのシンセサイザは、ストリーム対のうちの1つが24-29.5GHzスペクトルにあり且つストリーム対のうちの1つが37-45GHzスペクトルにある5GデュアルMIMOストリーム用である。RFIC22003-22003に追加のシンセサイザは必要ない。
図221は、いくつかの態様に従う、5G及びWiGigのためのミリメートル波RFアーキテクチャの周波数変換計画を示している。図221におけるアップコンバージョン方式は送信器(TX)に関するものである。受信器(RX)に関するダウンコンバージョンは、概念的に基本的に同じである。一般に、5G MIMOデュアルストリームは、十分なアイソレーションを持って単一のRFケーブルにわたって分割される。(2つのうちの)第1のMIMOストリームは、5Gの24-29.5GHz周波数帯域又は5Gの37-45GHz周波数帯域のいずれかで、送信においてベースバンドからRFに直接アップコンバートされ、受信においてRFからベースバンドに直接ダウンコンバートされる。第2のMIMOストリームは、37-45GHz帯域又は24-29.5GHz帯域のいずれかの、現在使用されていない代替のRF帯域を使用する。単一のシンセサイザが、24-29.5GHz帯域の場合はRFに3/2を乗算し、あるいは37-45GHz帯域の場合はRFに2/3を乗算することによって、RF周波数と中間周波数(IF)との双方を生成する。そして、一部の態様によれば、IFからRFを減算することから、LO周波数が生成される。IF及びLOの双方が、RFの第1のMIMOストリームからの十分なアイソレーションを持って、単一のケーブルを横切って駆動される。一部の態様において、RFIC内で、送信において、ミキサを使用してIF信号とLO信号とを乗算することによって第2のMIMOストリームのRF周波数が再生される(又は受信において、RF信号にBBICからのLO信号を乗算することによって、RF信号がIFに変換される)。これらのMIMOストリームの各々が、RFチェーン(PA、LNA、位相シフタ、及びコンバイナ/スプリッタを含む)を介して専用のアンテナアレイに接続され、一部の態様において、各ストリームが異なる偏波(一方のストリームは水平偏波、他方のストリームは垂直偏波)を持つ。上述の乗算及び上述の減算は、一部の態様によれば、乗算回路を含む周波数変換器及び減算回路を含む周波数変換器による、又は両方の回路の組み合わせによる周波数変換と見なすことができる。
システム22100は、BBIC22101を含むものである結合アナログRFシリコンを含む。この態様では、DAC22110がミキサ22112に結合され、ミキサ22112が増幅器22114に結合され、増幅器22114が、バンドパスフィルタ(BBF)22160のバンク内のBPF22116に結合されている。デジタルアナログ変換器(DAC)22110は、5G水平偏波ブロードバンド信号をミキサ22112に伝える。DAC22111がミキサ22113に結合され、その出力が増幅器22115に結合され、該増幅器がBPF22117に結合されている。デジタル位相ロックループ(DPLL)22118を含むとともに、デジタル制御発振器(DCO)(図示せず)を含み得るシンセサイザが、5G 37GHz-45GHzスペクトル信号を生成し、それが、DAC22111からのブロードバンド垂直偏波信号を、ミキサ22113を介して、5G 37GHz-45GHz帯域へとアップコンバートし、そしてそれが、増幅され、BPF22117によって、ケーブル22102を介してRCIF22103に送信される。22122にて、5G 37GHz-45GHz帯域にある信号に1/3を乗算することによって、局所発振器(LO)信号である5G LO 12-15GHzが生成され、そして、そのLO信号が、増幅器22124及びBPF22126を介してケーブル22102上に送信される。22120にて、シンセサイザ出力信号に2/3を乗算することによって、24-29.5GHz帯域信号である第2の5G RF信号が生成される。そして、24-29.5GHz帯域にあるこの5G RF信号が、ミキサ22112において、DAC22110からのベースバンド水平偏波信号とミキシングされることで、ベースバンド水平偏波信号が24-29.5GHz帯域にアップコンバートされる。
さらに、一部の態様において、垂直偏波ストリーム及び水平偏波ストリームは、2×2MIMOアンテナサブシステムによるTX又はRXに関して同じ5G周波数帯域にあるので、これら2つのストリームを、BBICから同一ケーブルを横切ってRFICまで、一ケーブル上で一方のストリームが他方のストリームを歪めることなく伝送する必要がある。これは、一部の態様において、2つのストリームがケーブルを横切って伝送されるときに2つのストリーム間のアイソレーションを提供するよう、十分な周波数だけ隔てられた2つの周波数帯域で2つのストリームを伝送するために、BBICにてストリームのうちの一方を異なる(すなわち「不使用」)周波数帯域にシフトすることによって達成され得る。2つのストリームがRFICによって受信されるときに、異なる周波数帯域にシフトされたストリームを、RFチェーンを介して、アンテナサブシステムによる送信のためにその周波数帯域にシフトし返すことができる。別の言い方をすれば、BBICからケーブルを横切って伝送されるときは、2つのストリームは周波数帯域によって分離され、そして、RFICによって受信された後は、2つのストリームはシリコン内の別々のRFチェーンによって分離される。一例として、2つのストリームが30GHz帯域の垂直偏波信号及び30GHz帯域の水平偏波信号である場合、2つのストリームのうちの一方を、ケーブルを横切っての伝送のために40GHz帯域にアップコンバートすることができ、その後、それがRFICで受信されたときに30GHz帯域に下方シフトし返すことができる。アップコンバージョン及びダウンコンバージョンにかかわらず、一方の周波数帯域に存在することがあって他方の周波数帯域にノイズを生じさせ得る自己誘導ノイズを最小化又は解消するために、2つのストリームが比較的同じ電力レベルにあること(とにかくMIMOの要件である)を供するように注意を払うことができる。比較的同じ電力レベルにある2つのストリームとは、一部の態様において、互いに約10dB以内の電力レベルを意味し得る。また、後述するように、各周波数帯域の信号は、各信号を分離するために、ケーブルへの入力の位置でBBIC上に、そして、ケーブルの出力の位置でRFIC上に、それ自身のBPFを有するべきであり、これもまた上述のノイズを抑制することになる。そして、ユーザデバイスが使用されている特定の地域でサポートされて使用されている5G周波数帯域による一方のストリームと、その特定の地域で使用されていない又は「サポートされていない」5G周波数帯域による他方のストリームとの、2つのストリームを伝送することができる。
以下の説明では、ユーザデバイスに関連する地域又はISPが28GHz帯域で動作する場合に、一部の態様に従って、スイッチ22130Aの接点22131が位置22134に設定され、スイッチ22150Aの接点が位置22154に設定され、「不使用」周波数帯域は39GHz帯域である。同様に、ユーザデバイスが39GHz帯域をサポートする地域又はISP領域に移動されるときに、一部の態様に従って、スイッチ22130Aの接点22131は位置22132に設定され、スイッチ22150Aの接点22151は位置22152に設定され、「不使用」周波数帯域は28GHz帯域である。用語「接点」は機械的接続の意味を含み得るが、ここで使用されるとき、「接点」はまた、電子デバイスが特定の位置へとバイアスされる又はその他の方法で「設定」される電気的接続をも意味し得る。ここでのスイッチは、例えば電界効果トランジスタ(FET)回路及び他のデバイス回路などの適切な電子デバイス回路として実装され得る。電子デバイスは、ここに記載されるスイッチとして機能し得るとともに、この段落で上述したように、ユーザデバイスが1つの地域又はISP領域から別の地域又はISP領域に移動して「不使用」周波数帯域が「使用」周波数帯域になるときに、自動的に適切な位置に設定されるように構成され得る。
RFIC22103は、BPFバンク22162内に例示されるBPF22130、22140、及び22150を含んでいる。BPF22130は、上述のように地域又はISPに応じて位置22132及び22134に設定可能な接点22131を有するスイッチ22130Aに接続される。位置22132はミキサ22138に接続し、そして、ミキサ22138はスプリッタ/増幅器22139に接続し、その出力がアンテナサブシステム22190に結合される。一部の態様によれば、BPF22130、22140及び22150はケーブル22102に接続される。BPF22130は、入力22129で、BPF22116によってケーブル22102に入る帯域24-29.5GHz内の水平偏波RF信号を受信する。BPF22140は、入力22141で、BPF22126によってケーブルに入る12-15GHzの間のLO信号を受信する。BPF22150は、22149で、BPF22117によってケーブルに入る37-45GHz帯域内の水平偏波信号を受信する。BPF22150は、位置22152及び22154に設定可能な接点22151を有するスイッチ22150Aに接続される。一部の態様によれば、位置22154はスプリッタ/電力増幅器22158に接続し、それにより、39GHz帯域の垂直偏波信号をアンテナサブシステム22190に提供する。入力22141で受信された12-15GHz帯域内のLO信号は、BPF22140からライン22142上でミキサ22138及び22156に進む。ミキサ22156はスプリッタ/電力増幅器22158に接続されている。スイッチ22130Aの接点22131が位置22132に設定されるとき、24-29.5GHz帯域内の水平偏波信号が、ミキサ22138に進み、そこで12-15GHz帯域内のLO信号とミキシングされて、スプリッタ/電力増幅器22139への、そしてひいてはアンテナサブシステム22190への39GHz帯域内の水平偏波信号を提供する。従って、位置22152からスプリッタ/電力増幅器22153を介して直接的に39GHz帯域内の垂直偏波信号が進む。一部の態様によれば、スイッチ22130Aの接点が位置22132によってミキサ22138に接続されて、ミキサ22138におけるLO信号と24-29.5GHz信号とのミキシングが水平偏波された39GHz信号を生成すると、39GHz帯域内の水平偏波信号が生成される。
スイッチ22130の接点22131が位置22134に設定されるとき、BPF22116を介してBPF22130の入力22139で受信された24-29.5GHz帯域内の水平偏波信号が、次いで直接的にスプリッタ/電力増幅器22136に進み、そして、アンテナサブシステム22190に伝えられる。スイッチ22150Aの接点22151が22154位置に設定されるとき、BPF22117を介してBPF22150の入力22139で受信された37-45GHz帯域内の垂直偏波信号が、ミキサ22156にて、BPF22140からの12-15GHz帯域内のLO信号とミキシングされて、28GHz帯域内の垂直偏波信号を生成する。故に、28GHz帯域内の垂直偏波信号はミキシングによって生成され、28GHz帯域内の水平偏波信号は、スイッチ22130Aの接点22131が位置22134に設定されて当該水平偏波信号を直接的にスプリッタ/電力増幅器22136に伝えることによって生成される。図221Aは、いくつかの態様に従う、図221に関して説明した5G 40GHz周波数帯域に関する周波数割り当ての概略図である。図221の周波数アップコンバージョン方式は、送信に関するものである。受信に関するダウンコンバージョン方式は、送信に関する方式と概念的に基本的に同じである。図221Aにおいて、DPLL1は、一部の態様に従って、5Gの垂直偏波信号を37-43.5GHz周波数帯域にアップコンバートするのに使用され得る5Gの37-43.5GHz周波数帯域内の信号を提供するものとして示されている。一部の態様によれば、37-43.5GHz周波数帯域内の信号に2/3を乗算することが、水平偏波された5G信号を24-29.5GHz周波数帯域にアップコンバートするために使用され得る5Gの24-29.5GHz周波数帯域内の信号を生み出す。一部の態様によれば、DPLL1からの出力信号はまた、図示のように、12-15GHz周波数帯域内のLO信号を形成するように1/3を乗算されることができる。図221Aにおいて、DPLL2は、WiGigの57-70GHz周波数帯域内の信号を提供するものとして示されている。このWiGig信号は、送信のためのアップコンバージョンについても、5Gの40GHz周波数帯域の周波数割り当ての概略図について説明したのとほぼ同じようにして、WiGig水平偏波信号及びWiGig垂直偏波信号を変調するために使用されることができる。
異なるMIMOストリーム間の位相雑音コヒーレンシは、図221Bに見られるように、一方のストリームを2つの帯域(40GHz又は30GHz)のうちの1つから不使用の5G周波数帯域にわたってシフトするために使用されるシンセサイザソースが、そのストリームをその適切な送信周波数にシフトし返すためにも使用されるという概念に頼ることによって保存される。図221Bは、いくつかの態様に従う、2つの周波数帯域のストリームのうち第2の周波数帯域のストリームを不使用の5G周波数帯域にわたってシフトするのに使用されるシンセサイザソースを例示している。図221Bにおいて、一部の態様によれば、ケーブル22102は、信号IF1、IF2、及び局所発振器信号LOを、当該ケーブル内の個別のライン上で搬送する。信号IF2が22112Bで局所発振器信号LOとミキシングされることで、IF2信号が適切な5G帯域にアップコンバートされる。そして、アップコンバートされた信号がミキサ22138Bに入力され、そこで同じLO信号を用いて、信号が適切な5G帯域にダウンコンバートされる。アップコンバージョンが位相雑音を追加するが、ダウンコンバージョンが、以下の式(1)及び(2)に従って同じ位相雑音を減算する。結果は実験室試験によって検証されている。
Figure 2024059722000013
一部の態様において、信号IF2対信号IF1は、1GHzに相当するおよそΔT<1nsecの遅延差を有する。図221Cは、100MHzの周波数帯域にわたる位相雑音電力に関する位相雑音スペクトルを例示している。この周波数帯域にわたるカーブ22170は、100MHzよりも上での非有意な雑音寄与を示している。
図222は、いくつかの態様に従う、40GHz周波数帯域での5Gに関する送信アップコンバージョン周波数方式を示している。「不使用」の5G 30GHz帯域を利用して5G 40GHz帯域にアップコンバートすることの一例が示されており、垂直偏波ストリームは30GHz帯域に直接的に変換され、水平偏波ストリームは、30GHz帯域を使用し、その後、LOとミキシングによって40GHz帯域に再変換され返す。
図222において、システム22200は、ケーブル22202によってRFIC22203に接続されたBBIC22201を含んでいる。図222は、図221と非常に似ているが、2つの5G信号と並列にWiGig信号を追加している。BBIC22201内で、DAC22231が出力としてベースバンドWiGigを有している。DAC22231はミキサ22233に接続されている。ここでは57-71GHzである適切なWiGig周波数帯域用のDPLL22234が、ミキサ22233への第2の入力として接続されている。そして、そのミキシング機能が、一部の態様によれば、WiGig帯域57-71GHz内のWiGig RFを供給し、それが、増幅器22235に、そしてひいては、BPFバンク22260内のBPF22237に進む。一部の態様によれば、RFIC22203は、BPFバンク22262内にBPF22241を含んでおり、それが、22238で入力として、ケーブル22202上のWiGigRF57-71GHz帯域信号を受信し、それが直接的に、ここでは60GHzとして表記された適切なギガヘルツ周波数帯域でスプリッタ/電力増幅器22243に伝えられ、ひいてはアンテナサブシステム22290に伝えられる。
DAC22210、ミキサ22212、DPLL22218、乗算器22220及び22222、増幅器22214、22224、並びにBPF22216、22226の組み合わせは、それぞれ、図221のDAC22110、ミキサ22112、DPLL22118、乗算器22120及び22122、増幅器22114、22124、並びにBPF22116、22126の組み合わせと基本的に同じ組み合わせであり、基本的に同じ機能を提供する。換言すれば、DPLL22218は、図221のDPLL22118と同様に、37-45GHzの周波数帯域内の垂直偏波5G信号を生成する。同様に、一部の態様によれば、図221においてのように、37-45GHz帯域内の信号に1/3が乗算されて、12.3-15GHz帯域内の局所発振器信号LOが提供され、そしてそれが、増幅器22224で増幅され、BPF22226を介してケーブル22202上で伝送される。一部の態様によれば、DPLL22218からの信号はまた、22220で2/3を乗算されて24-29.5GHzの周波数帯域内の信号を提供し、それが、ミキサ22212によって、DAC22210からの5G水平偏波ベースバンド信号を24-29.5GHz帯域内の水平偏波信号にアップコンバートする。同様に、DAC22211、ミキサ22213、増幅器22215、及びBPF22215の組み合わせは、図221のDAC22111、ミキサ22113、増幅器22115、及びBPF22117の組み合わせと基本的に同じであり、基本的に同じ機能を提供する。換言すれば、一部の態様によれば、DAC22211からの垂直偏波ベースバンド信号が、ミキサ22213を介して37-45GHz帯域内の垂直偏波信号にアップコンバートされ、そしてそれが、増幅器22215及びBPF22217を介してケーブル22202に提供される。
RFIC22203は、バンドパスフィルタ22230、スイッチ22230A、接点22221、ミキサ22238、及びスプリッタ/電力増幅器22239の組み合わせを含んでおり、これらは、図221のBPF22130、スイッチ22130A、接点22131、及びミキサ22138と基本的に同じ組み合わせであり、基本的に同じ機能を提供する。同様に、BPF22240がライン22242を介してミキサ22238及びミキサ22256に接続されており、この組み合わせは、図221のBPF22140、ライン22142、ミキサ22138、及びミキサ22156の組み合わせと基本的に同じであり、基本的に同じ機能を提供する。最後に、BPF22250、スイッチ22250A、接点22251、及びスプリッタ/電力増幅器22253の組み合わせは、図221のBPF22150、スイッチ22150A、接点22151、ミキサ22156、及びスプリッタ/電力増幅器22158と基本的に同じであり、基本的に同じ機能を提供する。従って、図221においてのように、位置22232に設定された接点22231によって39GHz帯域内の水平偏波信号が提供され、それ故に、水平偏波された24-29.5GHz信号がBPF22216を介してBPF22230へとケーブル22202を通って進むことによって、39GHz帯域内の水平偏波信号が生成される。その信号は、その後、BPF22226を介してRFIC22203のBPF22240の入力22244へとケーブル22202上で進む12.3-15GHz帯域内のLO信号を用いたキサ22238の動作によってダウンコンバートされる。図221と同様に、RFIC22203のスイッチ22250Aがその接点22251を位置22252に設定するとき、BBIC22201内のBPF22217を介してBPF22250の入力22249へのケーブル22202上の37-45GHz信号から直接的に、39GHz帯域内の垂直偏波信号が、スプリッタ/電力増幅器22253に進む。故に、垂直偏波された39GHz周波数信号帯域は直接的に生成される。
図223は、いくつかの態様に従う、28GHz送信シナリオのための送信アップコンバージョン方式を示している。この場合、28GHz帯域は、地域的要件又はISP要件のために稼働中であると想定されるので、スイッチ22330Aはその接点を位置22333に設定し、スイッチ22350Aはその接点を位置22352に設定することになる。図223において、一部の態様によれば、BPF22330、スイッチ22330A、位置22333に設定された接点22331、及びスプリッタ/電力増幅器22336の組み合わせは、図221のBPF22130、スイッチ22130A、位置22134に設定された接点22231、及びスプリッタ/電力増幅器22136と基本的に同じ組み合わせであり、基本的に同じ機能を提供する。一部の態様によれば、BPF22340、ミキサ22356、スイッチ22350A、位置22352に設定された接点22351、及びスプリッタ/電力増幅器22358の組み合わせは、図221のBPF22150、スイッチ22150A、位置22154に設定された接点22151、ミキサ22156、及びスプリッタ/電力増幅器22158と基本的に同じ組み合わせであり、基本的に同じ機能を提供する。
ここで、28GHz帯域内の垂直偏波信号及び水平偏波信号を含むストリームに目を向けるに、一部の態様によれば、水平偏波信号は、BBIC22301のミキサ22312でベースバンドから24-29.5GHz帯域にアップコンバートされ、増幅器22314及びBPF22316を介してケーブル22302の入力に伝えられる。故に、一部の態様によれば、この24-29.5GHz帯域内の水平偏波信号が、BPF22330の入力22329で受信され、アンテナサブシステム22390への伝送のために直接的にスプリッタ/電力増幅器22336に伝えられる。
一部の態様によれば、垂直偏波信号は、BBIC22301のミキサ22313で37-45GHz帯域にアップコンバートされ、増幅器22315及びBPF22317を介してケーブル22302の入力に伝えられる。この垂直偏波信号が、ケーブル22302を介してBPF22350の入力22349で受信される。この信号が、上述のように位置22352に結成されているスイッチ22350Aの接点22351を介して伝送される。故に、37-45GHz帯域内の垂直偏波信号が、ミキサ22356に伝えられる。一部の態様によれば、12.3-15GHz帯域内の局所発振器信号LOが、BBIC22301の増幅器22324及びBPF22326を介してケーブル22302に伝えられる。その信号が、BPF22340の入力22341でRFICによって受信され、ライン22342上でミキサ22338及び22356の双方に伝送される。一部の態様によれば、このLO信号を用いて、ミキサ22356で37-45GHz帯域内の垂直偏波信号がダウンコンバートされることで、もはや28GHz周波数帯域内の垂直偏波信号が生成され、それが、スプリッタ/電力増幅器22358に、そしてひいては、アンテナサブシステム22390に伝えられる。
上述のシステムは、所与の地域において双方の5G周波数帯域が同時に動作しない(サポートされていない)という仮定の下で動作する。一部の地域において双方の5G周波数帯域が同時に動作する必要があるように状況が変化した場合、それは、一部の態様によれば、双方の5G周波数帯域が同時にケーブルを横切って伝送され得るようにBBICに追加の周波数シンセサイザを設けることによって達成され得る。これは、上述のようにケーブルを横切って伝送されるWiGig周波数帯域及び5G周波数帯域によく似ている。この場合、RFIC内に各5G周波数帯域用のRFチェーンがなおもなければならないことになるが、ミキサが信号をその元々の5G周波数帯域にシフトし返す必要はないことになる。
図224Aは、いくつかの態様に従う、BBICブロック図の第1部分である。図224Bは、いくつかの態様に従う、BBICブロック図の第2部分である。図224A及び224Bは、上述のLO信号を欠いている。しかしながら、図224A及び図224Bは、後述する機能を説明するために含められている。
図224Aは、図222及び223に示したシステムの更なる詳細を示している。この態様では、同相及び直交(IQ)成分に関して回路を説明する。例えば、22401は、要素22403Aが同相信号源を示し、22405AがWiGig用の直交信号源を示した、送信方式を示している。要素22407は適切なクロック生成を示している。22403には、同じタイプの要素について受信方式が示されている。送信方式及び受信方式の双方で、2つの5G周波数帯域の各々について同じ要素が示されている。図224Bにおいて、スイッチ22451、22453、22455は、システムをTXモード、RXモード、又は試験及び較正のために望まれる場合にループバックモードに置くように動作する。ループバックとは、電子信号、デジタルデータストリーム、又はそれらの送信元からのアイテムの流れを、意図的な処理又は変更なしで、システムを経由してそれらの送信元に戻るようにルーティングすることを指す。これは主に、システムの伝送又は輸送インフラストラクチャを試験する方法である。様々な実装又は態様が存在する。一例として、唯一の通信エンドポイントを有する通信チャネルが試験され得る。そのようなチャネルによって送信されたメッセージは、ただちにその同じチャネルによってのみ受信される。遠隔通信において、サービス提供する交換センタからのアクセス回線の伝送テストをループバック装置が実行し、それは通常、サービス提供される端末における要員の支援を必要としない。一部の態様によれば、開示されるシステムは、システムの試験及び較正のために、通常はBBICの較正のために、スイッチがRFICを切断してTXとRXとの間のループを閉じることによってこの能力を持つ。一部の態様において、試験及び較正はIQインバランスに対処する。TX、RX及びループバックモードは、図示しないプロセッサユニット(2つ以上のデジタルプロセッサを含み得る)から制御信号を受信する無線トランシーバ制御(radio transceiver control;RTC)22457によって制御される。一部の態様によれば、スイッチ22451、22453、22455は各々3つの位置を有し、22453としてこれらのスイッチのうちの1つについて列挙すれば、22453A(上)、22453B(下)、及び22453C(中央)を有する。スイッチ22453の接点が22453Aに切り換えられる(そしてスイッチ22451及び22455の接点も上位置に切り換えられる)とき、システムはTXモードになる。スイッチ22453の接点が22453Bに切り換えられる(そしてスイッチ22451及び22455の接点も下位置に切り換えられる)とき、システムはRXモードになる。スイッチ22453の接点が22453Cに切り換えられる(そしてスイッチ22451及び22455の接点も中央位置に切り換えられる)とき、システムはループバックモードになる。一部の態様において、必要に応じて、ループバックモードを使用して上述の試験及び較正が実行され得る。
中間周波数(IF)スイッチ22458が、最大4つの、各々が上述のようにそれ自身のケーブルを持つRFICへの/からのストリームの送信及び受信のためのスイッチ/スプリッタ/コンバイナとして動作する。ここでは、それらのケーブルが22402-1、22402-2、22402-3、及び22402-4として示されている。4つのストリームのうちの1つ以上が、このようにして送信/受信のために切り換えられ得る。
図224Bには、シンセサイザA及びシンセサイザBのブロック図の例も示されている。周波数シンセサイザを設計するとき、合成される正確な周波数は一般にシンセサイザの当初周波数ではない。一般に、当初周波数は、最終的な周波数に2を掛けたもの又は2で割ったものにすることができる。ここで、シンセサイザは、電圧制御発振器(VCO)又はデジタル制御発振器(DCO)(図示せず)と、例えば22460などのDPLLとを含む。DCOは、DPLLによって厳密に制御される特定の周波数を生成する。一部の態様によれば、シンセサイザは最終的に、例えば送信されるべき周波数といった特定の周波数を提供することになる。2つの分周器22462、22464が、ここでは46-60GHz帯域内の信号であるそれらの入力周波数を2で分周し、表記した信号(23-30GHz帯域内及び11.5-15GHz帯域内)を提供する。そして、図示のようにこれらの信号をそれぞれ加算して、例えば、ここでは、5G用の37-45GHz帯域内のLO周波数(ここでは、簡略表記として40GHzと示す)などの所望の周波数を生成する。シンセサイザBは、当初周波数帯域(46-60GHz)内の信号が11.5-15GHz帯域内の信号と加算されてWiGig用の57-70GHz帯域内の信号(ここでは、簡略表記としてLO-60GHzと示す)に到達することを除いて、同様に動作する。
図225は、いくつかの態様に従う、詳細な無線周波数集積回路(RFIC)ブロック図である。図225は、図222及び223のRFICコンポーネントと同様であるが、更なる詳細を伴っている。RFIC22500では、RFICへの及びRFICからのデュプレクサ22505を介するデュプレックス制御が、無線トランシーバ制御(RTC)22503によって制御される。低電力デジタル位相ロックループ(LPDPLL)22501がクロッキングを提供する。LPDPLL22501は、低下した周波数性能を持つが、非常に低い電力消費を持つ。LPDPLL22501は、一部の態様において、RTC22503用のクロックとして使用される。LPDPLL22501は、電力節減のために意図的に低下されているとしても、クロック用途によく適している。一部の態様によれば、RTC22503は、例えば、システムを送信モードに置くこと、システムを受信モードに置くこと、システムをループバックモードに置くこと、様々な機能のために特定のレジスタを設定すること、改善された又は最適な構成のために様々な増幅器の増幅を調整すること、及びこれらに類すること、などの機能のために使用される。無線はとてもアナログなシステムであるが、デジタルに制御される必要がある例えば上述のものなどの機能が存在し、それらをRTCによって達成することができる。RTCは、RTC22503に入力される専用の制御情報(ここでは、RTC DATAとして示す)をサンプリングするデジタルシステムである。このRTC DATAは、このような情報をシステムプロセッサから受信するBBICから、ケーブルを横切って来る。
一部の態様では、全ての情報がケーブルを介して送受信され、故に、ケーブルを横切る4つの信号(5G 28GHz帯域信号、5G 39GHz帯域信号、LO、及びWiGig帯域信号)に加えて、制御信号もケーブルを横切って来る。従って、開示される5Gミリメートル波システム内に無線トランシーバ制御システムが存在する。一部の態様によれば、RTCは、ケーブルの各側にコンポーネントを有し、それ自身が宿るミリメートル波システムの周波数と干渉しないそれ自身の周波数を有して、開示のミリメートル波システムとRTCシステムとの間の通信を提供する。別の言い方をすれば、図225に示したRFICは、一部の態様によれば、その制御命令を制御プロセッサからケーブル上で受信するRTC22503によって制御される。一部の態様において、BBICはモバイルデバイスのマザーボード上に配置され得るが、RFICは一般に、アンテナサブシステムを介した空中への信号の放射を可能にする場所に配置される。一部の態様において、RFICは、モバイルデバイスの内部で、スクリーンのすぐ下で、ガラスに接着され得る。
図225はまた、例えば22502などのクワッドアンテナ制御の対(ペア)を示しており、それは、例えば22504などの位相シフタと、双方向増幅器22506とを含んでいる。双方向増幅器22506は、送信のための電力増幅と、受信のための低雑音増幅とを表すために、反対向きの2つの三角形として図示されている。増幅器22506はまた、送信用に1つ及び受信用に1つで、個別の増幅器であってもよい。増幅器がいずれかの方向でも増幅する双方向増幅器である場合、それらは、一部の態様において、後述するRTCからのコマンドによって、送信では電力増幅器(PA)に、そして受信では低雑音増幅器(LNA)に切り換えられる。図225において、クワッドペア22515、22517は、WiGig 57-70GHz帯域用であり、クワッドペア22544、22546は、5G 24-29HGz帯域用であり、クワッドペア22565、22568は、5G 37-44GHz帯域用である。クワッドペア22515、22517は、双方向増幅器22511、22513を介してスプリッタ/コンバイナ22509に接続されている。スイッチ22531、22551及びミキササブシステム22538、22556は、図222及び図223のRFICスイッチ-ミキサ回路の概略図である。
図226は、いくつかの態様に従う、ケーブル上の制御信号を示す全体システムのブロック図である。BBIC22601がケーブル22602によってRFIC22603に接続されている。水平偏波5GストリームHが、DAC22610及びADC22611にて、それぞれ、RFIC22603への送信のために生成され、及び、RFIC22603から受信されることが見て取れる。DAC22610、ADC22611、及びDPLL22613は、図222及び図223の対応するコンポーネントに関して説明したように動作する。垂直偏波5GストリームVが、DAC22621及びADC22623にて、それぞれ、RFIC22603への送信及びRFIC22603からの受信のために生成及びシフトされることが見て取れる。DAC22621、ADC22623、及びDPLL22613も、図222及び図223の対応するコンポーネントに関して説明したように動作する。12.3-15GHz帯域内のLO信号が、図222及び図223で説明したように生成されて、ライン22643を介してケーブル22602によって伝送される。増幅器22615、22617は、それぞれH送信ストリーム及びH受信ストリーム用の増幅器であり、スイッチ22620によってアクティブな増幅器が選択される。同様に、増幅器22626、22628は、それぞれ、V送信ストリーム及びV受信ストリーム用の増幅器であり、スイッチ22622によってアクティブな増幅器が選択される。一般的に言えば、これらのスイッチは、システムの送信及び受信を制御しているとし得る制御プロセッサによって制御されることができる。一般に、これらのスイッチは、全てが送信モードであるか、全てが受信モードであるかである。
RFIC側で、送信及び受信のためにVストリーム及びHストリーム並びにLOが受信される。垂直偏波ストリーム及び水平偏波ストリームの送信のためのアンテナ制御クワッドが、それぞれ、全体として22664及び22666で示されている。制御クワッドは、位相シフタ、PA及びLNAを含んでおり、PA及びLNAは、一部の態様において、送信/受信のためにそれぞれPA及びLNAに切り換え可能な双方向増幅器へと統合され得る。24-29.5GHz帯域内の水平偏波信号が、ライン22652を介して受信される。スイッチ22630Aが22634位置にあるとき、24-29.5GHz帯域内の水平偏波信号はクワッドアンテナ制御22674に伝えられる。スイッチ22630Aが22632位置にあるときには、24-29.5GHz帯域内の水平偏波信号は、ミキサ22638にて、12-15GHz帯域内の5G LO信号とミキシングされ、得られた37-45GHz帯域内の水平偏波信号がミキサ22638からアンテナ制御クワッド22670に伝えられる。37-45GHz帯域内の垂直偏波信号がライン22654で受信される。スイッチ22650Aが22661位置にあるとき、37-45GHz帯域内の垂直偏波信号はアンテナ制御クワッド22672に伝えられる。スイッチ22650Aの接点が22663位置にあるときには、37-45GHz帯域内の垂直偏波信号はミキサ22656に伝えられ、そこで、ライン22653を介しての12-15GHz帯域内のLO信号とミキシングされ、そして、得られた垂直偏波24-29.5GHz信号がミキサ22656からアンテナ制御クワッド22676に伝えられる。以上は送信モードに関するものであった。受信モードでは、動作が正反対であり、受信した信号をBBIC22601に提供する。
図225に関して上述したように。一部の態様によれば、その制御信号を制御プロセッサからケーブル上で受信するRTC22503によって、RFICが制御される。これは図226に示されており、制御モデム22664、LPDPLL22662、及びリファレンスクロック22666が、ライン22668を介してプロセッサから受信した制御信号を、それぞれライン22645及び22646を介してRFIC22603に提供する。リファレンスクロック22676は、(図225で説明したように)RFICのRTC22603に対するクロッキングを提供するとともに、LPDPLL22662及び制御モデム22664に対するクロッキングも提供する。また、ライン22641、22642、22643、22644、22645、及び22646、並びに、ライン22651、22652、22653、22654、22655、及び22656から見て取れるように、BBIC22601からの及びへの、並びにRFIC22603への及びからの、全ての情報が、ケーブル22602のみを介して伝送される。興味深いのは、制御に使用されるものであるリファレンスクロック22666がケーブル22602上で一方向にのみ伝送される、すなわちRFICに伝送されることである。制御モデム22664はBPF22671に接続しており、LPDPLL22662及びリファレンスクロック22666はBBIC側のローパスフィルタ22673に接続している。制御モデム22663は、BBIC内の制御モデム22664からの制御信号を受信するよう、ライン22655を介してBPF22665に接続している。同様に、RFIC側で、一部の態様によれば、LPDPLL22661はLPF22667に接続してライン22656から信号を受信する。
更に興味深いことは、全ての信号が上述のライン上を進むので、双方向で信号が適切なコンポーネントに送られるようにケーブル22602の各側で信号を分離するために、ケーブル22602の各側にBPF(及び一部の制御信号ではLPF)のバンクが必要とされることである。一部の態様によれば、送信モード及び受信モードで、信号はBBICから及びBBICへ、そしてRFICへ及びRFICから伝送される。
図227は、いくつかの態様に従う、様々なチャネル選択肢の間でのスイープのための無線周波数(RF)、中間周波数(IF)、及び局所発振器(LO)周波数の概略的な割り当てを例示している。図227は、WiGigチャネル及び5Gチャネルの組み合わせである幾つかのチャネル選択肢、ここでは12の可能なチャネル、が存在することを示している。図227は、22701にてWiGig DPLL信号を示している。5Gの垂直偏波の30GHz信号及び水平偏波の30GHz IF信号が22703及び22705に示されている。局所発振器信号が22707に示されている。5G制御信号が22709に示され、WiGig制御信号が22711に示されている。
図227は、縦軸から見られるように、選択されるチャネルにかかわらず、これらの信号の全ての間に良好な周波数分離が存在することを示している。この周波数分離は、上述のように、ケーブルを横切って伝送されるときにこれらの周波数帯域の十分なアイソレーションを可能にする。一般に、ケーブル上に追加のアイソレーションを設けられず、周波数帯域の隔たり所望のアイソレーションを提供し、周波数帯域は、一部の態様において、図222、223、及び226に関して説明したようにBPF(及び1つの制御事例ではLPF)を介して受信される。図227に関する情報は、単純なシミュレーションから導出されたものである。線の太さは帯域幅と同等である。例えば、WiGig信号は57-71GHz帯域内にあり、4GHz幅とすることができ、5G信号は1GHz幅とすることができる。LO信号は非常に狭い帯域幅であることがわかる。5G制御信号は、帯域幅で300MHzとし得る。各線の太さは、その帯域内のチャネルの幅を反映している。
図228は、いくつかの態様に従う、固定周波数LO送信器アップコンバージョン方式を例示している。最初に言及しておくこととして、図228は、開示されるシステムにとって重要であるとして上述した図226のBBIC側とRFIC側の双方に示されていたBPL及びLPLの図示を省略している。同様に、後述する図229は、BBIC側のBPL及びLPLを省略している。双方の図でBPL及びLPLが省略されているのは、単に、図のスペースの不足のためである。しかしながら、理解されるべきことには、実際には、図228及び図229の双方において、BPL及びLPLが、図226に図示して説明したように配置されて機能することになる。
図228において、BBIC22801は、単一のケーブル22802によってRFIC22803に接続されている。BBIC22801は、送信構成において、増幅器22816に取り付けられるミキサ22812に取り付けられるDAC22810を含んでいる。増幅器22816の出力は、後述するように5G 23.8-30.3GHz周波数帯域の垂直偏波信号であり、図222、223、及び226にいてと同じようにしてケーブル22802上で伝送される。上述のように、図のスペースを考慮して、ケーブルの各側のBPFは示されていない。23.8-30.3GHzの5G周波数レンジを持つDPLL22818もミキサ22812に接続される。一部の態様によれば、DPLL22818はミキサ22812Aにも接続される。第2のシンセサイザ22818A(低電力デジタル位相ロックループ(LPDPLL)と称する)が13.2GHzの固定周波数信号を生成する。LPDPLL22818Aは、ミキサ22812Aと増幅器22824とに接続されている。増幅器22824の出力信号は、13.2GHz周波数の信号であり、一部の態様によれば、LO信号としてケーブル22802上でRFIC22803に伝送される。DAC22811がミキサ22813に接続され、このミキサ22813もミキサ22812Aに接続される。ミキサ22813の出力は増幅器22815に接続されている。一部の態様によれば、増幅器22815の出力は、後述するように37-43.5GHzの周波数帯域内の垂直偏波信号であり、ケーブル22802上でRFIC22803に伝送される。RFIC22803は、一部の態様によれば、図222、223、及び226のRFIC22203、22303、及び22603と基本的に同じ構成にあり、基本的に同じ機能を提供する。BBIC22801はまた、WiGig回路22831、22833、22834及び22835を含んでおり、また、RFIC22803内にその関連回路が含まれている。WiGig 57-72GHz周波数帯域内にある増幅器22835の出力信号が、図222、223、及び226においてと同じようにしてケーブル22802上で伝送される。この回路の動作は上述した通りであるので、ここで説明する必要はない。
動作において、一部の態様によれば、DAC22810からの水平偏波ベースバンド信号が、ミキサ22812にて、DPLL22818からの5G 23.8-30.3GHz帯域内の信号とミキシングされる。一部の態様によれば、ミキサ22812の出力は23.8-30.3GHz周波数帯域内の水平偏波5G信号であり、そして、それが増幅器22816を介してケーブル22802上でRFIC22803に伝送される。一部の態様によれば、LPDPLL22818Aの出力が、13.2GHzのLO信号として、ケーブル22802上でRFIC22803に伝送される。DPLL22818の出力と、LPDPLL22818Aの出力とがミキサ22812Aにてミキシングされて5G 37-43.5GHz周波数帯域内の5G信号を提供し、それがミキサ22813に接続される。一部の態様によれば、DAC22811のベースバンド出力とミキサ22812Aの出力とがミキサ22813にてミキシングされて、5G 37-43.5GHz周波数帯域内の垂直偏波5G信号を提供し、それがケーブル22802上でRFICに伝送される。ケーブル22802上で伝送された信号に関するRFIC22803の動作は、図222、223、及び226に関して説明したのと基本的に同じであり、ここで説明する必要はない。
LO周波数として例えば13.2GHzを使用する図228に示した固定LO方式はまた、MIMO位相雑音周波数雑音コヒーレンスを可能にし、正確な制御タイミングのために使用されることができる固定LOリファレンスをRFIC内に持つという利点を有する。この方式は、固定LOを生成するために、図228の追加のシンセサイザ22818Aを必要とする。換言すれば、図222、223、及び226においてのような2つのシンセサイザの代わりに、図228のこの態様には3つのシンセサイザが存在することになる。しかしながら、この追加のシンセサイザ22818Aはアップコンバージョン及びダウンコンバージョンの双方に使用されるので、誘起されるその位相雑音が相殺され、故に、少なくとも、ここで使用される他のシンセサイザと比較したとき、10のオーダーで低い電力のみを消費することになり得る。
図229は、いくつかの態様に従う、固定LOを用いた第1の変換と、それに続く可変LOを用いた第2の変換とを用いるラジオシステムにおけるデュアル変換を例示している。ベースバンドから直接的にRFに移行するここに記載される直接変換は利点を有するが、同相及び直交(IQ)キャリブレーションの問題を生じさせ得る。一部の態様では、そのような問題に対処してIQキャリブレーションを単純化するために、デュアル変換を使用することができる。デュアル変換は、最終的に望まれる周波数よりも低い周波数への第1の変換と、それに次ぐ、最終的に望まれる周波数への第2の変換とを用いて実現され得る。図229は、デュアル変換が使用されることを除いて、図222及び223に関して説明したように動作する。図229では、DAC22910が、第2のミキサ22944に接続された第1のミキサ22912に接続され、水平偏波ベースバンド信号を出力する。一部の態様によれば、第1のDPLL22918が、48GHzにある固定5G信号を生成し、それに1/6を乗じて8GHz信号が達成され、その信号がミキサ22912に接続される。第2のDPLL22942が、第2のミキサ22944に送られる13-21GHz帯域内の5G信号を生成する。ミキサ22912における第1の変換にて、DAC22910からの水平偏波信号が、固定8GHz周波数の水平偏波信号にアップコンバートされる。この時点で、システムは、図224Bのスイッチ22451、22453、及び22455に関して上述したループバックモードに置かれることができ、そして、最終的に望まれる周波数よりも低い固定周波数(ここでは8GHz)への第1の変換の後に、キャリブレーション問題を解決することができる。このデュアル変換は、第1の変換が比較的低い周波数レンジ内の固定周波数へのものである場合に、IQインバランスがいっそう容易に解消されるという利点を提供する。
第2のミキサ22944における第2の変換は、水平偏波8GHz信号を、所望の22-29.5GHz周波数レンジの水平偏波5G信号にアップコンバートする。一部の態様によれば、所望の22-29.5GHz周波数レンジ内のこの水平偏波信号は、ケーブル22902上で伝送される増幅器22914の出力である。DAC22911からの垂直偏波信号は、同様にデュアル変換にて変換され、一部の態様によれば、このデュアル変換は、以下を除いて、DAC22910からの水平偏波信号に対するデュアル変換と同様に動作し、すなわち、低い方の周波数が24GHzであり、それ故に、ミキサ22946での第2の変換が垂直偏波信号を所望の37-48GHz周波数レンジまでアップコンバートし、それが増幅器22915を介してケーブル22902上で伝送されることを除いて、同様に動作する。一部の態様によれば、DPLL22934の48GHz出力信号に1/3が乗じられて16GHzの5G LO信号となり、それが、増幅器22924の出力としてケーブル22902上で伝送される。RFIC22903は、図222、223、及び226の第2のRFICと同じように機能し、ここで説明する必要はない。
図230は、いくつかの態様に従うDTC構造23000を示している。DTC構造23000は、通信デバイスのDTx送信器内に設けられ得る。DTC構造23000は、図3Cに示した送信回路315のデジタル送信回路365に組み込まれ得るが、構造23000はそのように限定されるものではない。図230は、簡略化されたアーキテクチャを示しており、DTC構造23000は、他の態様に示されるものよりも多くの要素を含み得る。図230に示すDTC構造23000は、より詳細に後述するように、ミリメートル波注入同期型発振器23024内の分数調波注入同期と結合されたタイムインターリーブ型DTC23010を提供し得る。DTCベースの位相変調器及び位相シフタにおけるタイムインターリーブ型DTC23010とミリメートル波注入同期型発振器23024との組み合わせの使用は、次世代システムで使用される帯域幅にわたる振幅・位相変調信号を作り出すことを可能にし得る。
DTC構造23000は、目標ミリメートル波周波数よりも低いRF周波数で動作し得る。DTC23010用のクロック23002は、リファレンス信号(REF)から導出され得る。特に、リファレンス信号は、位相ロックループ(PLL)又は乗算遅延ロックループ(MDLL)23004のいずれかを介してクロック23002に供給され得る。PLL/MDLL23004の出力は、デジタル制御発振器に供給され得る。DCO23006の出力は、例えば1700MHzから所望のミリメートル波周波数までといった、チャネル帯域幅にわたって範囲とし得る。DCO23006の出力は、タイムインターリーブ型DTC23010の個々のDTC23012の各々に入力として供給され得るとともに、PLL/MDLL23004へのフィードバックとして供給され得る。一部の態様において、リファレンス発振信号を受信する手段及び/又はリファレンス発振信号の周波数をより低い周波数の信号に低減する手段が、DCO23006によって実装され得る。
タイムインターリーブ型DTC23010は、個々のDTC23012及び論理コンバイナ23014を含み得る。論理コンバイナ23014は、一部の態様において、ORゲート又は排他的OR(XOR)ゲートとし得る。一部の態様において、単一の論理コンバイナ23014が使用され得る。複数の個々のDTC23012を論理コンバイナ23014と共に使用することは、個々のDTC23012単独の動作よりも高い動作速度を可能にし得る。タイムインターリーブ型DTC23010は、最高で約6GHzの周波数で動作するように制限され得るが、一部の態様では、より高い周波数を得てもよい。一部の態様において、入力信号に応じてDTCにおける低い方の周波数信号の位相を変調して、低い方の周波数信号の周波数よりも高い周波数にある位相変調信号を生成する手段、及び/又はDTCからの位相変調信号を発振器回路に送信する手段が、タイムインターリーブ型DTC23010によって実装され得る。
QPSK以上の変調では、I/Qデータが矩形ポーラー変換器23028に供給され得る。一部の態様において、矩形入力信号をポーラー出力信号に変換する手段が、矩形ポーラー変換器23028によって実装され得る。矩形ポーラー変換器23028は複素数をポーラー形式に変換し得る。結果はアナログ位相変調信号であるとし得る。位相変調信号は、当該位相変調信号を所定量だけ前進又は遅延させるために、コンバイナ23030にて所定の位相シフト(ψ1)と結合され得る。コンバイナ23030からの出力は、シリアルパラレル変換器23026に供給され得る。シリアルパラレル変換器23026は、コンバイナ出力をデジタルワードに変換して、デジタルワードの複数のコピーを同時にタイムインターリーブ型DTC23010の個々のDTC23012の全てに提供し得る。デジタルワードは、個々のDTC23012のうちどれが特定の時間にパルスを提供すべきかのタイミングを指し示し得る。個々のDTC23012は各々、個々のDTC23012が異なる時間にアクティブになって非アクティブになるように、RF-DCO23006よりも低い周波数で、それらの位相が異なるパルスを提供し得る。
上での例示態様においてのように、個々のDTC23012は、タイムインターリーブ型DTC23010内の個々のDTC23012の個数をnとして、個々のDTC23012単独の周波数よりもn倍高い周波数とし得る中間周波数信号を提供するように論理コンバイナ23014にて結合され得る。個々のDTC23012は、デジタルワードに基づいて所望の位相変調を適用し得る。従って、一部の態様において、タイムインターリーブ型DTC23010はまた、ポーラー出力信号に依存するデジタルワードをタイムインターリーブ型DTC23010の複数の個々のDTC23012に提供する手段、デジタルワードに基づいて個々のDTC23012をトリガーする手段、ポーラー出力信号に基づいて個々のDTC23012に送信するためのデジタルワードの並列コピーを生成する手段、個々のDTC23012からの出力を論理的に結合して位相変調信号を生成する手段、位相変調を導入して位相変調信号を生成するために周期毎にリファレンス発振器信号のエッジを動的に遅延させる手段、又はエッジ補間を用いて低い方の周波数信号に基づくセルフアライン位相信号を生成する手段、のうちの1つ以上を実装し得る。
タイムインターリーブ型DTC23010の出力は、出力クロック回路23020に供給され得る。出力クロック回路23020は、パルス整形器23022及びミリメートル波注入同期型発振器23024を含み得る。DTC23010の出力は、その相対高調波成分(すなわち、m×fDTC)を増幅するためにパルス整形器23022にて調整され得る。高調波成分は、目標ミリメートル波周波数にあるとし得る。パルス整形器23022からの調整されたDTC出力を注入同期型発振器23024に注入することができ、注入同期型発振器23024は、高調波成分にロックして目標ミリメートル波周波数にある出力を生成し得る。一部の態様では、後述の図233に示すように、パルス整形器23022が注入同期型発振器23024に組み入れられてもよい。一部の態様において、位相変調信号に基づいて発振器回路でミリメートル波周波数にある位相変調信号を生成する手段が、出力クロック回路23020によって実装され得る。出力クロック回路23020はまた、一部の態様において、位相変調信号の高調波を増幅する手段、及び発振器回路の発振器信号を高調波にロックして出力発振器信号を生成する手段、又は直列接続されたトランジスタを介してタンク回路に電流を注入して、タンク回路がミリメートル波周波数で共振することを誘起する手段、のうちの1つ以上を実装し得る。
図231は、いくつかの態様に従う、開ループ較正されるDTCアーキテクチャ23100を示している。DTCアーキテクチャ23100は、図220に示したものと同じDTCアーキテクチャとし得る。DTCアーキテクチャ23100は、PLL/MPLL23104及び位相注入(phase injection;PI)回路23130を含み得る。電圧制御発振器(VCO)23102が、PLL/MPLL23104に供給される出力を提供し得る。VCO23102及びPLL/MPLL23104は、PLL/MPLL23104内の更なる詳細な回路を示していることを除いて、図230に示したものと同じとし得る。
PLL/MPLL23104は、マルチモジュラス分周器(multi-modulus divider;MMD)23106及び一対のフリップフロップ23108を含み得る。MMD23106は、VCO23102からの信号の周波数を、DTCによって管理可能な(且つあまり電力集中的でない)周波数まで低減させ得る。MMD23106の出力が、フリップフロップ23108の各々の入力に供給され得る。VCO23102からのクロック信号の正エッジ及び負エッジを使用して、正エッジフリップフロップ及び負エッジフリップフロップとも称する異なるフリップフロップ23108をトリガし得る。正エッジ及び負エッジフリップフロップ23108からの出力が、PI回路23130に供給され得る。
正エッジ及び負エッジフリップフロップ23108からの出力は、PI回路23130内のインバータ(バッファ)23110の組(セット)に供給され得る。インバータ23110の組は、例えば、2対のインバータを含み得る。2つのフリップフロップ23108からの出力が、各対のインバータ23110への入力として供給され得る。第1の対のインバータ23110からの出力がマルチプレクサ(MUX)23112に供給されるとともに、第2の対のインバータ23110からの出力が、コースエッジ補間器(Course Edge Interpolator;CEI)23114に供給され得る。
MUX23112及びCEI23114からの出力が、プログラム可能なデジタル制御コースエッジ補間器(PG-DCEI)23120に供給され得る。MUX23112及びCEI23114は、インバータ23110からの信号のうちの1つを選択するために使用され得る。PG-DCEI23120は、MUX23112及びCEI23114からの信号を受信する一対のインバータ23122を含み得る。この入口インバータ出力が、各々がMOSFETチェーンを有する複数のセル23124に送られ、それらセル23124の出力の各々はグランドと電源電圧との間でスイングする。セル23124の個数は、Nは正の整数であるとして、一部の態様において2とし得る。各セル23124の出力が、DTCの出力として論理コンバイナに供給される前に、出口インバータ23126に提供され得る。
図232Aは、いくつかの態様に従う、クロック周波数を高めるためのDTCのタイムインターリービングを示しており、図232Bは、いくつかの態様に従う、図232Aのクロック信号を示している。図232Aに示すアーキテクチャ23200は、図230に示したDTCの一バージョンであるとし得る。アーキテクチャ23200は、例えば、一対のDTCを含むことができ、それらの各々がアナログ部分23210とデジタル部分23220とを含み得る。これは、図230及び231に示したアーキテクチャの便宜上の単純化と見なし得る。実際には、アナログ部分23210及びデジタル部分23220の数は2つよりも多くてもよい。上でのようにMMD23212とPI23214とを含み得るアナログ部分23210に、リファレンス発振器信号が供給され得る。MMD23212の出力が、デジタル部分23220にクロック信号として供給され、代わってデジタル部分23220の出力が、MMD23212に送り返されるとともに、PI23214に提供され得る。DTCアナログ部分23210からの出力信号(f0)が、DTC周波数を2f0へと2倍にするために使用され得るものである排他的論理和(XOR)23222に供給され得る。
図232Bに示すように、DCOクロック信号を生成するために複数の段(ステージ)が使用される。リファレンスクロック信号に基づいて、タイムインターリーブ型DTC内の各DTCが、VCOからのDCOクロック信号の周波数よりも低い周波数を有するフォワード及びリバースクロック信号を提供し得る。図232Bに示したDTCの数は2(N=2)であるが、この数は上でのように様々とし得る。図示のように、第1のDTCのリバースクロック信号(RCLOCK1)及びフォワードクロック信号(FCLOCK1)は、それぞれ、サイクルの0及び1/4だけオフセットされ、第2のDTCのリバースクロック信号(RCLOCK2)及びフォワードクロック信号(FCLOCK2)は、それぞれ、サイクルの1/2及び3/4だけオフセットされる。DTCの各々のリバース及びフォワードクロック信号を個別にXOR演算することができ、それにより、リファレンスクロック信号の周波数を2倍にした、XOR演算されたクロック信号が生成される。次いで、異なるDTCからのXOR演算されたクロック信号もXOR演算されて、所望のミリメートル波周波数にあるDCOクロック信号が生成される。DTC23010によって生成され、発振器23024に注入される信号は、態様に応じて、RF-DCO23006の周波数にあってもよいし、あるいは異なっていてもよい。
図233は、いくつかの態様に従う、パルス整形を用いる直列注入同期型発振器23300を例示している。図233の態様に示すように、パルス整形器23022及び注入同期型発振器23024は、別々の回路又はチップで提供される代わりに、注入同期型発振器23300として一体的に形成されてもよい。しかしながら、他の態様では、これら2つの回路(所望の高調波を増幅するための第1の回路、及び高調波にロックして出力信号を生成するための回路)は異なる回路で提供されてもよい。
注入同期型発振器23300は、タンク回路23302と、注入同期回路23320とを含み得る。注入同期回路23300は、タンク回路23302に摂動を注入するために交差結合対23310に並列デバイス(MOSFET)23306を追加することに頼り得る。摂動は、RCシャント23304を介して並列回路の入力に導入される。
位相雑音を改善するために、発振器23300は、例えば自動バンク選択(automatic bank selection;ABS)プロセスなどのプロセスを通して、注入信号のほぼ厳密な高調波にあるように調整されることができる。並列注入では、タンク電流23302が、自走発振器電流と注入信号との重ね合わせである信号を提供し得る。これは、タンクの電圧及び電流が注入信号に対する位相シフトを経験することを可能にする。タンク回路23302への注入信号の強度を高めるために、RCシャント23304が大型化されてもよい。これは、注入強度、位相雑音、及び発振器固有周波数の調整の間のトレードオフを生み出し得る。
単一の注入デバイスを使用する代わりに、図示のように直列注入同期回路23320を使用してもよい。なお、一部の態様では、直列注入同期回路23320が正及び負のエッジ入力の双方に設けられてもよい。直列注入同期回路23320は、異なる信号が注入される複数のデバイス23306を含み得る。具体的には、双方の個々の信号が、個々の信号のパルス長さと比較して相対的に短い期間にわたってのみ(正で示す)同じ値であるように、注入信号は、異なる位相を持つ個々の信号を有し得る。タンク回路23302は、注入信号(電流)と同相になるように強制又は調整されることができ、上述のトレードオフを改善させ得る。加えて、これは、消費電流を増加させることなく、又は負荷ありでの発振器のQを悪化させることなく、注入強度の増大をもたらし得る。
位相変調局所発振器(LO)が、ポーラー送信器を提供するように飽和電力増幅器を駆動し得る。一部の態様において、クラスA又はA/B増幅器ではなくクラスC/D/D-1/E/F/F-1電力増幅器を使用することができ、それによって増幅器の電力消費が低減される。例えば重み付けた電流、静電容量、又は電源変調などの様々な手段を通じて振幅変調を電力増幅器に導入することができる。
図234は、いくつかの態様に従うミリメートル波周波数信号を提供する方法を例示している。この方法は、図230-図233に示した構造によって実行され得る。動作23402にて、リファレンス発振器がRF発振信号を生成し得る。RF発振信号は、例えば約6GHzよりも高いといった、DTC動作の非効率さの増大をもたらす周波数レンジで生成され得る。このRF発振信号は、ミリメートル波周波数にある位相変調された出力信号を生成するために使用され得る。
受信されたRF発振信号は、動作23404にて、より低い周波数の信号へと下げられ得る。より低い周波数の信号は、マルチモジュラスサブシステムによって下げられることができ、故に、整数で分周されたRF発振信号であり得る。低減された周波数の信号は、実質的に約6Hz未満であり、例えば数百MHzから数GHzまでであり得る。
動作23406にて、矩形(I/Q)入力信号が受信され得る。その矩形入力信号が、ポーラー信号(振幅/位相)に変換され得る。ポーラー信号が更にデジタルワードに変換されてDTCに供給され得る。DTCは、複数の個々のDTCを含むことができ、それらの出力が、論理OR又はXORゲートを用いて結合される。それら個々のDTCに同時にデジタルワードが提供され得る。
動作23408にて、この低周波信号の位相がDTCにて変調され得る。位相変調は、変換された入力信号によって制御され得る。個々のDTCからの出力が結合されて、上記低周波信号の周波数よりも高い周波数にある位相変調信号が生成され得る。いくつかの態様において、このより高い周波数はRF発振信号の周波数である。
位相変調された信号が、DTCから発振器回路に送られ得る。動作23410にて、発振器回路が、ミリメートル波周波数にある位相変調された信号を生成し得る。発振器回路は、ミリメートル波周波数にある入力位相変調信号の高調波を増幅し、その高調波でタンク回路に電流を注入して、タンク回路がミリメートル波周波数で共振することを誘起し得る。この電流注入が、発振器回路の出力信号を高調波にロックして、ミリメートル波周波数の出力発振器信号を生成し得る。電流注入は、直列接続されたトランジスタを介し得る。
通信において、通信デバイスはまた、送信器チェーン及び受信器チェーン内で、信号をアナログ信号とデジタル信号との間で変換し得る。一部の通信装置において、送信器チェーン及び受信器チェーンは、とりわけ、フィルタ及び増幅器を含み得る。このような回路は、バックプレーンの問題と同様に、出力信号の生成において不均一性を生じさせ、理想的ではない出力信号が生成されてしまい得る。通信デバイス設計者は、信号の理想からの逸脱の原因を突き止め、ハードウェア又はソフトウェアのソリューションの一方又は双方を使用するチャネル等化を通じて、その逸脱を補正することを続けている。
チャネル等化は、判定帰還型等化器(DFE)を使用したフィードバック等化を通じて、及び/又は受信器内のフィードフォワード型等化器(FFE)を介したフィードフォワード等化を通じて実行され得る。受信器FFE設計は一般に、送信器FFEがアナログドメインのみで実装され得るのとは異なり、一部の要求に対しては不十分であることがある。アナログ実装は、高められたデータレート、タップ数、及びエネルギー効率に対する要望、並びに、限られた回路面積のみが利用可能なことに起因して、設計及び実装するのが困難であり得る。マルチギガビット(mmWave)通信及びそれに付随した様々なコンポーネントの高速性能、並びにマルチパス効果の出現と共に、送信器から送信されて受信器によって受信されるシンボルは、ある量の符号間干渉(inter-symbol interference;ISI)を経験し得る。所与のシンボルの前に現れるエネルギーが、プレシンボルISI又はプレカーソルであり、シンボルの後に現れるエネルギーが、ポストシンボルISI又はポストカーソルであり、これらはどちらもミリメートル波帯域の使用と共に増大し得る。高速ミリメートル波通信の1つの考慮点は、より低周波数で低速の通信とは異なり、低い又は中程度のポストカーソルISIの広がり(1-4ns)を有し得るものであるLOSチャネルと、より広い、約12nsに至るISIスプレッドを有し得るものえあるNCOSチャネルとで、ポストカーソル及びプレカーソルの広がりに大きな違いが存在し得ることである。
プレカーソル効果を補正するために、マルチタップ有限インパルス応答(FIR)フィルタが使用され得る。高速マルチGb/sデータ通信の使用は、単一のUIにおけるアナログ信号の遅延、乗算、及び加算を含み得る、含まれる機能性のために実装難度を高め得る。一部のミリメートル波無線チャネルは長いプレカーソルテールを有する。例えば、5GS/sのミリメートル波チャネルの場合、プレカーソルテールは約10nsの長さ(50UI)であり得る。この延びたテールを高いデータレートで補正するために、多数のタップ(例えば50)がFFEにおいて使用され得る。多数のタップを使用するFFE実装は、それに対応して大量の回路面積を使用し、また、より多くの電力を使用し得る。FFEにおける電力消費はタップの数と共に指数関数的に増加し得るものであり、また、占有面積はスイッチングマトリックス設計におけるタップの数の二乗に比例する。これは、4位相偏移変調(QPSK)変調又はより高次の変調が使用されるときに更に関与され得る。
二重偏波無線受信器において、FFE設計は、クロストークキャンセルに関して複雑さを増し得る。特に、I/Q信号が使用される通信装置では、例えばQPSK、16QAMなどのようなI/Qベースのコヒーレント変調が、IストリームとQストリームとの間のクロストークISIを示し得る。二重偏波トランシーバでは、垂直偏波(V)ストリームと水平偏波(H)ストリームとが、直接ISI及びクロストークISIに遭遇し得る。ここに記載される態様は、VI対VS、VI対VQ、VI対HI、VI対HQ、VQ対VI、VQ対VQ、VQ対HI、VQ対HQ、HI対VI、HI対VQ、HI対HI、HI対HQ、HQ対VI、HQ対VQ、HQ対HI、及びHQ対HQ、のISAのうちの1つ以上を含んだ、複数の異なるタイプのISIを独立にキャンセルし得る。
図235は、いくつかの態様に従う受信器を例示している。図235は、受信器23500の基本コンポーネントを示している。例えばフィルタ及び(受信信号をベースバンドへと下方にミキシングするための)ミキサなどの他の回路も存在し得るが、単純さのために図示していない。受信器23500は、例えばeNB、AP又はUEなどの通信デバイスに組み込まれることができ、アンテナ23502、FFE23504、DFE23506、コントローラ23510、及びベースバンドプロセッサ23512を含み得る。FFE23504は、更に詳細に後述するように、カスケード接続されたFFEとし得る。アンテナ23502は、同じ又は異なる無線アクセス技術上で、例えば24GPP又はIEEE802.11などの1つ以上の異なる規格を用いて、1つ以上の送信器からの信号を受信するように構成され得る。信号は、例えばeNB、AP、又は他のUEなどの1つ以上の通信デバイスによって提供され得る。アンテナ23502は、送信器から、ビームフォーミングされた信号を受信し得る。一部の態様において、ビームフォーミングされた信号は、V偏波信号及びH偏波信号を含む二重偏波信号とし得る。他の態様において、カスケードFFE構成は二重偏波トランシーバに限定されないとし得る。
受信された信号がFFE23504に提供され、それが、信号内のプレカーソルテールを補償するために使用され得る。そして、プレカーソル補償された信号がDFE23506に供給され、それが更に、ポストカーソルテールを補償し得る。プレカーソル補償され且つポストカーソル補償された信号がベースバンドプロセッサ23512に供給され得る。FFE係数、DFE係数、比較器閾値、クロックタイミング、及び、例えばFFE23504、DFE23506のうちの1つ以上の出力のタイミングなどの他の回路設定が、コントローラ23510によって制御され得る。一部の態様では、ベースバンドプロセッサ23512がコントローラ23510として機能してもよい。
図236が、いくつかの態様に従うFFEの基本的な実装を示している。図236に示すFFE23600は、受信器内に設けられることができ、複数のアナログドメイン遅延回路(遅延)23602(例えばトラック・アンド・ホールド回路など)、複数の乗算器23604、及びコンバイナ23606を含み得る。FFE23600の入力及び出力はアナログとし得る。各遅延回路23602は、例えば、スイッチ23612と最後のスイッチ23612からの出力をバッファするバッファ23616との間にグランドへのキャパシタ23614を配置した、直列のスイッチ23612などの、アナログ回路部品から形成され得る。
複数の遅延23602が直列に配置され得る。各遅延23602にアナログ電圧が供給され得る。遅延23602によって提供される遅延の量は予め決定されることができ、単一の単位インターバル(UI)とし得る。遅延は、クロック周波数を変化させることによって調整可能であり得るが、他の態様では、UI又はシンボルレートが固定されている場合、遅延は変更されることができなくてもよい。トラック・アンド・ホールド回路の遅延23602は、キャパシタンスではなくクロック周波数/周期によって決定され得る。
各遅延23602に供給される電圧はまた、乗算器23604で重み付けされ得る。各乗算器23604は、それに関連付けられた個々の係数(すなわち重み)c0、c1、…、cnを有し得る。乗算器23604の係数c0、c1、…cnは、同じであってもよいし、少なくとも1つが他の少なくとも1つの重みと異なっていてもよい。係数は、1又は0を含め、任意の正又は負の値をとることができる。係数は、チャネルによって決定されることができ、例えば、NLOSチャネル及びLOSチャネルに対して異なり得る。
乗算器23604からの重み付けされた信号がコンバイナ23606に供給され得る。コンバイナ23606は、遅延23602の前の重み付けされた出力と、同じ遅延23602の後の重み付けされた出力とを結合し得る。コンバイナ23606は、これら複数の遅延23602の全てからの出力がFFE23600の出力として結合され得るように配置され得る。これら複数の乗算器23604からの出力が共に同時に結合され得る。この場合、入力信号は連続アナログ信号であり得るが、出力信号は離散アナログ信号であり得る。
電力消散は、タップ数及び寄生容量に基づいて増加し得る。これを軽減するのを助けるため、図237A及び図237Bは、いくつかの態様に従うFFE23700を例示している。FFE23710は、図235に示した受信器内で使用され得る。FFE23700は、ベースバンドで動作し得るものである複数のFFE段(ステージ)23710を有し得る。各FFEステージ23710は、1つ以上の遅延23704、乗算器23702、及びコンバイナ23706を含み得る。一部の態様において、遅延/FFEステージ23710の数は、設計最適化によって制限されることがあり、また、プロセス技術に依存することがある。FFE23700は、図3Eに示したベースバンド処理回路392に組み込まれることができるが、FFE23700はそのような組み込みに限定されるものではない。一部の態様において、複数の直列接続されたFFE段に複数の種類の信号を提供するための手段が、FFE23700によって実装され得る。
アンテナ(図237A-図237Bには示されていない)から各FFE段23710への信号は、偏波直交信号に分割され得る。I/Qベースのコヒーレント変調では、信号はI成分とQ成分との双方を有し得る。二重偏波トランシーバでは、垂直偏波信号と水平偏波信号とが存在し得る。V信号及びH信号の各々が、2つ(I及びQ)のデータストリームを有し得る。故に、図237A-図237Bに示すように、二重偏波トランシーバには全部で4つのデータストリーム(VI、VQ、HI、HQ)が存在し得る。直接ISI(例えば、VI対VIのISI)とクロストークISI(例えば、VQ対VI、VQ対HI、VQ対HQなど)との2種類のISIがキャンセルされ得る。
従って、各FFE段23710への個々の信号は、垂直及び水平偏波信号の双方、又はI/Q信号のいずれか又は双方を含み得る。垂直及び水平偏波信号は、それぞれ、垂直及び水平偏波信号ライン上の遅延23704への入力として、垂直及び水平偏波信号ラインに沿って提供されることができ、同様に、I及びQ信号は、それぞれ、I及びQ信号ライン上の遅延23704への入力として、I及びQ信号ラインに沿って提供されることができる。図示のように、個々の信号が各遅延23704の前及び後のタップでクロスカップリングされることで、データストリーム間での打消しを提供し得る。個々のストリームの各々は、FFE段23710からのそれ自身の出力を有し得る。故に、例えば、各遅延23704の前及び後に、I/Q入力信号の各々についての垂直及び水平が、重み係数を用いて重み付けされ、そして、結合され得る。例えば図237A-図237Bに示したものなどの、垂直及び水平偏波入力信号並びにI/Q入力信号の双方が提供される一部の態様では、各信号が、各遅延23704の前及び後に他の各信号と結合され得る。
2つのFFE段23710のみが示されているが、2つよりも多いFFE段が使用されてもよい。多数のFFE段23710の使用は、各FFE段23710当たりのタップ数を減らすことができ、それにより、電力消費、面積、及び複雑さを低減させ得る。一部の態様では、FFE23700は故にまた、図示のように、以下の手段のうちの1つ以上を実装することができ、すなわち、一連の遅延を介して入力VI、VQ、HI及びHQ信号を遅延させて複数組の遅延されたVI、VQ、HI及びHQ信号を形成する手段、各タップで複数タイプの重み係数の各々でVI、VQ、HI及びHQ信号の各々を重み付けて、そのタップの重み付けされたVI、VQ、HI及びHQ信号を形成する手段、各タップの重み付けされたVI信号を結合してVI出力信号を形成し、各タップの重み付けされたVQ信号を結合してVI出力信号を形成し、各タップの重み付けされたHI信号を結合してHI出力信号を形成し、各タップの重み付けされたHQ信号を結合してHI出力信号を形成する手段、VI、VQ、HI及びHQ出力信号の各々を、他のFFE段へのVI、VQ、HI及びHQ入力信号として、又はFFEのVI、VQ、HI及びHQ出力として一方で提供する手段、各タップの重み付けされたVI、VQ、HI及びHQ信号を用いて異なるプレカーソルISIタイプをキャンセルする手段、続くFFE段の入力信号に対して、遅延させること、重み付けすること、及び結合することを繰り返す手段、最初のタップ以外で、最初に、VI、VQ、HI及びHQ信号の各々に対するVI、VQ、HI及びHQ重み係数を、予め定められた値に設定する手段、及び/又は、重み付けにおけるVI、VQ、HI及びHQ重み係数を収束及び安定化させるために適応プロセス中にVI、VQ、HI及びHQ重み係数を更新する手段、のうちの1つ以上を実装し得る。
各FFE段の電力消費はタップ数の指数関数に比例し、面積はタップ数の二乗に比例する。電力消費の低減の例を提供するため、FFEタップの総数=Ntapとすると、以下のとおりである。
Figure 2024059722000014
見てとれるように、段数が増えるにつれて電力及び面積の双方が減少され得るが、所与のFFEタップの総数に対して段当たりのタップ数は減少する。一部の態様において、例えば2などのタップの最小数が各FFE段に存在し得る。FFE段23710は、同じチップ又は回路上に配置されてもよいし、異なるチップ又は回路上に配置されてもよい。一部の態様において、タップ数は各FFE段23710で同じ(均等に分布される)とし得る。一部の態様では、FFE段23710のうちの少なくとも1つにおいてタップ数が異なっていてもよい。例えば、タップ数は、全体的な性能を向上させるために、より多数のタップから、より少数のタップへと徐々に減ってもよいし、より少数から、より多数へと徐々に増えてもよい。
また、FFE段23710のうちの1つ以上の中のタップは、個別にアクティブ化又は非アクティブ化されることができ、あるいは、2つ以上のタップのグループでアクティブ化又は非アクティブ化されることができる。グループで(例えば、図235に示したコントローラによって)制御されることができる場合、タップは、一貫した分布を有するように制御され得る。例えば、1つおきのタップがアクティブであるとすることができ、あるいは、2つおきのタップがアクティブであるとすることができる。そのような態様では、非アクティブ化されたタップはバイパスされ得る。
同様に、FFE段23710は、コントローラによって個別にアクティブ化又は非アクティブ化されることができるとし得る。アクティブ化及び非アクティブ化は、1つ以上の要因に依存し得る。それらの要因は、数ある要因の中でもとりわけ、クロックレート、変調方式、信号タイプ(例えば、使用される規格、信号周波数)、チャネル状態、及びタップ数を含み得る。そのような態様では、アクティブなFFEステージ23710同士が互いに接続されるように、非アクティブ化されたFFE段23710はバイパスされ得る(例えば、スイッチを用いる)。これは、例えば、電力消費が望み通りに調整されることを可能にし得る。各遅延23704は1UIに固定され得る。
また、特定のFFE段23710内の各乗算器23702は、それに関連付けられた個々の重みを有し得る。特定のFFE段23710内の乗算器23702の重みは同じであってもよいし、あるいは、少なくとも1つが他の少なくとも1つの重みと異なっていてもよい。上でのように、FFE段23710内の乗算器23702の詳細は、他のFFE段23710のそれとは異なっていてもよい。これが言わんとすることは、例えば、1つのFFE段23710の乗算器23702は遅延23704間で及び/又は異なる個々の信号間で同じ重みを有し得るが、異なるFFE段23710では、いずれか又は双方が同じでないことがあるということである。
一部の態様において、数ある要因の中でもとりわけ、チャネル状態及び/又は信号タイプへの適応のために、各FFE段23710内の重み係数が同時に更新され得る。一部の態様では、各FFE段23710内の重み係数が異なる時点で更新されてもよく、それにより、1つ以上のFFE段23710における係数乗算による適応化が、特定の時点で、1つ以上の他のFFE段23710における重み係数は固定されたままである間に行われ、そして、上記1つ以上の他のFFE段23710における係数適応化が、異なる時点で、上記1つ以上のFFE段23710における重み係数が固定されたままである間に実行され得る。
図238は、いくつかの態様に従うアナログ信号等化を提供する方法を例示している。方法23800は、図237に示したFFEによって実行され得る。動作23802にて、入力された垂直及び水平偏波信号が、複数のFFE段を含むFFEの最初のFFE段に提供され得る。それら複数のFFE段は直列接続されることができ、入力は並列とすることができる。一部の態様では、I/Q信号がFFE段に提供されてもよい。一部の態様では、VI、VQ、HI、HQ信号が各FFE段に提供されてもよい。
動作23804にて、タップにおける様々な信号が重み付けされ得る。垂直及び水平偏波信号は、それぞれ、重み付けされた垂直及び水平偏波信号を形成する。I/Q信号は、同様に、それぞれ、重み付けされたI/Q偏波信号を形成するように重み付けされ得る。上でのように、V信号及びH信号の各々が、2つ(I及びQ)のデータストリームを有し得る。各タイプの信号が、複数の独立した重み付けされた信号を形成するように複数の独立した係数を用いて重み付けされ得る。故に、例えば、各信号が、VI係数、VQ係数、HI係数、及びHQ係数で重み付けされ得る。さらに、各信号に関する係数は、同じタイプの係数に関して独立であるとし得る。故に、VI信号に対するVI係数は、HQ信号に対するVI係数とは独立とし得る。
動作23806にて、同一タイプの係数で重み付けされた現在タップにおける各重み付けされた信号が結合されて、結合信号を形成し得る。すなわち、例えば、VI係数で重み付けされた現在タップにおける全ての信号が結合されて、結合された重み付けされたVI信号を形成し得る。各タイプの信号(VI、HI、VQ、HQ)が、結合された重み付けされた信号を形成し得る。これは信号間の相互相関を提供する。
動作23808にて、そのFFE段に更なるタップが存在するかが判定される。上でのように、FFE段は、複数の遅延及び故にタップを有し得る。タップ数は、FFE段の間で独立であることができ、故に、各FFE段で同じであってもよいし、異なっていてもよい。
更なるタップが存在する場合、動作23810にて、各信号が遅延に供給され得る。動作23804に戻る前に、各信号が同じ量だけ遅延され得る。従って、各結合信号が、先行タップからの同様の信号と結合され得る。すなわち、例えば、VI係数で重み付けされた現在タップにおける信号の全てが、VI係数で重み付けされた全ての先行タップにおける信号の全てと結合されて、結合された重み付けされたVI信号を形成し得る。全てのタップからの結合された重み付けされた信号はまた、VI’、VQ’、HI’、HQ’として指し示し得る。
更なるタップが存在しない場合には、動作23808にて、現在のFFE段の最後の遅延に達したと判定されることができる。動作23812にて、最後のFFE段に達したかが判定され得る。FFEは、少なくとも2つのFFE段を有し得る。
動作23812にて、最後のFFE段に達していないと判定された場合、次のFFE段における遅延に対して、動作23804-23808のプロセス(重み付け、結合、及び遅延)が繰り返され得る。動作23814にて、一番後のFFE段からの出力信号(VI’、VQ’、HI’、HQ’)が次のFFE段の入力信号として使用され得る。
動作23812にて、最後のFFE段に達したと判定された場合には、このプロセスは出力信号を提供し得る。これが言わんとすることは、各タイプの結合信号が、動作23816で、FFEからの出力信号であると見なされ得るということである。FFEは、入力信号と係数とに応じた出力信号を生成し得る。この適応化プロセスは、FFEが動作している間に、各ステージでの各信号に対する係数を計算して更新し得る。当初、メインタップを除いて、係数はすべてゼロ(又は何らかの予め定められた値)とし得る。その後、受信データ及び適応化プロセスに基づいて係数が更新され得る。最終的に、適応化によって係数が収束して安定になり得る。この適応化は、プロセス(23804-23814)を継続的に辿り得る。
上でのように、限られたチャネル帯域幅、反射及び干渉を補償するために等化が使用され得る。等化はまた、ミリメートル波信号について考慮すべき側面であり得るLOS及びNLOS条件の双方の下での長チャネル応答のシンボルの応答をキャンセルするために使用され得る。とりわけ減衰の増大及びマルチパスの問題に起因して、ミリメートル波帯域(例えば、60GHz帯)には、増加した量の符号間干渉(ISI)(数十シンボル内)が存在し得る。等化を用いることで、プレカーソルISI及びポストカーソルISIを補償又は相殺し得る。たとえチャネルが理想的であるとしても、通信デバイス内の送信器回路及び受信器回路が、全体の帯域幅を制限してしまうことがある。一部のケースでは、等化を用いて、送信器回路及び受信器回路によって構築される帯域幅制限をないものとすることができる。
DFEは、ポストカーソルISIの影響に対抗するために使用されることができる等化器のうちの1つである。DFEは受信器で使用され得る。NLOSチャネルの方がLOSチャネルよりも多量のポストカーソルISIを受け得るが、ポストカーソルISIはかなりであり得る。LOSチャネルは、NIOSチャネルよりも、相対的に少ないISIタップを有し得るとともに、16QAM及び64QAMのような、より効率的な変調を可能にし得る。従って、NLOSチャネルに対するDFEタップの数を増やすことが望ましいことがある。DFEで使用されるタップの数はハードコードされ得る。変調に伴ってタップ数が変化しないので、これは、他の目的のために使用され得るハードウェアリソース及びチップ/ボード領域の浪費につながり得る。
例示的な態様によれば、構成可能(コンフィギュラブル)DFE設計が提供される。使用される変調に従ってDFEタップ数を調整し得る。一部の態様において、DFEタップ設計は、単一の信号を制御することによって、無線通信用に4位相偏移変調(QPSK)又は16直交振幅変調(QAM)を選択し得る(なお、これは、有線通信用にパルス振幅変調(PAM2)又はPAM4にも適用可能であり得るが、ここでは便宜上、QPSK及び16QAMを参照することとする)。一部の態様では、より高い信号対雑音比(SNR)及びより少ないポスカーソルISIを有するLOSチャネルについて、16QAMモードで、最大150のポストカーソルをキャンセルすることができ、ポストカーソルの約半分をキャンセルすることができる。
最初のDFEタップに関するタイミングは、後のDFEタップに関してよりも厳密であり得る。図239A及び図239Bは、いくつかの態様に従う再構成可能(リコンフィギュラブル)DFEの構成を例示している。DFE23900は、図3Eに示したベースバンド処理回路392に組み込まれ得るが、DFE23900はそのような組み込みに限定されるものではない。図240A及び図240Bは、いくつかの態様に従う再構成可能DFEのセレクタ/DFFコンビネーション構成を例示している。図239A及び図239Bに示すように、DFE23900は、比較器23910、SRラッチ23920、ラッチ23930、及びセレクタ/Dフリップフロップ(DFF)コンビネーション23940を有し得る。アンテナからのダウンコンバートされた信号が、DFE23900で受信され、DFE23900の出力を生成するために使用され得る。DFE23900のこれらコンポーネントは、同じクロック信号(CLK)を提供され得る。比較器23910には差動入力が供給され得る。比較器23910のバイナリ出力がSRラッチ23920に供給され得る。SRラッチ23920の差動入力が、その出力でのシングルエンド信号に変換され得る。SRラッチ23920の出力が、一対のラッチ23930に供給され得る。SRラッチ23920と第1のラッチ23930との間から第1のDFEタップが取られ得る。
DFE23900からの出力が、第2のラッチ23932を介して提供され得る。第2のラッチ23932の出力が、第2のDFEタップとして取られ得る。第2のラッチ23932の出力が、セレクタ/DFFコンビネーション23940のうちの第1のものに提供され得る。一部の態様において、第1のセレクタ/DFFコンビネーション23940は、DFFのみを含み得る。他の態様では、複数のセレクタ/DFFコンビネーション(後でラッチとしても参照する)23942、23944、23946、23948の各々が、マルチプレクサ及びDFF双方を含み得る。(第1のセレクタ/DFFコンビネーション23940の各々の後ろの)最小限の数のタップが示されているが、一部の態様では、DFE23900内の各チェーンに最大74個のフリップフロップ(遅延)が使用され得る。従って、遅延ひいてはタップの総数は150(2×74+2)となり得る。しかしながら、他の態様では、フリップフロップの数は最大74に制限されなくてもよい。
各セレクタ/DFFコンビネーション23940のセレクタは、一部の態様においてマルチプレクサとし得る。セレクタの入力は、2つの異なるセレクタ/DFFコンビネーション23940からの出力とし得る。セレクタ/DFFコンビネーション23940は、(最初の2つ以外の)セレクタ/DFFコンビネーション23940の入力が、シーケンシャル及びパラレルのセレクタ/DFFコンビネーション23940からであるように、チェーンとしても参照するパスを形成するように構成され得る。これが言わんとすることは、これらの入力が、次に小さい番号のセレクタ/DFFコンビネーション23940(すなわち、すぐ前の番号)からと、1つ飛ばして小さい番号のセレクタ/DFFコンビネーション23940(すなわち、そのセレクタ/DFFコンビネーション23940が偶数番であるのか奇数番であるのかに応じて、すぐ前の偶数又は奇数番号のセレクタ/DFFコンビネーション23940)からと、とし得るということである。セレクタ/DFFコンビネーション23940は、隣り合う番号が、それらセレクタ/DFFコンビネーション23940の各々を通るシーケンシャルチェーンを形成し、1つ飛ばしの番号が、それらセレクタ/DFFコンビネーション23940を通るパラレルチェーンを形成するように構成され得る。
セレクタ/DFFコンビネーション23940は、セレクタを用いてパスを調整することで、これら2つのチェーンのうちの一方を選択し得るように接続され得る。特に、セレクタ/DFFコンビネーション23940内のセレクタは、セレクタ/DFFコンビネーション23940の入力(データ入力)を選択するために使用されるのと同じ選択信号(制御入力)に接続され得る。これは、第1のセレクタ入力に対して図239Aに示すシーケンシャルチェーンの選択をイネーブルにし、第2のセレクタ入力に対して図239Bに示すパラレルチェーンの選択をイネーブルにし得る。各セレクタの入力は、シリアル及びパラレルチェーン内の直前の遅延/タップの出力とし得る。
タップの数(及びセレクタ/DFFコンビネーション23940内の位置)は、セレクタ入力ひいてはチェーンに依存し得る。例えば、1ビット出力のDFEを示すものである図239Aに示したシーケンシャルチェーンに示されるように、第1のセレクタ/DFFコンビネーション23942の出力が、第3のDFEタップとして取られて、第3のセレクタ/DFFコンビネーション23946への入力として提供され得る。第3のセレクタ/DFFコンビネーション23946の出力が、第4のDFEタップとして取られて、第2のセレクタ/DFFコンビネーション23944への入力として提供され得る。第2のセレクタ/DFFコンビネーション23944の出力が、第4のセレクタ/DFFコンビネーション23948への入力として提供される。第2及び第4のセレクタ/DFFコンビネーション23944、23948の出力が、それぞれ、DFEタップ5及び6として取られ得る。
一部の態様において、DFEで受信される信号の変調方式を決定する手段が、DFE23900によって実装され得る。一部の態様において、DFE23900はまた、図示のように、以下の手段のうちの1つ以上を実装することができ、すなわち、DFEにおいて使用するタップのタップ数を変調方式に基づいて決定する手段、DFEにおいてシリアルチェーンとパラレルチェーンとのうちどちらを使用すべきかをタップ数に基づいて選択する手段、及び/又は、タップからの出力を用いて信号のポストカーソルISIを補償する手段、のうちの1つ以上を実装し得る。更なる態様において、DFE23900はまた、図示のように、以下の手段のうちの1つ以上を実装することができ、すなわち、複数の遅延を同時にトリガする手段、及び/又は、パラレルチェーンが選択されたときに、最上位ビット(MSB)の第1及び第2のタップ間のラッチされた出力を用いて最下位ビット(LSB)を選択する手段、及び/又は、以下の手段を提供することによって、すなわち、第1のラッチの入力からの第1のタップを取るとともに第2のラッチの出力から第2のタップを取る手段と、第1のラッチの出力を、第1の並列パスのうちの第1のパス内の第2のラッチの入力及び並列パスのうちの第2のパス内のマルチプレクサのセレクタ入力に接続する手段とを提供することによって、第1のタップの遅延への影響を回避する手段、のうちの1つ以上を実装し得る。一部の態様において、シリアルチェーンとパラレルチェーンとのうちどちらを使用すべきかを選択する手段は、各々が異なる遅延と関連付けられ且つ関連付けられた遅延の入力と接続された出力を有する複数のマルチプレクサに同一のセレクタ信号を与える手段、及び/又は、QPSKに対してシリアルチェーンを選択し、16QAMまたはそれより高次なものに対してパラレルチェーンを選択する手段を有し得る。
セレクタ/DFFコンビネーション23940を、図240Aに更に詳細に示す。図示のように、単一の遅延チェーンを形成するように、各セレクタ(MUX)24010、24012、24014、24016の出力が、異なる遅延24020、24022、24024、24026の入力に供給され得る。各遅延24020、24022、24024、24026は、単一のD型フリップフロップから形成され得る。各遅延24020、24022、24024、24026の出力が、次のセレクタ24010、24012、24014、24016の入力のうちの1つ(0として示されているが、他の態様では1であり得る)に供給され得る。各セレクタ24010、24012、24014、24016は同じとすることができ、すなわち、同一の選択信号を各セレクタ24010、24012、24014、24016に適用し得る。
4つのDFEタップのみが示されているが、一部の態様では、これは、例えば、最大150のポストカーソルを相殺するために最大150のDFE 1ビットタップが使用され得るように拡張され得る。一部の態様では、150よりも多いタップが使用されてもよく、従って、150よりも多いポストカーソルがキャンセルされ得る。しかしながら、他の態様では、DFE 1ビットタップの数は最大150に制限されなくてもよい。図239Aに示す構成は、QPSKモードで使用されて、図示のように単一のデイジーチェーン内の複数の遅延を提供し得る。
図239Bは、27ビット出力DFE内の最下位ビット(LSB)及びMSB用の回路を更に含む構成を示している。DFE23900のLSB部分は、LSB比較器23912、23914を含み得る。LSB比較器23912、23914の出力が、それぞれ、LSB SRラッチ23922、23924と結合され得る。SRラッチ23920、23922、23924からの出力が、第1のDFEタップとして並列に取られ得る。SRラッチ23922、23924からの出力が、それぞれ、LSBラッチ23936、23938への入力として提供され得る。LSBラッチ23936、23938からの出力が、LSBマルチプレクサ23950への入力として提供され得る。MSBビットが、LSBマルチプレクサ23950のためのセレクタ信号として使用され、そして、LSBマルチプレクサ23950がLSBを提供し得る。LSBが、続いて、第3のラッチ23934に提供され、その出力が第3のセレクタ/DFFコンビネーション23946へのもう1つの入力として取られ得る。セレクタ/DFFコンビネーション23960制御ビットは、図239Aに示したセレクタ/DFFコンビネーション23940のものとは異なり得るが、ハードウェアは同じとし得る。図239Bに示すように2つのパラレルチェーンが提供されるようにして、セレクタ/DFFコンビネーション23940間のクロスカップリングが排除され得る。第2のDFEタップが、第2及び第3のラッチ23932、23934の並列出力から取られ得る。第3のDFEタップが、第1及び第3のラッチ23942、23946の並列出力から取られ得る。第4のDFEタップが、第2及び第4のラッチ23942、23948の並列出力から取られ得る。図239Bに示す構成は、16QAM(PAM4)モードに使用されて、2つのパラレルチェーン内の遅延を提供し得る。4つのDFEタップのみが示されているが、これは、一部の態様では、最大76のポストカーソルを相殺するために(3ビットDFEタップ1以外に)最大76のDFE 2ビットタップが使用され得るように拡張され得る。上でのように、他の態様では、76ビットよりも多いビット数が使用されてもよい。
一部の態様において、セレクタ/DFFコンビネーションの出力は、最上位ビット(MSB)及び最下位ビット(LSB)とし得る。特に、16QAMは、I及びQのPAM4ストリーム(2つの直交PAM4ストリーム)を有し得る。これが言わんとすることは、4つのレベルを表すために、1つのMSBと1つのLSBとの2つのビットが使用され得るということである。一部の態様において、タップ1にて、1(SRラッチ23920の出力)、1(SRラッチ23922の出力)、1(SRラッチ23924の出力)が最も高いレベルを表し、その他のレベルは、1-0-1、0-0-1、0-0-0(最も低いレベル)によって表され得る。図示のように、スライサ23910の閾値(THRESHOLD)が0であるので、スライサ23912の閾値は+2であり、スライサ23914の閾値は-2である。ここで、0、+2、-2は、-3、-1、+1、及び+3という4つの信号レベルに基づく相対数であり、絶対数ではない。タップ1設計(図239A及び図239B)を使用して、厳格なDFEタップ1遅延制約に基づく出力を提供し得る。従って、フリップフロップを2つのシリアルラッチ(図239Aの23930及び23932)に分離することによって、最初のラッチ(23930、23936、23938)の後ろにMUX23950を配置することが可能になる。従って、MUX23950によって引き起こされる遅延により、DFEタップ1遅延への影響が回避され得る。もし、MUX23950がラッチ23930、23936、23938の前に配置されるとしたら、MUX23950の高い遅延に起因して、そのDFEタップ1遅延はDFEタップ1遅延制約を満たすことができないことになる。
セレクタ/DFFコンビネーション23960を、更なる詳細とともに図240Bに示す。図240Aのチェーンの半分の長さの並列MSB及びLSB遅延チェーンを形成するように、セレクタ24030、24032、24034、24036の出力が、異なる遅延24040、24042、24044、24046の入力に供給され得る。遅延24040、24042、24044、24046の出力は、次のセレクタ24030、24032、24034、24036の入力のうちの一方(1として示されているが、他の態様では0である)に供給され得る。セレクタ24030、24032、24034、24036の選択は同じとすることができ、すなわち、同じ選択信号がセレクタ24030、24032、24034、24036に与えられ得る。
図241は、いくつかの態様に従うDFEを構成する方法である。方法24100は、図239A-239B及び240A-240Bの構造を用いて実行され得る。動作24102にて、変調方式が決定され得る。DFEが変調方式を特定し得る。変調方式は、例えば、チャネルISIに依存し得る。送信器及び受信器の双方が同じ変調方式を使用するように構成され得る。変調方式は、一部の態様において、QPSK(PAM2)及び16QAM(PAM4)とし得る。ミリメートル波周波数を使用するとき、変調方式は更に、チャネルのタイプ(LOS又はNLOS)及びLOSチャネルの場合の並列チェーンに依存し得る。
変調方式が決定されると、動作24104にて、DFEが、DFEで使用するチェーンタイプ及びタップ数を決定し得る。一部の態様において、タップ数は、NLOSチャネルについてはPAM2で最大約150タップ、LOSチャネルについてはPAM4モードでは約半分(76タップ)とし得る。タップからの信号が、ミリメートル波周波数におけるポストカーソルをキャンセルするために使用され得る。
動作24106にて、DFEが、タップ数に基づいて、シリアルチェーンとパラレルチェーンとのうちどちらを使用すべきかを選択し得る。シリアルチェーン及びパラレルチェーンは異なるタップ数を持つことができ、シリアルチェーンはNLOSチャネルに関するシングルビットを提供し、パラレルチェーンはLOSチャネルに関するMSB及びLSBを提供し得る。一部の態様において、動作24102、24104、及び24106のうちの任意の2つ以上が組み合わされ得る。
動作において、DFEは、シリアルチェーン及びパラレルチェーンを形成する複数のDFFをトリガし得る。DFEは複数のDFFを同時にトリガし得る。タップは異なるDFFの出力から取られ得る。各DFFへの入力をマルチプレクサが提供し得る。各マルチプレクサが異なるDFFに付随し得る。各マルチプレクサは、シリアルチェーン又はパラレルチェーンのどちらを使用するかを選択するために同じセレクタ信号を提供され得る。パラレルチェーンが選択されるとき、LSBマルチプレクサを使用してLSBを選択し得る。LSBマルチプレクサ出力は、MSBのタップのうち第1タップと第2タップとの間のラッチ出力を用いて選択され得る。これが言わんとすることは、第1のタップは第1のラッチの前に取られることができ、第2のタップは第2のラッチの後に取られることができるということである。シリアルチェーンが使用されようと、パラレルチェーンが使用されようと、動作24108にて、タップからの出力を使用してシンボルのISIがキャンセルされ得る。
通信に使用される周波数帯域の数は、認可された帯域及び認可されていない帯域のキャリアアグリゲーションの組み込み及び来るミリメートル波帯域の使用のために増加している。ミリメートル波UEは、高周波数(6GHzより上)及び低周波数(LTE帯域)の双方を使用し得る。高い方の周波数はデータ通信のために大量の帯域幅を提供して、非常に高いデータレートを可能にし、低い方の周波数はより高い信頼性を提供し得る。より高い帯域幅は、通信データレートを高めるために使用されるが、システム電力消費を含む動作の側面に影響を及ぼし得る。
通信するために、受信されたRF信号が、モバイルデバイス又はUEにおける処理のためにデジタル信号に変換され得る一方で、デジタルデータが、モバイルデバイス又はUEからの送信のためにRF信号に変換され得る。受信器チェーン内の要素は、アンテナからRF信号を受信し、そのRF信号をデジタル信号に変換するアナログデジタル変換器(ADC)を含み得る。ADCからのデジタル信号が、アナログフロントエンド及びデジタルフロントエンドを含み得るものであるフロントエンドに提供され得る。デジタルフロントエンドは、RFからベースバンドへのRF信号のチャネライゼーション及びフィルタリング、デジタル化、サンプルレート変換、及びおそらくは同期を提供し得る。
大気吸収と固体材料中での高い減衰とによって引き起こされる高いパス損失のため、ミリメートル波帯域での伝送にはマッシブMIMO(多入力他出力)システムが使用され得る。ブロックされない指向空間チャネルを探索するためのビームフォーミングの使用は、WPAN/WLANを介した通信に使用されるアーキテクチャと比較したとき、ミリメートル波アーキテクチャに関する追加の検討事項を伴い得る。そのようなMIMOシステムでは、各アンテナ出力が、例えば低レイテンシ初期アクセス、空間多重化、及びマルチユーザ通信などのデジタル処理のために一対のADCを使用し得る。ADCの電力消費は、サンプリングレートとともに線形に増加し得るとともに、サンプルあたりの分解能ビット数とともに指数関数的に増加し得る。結果として、高分解能ADCが使用されるとき、多数のアンテナ及び広帯域通信に起因して、ADCでの総電力消散が大きくなり得る。これは、多種多様なモバイル機器にとってのバッテリ寿命に関する問題を生み出し得るものであり、また、そのバッテリが小さくて、より長い期間にわたって持続することが期待されるマシンタイプ通信(MTC)装置で深刻化し得る。
図242は、いくつかの態様に従うmmWaveアーキテクチャ24200を示している。mmWaveアーキテクチャ24200は、ハイブリッドビームフォーミングを提供し得る。mmWaveアーキテクチャ24200は、図3Eに示した受信回路320に組み込まれ得るが、mmWaveアーキテクチャ24200はそのような組み込みに限定されるものではない。ハイブリッドビームフォーミングアーキテクチャは、デジタル及びアナログの双方のビームフォーミングを含み得る。デジタルビームフォーミングは、送信器RFチェーンとアンテナとの間の一対一の対応関係が、多数のアンテナが広い帯域幅にわたって動作することに起因して、コスト、複雑さ及び電力消費を増加させるという犠牲の下で、ビーム整形における柔軟性を提供し得る。送信器と受信器のアンテナ対の間でのチャネル推定が、デジタルビームフォーミングの複雑さを更に高め得る。一方、アナログビームフォーミングは、位相シフタを使用する1つのRFチェーンだけで出力ビームを整形し得る。アナログビームフォーミングは、送信器及び受信器における最適なビームを見つけるためにビームサーチを使用し得る。ビームサーチはコードブックを用いることができ、ビームサイズを狭くするにつれてコードブックのサイズ及びアラインメント問題が増大し得る。デジタルビームフォーミングとは異なり、アナログビームフォーミングは、使用される単一のRFチェーンによる指向性利得に制限され得る。アナログビームフォーミングだけでは更に、例えばマルチユーザ通信、干渉除去、及びマルチビーム形成などの能力の欠如、並びに、例えばUEとeNBとの間での遅い初期リンク層接続及び進行中の同期などの要因によって引き起こされる制御プレーン内での最大レイテンシに起因して、データプレーン内で非常に高い潜在的性能損失を有し得る。一部の態様において、ハイブリッドビームフォーミングは、RFチェーンの数を制限しながらアンテナ素子の数を増加させるために、デジタル及びアナログの双方のビームフォーミングを使用し得る。
図242に示すmmWaveアーキテクチャ24200は、アナログビームフォーミングアーキテクチャ24210(アナログフェーズドアレイアーキテクチャとしても参照される)及びデジタルビームフォーミングアーキテクチャ24220を含み得る。アナログビームフォーミングアーキテクチャ24210及びデジタルビームフォーミングアーキテクチャ24220は、低雑音増幅器(LNA)24212、ミキサ24214、を可変ゲイン増幅器(VGA)24216、ローパスフィルタ24218及び発振器24222を含んだ共有回路24206を含み得る。デジタルビームフォーミングアーキテクチャ24220は、複数の可変(又は低)分解能ADC24232を含むことができ、アナログビームフォーミングアーキテクチャ24210は、単一の高分解能ADC24234を含むことができる。低分解能ADC24232の分解能は、例えば、29-3ビットとし得る。mmWaveアーキテクチャ24200は、制御プレーンにおける低いレイテンシと、データプレーンにおける高いスループットとを有し得る。図示していないが、例えばフィードフォワード又はフィードバック補償回路などの他の要素も存在し得る。
図示のように、mmWaveアーキテクチャ24200は、複数のアンテナ24202からRF信号を受信し得る。アンテナ24202からの信号が、アナログビームフォーミングアーキテクチャ24210及びデジタルビームフォーミングアーキテクチャ24220のLNA24212に供給され得る。各LNA24220の出力が、異なる対のミキサ24214に供給され得る。ミキサ24214が、発振器24222からの局所発振器信号を使用して、複素(I/Q)RF信号をベースバンド又は中間周波数(IF)信号へとダウンコンバートし得る。ミキサ24214からのダウンコンバートされた信号の各々が、異なるVGA24216に提供され得る。VGA24216からの増幅された信号が、ローパスフィルタ24218に提供され、ローパスフィルタ24218が、増幅された信号をベースバンドへとフィルタリングする。
上でのように、アナログビームフォーミングアーキテクチャ24210内の位相シフタ24226を用いて、対応するアンテナ24202を起源とする信号の各対の位相を調整し得る。そして、位相シフタ24226からの位相シフトされた信号が、コンバイナ24228にて結合されて、単一のADC24234又は単一対のADC24234に供給され得る。一部の態様において、ADC24234は、高分解能ADC(例えば、8ビット以上)とし得る。デジタルビームフォーミングアーキテクチャ24220においては、フィルタリングされた各信号が、位相シフトされることなく、異なる可変分解能又は低分解能ADC24232に供給され得る。
mmWaveアーキテクチャ24200は更に、フィルタ24218の後ろにカレントミラー又はスイッチ24224(以下では便宜上単にスイッチとして参照する)を含み得る。スイッチ24224は、受信信号を位相シフタ24226又は可変(低)分解能ADC24232のいずれかに導くことを可能にし得る。スイッチ24224は、コントローラ24240によって制御され得る。コントローラ24240は、ベースバンドプロセッサ又は他のプロセッサとし得る。コントローラ24240は、とりわけ、チャネルタイプ(例えば、LOS又はNLOS)、信号タイプ(例えば、制御プレーン又はデータプレーン)、1つ以上の測定品質(例えば、SNR、ブロック)に基づくチャネル条件、UE可動性(例えば、低い)、及び/又は変調方式を決定し得る。コントローラ24240は、これらの特性のうちの1つ以上に基づいて、アナログパスを使用するように切り換えるべきか、それともデジタルパスを使用するように切り換えるべきかを決定し得る。
図243は、いくつかの態様に従う送信器ハイブリッドビームフォーミングアーキテクチャ24300を示している。送信器ハイブリッドビームフォーミングアーキテクチャ24300は、図242に示した受信器mmWaveアーキテクチャ24200と同様とし得る。送信器ハイブリッドビームフォーミングアーキテクチャ24300は、アナログビームフォーミングアーキテクチャ24310(アナログフェーズドアレイアーキテクチャとしても参照される)及びデジタルビームフォーミングアーキテクチャ24320を含み得る。アナログビームフォーミングアーキテクチャ24310及びデジタルビームフォーミングアーキテクチャ24320は、電力増幅器(PA)24312、ミキサ24314、可変ゲイン増幅器(VGA)24316、ローパスフィルタ24318及び発振器24322を含んだ共有回路24306を含み得る。例示的な一態様において、デジタルビームフォーミングアーキテクチャ24320は、複数の可変(又は低)分解能DAC24332を含むことができ、アナログビームフォーミングアーキテクチャ24310は、単一の高分解能DAC24334を含むことができる。低分解能DAC24332の分解能は、例えば、1ビット又は2ビットとし得る。
図示のように、送信器ハイブリッドビームフォーミングアーキテクチャ24300は、DFE(図示せず)からデジタル信号を受信し得る。DFEからの信号が、DAC24334に供給され、そしてDAC24334から分離器24328に供給され得る。アナログビームフォーミングアーキテクチャ24310からアナログ信号の対が、位相シフタ24326に提供され得る。位相シフタ24326からの位相シフトされた信号が、デジタルビームフォーミングアーキテクチャ24320のDAC24332からの信号とともに、スイッチ24324に提供され得る。スイッチ24324は、位相シフトされた信号の対とデジタル(低分解能)DAC24332からの出力との間での切り換えを可能にし得る。スイッチ24324からの各信号がローパスフィルタ24318を供給され、ローパスフィルタ24318が、その信号を、VGA24316による増幅に先立ってベースバンドへとフィルタリングする。そして、増幅された信号が、発振器からの局所発振器信号を供給されるミキサ24314を用いて、RF周波数へとアップコンバートされ得る。そして、それらのRF信号が、複数のアンテナ24302に提供される前に、PA24312によって増幅され得る。
一態様において、図242及び243の送信器アーキテクチャ及び受信器アーキテクチャは、モジュール式アーキテクチャ用に設計されることができる。例えば、M個のアンテナ受信器及び送信器を含むアーキテクチャを構築することができ、その場合、アーキテクチャの複数のコピーを用いてN=k*Mアンテナシステムを構築することができる。
一部の態様において、通信されるミリメートル波信号のチャネル及び信号特性を決定する手段が、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300によって実装され得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、ミリメートル波信号のチャネル及び信号特性からの、高分解能での受信器mmWaveアーキテクチャ24200における量子化又は送信器ハイブリッドビームフォーミングアーキテクチャ24300におけるデジタルからアナログへの変換が使用されるべきとの決定に基づいて、ミリメートル波信号を通信する際に使用すべく、アナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャを含むハイブリッドビームフォーミングアーキテクチャのうちアナログビームフォーミングアーキテクチャを選択する手段を実装し得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、ミリメートル波信号のチャネル及び信号特性からの、低分解能での量子化又はデジタルからアナログへの変換が使用されるべきとの決定に基づいて、例えばコントローラ24240により、ミリメートル波信号を通信する際に使用すべくデジタルビームフォーミングアーキテクチャを選択する手段を実装し得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、例えばアンテナ24202、24302により、選択されたアナログ又はデジタルのビームフォーミングアーキテクチャを用いたビームフォーミングを介してミリメートル波信号を通信する手段を実装し得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、例えばコントローラ24240とADC24232及び/又はDAC24334とにより、ミリメートル波信号のチャネル及び信号特性に応じてADC及びDACの各々の分解能を変える手段を実装し得る。一部の態様において、図示のように、アナログビームフォーミングアーキテクチャが選択されるとき、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、例えば位相シフタ24226、24326により、ミリメートル波信号の各々を位相シフトさせて、位相シフトされた信号を生成する手段と、例えばコンバイナ24228により、位相シフトされた信号を結合して、量子化されるべき結合信号を形成する手段とを実施し得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、少なくとも、ミリメートル波信号を通信するためにLOS又はNLOSのどちらのチャネルが使用されるべきか、ミリメートル波信号が制御信号又はデータ信号のどちらであるか、信号対雑音比(SNR)、及びミリメートル波信号を通信するために使用されるべき変調方式、に基づいて、例えばコントローラ24240により、アナログ又はデジタルのビームフォーミングアーキテクチャの選択を制御する手段を実装し得る。一部の態様において、図示のように、受信器mmWaveアーキテクチャ24200及び/又は送信器ハイブリッドビームフォーミングアーキテクチャ24300は更に、アナログ及びデジタルのビームフォーミングアーキテクチャの間でアナログコンポーネントを共有する手段を実装し得る。
一部の態様において、LOSチャネル、非常に高いSNR、低いUE可動性、及びブロックが存在するとき、高SNR及び高分解能ADCは高次の変調方式の使用につながり得るので、アナログビームフォーミング部分が使用され得る。さらに、高い空間的干渉、帯域内干渉、又は隣接チャネル干渉が存在するとき、アーキテクチャは、高速動作のために最適な位相値をデジタル的に計算するために位相シフタを設定することによって、デジタルビームフォーミングからアナログビームフォーミングに切り換わり得る。一方で、図242及び243に示す受信器及び送信器を含むトランシーバが制御プレーンで動作していて、複数の方向から同時に信号を受信し(アナログビームフォーミングは高い遅延を持つセクタスイープを行う)、高速同期、初期アクセス、UE発見、及びブロックからの高速回復を有するべきであるとき、又は、高分解能ADCの使用を回避し得る低次の変調(例えば、BPSK、QPSK)を使用し得るシグナリングである制御プレーンシグナリングを通信するときには、デジタルビームフォーミング部分が使用され得る。デジタルビームフォーミング部分は、トランシーバがデータプレーンで動作していて:実効的なSNRを高めるために複数のパスを結合するものとして複数のパスを有するNLOSチャネル上で通信するとき;SNRを低くすることができ、それが、無視できる損失で又は損失なしで低分解能ADCによって達成され得るとき;空間多重化のとき;干渉無効化のとき;及びマルチユーザ通信であるとき;に使用され得る。
制御プレーン通信に関する1つの検討事項は、初期アクセス及びUE発見のためのレイテンシであり得る。アナログビームフォーミングアーキテクチャは、高度に指向性のある送信を当てにし得る。これを達成するために、UE及びeNBの双方がビームサーチを実行して最適ビームを決定し得る。ビームサーチは、大きいビーム空間に起因して初期アクセスを遅くさせ得る。UE及びeNBの双方が指向性ビームフォーミングを使用するとき、アクセス遅延が増大し得る。複数の方向が同時に決定され得る完全デジタルアーキテクチャは、初期アクセスにおける低減を許容し得る。
上述のように、トータルの受信器消散電力を決めると、アンテナの数とADCの分解能との間にトレードオフが存在する。図244は、いくつかの態様に従う通信レートの例示的なシミュレーションを示している。特に、図244は、アンテナの数及びADCの分解能が最適化されたときにトータル消散電力消費の下で達成可能な通信レートを示している。図示のように、デジタルビームフォーミングは空間的なサンプリング及び結合の利点を有するので、デジタルビームフォーミングはアナログ結合よりも高い達成可能レートを有し得る。
NLOSチャネルは、低いSNRを有し得る。これは、例えばBPSK及びQPSKなどの低次の変調の使用につながり、それが、高分解能ADCを低分解能ADCで置き換えることを可能にする。LOSチャネルの場合、SNRは大きくなり得る。これは、高分解能ADC又は多数のアンテナを使用する高次の変調をサポートすることができる。図245は、いくつかの態様に従うSNRのシミュレーションを示している。特に、図245は、ミリメートル波チャネル上のアナログ及びデジタルアーキテクチャでの実効的なSNRのシミュレーションを示している。決定性のミリメートル波チャネルの場合、アナログビームフォーミングによるSNR損失が決定され得る。一部のシミュレーションにおいて、アナログビームフォーミングは、パス間の相関に応じて、3dBの結合損失を有し得る。また、送信器側に64アンテナとし、受信器側に16アンテナとした統計的ミリメートル波チャネルシミュレーションでは、LOSチャネルにおいて、アナログビームフォーミングによるSNR損失は観察されなかった。例示的なシミュレーションにおいて、NLOSチャネルでのデジタルビームフォーミングは、5-7dBのSNRの利益をもたらした。
図242及び243に示したハイブリッド構造における電力消費は、アナログベースバンドビームフォーミングとデジタルビームフォーミングとがコンポーネントの大部分を共有しているので合理的である。違いは、アナログビームフォーミングではアナログベースバンド位相シフタ及び単一対の高分解能ADCを使用し、デジタルビームフォーミングでは可変(又は低)分解能ADCを使用することであるとし得る。一部の態様において、単一の位相回転器(位相シフタ)は、2Gbpsで一対の低-中分解能ADCと同様の電力を消費し得る。従って、一態様において、位相シフタをADCで置き換えると、アナログベースバンド及びデジタルビームフォーミングは、例えば、同じ電力を消費し得る。結果として、ここに開示された態様に従った混合アーキテクチャは、性能上の利益を持ちながら、アナログビームフォーミングと同様の電力消費を有することができ、高分解能ADCが使用されるときには少なくなる。
図246は、いくつかの態様に従うビームフォーミングされたmmWave信号を通信する方法24600を示している。方法24600は、図242及び243に示したハイブリッドアーキテクチャによって実行され得る。動作24602にて、方法24600は、通信されるミリメートル波信号の様々な特性を決定し得る。それらの特性は、チャネル特性及び信号特性の双方を含み得る。前者は、例えば、チャネルがLOSであるのかNLOSであるのかを含むことができ、後者は、例えば、SNR、RSSI、又は他の信号品質指標を含むことができる。この決定は、ハイブリッドアーキテクチャによって送信又は受信された以前のビームフォーミングされたミリメートル波信号に対して行われ得る。
動作24604にて、ミリメートル波信号のチャネル及び信号特性からの、高分解能での量子化又はデジタルからアナログへの変換が使用されるべきとの決定に基づいて、アナログビームフォーミングアーキテクチャとデジタルビームフォーミングアーキテクチャとを有するハイブリッドビームフォーミングアーキテクチャのうち、アナログビームフォーミングアーキテクチャが、ミリメートル波信号を通信する際に使用されるべく選択され得る。アナログビームフォーミング構造は、受信器アーキテクチャが使用されるのか、送信器アーキテクチャが使用されるのかに応じて、単一のADC又は単一のDACのいずれかを有する。同様に、デジタルビームフォーミング構造は、複数のADC又は複数のDACのいずれかを有する。アナログビームフォーミング構造では、ミリメートル波信号の各々が位相シフトされて、位相シフトされた信号が生成され得る。その後、位相シフトされた信号同士が結合されて、量子化されるべき結合信号が形成され得る。
動作24606にて、ミリメートル波信号のチャネル及び信号特性からの、低分解能での量子化又はデジタルからアナログへの変換が使用されるべきとの決定に基づいて、デジタルビームフォーミングアーキテクチャが、ミリメートル波信号を通信する際に使用されるべく選択され得る。アナログビームフォーミングアーキテクチャ(1つの変換器)及びデジタルビームフォーミングアーキテクチャ(複数の変換器)における変換器の数は異なるとし得る。デジタルビームフォーミングアーキテクチャの変換器の分解能は、固定(低い)又は可変とし得る。
動作24608にて、選択されたアナログ又はデジタルのビームフォーミングアーキテクチャを用いて、ミリメートル波信号が通信(受信又は送信)され得る。ビームフォーミングが使用され得る。
トランシーバは、アナログ、デジタル、又はハイブリッドのビームフォーミングを提供し得る。デジタルビームフォーミングは、送信器RFチェーンとアンテナとの間の一対一の対応関係が、多数のアンテナが広い帯域幅にわたって動作することに起因して、コスト、複雑さ及び電力消費を増加させるという犠牲の下で、ビーム整形における柔軟性を提供し得る。送信器と受信器のアンテナ対の間でのチャネル推定が、デジタルビームフォーミングの複雑さを更に高め得る。デジタルアーキテクチャはまた、帯域内及び隣接チャネル干渉が存在するとき、又はSNRが非常に高いとき、性能低下に悩まされ得る。アナログビームフォーミングは、位相シフタを使用する1つのRFチェーンだけで出力ビームを整形し得る。アナログビームフォーミングは、送信器及び受信器における最適なビームを見つけるためにビームサーチを使用し得る。ビームサーチはコードブックを用いることができ、ビームサイズを狭くするにつれてコードブックのサイズ及びアラインメント問題が増大し得る。デジタルビームフォーミングとは異なり、アナログビームフォーミングは、使用される単一のRFチェーンによる指向性利得に制限され得る。アナログビームフォーミングはまた、高分解能のADC及びDACの使用に起因して電力を大量消費する。アナログビームフォーミングだけでは更に、例えばマルチユーザ通信、干渉除去、及びマルチビーム形成などの能力の欠如、並びに、例えばUEとeNBとの間での遅い初期リンク層接続及び進行中の同期などの要因によって引き起こされる制御プレーン内での最大レイテンシに起因して、データプレーン内で非常に高い潜在的性能損失を有し得る。一部の態様において、ハイブリッドビームフォーミングは、RFチェーンの数を制限しながらアンテナ素子の数を増加させるために、デジタル及びアナログの双方のビームフォーミングを使用し得る。
ハイブリッドビームフォーミングは、欠点を制限しながら、アナログビームフォーミング及びデジタルビームフォーミングの双方の利益を提供するように使用され得る。また、適応型のADC及び/又はDACが組み込まれ得るハイブリッドアーキテクチャが使用されてもよい。ハイブリッドアーキテクチャは、とりわけ、チャネル、干渉、SNR、及び/又はUEの数に従って、ADC(DAC)の分解能を適応させ得る。分解能ビットの減少とともにADC及びDACの電力消費が指数関数的に減少するので、このようなアーキテクチャは低電力のミリメートル波システムを可能にし得る。
図247A及び247Bは、いくつかの態様に従うトランシーバ構造を示している。図247Aは、いくつかの態様に従うmmWave受信器アーキテクチャ(又は受信器ビームフォーミングアーキテクチャ)24700を示している。mmWave受信器アーキテクチャ24700は、デジタルビームフォーミングを提供し得る。mmWave受信器アーキテクチャ24700は、図3Eに示した並列受信回路382に組み込まれ得るが、mmWave受信器アーキテクチャ24700はそのような組み込みに限定されるものではない。図247Aに示すmmWave受信器アーキテクチャ/受信器ビームフォーミングアーキテクチャ24700は、低雑音増幅器(LNA)24712、ミキサ24714、可変ゲイン増幅器(VGA)24716、ローパスフィルタ24718、ADC24732、及び発振器24722を含み得る。ADC24732は、可変分解能ADC24732とし得る。可変分解能ADC24732の分解能は、必要に応じて、例えば、34又は35ビットと、遥かに多くのビット数との間で変化し得る。図示のように、受信器ビームフォーミングアーキテクチャ24700は、複数のアンテナ24702からRF信号を受信し得る。アンテナ24702からの信号が、LNA24712に供給され得る。各LNA24712からの増幅された信号が、2つの増幅された信号に分割され、そして、一対のミキサ24714に供給され得る。各LNA24712からの増幅された信号は、異なる重複しないミキサ対のミキサ24714に供給され得る。ミキサ24714が、発振器24722からの局所発振器を使用してRF信号をベースバンド又は中間周波数(IF)信号にダウンコンバートし得る。ミキサ24714からのダウンコンバートされた信号の各々が、異なるVGA24716に提供され得る。VGA24716からの増幅された信号がローパスフィルタ24718に供給され、ローパスフィルタ24718が、増幅された信号をベースバンドへとフィルタリングする。各アンテナ24702が、一対の適応分解能ADC24732に接続され得る。従って、ADC24732の総数2Nは、故に、受信アンテナの数Nの2倍であるとし得る。
mmWave送信器アーキテクチャ(又は送信器ビームフォーミングアーキテクチャ)24710が図247Bに示されている。送信器ビームフォーミングアーキテクチャ24710は、デジタルビームフォーミングを提供し得る。送信器ビームフォーミングアーキテクチャ24710は、例えば、電力増幅器(PA)24728、ミキサ24714、可変ゲイン増幅器(VGA)24716、ローパスフィルタ24718、可変分解能DAC24734、及び発振器24722(符号付けは図247Aに示されている)を含み得る。可変分解能DAC24734の分解能は、ADC24732と同様に変化し得る。図示のように、送信器ビームフォーミングアーキテクチャ24710は、DFE(図示せず)からデジタル信号を受信し得る。DFEからのデジタル信号がDAC24734に供給され、そこで信号がアナログ信号へと変換され得る。各DAC24734からのアナログ信号が、VGA24716による増幅の前にアナログ信号をベースバンドにフィルタリングするものであるローパスフィルタ24718に提供され得る。そして、VGA24716からの増幅された信号が、発振器24722からの局所発振器信号を供給されるミキサ24714用いて、RF周波数(mmWave)へとアップコンバートされ得る。そして、対応する対のミキサ24714からのRF信号が、複数のアンテナ24702に提供される前に、LNA24712(又はPA)によって増幅され得る。DAC24734の総数2Nは、故に、送信アンテナの総数Nの2倍であるとし得る。
図248A及び248Bは、いくつかの態様に従うトランシーバ構造を示している。特に、例示的なmmWave送信器アーキテクチャ(又は送信器ビームフォーミングアーキテクチャ)24810が図248Aに示されている。送信器ビームフォーミングアーキテクチャ24810は、アナログビームフォーミングを提供し得る。送信器ビームフォーミングアーキテクチャ24810は、例えば、電力増幅器(PA)24828、ミキサ24814、可変ゲイン増幅器(VGA)24816、ローパスフィルタ24818(符号付けは図248Bに示される)、可変分解能DAC24834、位相シフタ24824、分離器24828、及び発振器24822を含み得る。図示のように、送信器ビームフォーミングアーキテクチャ24810は、DFE(図示せず)からデジタル信号を受信し得る。DFEからのデジタル信号がDAC24834に供給され、そこで信号がアナログ信号へと変換され得る。DAC24834からのアナログ信号が分離器24828に供給され、分離器24828が信号をアナログ信号の対へと分離し得る。各位相シフタ24724からの位相シフトされた信号が、一対のローパスフィルタ24818に供給され、一対のローパスフィルタ24818が、一対のVGA24816による増幅に先立って、アナログ信号をベースバンドへとフィルタリングし得る。そして、一対のVGA24816からの増幅された信号が、発振器24822からの局所発振器信号を供給される一対のミキサ24814を用いて、RF周波数(mmWave)へとアップコンバートされ得る。そして、一対のミキサ24814からのRF信号が、複数のアンテナ24802に提供される前に、PA24828によって増幅され得る。
図248Bは、いくつかの態様に従うmmWave受信器アーキテクチャ(又は受信器ビームフォーミングアーキテクチャ)を示している。mmWave受信器アーキテクチャ24800は、アナログビームフォーミングを提供し得る。図248Bに示すmmWave受信器アーキテクチャ/受信器ビームフォーミングアーキテクチャ24800は、低雑音増幅器(LNA)24812、ミキサ24814、可変ゲイン増幅器(VGA)24816、ローパスフィルタ24818、位相シフタ24824、コンバイナ24826、ADC24832、及び発振器24822を含み得る。mmWave受信器アーキテクチャ24700においてのように、ADC24832は可変分解能ADCとし得る。受信器ビームフォーミングアーキテクチャ24800は、複数のアンテナ24802からRF信号を受信し得る。アンテナ24802からの信号が、LNA24812に供給され得る。各LNA24812からの増幅された信号が、2つの増幅された信号へと分割され、そして、一対のミキサ24818に供給され得る。各LNA24812からの増幅された信号は、異なる重複しないミキサ24814に供給され得る。ミキサ24814が、発振器24822からの局所発振器信号を用いて、RF信号をベースバンド又は中間周波数(IF)信号へとダウンコンバートし得る。ミキサ24814からのダウンコンバートされた信号の各々が、異なるVGA24816に提供され得る。VGA24816からの増幅された信号が各々、ローパスフィルタ24818に提供され、ローパスフィルタ24818が、増幅された信号をベースバンドへとフィルタリングする。mmWave受信器アーキテクチャ24700においてのように複数のADCに提供されるのではなく、対応する対のフィルタ24818からの信号が位相シフタ24824に供給され得る。位相シフタ24824は、対応するアンテナを起源とするフィルタリングされた信号の各対の位相を調整するために使用され得る。そして、位相シフタ24824からの位相シフトされた信号が、コンバイナ24826で結合され、単一のADC24832又は単一対のADC24832に供給される。
図247A及び247Bに示したデジタルアーキテクチャ24700及び24710と図248A及び248Bに示したアナログアーキテクチャ24800及び24810とを並列に組み合わせることで、交番選択可能な送信器及び受信器アーキテクチャを提供し得る。図示していないが、フィルタと、デジタルアーキテクチャの位相シフタ、又は直接的に可変分解能ADC若しくはDAC、のいずれかとの間で信号を方向付けるために、ローパスフィルタの後ろにスイッチが配置され得る。ハイブリッドアーキテクチャは、送信器にN rf個のRFチェーン及びN個のアンテナを有し、受信器にN rf個のRFチェーン及びN個のアンテナを有し得る。上でのように、送信器における各RFチェーンが一対の適応分解能DACに接続され得るとともに、受信器における各RFチェーンが一対の適応分解能ADCに接続され得る。
一部の態様において、アーキテクチャは、使用事例での最適な電力消費及び所望の信号忠実度(SNR)のためにADC/DACのダイナミックレンジを適応的に設定し得る。一部の態様において、例えば、同期、初期アクセス、及びUE発見などの制御プレーン通信のために分解能が低下され得る。一部の態様では、例えばSNR又は変調次数が低下したときなど、チャネル状態に基づいて分解能が低下されてもよい。逆に、SNR又は変調次数が増加したときに、分解能が増加されてもよい。分解能はまた、帯域内又は隣接チャネルのいずれか又は双方でのかなりの量の干渉の存在のために高いダイナミックレンジが使用されるべきであるときに増加され得る。分解能はまた、MU-MIMOにおいてeNBによってサービス提供されるUEの数が減少したときに低下されてもよく、これは低いピーク対平均電力比(PAPR)に対応し得る。一方で、eNBによってサービス提供される様々なUEが多様なSNRを有するとき、又は多重化されるUEの数が増加した(及び、より高いPAPRを有する)ときに、低いSNRを持つMU-MIMO UEに対する量子化雑音の影響を低減するために分解能が増加されてもよい。分解能は更に、測定されたDCオフセット、すなわち、平均推定値が所定の設定点よりも小さい又は大きいときに、それぞれ、増加又は低下されてもよい。一部の態様において、分解能は、例えばeNB(又はアクセスポイント)探索中又はプリアンブル若しくはミッドアンブルの通信中などのタイミング条件に基づいて低下されてもよい。一部の態様では、マルチパスの数が増え、それ故に、より高いPAPRを持つNLOSチャネルに対して、分解能が低下されてもよい。
一部の態様において、複数のアンテナで第1組のミリメートル波のビームフォーミングされた信号を受信する手段が、mmWave受信器アーキテクチャ24700及び/又はmmWave受信器アーキテクチャ24800によって実装され得るとともに、アンテナから第2組のミリメートル波のビームフォーミングされた信号を送信する手段が、送信器アーキテクチャ24710及び/又は送信器アーキテクチャ24810によって実装され得る。一部の態様において、図示のように、受信器アーキテクチャ24700/24800及び送信器アーキテクチャ24710/24810は更に、それぞれ、受信の際に使用されるADC及び送信の際に使用されるDACの分解能を、ADC又はDACの数を減らすことなく、トランシーバ電力消散制約に基づいて設定する手段を実装し得る。装置は更に、第1組又は第2組のミリメートル波のビームフォーミングされた信号を、ADC又はDACの分解能に基づいて、アナログ信号とデジタル信号との間で変換する手段を有し得る。
一部の態様において、図示のように、受信器アーキテクチャ24700/24800及び送信器アーキテクチャ24710/24810は更に、ミリメートル波のビームフォーミングされた信号を受信又は送信するために、例えばコントローラにより、ハイブリッドビームフォーミングアーキテクチャのアナログビームフォーミングアーキテクチャとデジタルビームフォーミングアーキテクチャとのどちらを使用すべきかを選択する手段を実装し得る。一部の態様において、図示のように、受信器アーキテクチャ24700/24800及び送信器アーキテクチャ24710/24810は更に、例えば同様にコントローラ及びADC/DACを用いて、アナログ及びデジタルのどちらのビームフォーミングアーキテクチャが選択されるかに基づいてADC及びDACの分解能を調整する手段を実装し得る。一部の態様において、図示のように、受信器アーキテクチャ24700/24800は更に、図示のように、例えばコンバイナ24826(図248A)により、アンテナから受信された複素ミリメートル波信号を結合信号へと結合する手段と、その結合信号を、単一のDACに入力として供給する手段とを実装し得る。
図249は、いくつかの態様に従う適応分解能ADCの電力消費を示している。特に、図249は、MIMOダウンリンクシステムでの適応分解能ADCを用いた固有ビームフォーミングの達成可能レートを示している。このシミュレーションでは、送信器でNt=64アンテナとし、受信器でNr=16アンテナとし、そして、レイリーフェージングチャネルであるとしている。Waldenの性能指数(FOM)(経験的):
Figure 2024059722000015
を検討する。ここで、fs=サンプリング周波数、ENOB=実効的なビット数(これは、オフセット、利得誤差、微分及び積分非線形性、高調波歪み、ジッタ雑音などに起因して、総ビット数よりも少ない)である。MIMOダウンリンクチャネルの性能は:
=Q(Hx+n)
とすることができ、ここで、Hはチャネル、xは入力、nは単位分散を持つ付加的なガウス雑音である。受信器における達成可能レートは、数値相互情報R=E{I(x,y)}を計算することによって決定され得る。非量子化ADCの性能は、低(1-3)ビットのADCを用いてほぼ達成され得る。例えば、2ビットADCを使用することは、16QAM変調の容量に非常に近くなる。表9に示すように、受信器における16アンテナでの2ビットADCに関する総電力消費は25.6mWとなり得る。16ビット変調に対して8ビットの固定ADC分解能が使用される場合、消費電力は50倍に増加して例えば1638.4mWになる。従って、一部の態様に従って適応ADCを使用する際、電力節減は約98.4%になり得る。結果として、変調次数に応じて、電力消費を低減するようにADCの分解能が適応され得る。
Figure 2024059722000016
図250は、いくつかの態様に従うビットエラーレート(BER)性能を例示するものであり、いくつかの態様に従うミリメートル波チャネルにおける適応分解能ADC非符号化ビットエラーレート(BER)性能を示している。図250に示されるように、非量子化ADCを有する受信器の性能は、低ビットADCを使用することによってほぼ達成され得る。
図251は、いくつかの態様に従うビームフォーミングされたミリメートル波信号を通信する例示的な方法25100を示している。方法25100は、図247及び248に示したハイブリッドアーキテクチャによって実行され得る。動作25102にて、方法25100は、ミリメートル波信号が通信されるべきかを決定し得る。ミリメートル波信号は、LOS又はNLOSチャネルを介して通信され得るビームフォーミングされたMIMO信号とし得る。
ミリメートル波信号は、動作25104にて受信されることができ、又は動作25118にて送信されることができる。ミリメートル波信号は、ハイブリッドアナログ/デジタルビームフォーミングアーキテクチャに接続された複数のアンテナを介して通信され得る。ハイブリッドアナログ/デジタルビームフォーミングアーキテクチャは、ミリメートル波のビームフォーミングされた信号を受信及び/又は送信するために使用され得る。
動作25104でミリメートル波信号が受信された後、動作25106にて、受信中に使用される1つ以上のADCの分解能が設定され得る。アナログドメインでは、アンテナからの複素(I/Q)出力が、単一のADCに供給される前に結合され得る。デジタルドメインでは、各アンテナに対して2つのADC(一方はI用、他方はQ用)が存在し得る。
同様に、動作25118でのミリメートル波信号の送信前に、動作25114にて、送信中に使用される1つ以上のDACの分解能が設定され得る。アナログドメインでは、アンテナに提供される複素(I/Q)信号が、単一のDACに提供される前に分離され得る。 デジタルドメインでは、各アンテナに対して35個のDAC(一方はI用、他方はQ用)が存在し得る。ミリメートル波信号が受信されるか送信されるのかにかかわらず、分解能はトランシーバの電力消散制約に基づき得る。電力低減は、ハイブリッド構造内に設けられる又は変換プロセス中に使用されるADC又はDACの数を削減することから自由であることができる。
動作25106でADCの分解能が設定された後、動作25108にて、ミリメートル波のビームフォーミングされた信号がデジタル信号へと変換され得る。変換された信号は、例えば帯域内干渉又は隣接チャネル干渉を補償するために、ベースバンドプロセッサにて処理され得る。一部の態様において、分解能は、ミリメートル波のビームフォーミングされた信号が送信されるか受信されるのかに応じて異なることができ、あるいは、アナログとデジタルとのどちらのビームフォーミングアーキテクチャが選択されるのかに基づいて異なることができる。
同様に、動作25114でDACの分解能が設定された後、動作25116にて、ミリメートル波のビームフォーミングされた信号が、アンテナを介した送信のためにアナログ信号へと変換され得る。デジタル信号は、ベースバンドプロセッサから供給され得る。
一部の態様において、ADC又はDACの分解能は、1つ以上の要因に依存することができ、ADC/DACのダイナミックレンジとその要因とに応じて、単一のバイナリ変更(増加/低下)又は複数の変更を実装することができ得る。要因は、例えば、信号タイプ(制御/データ)、信号品質(例えば、SNR)、変調(例えば、16QAM)、サービスされるUEの数(eNBの場合)、又はミリメートル波のビームフォーミングされた信号に関連する動作を含み得る。一部の態様において、測定されたDCオフセットが所定の設定点よりも小さいときに、又は(信号ロードとは対照的に)信号のプリアンブル又はミッドアンブルの間に、SNR/変調次数/UEの数(低いPAPR)の低減を伴って、同期、初期アクセス、UE発見又はeNB探索のために分解能が低下され得る。一方で、SNR又は変調次数が増加すること、干渉のために高ダイナミックレンジが使用されること、ハイブリッド構造が配置されているeNBと通信するUEが多様なSNRを有すること(これは、低SNRを持つUEに対する量子化雑音の影響を抑制するために使用され得る)、測定されたDCオフセットが所定の設定点よりも大きいこと、及び、多重化されるUEの増加(より高いPAPR)若しくはNLOSチャネルにおけるマルチパス数の増加(より高いPAPR)、の結果として、分解能が増加されてもよい。
アナログ及びハイブリッドビームフォーミング構造内の位相シフタの電力消費が位相シフタの分解能と共に増加するので、低電力のミリメートル波システムにとっては低ビットの位相シフタが魅力的である。しかしながら、一部のケースにおいて、低ビットの位相シフタは、高いゲーティングローブ、メインビームでのパワー損失、及び量子化雑音によるビームステアリング角度誤差をもたらし得る。メモリサイズは、ビームステアリングに使用される角度を含むコードブックに関して縮小され得る。縮小されたコードブックが、サブアレイアンテナ(アナログ又はハイブリッドビームフォーミング)のための最適位相値の決定に関して使用され得る。
図252A及び252Bは、いくつかの態様に従うトランシーバ構造を示している。特に、図252Aは、いくつかの態様に従うmmWave受信器アーキテクチャ(又は受信器ビームフォーミングアーキテクチャ)25200を示している。mmWave受信器アーキテクチャ/受信器ビームフォーミングアーキテクチャ25200は、アナログビームフォーミングを提供し得る。図252Aに示す受信器ビームフォーミングアーキテクチャ25200は、低雑音増幅器(LNA)25212、ミキサ25214、可変ゲイン増幅器(VGA)25216、ローパスフィルタ25218、位相シフタ25224、コンバイナ25226、ADC25232、及び発振器25222を含み得る。受信器ビームフォーミングアーキテクチャ25200は、複数のアンテナ素子25202からRF信号を受信し得る。アンテナ素子25202からの信号がLNA25212に供給され得る。各LNA25212からの増幅された信号が、2つの増幅された信号へと分割され、そして、一対のミキサ25214に供給され得る。各LNA25212からの増幅された信号は、異なる重複しないミキサ25214に供給され得る。ミキサ25214が、発振器25222からの局所発振器信号を用いて、RF信号をベースバンド又は中間周波数(IF)信号へとダウンコンバートし得る。ミキサ25214からのダウンコンバートされた信号の各々が、異なるVGA25216に提供され得る。VGA25216からの増幅された信号が各々、ローパスフィルタ25218に供給され、ローパスフィルタ25218が、増幅された信号をベースバンドへとフィルタリングする。
デジタル受信器アーキテクチャにおいてのように複数のADCに提供されるのではなく、対応する対のフィルタ25218からの信号が位相シフタ25224に供給され得る。位相シフタ25224は、対応するアンテナを起源とするフィルタリングされた信号の各対の位相を調整するために使用され得る。そして、位相シフタ25224からの位相シフトされた信号が、コンバイナ25226で結合され、単一のADC25232又は単一対のADC25232に供給され得る。一組の位相シフタ25224のみが示されているが、複数組が使用されてもよい。これらの組は、RF及びベースバンド用の一次位相シフタと、IF及びデジタル位相シフタ用の二次位相シフタとを含み得る。
例示的なmmWave送信器アーキテクチャ(又は送信器ビームフォーミングアーキテクチャ)25210が図252Bに示されている。送信器ビームフォーミングアーキテクチャ25210は、アナログビームフォーミングを提供し得る。送信器ビームフォーミングアーキテクチャ25210は、例えば、電力増幅器(PA)25230、ミキサ25214、可変ゲイン増幅器(VGA)25216、ローパスフィルタ25218、可変分解能DAC25234、位相シフタ25224、分離器25228、及び発振器25222を含み得る。図示のように、送信器ビームフォーミングアーキテクチャ25210は、DFE(図示せず)からデジタル信号を受信し得る。DFEからのデジタル信号がDAC25234に供給され、そこで信号がアナログ信号へと変換され得る。DAC25234からのアナログ信号が分離器25228に供給され、分離器25228が信号をアナログ信号の対へと分離し得る。そして、各対のアナログ信号が位相シフタ25224に提供され得る。各位相シフタ25224からの位相シフトされた信号が、一対のローパスフィルタ25218に提供され、一対のローパスフィルタ25218が、一対のVGA25216による増幅に先立ってアナログ信号をベースバンドへとフィルタリングし得る。そして、一対のVGA25216からの増幅された信号が、発振器25222からの局所発振器信号を供給される一対のミキサ25214を用いてRF周波数(mmWave)へとアップコンバートされ得る。そして、一対のミキサ25214からのRF信号が、複数のアンテナ素子25202に提供される前に、PA25230によって増幅され得る。
アナログビームフォーミングは、位相シフタを用いる1つのRFチェーンのみで出力ビームを整形し得る。図252A及び252Bのトランシーバ構造(すなわち、アーキテクチャ25200、25210)におけるアンテナ素子は、アンテナアレイ全体を複数のサブアレイアンテナに分割することができるようにして、固定の予め定められたパターンで配置され得る。各アンテナ素子が単一のアナログ位相シフタに接続され得るので、コードブックエントリとして知られる各アンテナ素子に固有の位相シフト値の組が、固有の信号ビーム方向を規定し得る。トランシーバによってサポートされる全てのコードブックエントリの組が、コードブックへと編成され、それがトランシーバに事前にロードされ得る。アナログビームフォーミングは、送信器及び受信器における最適なビームを見つけるためにビームサーチを使用し得る。ビームサーチに使用されるコードブックのサイズ、及び故に、使用されるメモリは、ビームサイズを狭くするにつれて増大し得る。
位相シフタの電力消費が位相シフタの分解能と共に増加するので、図252A及び252Bに示したトランシーバの電力消費を減らすために、低(1-3)ビットの位相シフタが使用され得る。しかしながら、一部のケースにおいて、低ビットの位相シフタの使用は、高いゲーティングローブ、メインビームでのパワー損失、及び量子化雑音によるビームステアリング角度誤差の存在をもたらすことを含め、幾つかの悪影響を有し得る。この目的のため、低ビットの位相シフタを用いた低電力のフェーズドアレイ通信システム用の一次及び二次の双方の位相シフタに関して、新たなコードブックが使用され得る。最適なビームステアリングの特性を使用することで、コードブックに使用されるメモリサイズが縮小され得るとともに、縮小されたコードブックを使用して、サブアレイアンテナに最適な位相値が見出される。コードブックサイズの縮小に加えて、コードブックは、最小のメインビームパワー損失、より小さいグレーティングローブ電力(ひいては、より少ない干渉)、及びより良好なビームステアリング精度をもたらし得る。コードブックは、図3Aに示したプロトコル処理回路305、及び/又は図3Dに示した無線チェーン回路372に組み込まれ得るが、コードブックはそのような組み込みに限定されるものではない。
図253は、いくつかの態様に従うアレイ構造25300を示している。アレイ構造25300は、アナログ又はハイブリッドのビームフォーミングアーキテクチャで使用され得る。アレイ構造25300は、サブアレイ構造を有する一様な線形アレイを含み得る。他の態様では、図253の構成が、3次、4次などのサブアレイ構造へと拡張されてもよい。図253において、各隣接サブアレイ内にM個の二次位相シフタ(IF位相シフタ、デジタル位相シフタなど)25314及びL個の一次(低ビット、例えば、39-3ビット)位相シフタ25312が存在する場合、ビームステアリング角度φに関するアレイファクタを:
Figure 2024059722000017
のように書くことができる。ここで、
Figure 2024059722000018
は、アンテナ素子iに対する一次位相シフタ値であり、
Figure 2024059722000019
は、サブアレイjに対する二次位相シフタ値である。また、k=2π/λは波数であり、λは波長であり、dは各アンテナ素子間の距離である。一般性を失うことなく、d=λ/2である。なお、ここに記述される計算は、例えばアナログ又はハイブリッドビームフォーミングアーキテクチャのベースバンドプロセッサなどのプロセッサによって実行され、アンテナのステアリング角度を生成するために使用されるコードブックとして格納され得る。
このアプローチにおいて、位相シフタ25312、25314(IF及びRFの双方)の位相値は、互いに対する相対位置に基づいて漸進的に増加され得る。すなわち、θ(m-1)L+l=((m-1)L+l)θ、且つ
Figure 2024059722000020
であり、θ及び
Figure 2024059722000021
は漸進的な位相値である。しかしながら、グレーティングローブが現れることがある。図254は、いくつかの態様に従うグレーティングローブのシミュレーションを示している。これは、二次位相シフタ25314間の距離がd=2λであることに起因し得る。なお、グレーティングローブの数は2d/λに等しい。
一部の態様において、アンテナのビームステアリングに使用されるコードブックのサイズを、アンテナがステアリングされるステアリング角度のサブセットに制限する手段が、受信器アーキテクチャ25200及び/又は送信器アーキテクチャ25210によって実装され得る。一部の態様において、図示のように、受信器アーキテクチャ25200及び/又は送信器アーキテクチャ25210は更に、例えばコントローラにより、アンテナをステアリングすべき、ステアリング角度の上記サブセットの外側の、特定のステアリング角度を決定する手段と、その特定のステアリング角度に対応した、ステアリング角度の上記サブセット内の制限されたステアリング角度を決定する手段と、その制限されたステアリング角度を上記特定のステアリング角度にシフトさせるためのシフト値を決定する手段と、上記制限されたステアリング角度及び上記シフト値を適用することによってアンテナをステアリングする手段とを実装し得る。
一部の態様において、図示のように、受信器アーキテクチャ25200及び/又は送信器アーキテクチャ25210は更に、制限されたステアリング角度値を複数の一次位相シフタに適用してアンテナを上記制限されたステアリング角度へとステアリングする手段と、上記シフト値を複数の二次位相シフタに適用して、上記制限されたステアリング角度を上記特定のステアリング角度へとシフトさせる手段、及び/又は、上記特定のステアリング角度が上記制限されたステアリング角度及びシフト値によって直接的に設定されるのか、それとも、上記特定のステアリング角度が180°周りの上記制限されたステアリング角度及びシフト値の反射によって設定されるのかを指し示すユニタリ乗数を適用する手段、のうちの1つ以上を実装し得る。
図254に示すように、グレーティングローブの数は2d/λ=4λ/λ=4に等しい。見て取れるように、第1のグレーティングローブのパワーは第1のサイドローブよりも高い。これは、他のUEへの高い干渉を生み出すとともに、メインビームのパワーを低下させ得る。メインローブのパワーを増大させ且つグレーティングローブを低減させるために、最適化が使用され得る。アレイファクタを用いると、ステアリング角度φに関する最適化問題は、
Figure 2024059722000022
のように記述されることができる。
ここで、b及びbは、二次及び一次の位相シフタの分解能ビットである。なお、上で与えられた最適化問題は、非決定性多項式時間(NP)ハード混合整数プログラムであるとし得る。また、目的関数は凸でなく、一次位相シフタに関してのみで2LM個の取り得る解を有し得る。
目的関数の最大値は、目的関数の項:
Figure 2024059722000023
が、∀m,lについて定数であるときに達成されることができる。1つの特別なケースは、最適性を失うことなく、
Figure 2024059722000024
である。すると、この最適化問題は、
Figure 2024059722000025
のように書き直されることができる。
次に、量子化位相シフタの特性を用いて、探索空間のサイズが縮小され得る。式(4)に与えられたアレイファクタに戻り、最適な一次位相シフタベクトル:
Figure 2024059722000026
及び二次位相シフタベクトル:
Figure 2024059722000027
が、ビーム角φについて得られている、すなわち、
Figure 2024059722000028
であると仮定する。
すると、上の式は、
Figure 2024059722000029
のように変形され得る。ここで、
Figure 2024059722000030
はbビットの一次位相シフタによるものである。上の式で与えられた指数項同士を比較すると:
Figure 2024059722000031
である。結果として、(1/2bp-1)°<φ≦90°の間のステアリング角度についての最適コードブックがわかれば、全てのステアリング角度0<φ≦90°について最適な一次及び二次位相値が決定され得る。
Figure 2024059722000032
図255は、いくつかの態様に従う最適位相値のシミュレーションを示しており、3ビット一次位相シフタについての最適な一次及び二次位相値の決定の一例を提供している。図示のように、フェーズドアレイシステムが、例えば75.5°と90°との間(陰影領域)のステアリング角度についての最適コードブックを有する場合、矢印同士の間の8つの領域についての最適コードワードが計算され得る。さらに、アレイファクタのバックローブを使用して、コードブックのサイズが更に、(1/2bp-1)°<φ≦90°まで縮小され得る。そして、ユニタリ乗数が使用され得る。最適な一次及び二次位相値に単に-1を掛けることによって、ステアリング角180-φを得ることができる。すなわち、
Figure 2024059722000033
である。
一次及び二次位相シフタは、同数のビットを有していてもよく、あるいは、異なる態様では異なるビットを有し得る。故に、一次位相シフタが、ビームステアリングが行われるべき領域を決定し、二次位相シフタが、選択された領域内のどこにビームステアリング角度が位置するかを決定する。
図256は、いくつかの態様に従う最適位相値の他のシミュレーションを示しており、4ビット一次位相シフタについての最適な一次及び二次位相値の決定の一例を提供している。図示のように、フェーズドアレイシステムが、例えば82.81°と90°との間(陰影領域)のステアリング角度についての最適コードブックを有する場合、最適位相値に-1を掛けることによって、斜線領域のRHSについて最適コードワードが計算され得る。その後、式(6)を用いて、16個の領域全てについて最適位相値が決定され得る。一次及び二次位相シフタの設定は、最初のトレーニングシーケンスにおいて確立され、そして、最後のトレーニングセッションから所定の時間量が経過することに基づいて定期的に更新され得る。
結果として、コードブックサイズは、(1/2bp)°<φ≦90°のステアリング角度を決定することまで縮小され得る。メインビームは、その後、単純な漸進的位相変化を用いることによって任意の所望の角度にステアリングされ得る。この特性は、(1/2bp)°<φ≦90°のステアリング角度に対応するコードブックのみを記憶することを可能にし、素早くステアリング角度を切り換えることを可能にする。
メモリサイズの縮小に移って、41ビットの一次位相シフタ及び12ビットの二次位相シフタについての例を提供する。M=8、L=4と仮定する。82.81°<φ≦90°内で0.5°のビーム分解能の場合、メモリサイズは従来の43kbの代わりに2.69kbとすることができ、コードブックサイズを93.7%縮小させる。さらに、コードブックは、(1/2bp)°<φ≦90°のステアリング角度についてのみ最適化されればよい。φが、(1/2bp)°<φ≦90°に制限され得るので、1番目のサブアレイの一次位相シフタ値は、[0,0,0,…,0]と[0,1,2,・・・,L-1]π/2bpとの間に制限され得る。例えば、L=4、且つbp=3の場合、一次位相値は、以下の行列:
Figure 2024059722000034
の行のうちの1つに制限され得る。
m番目のサブアレイについての一次位相シフタの値は、行列(T+45ml)、m=0,1,…,M-1、l=1,…,L(すなわち、行列Tが45mlだけ上にシフトされる)の行のうちの1つとすることができる。なお、位相オフセット、すなわち、45mlは、二次位相シフタによって実行されることができる。従って、式(5)の最適化問題は、
Figure 2024059722000035
へと縮小されることができる。
図257は、いくつかの態様に従う位相シフタについてのプロセスを示している。図257には、85°のステアリング角度に対するプロセスの例示が、2つのサブアレイ、M=2、L=4、3ビット一次位相シフタに関して与えられている。サブアレイ毎に、このプロセスは行列Tから行を選択し、そして、理想的な位相と量子化された位相との間の距離が最小化されるように、最適な二次位相シフタ値を見つけ得る。図示のように、最適な位相値は概して、理想的な位相値の周りで変調する。
図258は、いくつかの態様に従う位相値決定を示しており、ステアリング角度85°についての理想的な位相値及び量子化された位相値を示している。上でと同様に、図258は、2つサブアレイ、M=2、L=4、3ビット一次位相シフタ、及び無限分解能の二次位相シフタに関して提供されている。見て取れるように、最適化された位相値はアンテナインデックスの理想値に近い。
一部の態様において、このプロセスは、
Figure 2024059722000036
とし得る。
先ず、(1/2bp)°<φ≦90°のステアリング角度についてのコードブックを、
Figure 2024059722000037
を用いて見つける。
次いで、
Figure 2024059722000038
によって、上で得られたφのコードブックを使用して、角度φの位相シフタ値を計算する。
M=8、L=4の2ビット一次位相シフタ分解能及び無限分解能二次位相シフタの場合の性能の例を以下に提供する。上のプロセスを単純な量子化であるθ=Q((m-1)kdcos(φ))と比較する。ここで、φはステアリング角度であり、mはアンテナインデックスであり、Q( )はbビット量子化器である。図259は、いくつかの態様に従う性能比較を示している。具体的に、図259は、最適化されたコードブックと単純な量子化との間での性能の比較を示している。図示のように、最適化されたコードブックは、単純な量子化の使用よりも0.5dB大きい利得及び少ないグレーティングローブパワーを有する。
図260は、いくつかの態様に従う他の性能比較を示している。特に、図260は、メインビームのパワー損失を示している。見て取れるように、最適化されたコードブックが使用されるとき、ステアリング角度が90°から移動するにつれてメインビームのパワー損失は比較的ゆっくりと増加する。単純量子化アプローチを使用することは、90°近くでの急激に低下と、その後の比較的一定なパワー損失とをもたらす。最適化されたコードブックはまた、より良好なビームステアリング精度を有し得る。
図261は、いくつかの態様に従う通信デバイスにおいてビームステアリングを提供する方法26100を示している。方法26100は、例えば図252A-252B及び253に示したものなどのアナログ又はハイブリッドアーキテクチャによって実行され得る。動作26102にて、方法26100は、アンテナのビームステアリングに使用されるコードブックのサイズを、アンテナがステアリングされるべきステアリング角度のサブセットに制限することができる。複数のアンテナが、ミリメートル波信号をビームステアリングするように使用され得る。一部の態様において、コードブックは、(1/2bp)°<φ≦90°の間のステアリング角度に制限されることができ、ここで、bpは各一次位相シフタのビット数である。一部の態様において、コードブックは、(1/2bp-1)°<φ≦90°の間のステアリング角度に制限され得る。
動作26104にて、処理回路が、アンテナをステアリングする所望のステアリング角度を決定し得る。処理回路は、所望のステアリング角度がステアリング角度のサブセット内にあるのか、又はステアリング角度のサブセットの外側にあるのかを決定し得る。
動作26104で所望のステアリング角度を決定した後、動作26106にて、処理回路は、ステアリング角度のサブセット内の制限されたステアリング角度を決定し得る。制限されたステアリング角度は、各アンテナ用の各位相シフタに制御信号を与えるために使用され得る。各アンテナを制御するために使用される値は、他のアンテナに使用される値とは独立とし得る。制限されたステアリング角度は、所望のステアリング角度に対応し得る。一次位相シフタの値は、[0,0,0,…,0]と[0,1,2,・・・,L-1]π/2bpとの間に制限されることができ、ここで、Lは一次位相シフタの数である。一部の態様において、制限されたステアリング角度の値の範囲は、特定のステアリング角度が制限されたステアリング角度及びシフト値(+1)によって直接的に設定されるのか、それとも、特定のステアリング角度が180°周り(-1)の制限されたステアリング角度及びシフト値の反射によって設定されるのかを指し示すユニタリ乗数(+1/-1)の使用を通じて更に制限され得る。
動作26106で制限されたステアリング角度を決定した後、処理回路は、動作26108にてシフト値を決定し得る。シフト値は、制限されたステアリング角度を所望のステアリング角度までシフトさせるために使用される値であるとし得る。二次位相シフタの各々がシフト値を適用し得る。これは、制限されたステアリング角度の領域を適切な領域へとシフトさせ得る。一部の態様において、シフト値は、正及び負の値を取ってもよいし、あるいは、二次位相シフタの総ビット数によって提供される値に対応する正の値を取ってもよい。なお、動作26104及び26106は、必要に応じて任意の順序で行われ得る。
制限されたステアリング角度及びシフト値(並びにおそらくユニタリ乗数)が決定された後、動作26110にて、処理回路は、一次及び二次位相シフタを介してアンテナを所望のステアリング角度に調整し得る。決定された値が、一次及び二次位相シフタに適用され得る。
電荷ポンプは、特定のレベルで電力を発生させるために使用され得るDC-DCコンバータである。所望の電力レベルを提供するためにエネルギーを蓄積するため、1つ以上のキャパシタが使用され、これらのキャパシタは、エネルギーが蓄積されるべきときには蓄積モードで電圧源に接続され、エネルギーが放散されるべきときには使用モードで負荷回路に接続される。
図262A及び262Bは、いくつかの態様に従う電荷ポンプの一態様を示している。電荷ポンプ26200は、図3Dに示した無線チェーン回路に組み込まれ得るが、電荷ポンプ26200はそのような組み込みに限定されるものではない。図示のように、電荷ポンプ26200は、制御ロジック26202からの1つ以上のデジタル入力を使用して、出力負荷キャパシタ26206でのアナログ出力電圧を変調又は制御する回路であるとし得る。制御ロジック26202は、図262Aに示すように、キャパシタ26206のそれぞれの側に配置されてそれぞれ電圧レール及びグランドに接続された電流源26204に適用され得る。制御ロジック26202は、所望の電圧ステップを生成するように出力キャパシタ26206に電荷を注入する電流源26204の起動を担い得る。それに代えて、キャパシタ26206を、電流源26204のうち異なる1つに接続されたスイッチ26208及びスイッチ26208の間に接続して、制御ロジック26202はそれらのスイッチ26208に適用されてもよい。制御ロジック26202は、キャパシタ26206への電荷のパルス注入を提供するよう、クロックトリガーされた又はその他の方法でトリガーされた制御信号を提供し得る。
電荷ポンプ26200は、少なくとも2つの一般的な代替目的又は分類の用途に使用されることができる。第1分類の用途は、電源の電圧よりも高い電圧を提供し、次いでシステムオンチップ(SoC)の内部でより高い供給電圧を生成するためのパワー集積回路(IC)にて見受けられ得る。第2分類の用途は、より広いものであり、供給レール内にある電圧を生成することを狙いとする。この後者の分類の用途は、クロック又は他のデジタル制御されたレートで細かく制御され得る。
図263は、いくつかの態様に従う電荷ポンプ26300の一態様を示している。電荷ポンプ26300は、上述の第2分類の用途に使用され得る。電荷ポンプ26300は、例えば比較器又は位相周波数検出器などの基本回路、又は通信デバイスのフロントエンド内のビルディングブロックを表す例えば周波数シンセサイザ又はADCなどのいっそう大型のシステムに設けられ得る。電荷ポンプ26300は、例えば、無線規格用のミリメートル波トランシーバ及びそれに類するものなどの高速トランシーバに組み込まれ得る。
パワーIC内の電荷ポンプは、例えば位相ロックループ(PLL)、周波数ロックループ(FLL)又はADCなどのミクスト信号用途において、妥当な、電荷転送に使用される総キャパシタンスの大きさ及び動作周波数の範囲内で、それらの出力パワーを増加させるために、高い周波数で、調整可能なクロックと共に使用され得るが、動作周波数が回路の動作レート(PLLにおけるリファレンスクロック及びADCにおけるサンプリングクロック)によって設定され得るので、動作周波数を容易に調整することができないことがある。従って、電荷ポンプは、用途にて予期される周波数範囲内で動作し得る。また、無線規格や例えばモノのインターネット(IoT)用のものなどのポータブル用途でSoCに採用されるためには、ある一定の機能が望まれる。ここに開示される態様は、SoC面積及び電力効率への影響を回避するのを助けるべく回路面積に関してコンパクトとし得る電荷ポンプを含む。これらは、比較器及びADCの電荷ポンプにとって興味深いものであり得る。何故なら、それらは、面積の点でも電力消費の点でも支配的ではないものとし得る補助的なキャリブレーション回路として機能し得るからである。
電荷ポンプトポロジは、スイッチ、電流発生器、及びキャパシタに加えて、有限状態機械を起源とする2つの入力信号(UP-DOWN)を使用し得る。高速な用途では、精緻な電圧レギュレーション、低い消費電力、コンパクトな面積、及び高いスピードを備えた電荷ポンプを設計することは、幾つもの検討事項を伴う。例えば、高速で正確な応答は、制御信号と出力ノードとの間の容量結合効果によって影響を受け得る。電流発生器及びリファレンスは、電流精度を保証するのを助けるために、静的電力を消費するとともに複雑な回路を使用することがある。電流リファレンスのための正確なカレントミラーは、良好なマッチングのために大型のデバイスを使用することがあり、また、高度にスケーリングされたCMOSプロセスにおける低くて(nAレンジ)正確な電流の生成は、リーク現象のために困難であることがある。細かい分解能は、非常に低い電流及び/又は大きい出力キャパシタンス(例えば、いっそう大きい面積)のいずれかを使用し、使用される高速で低抵抗のスイッチはスイッチング雑音を導入する可能性が高い。一部のケースでは、故に、とりわけ、電荷ポンプ内での電流発生器の使用を避けることが適当であるとし得る。
図263の電荷ポンプ26300は、例えばUE、eNB、又はAPなどの通信デバイス内に設けられ得る。電荷ポンプ26300は、出力キャパシタ26320に所望の電荷を注入するために、電流発生器を使用する代わりに、容量結合効果及びそれに続くサブスレッショルド注入を利用し得る。これは、正確な低電流発生器又はDCバイアス回路を使用することなく、且つ出力ノイズを制限することなく、ステップ当たりの注入電荷量を少なくすることを可能にし得る。
電荷ポンプ26300は、2つの制御信号(UP/DOWN)を提供し得る制御ロジック26302を含み得る。制御ロジック26302は、通信デバイスのベースバンドプロセッサ内にあってもよいし、又はベースバンドプロセッサとは別のロジックであってもよい。制御ロジック26302は、デジタルロジックを介して一対の動的に駆動されるスイッチ26310と接続され得る。動的駆動されるスイッチ26310は、1つ以上のpMOS(MP)及びnMOS(MN)サブスレッショルドスイッチ26312の組(セット)に接続され得る。スイッチ26312の組は、プリセットされたビットによってオン又はオフになるように構成され得る。それらプリセットビットは、MP2及びMN2デバイスの等価長(DP及びDN)、制御信号のパルス幅(ddel,N、ddel,P)、及び出力キャパシタ(Dc)26320のためのものとし得る。如何なる数のスイッチ26312が使用されてもよく、スイッチ26312の数が増加するにつれて、最終的に得られる出力電圧の変化がより細かくなる。一部の態様において、とりわけ電力を考慮して、1つから5つのスイッチ26312が使用され得る。
一部の態様において、プリセットビットは、デバイス試験中に事前プログラムされ、通信デバイスの不揮発性メモリに格納され得る。一部の態様において、電荷ポンプ26300の較正(及び故にプリセットビットの値)は、通信デバイスの電源投入シーケンス中及び/又は通信デバイスが動作中のバックグラウンドで決定され得る。通信デバイスは、特定のサイクル数の後及び/又は温度の関数として、電荷ポンプ26300を再較正し得る。容量結合とサブスレッショルド電流は温度に依存し得るため、較正は様々な温度で行われ得る。一部の態様において、複数の異なる値のプリセットビットがメモリに格納されることができ、各セットのプリセットビットが電荷ポンプ26300の異なる温度で使用され得る。特定の温度に達したとき、又は電荷ポンプ26300の温度変化が所定の閾値を満たすときのいずれかに、異なるセットのプリセットビットが使用されてもよい。
タイミング回路26316が、制御ロジック26302と、UP及びDOWN制御信号の双方用の動的駆動されるスイッチ26310の各々とに接続し得る。タイミング回路26316は、制御ロジック26302(又は他のプロセッサ)からの制御信号を入力として受信するとともにプリセットビットを使用して制御信号のパルス幅を設定するようにプログラムされることができる遅延線26304を含み得る。遅延線26304の出力が、インバータ26306の入力に送られ得る。インバータ26306の出力がANDゲート26308の入力に供給され、ANDゲート26308の他方の入力には制御ロジック26302からの制御信号が供給され得る。インバータ26306からの反転出力が、遅延線26304によって設定された時間量だけ遅延されるので、これによりパルス幅を制御することができる。
出力キャパシタ26320は、複数のキャパシタ-スイッチコンビネーションを並列に含み得る。それらのスイッチは、上述のようにプリセットビットによって作動/非作動にされることができ、それにより、出力キャパシタ26320のキャパシタンスが調整される。出力キャパシタ26320の各キャパシタは、例えば、約0.5fFから約10fFの間とし得る。出力キャパシタ26320を充電及び放電し、それにより制御可能な出力電圧を提供する例示的な方法を、図264A-266Bを参照して説明する。図263に示した態様は、アナログ電流源も含んで使用するものでないとすることができ、限られたマッチング及び精度のスケーリングされたCMOS技術にて実装されることができる。
一部の態様において、ダイナミックスイッチのゲート-ドレインキャパシタンスにわたって電荷を注入する手段が、電荷ポンプ26300によって実装され得る。一部の態様において、図示のように、電荷ポンプ26300は更に、例えばスイッチ26312及び制御ロジック302によって、電荷の注入後にサブスレッショルドドレイン電流を用いて、サブスレッショルドスイッチを横切って電荷ポンプの出力キャパシタンスに電荷を転送する手段と、電荷の転送後に出力電圧の電圧変化を停止させるために、出力キャパシタンスにおける電荷転送及び電流フローを終了させる手段とを実装し得る。一部の態様において、図示のように、電荷ポンプ26300は更に、例えばタイミング回路26316により、電荷注入フェーズ中に制御信号のパルス幅を制御する手段を実装することができ、それは、制御信号と該制御信号の遅延反転コピーとをANDゲートに供給する手段と、制御信号の遅延反転コピーの遅延量を制御するための一組のプリセットビットとを有し得る。一部の態様において、図示のように、電荷ポンプ26300は更に、例えば出力キャパシタ26320により、プリセットビットの組のプリセットビットの数に等しい数のサブスレッショルドスイッチを横切って電荷を出力キャパシタンスに転送する手段、及び/又は、出力キャパシタを形成する多数の並列内部キャパシタの組み込みを制御する手段を実装し得る。
図264Aは、いくつかの態様に従う電荷ポンプ26400の出力部分のスキームを示している。図264Bは、いくつかの態様に従う電荷ポンプ26400の信号のタイミング図を示している。電荷ポンプ26400は、UP又はDOWN制御信号が供給され得る一対のダイナミックスイッチ26410を含み得る。UP制御信号を供給されるダイナミックUPスイッチ26410は供給電圧(又はレール電圧/レールの一方)に接続されることができ、DOWN制御信号を供給されるダイナミックDOWNスイッチ26410はグランド(又はレールの他方)に接続されることができる。ダイナミックUPスイッチ26410と出力キャパシタ26420との間、及びダイナミックDOWNスイッチ26410と出力キャパシタ26420との間に、それぞれ、MP及びMNスイッチ26412が接続され得る。
一部の態様において、図264Aに示す出力部分に制御信号が供給されないとき、MN1及びMP1スイッチ26412はどちらもオンであるとし得る。電荷ポンプ26400は、図264Aに示す例えば相互接続部などのネットan及びapを、それぞれ、低チャネル抵抗RON,n、及びRON,pを介してグランド及びVccに結び付け得る。この状況において、Voutは依然として、状態がオフにプリセットされているMP2及びMN2スイッチ26412を介して供給レールから絶縁されており、ネットan、ap、及びVout間に非常に高抵抗のパスを提供し得る。
図264Bに示すように、キャパシタ26420の出力電圧は、所望の制御信号の発生下で変化することができる。制御信号UP、デバイスMP1、MP2スイッチ26410、26412、及び出力キャパシタ26420の出力キャパシタンスCoutによって制御され得るものである電荷ポンプ26400のpブランチは、出力電圧を上昇させるために使用され得る。出力キャパシタ26420は最初、ダイナミックVcmの半分まで充電され得る。UP制御信号は、「スリープ」モードでローであるとし得る。UP制御信号がMP1スイッチ26412に供給される都度、ネットapにおける電圧が、主に電荷注入及び/又はクロックフィードスルーによって、同じ期間にわたってパルスΔVapをもたらし得る。パルスΔVapは、MP2スイッチ26412を通るサブスレッショルド電流又はリーク電流をもたらすことができ、そして最終的に、出力キャパシタ26420の充電を通じて、出力キャパシタ26420の出力キャパシタンスCoutの正のステップ上昇ΔVoutをもたらし得る。同様に、DOWN制御信号がMP2スイッチ26412に供給される都度、ネットanにおける電圧が同じ期間にわたって負のパルスΔVanをもたらし得る。パルスΔVapは、出力キャパシタ26420の出力キャパシタンスCoutのΔVoutの低下ΔVoutをもたらし得る。出力キャパシタ26420の出力キャパシタンスCoutのこれらの上昇及び低下は対称的であるとし得る。
図265A-265Cは、いくつかの態様に従う電荷ポンプの例示的な動作を示している。図265Aは、出力キャパシタが充電されているときの回路のpブランチの寄生キャパシタンスを示している。図265Bは、出力キャパシタが充電されているときのpブランチの回路モデルを示している。図265Cは、pブランチのタイミング図を示している。図265Aに示すように、UP信号の正エッジで、pMOSスイッチ26510がターンオフされ、ネットapにおける電圧が高インピーダンス状態に入る。同時に、MP1スイッチ26510のゲート-ドレインキャパシタンス(Cgd,mp1)を通して電荷が注入され、それが、ネットap及びMP2スイッチ26512のソースに正の電圧ステップスパイクを生じさせる。
MP1スイッチ26510に付随する他の漏れキャパシタは、スイッチ26510、26512のゲート、ドレイン及びソースに関連し、すなわち、Cgd,mp1、Csg,mp2、Csb,mp2、Csd,mp2とし得る(一般性のため)。図265Bに示すCp,ap26514は、ネットapに影響を及ぼす寄生キャパシタを単一のモデル化したキャパシタとしてまとめたものとし得る。一般に、Csd,mp2を介した間接的な容量結合により、MP1ゲートでのUP信号の急峻なエッジが直接的に出力に結合し得る。しかしながら、Csd,mp2は他のデバイス寄生キャパシタンスと比較して非常に小さいとすることができ(固有及びレイアウト関連の双方で)、また、MP2スイッチ26512のバルク及びゲートは低インピーダンスネット(Vcc)であるとし得るので、そのような現象は無視できると考えることができる。例えば、有意なものとなり得るような、制御信号のエッジに関連する直接的な電荷注入の量が、回避され得る。
また、UPが上がるとき、MP1スイッチ26510はターンオフされ得る。この場合、ネットapは、制御信号エッジにより電荷注入を受ける高インピーダンスネットになり得る。UPの正エッジに対応するネットapの電圧の変動は、近似的に、
Figure 2024059722000039
によって与えられ得るが、出力電圧はなおも安定である。この動作の後、ネットapの電圧は、電源レベルよりも数10mVから100mV高いとし得る値に落ち着き得る。結果として生じるVsg,MP2の上昇により、サブスレッショルド電流がMP2スイッチ26512(及び同様にMP1スイッチ26510)を流れ得る。サブスレッショルド電流は、Cp,apの放電及びネットap電圧低下ΔVdrop,pに寄与し得る。MP2スイッチ26512を流れる電流の部分は、出力キャパシタ26520に送られることができ、出力電圧の上昇を決定する。この電荷は、出力電圧における正ステップを生じさせ得るとともに、基本的にCp,apからCoutに転送され得る。
UPの負エッジが発生すると、pMOS MP1は再びターンオンし得る。先ず、Cgd,mp1結合パスを介してネットapによって電荷が引き出され得る。これが、ネットapの電圧のステップダウンと、初期値VccからΔVapを引いたものに近い電圧へのCp,ap放電とを決定し得る。その間、チャネル抵抗に関連した時定数による小さい遅延で、MP1スイッチ26510がオン状態に戻ってネットapをVccに引き戻し得る。ネットapをVccに引き戻すための電荷は、完全に電源によって供給され、出力キャパシタンスから引き戻されることはない。またこのステップでは、出力ノードへの直接的な電荷注入は起こらず、MP2サブスレッショルド電流がCoutに流れ込むのが止まり、それにより、出力電圧を最後の高めの値に据え置かせ得る。
新たなUPパルスが発生すると、説明した過渡現象が繰り返さし得る。これは、出力電圧にもう一度正ステップが発生することをもたらし得る。要するに、電荷ポンプのpブランチの動作原理は、数ステップに合成されて記述され得る。図266A-266Cは、いくつかの態様に従う電荷ポンプの例示的な動作を示している。
図266Aは電荷注入フェーズを示している。電荷注入フェーズは、UP制御信号の正エッジで起こり得る。このエッジで、MP1がターンオフし、ネットapの電圧が上昇して正のVsg,MP2を誘起し得る。
図266Bは電荷転送フェーズを示している。電荷転送フェーズは、電荷注入フェーズの後に起こり得る。特に、電荷転送フェーズでは、MP2のサブスレッショルドドレイン電流が、Cp,apからCoutに電荷を転送して、出力電圧Voutの上昇を決定し得る。
図266Cはシャットダウンフェーズを示している。シャットダウンフェーズは、電荷転送フェーズの後に起こり得る。特に、シャットダウンフェーズはUP制御信号の負エッジで起こり、正エッジに対するその遅延が遅延線26304によって制御され得る。この時点で、MP1がターンオンし、ネットapの電圧がVccに戻り得る。この時点で、Coutを流れる電流が止まり得る。
MN1、MN2、DOWN制御信号、及びネットanを含むnMOSブランチにも、3動作モデル及び分析を拡張することができる。nMOSブランチにおいては、ネットapをVcc+Coutに充電する代わりに、ネットanの電圧の負電圧への低下をnMOSブランチが決定し得る。
一部の態様において、各動作にて転送されることができる最大電荷量は、電荷注入フェーズ中にCp,apに注入された電荷:
ΔVap・Cp,ap≒Vcc・Cgd,MP1
とし得る。
供給電圧1V、出力容量50pF、及びMP1のゲート-ドレインキャパシタンス50fFを考えると、電荷は1mVの出力電圧ステップに対応することになる。最初にCp,apに蓄積される電荷はCp,apの大きさに依存しないとし得るが、ネットapの電圧における対応する上昇は、対照的に、Cp,apの大きさに依存し得る。これは一般に、電荷転送フェーズ中にMP2が出力キャパシタンスに注入することができる電流量に影響を及ぼし得る。
この回路の設計及び寸法決め段階において、ステップサイズ、ひいては、回路の感度を決定するために、何らかのソリューションを採用することができる。デバイスのサブスレッショルド電流はトランジスタの長さに線形に依存し得るので、MP2の長さを所望のように寸法決めすることができる。それに代えて、デバイス長さの寸法決めにおける自由度を製造プロセスが許さない状況では、より多くのデバイスを直列に配置することができる。出力キャパシタに注入される電荷は電荷転送フェーズの持続時間にも依存し得るので、UP信号及びDOWN信号の波形を制御するために、図263に示すようなプログラム可能な遅延線を有するパルス幅コントローラを導入することができる。これは、利用可能な電荷のうちの多くの量又は完全なる量を出力に転送することを可能にし得る。一部の状況では、十分でないパルス幅が、少なすぎる電荷転送をもたらすことがある。電荷注入フェーズ中の電荷注入の量は、MP1(又はnMOSブランチでのMN1)のゲート-ドレインキャパシタンスに依存し得るので、MOSを所望のように寸法決めすることができる。一定量の注入電荷での出力電圧ステップは出力キャパシタンスの大きさに依存し得るので、コンフィギュラブルな容量アレイを用いて出力キャパシタンスをプログラムしてもよい。
比較器のバックグラウンドキャリブレーションに関し、14nm FinFET 10ビットADCテストチップに実装された電荷ポンプについてシミュレーションを行った。採用した出力キャパシタンスは50fFである。これを検証するために、ファストコーナーシミュレーションに重点が置いている。交番する一連のUP及びDOWN制御信号のパルス幅を50psに設定し、出力キャパシタンスを50fFに設定し、そして、更新レートを1GHzとした。シミュレーションは、27℃でノミナル、ファスト、及びスローコーナーで行った。見られた電圧ステップは約600μV(>11ビットの完全差動、レール・ツー・レールコンバータのLSBと同等)であり、コーナーで安定していた。この回路は概して、より高い分解能の用途に適合し得る。
リーク電流(ファストコーナー)に関して好ましくない条件が存在する場合でさえも、プログラム可能であることを証明するために、pブランチに焦点を当て、MP1デバイスのサイズ、制御信号のパルス幅、及びMP2デバイスの等価長を変化させてシミュレーションを行った。実装されたプロトタイプに関して、及びMP1デバイスの複数の異なる幅に関して、1GHzレート、一定50psのUPパルス幅で、一連のUPコマンド中の出力電圧変動を決定するために、ファストコーナー、27°Cで、シミュレーションを行った。42nm、84nm、168nm、336nmのMP1デバイス幅に対し、対応する電圧ステップは、それぞれ、600μV、1mV、1.3mV、1.5mVであると決定された。また、複数の異なるパルス幅を使用して、1GHzレートのUPコマンドに対する出力電圧変動を決定するためのシミュレーションも行った。20ps-800psのUP信号パルス幅に関して、42nm/28nmのMP1/MP2アスペクト比を有するデバイスについて行ったこれら後者のシミュレーションは、パルス幅と出力電圧との間の線形関係を示した。さらに、MP2デバイスの複数の異なる幅(56nm、84nm、及び112nm)に関して、1GHzレート、一定50psのUPパルス幅で、一連のUPコマンド中の電荷ポンプ出力電圧を決定するためのシミュレーションを行った。電荷ポンプ出力電圧は時間とともに線形に変化するとともに、MP2の幅にほぼ対応した。シミュレーションで示された1GS/sの更新レートでの電荷ポンプの電力消費は、ノミナルコーナー、27℃で10μW未満であり、従って、GHzレートの現状効率のADC及びPLLと比較し多場合に無視できるものである。
一部の態様によれば、電流リファレンス又は出力キャパシタンス以外の電荷蓄積/格納デバイスの使用を回避する助けとなる電荷ポンプが斯くして提供される。この電荷ポンプは、PLL及びADC比較器のオフセットキャリブレーションアプリケーションに、また一般に、例えば高速レート(>1GS/s)、細かい分解能(<1mV)、及び超低電力消費が望まれる全ての用途に適し得る。一部の態様は、超低電力PLLにおいて使用されて、回路面積を縮小させることができ、また、高速ADCのための高効率な比較器キャリブレーションを実行するためにも使用され得る。
図267は、いくつかの態様に従う電荷ポンプに電荷を注入する方法26700を示している。方法26700は、262A-266に示した構造のうちのいずれか1つ以上によって実行され得る。動作26702にて、(1つ以上の)構造内に電荷が注入され得る。電荷は、電荷注入フェーズ中にダイナミックスイッチ(MOSFET)のゲート-ドレインキャパシタンスを横切って注入され得る。電荷注入は、ダイナミックスイッチに供給される制御信号の正エッジで、ダイナミックスイッチのゲート-ドレインキャパシタンスを横切って起こり得る。電荷注入は、制御信号のパルス幅を制御することによって制御され得る。一部の態様において、制御信号のパルス幅は、制御信号と該制御信号の遅延反転コピーとをANDゲートに供給し、一組のプリセットビットが、制御信号の遅延反転コピーの遅延量を制御することによって制御され得る。
動作26704にて、電荷の注入後、電荷がサブスレッショルドスイッチを横切って電荷ポンプの出力キャパシタンスに転送され得る。電荷は、電荷転送フェーズ中のサブスレッショルドドレイン電流を使用して転送され得る。電荷転送フェーズにおいて、電荷は、プリセットビットの組のプリセットビットの数に等しい数のサブスレッショルドスイッチを横切って、出力キャパシタンスに転送され得る。各プリセットビットが、異なるサブスレッショルドスイッチを制御し得る。さらに、並列内部キャパシタの数が、異なるプリセットビットを用いて、出力キャパシタを形成するように制御され得る。
電荷が転送された後、動作26706にて、電荷転送及び出力キャパシタンス内の電流フローが終了され得る。これは、シャットダウンフェーズ中の出力電圧の電圧変化を止め得る。この終了は、ダイナミックスイッチに供給される制御信号の負エッジで起こり得る。ダイナミックスイッチとサブスレッショルドスイッチとの間のネットにおける電圧が、ダイナミックスイッチが接続されているレール電圧に戻り得る。
mmWave通信システムは複数のパス上での複数の指向性送信に頼るものであるので、ネットワークが密になると、mmWave受信器は、異なる方向からの干渉を経験し得る。これに対処する助けとなるよう、上述のように、受信器はアナログ、デジタル又はハイブリッドビームフォーミングを使用し得る。アナログビームフォーミングは、一部のケースで、高いサイドローブ及び広いビーム幅に起因して全方向性干渉を軽減するのに十分でないことがあり、また、デジタルドメインでのビームフォーミングは、干渉が低分解能ADC(低ダイナミックレンジ)において所望の信号をブロックし得るので、干渉を軽減するのに十分でない。これを軽減するために、ここに開示される態様は、受信器におけるADCのダイナミックレンジ及び電力消費を低減するために量子化の前に干渉をゼロ化(ヌリング)する助けとなるアーキテクチャを提供する。例えば、粗く量子化された受信信号を処理して高い干渉を推定し、次いで、アナログドメインで干渉を差し引くように、空間的な干渉軽減のためにフィードフォワードループが提供される。ゼロ化は、ADCフィードバックループでの反復的なフィルタ設計のために長いトレーニングシーケンスを使用せずに、複数の干渉角度に対して有効にされ得る。これは、低電力の完全デジタルmmWave受信器を可能にし得る。図268は、いくつかの態様に従う受信器アーキテクチャ26800を示している。受信器アーキテクチャ26800は、図3Eに示した並列受信回路382に組み込まれ得るが、受信器アーキテクチャ26800はそのような組み込みに限定されるものではない。
図268に示す受信器アーキテクチャ26800は、例えば、RFフロントエンド26820、遅延線(DL)26802、コンバイナ26810、26812、26814、26818のセット、量子化器26804、26816のセット、フィードフォワードフィルタ26806、デジタル-アナログ変換器(DAC)26808、及びベースバンドプロセッサ26839を含み得る。RF信号が、アンテナ(図示せず)によって受信されて、RFフロントエンド26820に提供され得る。複数のアンテナ出力r(t)、i=1,…,Nが、RFフロントエンド26820によって提供され、干渉を特定するための1つと、ゼロ化の1つとの2つのパスに分割され得る。具体的には、各アンテナ出力が、遅延線26802のうちの1つと第1のコンバイナ26810のうちの1つとの双方に供給され得る。アナログ遅延線26802は、複数のタップ付きラッチ(例えばDラッチ)を含むことができ、異なるタップから出力を取ることによって遅延が変化することを可能にし得る。それに代えて、遅延長が固定されて、アナログ遅延線26802の唯一の出力が最後のラッチから取られてもよい。
第1のコンバイナ26810において、干渉に関するディザリングノイズnがアンテナ出力に加えられ得る。ディザリングノイズnは、干渉ゼロ化を提供するのに先立って決定され得るものである干渉の推定値に依存し得る。第1のコンバイナ26810からの出力がbビット量子化器26804に供給され、bビット量子化器26804が、そのアナログ信号を粗く量子化するとともに、そのアナログ信号をデジタル信号へと変換し得る。そして、量子化された信号が、DAC26808によってデジタル化されるのに先立って、フィードフォワードフィルタ26806に提供され得る。フィードフォワードフィルタ26806は、受信した量子化された信号を処理して干渉信号を推定するために使用されるマルチタップフィルタとし得る。
そして、干渉信号が、dビットDAC26808によってアナログ信号へと変換され返す。DAC26808の分解能は、量子化器26804、26816のように、固定であってもよいし可変であってもよい。後者の場合、DAC26808及び量子化器26804、26816のうちの1つ以上の分解能は、とりわけ、信号タイプ(例えば、制御/データ)又はチャネル状態に依存し得る。そして、変換された干渉信号が、第2のコンバイナ26812において、アナログ遅延線26802からの遅延されたアンテナ出力から減算されて、補正された信号が生成され得る。第3のコンバイナ26814において、補正された信号にディザリングノイズnが加えられ他後に、ディザリングされた補正信号が量子化される。ディザリングノイズnは、1つ以上の信号品質特性を用いて測定され得るものである受信器性能に依存し得る。例えば、ディザリングノイズnは、BER性能に依存し得る。ディザリングされた補正された信号を量子化することには、bビット量子化器26816が使用され得る。一部の態様において、bビット量子化器26804の分解能は、bビット量子化器26816の分解能よりも低いとし得る。干渉を大まかに決定するための粗い分解能の使用は、受信器によって使用される電力の低減を可能にし得るとともに、ビームフォーミングされた信号の補償後のインライン量子化器のダイナミックレンジを下げることを可能にし得る。
そして、量子化器26816からの量子化された信号が、更なる処理のためにベースバンドプロセッサ26830に供給され得る。受信信号強度インジケータ(Received Signal Strength Indicator;RSSI)を生成するために、量子化器26816からの出力とフィードフォワードフィルタ26806からの干渉信号とが、第4のコンバイナ26818で結合され得る。RSSIは、(b2ビット量子化器26816の各々から及びフィルタ26806の出力の各々からの)量子化された出力の全てに基づいて決定されてもよいし、あるいは、全てよりは少ない出力に基づいてもよい。RSSIは、例えば、一方又は双方の量子化器分解能、DAC分解能、及び/又は一方又は双方のディザリングノイズを調整するために使用され得る。
図268に示した受信器は、故に、複数のアナログビームを形成するための例えば位相シフタなどの他のコンポーネントの追加なしで、複数のビームフォーミングされた信号内の複数の干渉源からの干渉を同時に軽減(又はゼロ化)することができ得る。この受信器はまた、係数が所望の信号及び干渉信号に依存するフィルタの使用なしで、ひいては、長いトレーニングシーケンスの使用なしで、干渉を軽減することができ得る。
一部の態様において、複数のアンテナからビームフォーミングされた信号を受信する手段が、受信器アーキテクチャ26800によって実装され得る。一部の態様において、図示のように、受信器アーキテクチャ26800は更に、例えば受信器アーキテクチャ26800内のフィードフォワードルーティングにより、補償された信号を出力のために量子化することに先立って、ビームフォーミングされた信号を干渉信号に関してフィードフォワード補償することによって、補償された信号を形成する手段と、例えば量子化器26816により、補償された信号を量子化して、量子化された出力信号を形成する手段とを実装し得る。
一部の態様において、図示のように、受信器アーキテクチャ26800は更に、フィードフォワードパスに沿ってビームフォーミングされた信号を量子化して、量子化されたフィードフォワード信号を形成する手段、例えばフィルタ26806により、量子化されたフィードフォワード信号内の干渉信号を補償して、デジタル補償信号を提供する手段、例えばDAC26808により、デジタル補償信号をアナログ補償信号へと変換する手段、及び/又は、例えばコンバイナ26812により、アナログ補償信号をビームフォーミングされた信号と結合して、補償された信号を形成する手段、のうちの1つ以上を実装し得る。一部の更なる態様において、図示のように、受信器アーキテクチャ26800は更に、例えばコンバイナ26810及び26814により、ビームフォーミングされた信号を量子化するのに先立って、ビームフォーミングされた信号に第1のディザリングノイズを加える手段と、補償された信号に第2のディザリングノイズを加える手段、例えばベースバンドプロセッサ26830及びコンバイナ26818により、デジタル補償信号と補償された信号のデジタルバージョンとを結合して信号品質を提供する手段と、該信号品質に基づいて、ビームフォーミングされた信号の量子化、補償された信号の量子化、第1のディザリングノイズ、又は第2のディザリングノイズのうちの少なくとも1つを制御する手段、例えばベースバンドプロセッサ26830により、
Figure 2024059722000040
から、各方向からの干渉を推定する手段、及び/又は、例えば遅延線26802により、ビームフォーミングされた信号をアナログ補償信号と結合することを可能にするのに十分なだけビーム形成信号を遅延させる手段、のうちの1つ以上を実装し得る。
数学的に、受信器にN個のアンテナをもつ一様な線形アレイを検討する。受信信号は、
r(t)=x(t)+i(t)a(θ)+…+i(t)a(θ)+n
のように記述されることができ、ここで、x(t)は所望の信号ベクトル、nは雑音ベクトル、i(t)、i=1,…,Iは干渉信号(Iは干渉方向の数)であり、アレイベクトルa(θi)、i=1,…,Iは、
Figure 2024059722000041
によって与えられる。
ここで、θは到来角度、dはアンテナ間距離、そして、λは波長である。フィードフォワードループで、アンテナの出力で受信信号を相関解除するためにノイズが加えられ、次いで、例えば低ビット(1-3ビット)ADCを用いて、
y[n]=Q(r(t)+n
のように信号が量子化され得る。ここで、nはディザリングノイズであり、ベクトルQ( )はbビット量子化器である。干渉kは、推定ベクトルを用いてフィードフォワードフィルタにて推定され得る。一部の態様において、推定ベクトルは最大比合成(maximum ratio combining;MRC):
Figure 2024059722000042
を使用することができ、ここで、a(θ)は方向θからの干渉の推定ベクトルである。干渉の方向は、例えばマルチシグナル分類(Multiple Signal Classification;MUSIC)プロセスなどのデジタルプロセスを使用して決定され得る。Iは干渉方向(干渉するもの)の総数であるとし得る。なお、受信器は、l≠kのときにa (θ)a(θ)≒0であるような大きいアンテナアレイを有すると考えられる。すると、次式のように、到来角度に従ってベクトルが形成され得る:
Figure 2024059722000043
dビットDACを用いてデジタルサンプルがアナログドメインに変換された後、受信信号から干渉が減算され、そして、次式のように、ディザリングノイズn2が加えられた後に、bビットADCで量子化される:
z[n]=Q(r(t)-i(t)+n
図269は、いくつかの態様に従う受信器のフィルタ特性を示している。シミュレーションしたフィルタでは、N=64アンテナであり、d=5ビットDACであり、b=5ビットADCであり、そして、θ=70°及びθ=110°2つの干渉方向が存在する。2つのケースが示されており、すなわち、1ビットADC(b=1)、n~N(0,0.4σ )、n~N(0,0)というケース1と、2ビットADC、n~N(0,0.3σ )、n~N(0,0)というケース2とが示されている。図示のように、この受信器アーキテクチャは、1ビットADCが使用されるときに最大約13.6dBの干渉をキャンセルすることができ、また、2ビットADCが使用されるときには最大約23.32dBの干渉をキャンセルすることができる。
図270は、いくつかの態様に従う受信器のBER性能を示している。このグラフは、アナログビームフォーミング、デジタルビームフォーミング、及び図268のアーキテクチャのBER性能を示している。上でのように、90°の所望の信号方向とSIR=-20dBを持つ70°及び110°の干渉方向とを有するライン・オブ・サイト(LOS)チャネルの受信器でN=64アンテナとしている。16QAM変調が使用され、使用しているADCは、b=2ビットADC、d=5ビットDAC、b=5ビットADCである。量子化誤差をランダム化するために処理回路によってガウスディザリングノイズの分散が選択され得る。帯域幅は1MHzであり、干渉及び所望の信号が同じ帯域上で通信するとし得る。パルス整形フィルタは、フィルタ長8シンボル、ロールオフ係数0.2の平方根コサインロールオフフィルタです。図270に示されるように、ADCの前に空間的な干渉キャンセルを用いないデジタル受信器は、不十分なダイナミックレンジを有することに起因して、より低いBER性能を有する。アナログビームフォーミングも、高いサイドローブに起因して、図268のアーキテクチャよりも劣った性能となる。
図271は、いくつかの態様に従う更なる受信器アーキテクチャを示している。上でのように、90°の所望の信号方向を有するライン・オブ・サイト(LOS)チャネルの受信器でN=64アンテナとしている。16QAM変調が使用され、使用しているADCは、b=2ビットADC、d=5ビットDAC、b=5ビットADCである。このケースでは、SIR=-13dBを持つ75°の干渉方向を使用した。フィードフォワードループに1ビットADCが使用されるとき、図268のアーキテクチャは、アナログビームフォーミング及び完全デジタルのビームフォーミングよりも良好な性能となる。
図272は、いくつかの態様に従う受信器において干渉を補償する方法27200を示している。方法27200は、図268の受信器を用いて実行され得る。動作27202にて、受信器が、複数のアンテナからビームフォーミングされた信号を受信し得る。ビームフォーミングされた信号は、ある角度範囲にわたって走査され得る。特定の角度における複数のビームフォーミングされた信号のうち少なくとも一部の各々が、送信器からの信号と干渉信号とを含み得る。
ビームフォーミングされた信号は、再結合される前に異なる経路に分割され得る。動作27204にてデジタル補償信号を形成するために、フィードフォワード経路内で、ビームフォーミングされた信号が量子化され、フィルタを用いて干渉が推定される。ビームフォーミングされた信号を量子化することに先立って、信号を相関解除するためにディザリングノイズが加えられ得る。そして、デジタル補償信号が、アナログ補償信号を形成するように変換され得る。
ダイレクト経路内で、信号を結合するのに適したタイミングを提供するために、元のビームフォーミングされた信号が遅延され得る。動作27206にて、ビームフォーミングされた信号とアナログ補償信号とが結合され得る。一部の態様において、干渉推定を含んでいるとし得るアナログ補償信号が、ビームフォーミングされた信号から差し引かれ得る。
得られた結果信号にディザリングノイズが加えられ、次いでそれらの信号が量子化され得る。ビームフォーミングされた信号の量子化の分解能は、補償された信号の量子化の分解能よりも低いとし得る。動作27208にて、量子化された結果信号が、処理のためにベースバンドプロセッサに供給され得る。例えばRSSI、SINR又はSNRなどの信号品質を決定するために、量子化された結果信号とデジタル補償信号とが結合され得る。この品質は、ビームフォーミングされた信号の量子化、補償された信号の量子化、及び/又はディザリングノイズを制御するために使用され得る。
ビームフォーミングに加えて、送信器と受信器のアンテナ対の間のチャネル推定は、デジタルビームフォーミングの複雑さを更に高め得る。デジタルアーキテクチャはまた、帯域内干渉及び隣接チャネル干渉が存在するときに性能低下に悩まされ得る。図273A及び273Bは、いくつかの態様に従う干渉を示している。両方の図のシステム27300に示されるように、基地局(BS)27302が、データ及び制御信号を提供して、UE27304にサービス提供し得る。LOS通信のみが示されているが、BS27302はまた、NLOS通信を介してUE27304と通信し得る。アクセスポイントともし得るものである隣接BS27306が、UE27304の位置に干渉信号を生成することがある。干渉信号は、サービス提供しているBS27302の通信と同様に、LOS又はNLOSであることができ、サービス提供しているBS27302からの信号と干渉し得る。干渉するBS27306からの干渉信号の代わりに又はそれに加えて、1つ以上の干渉するUE27308が、UE27304の位置に干渉信号を生成することがある。干渉するUE27308からの干渉信号は、サービス提供しているBS27302、干渉するBS27306、又はUE27304に向けられ得る。
デジタルビームフォーミングとは異なり、アナログビームフォーミングは、使用される単一のRFチェーンに起因して指向性利得に制限され得る。しかしながら、アナログビーム形成は、場合によっては、高いサイドローブ及び広いビーム幅による全方向性干渉を軽減するのに不十分であり得、デジタルドメインビーム形成は、低分解能ADC(低ダイナミックレンジ)が使用されるとき、低分解能ADCの場合干渉が所望の信号をブロックし得るので、干渉を軽減するのに十分ではない。これが言わんとすることは、アンテナ素子が干渉の方向又はその近くに設定されるときに、他方向の所望の信号を識別するのに十分であり得るADCのダイナミックレンジを干渉が圧倒するほどに、1つ以上の方向からの干渉が所望の信号よりも大きくなることがあるということである。このことは特に、ミリメートル波周波数が使用されるとき、UEの移動によって引き起こされるLOSチャネル及びNLOSチャネルに対する急速な干渉及び信号品質の変化のために一般的であり得る。しかしながら、ADCのダイナミックレンジを広げることは、電力集中的となり得るとともに、設計が困難となり得る。これを軽減するために、ここに開示される態様は、量子化する前に干渉をゼロ化する助けとなり得るとともにその後に元の信号がデジタル処理されることを可能にするために調整をデジタル的に反転させ得るアーキテクチャを提供する。これは、そのような干渉が存在するときに、受信器におけるADCのダイナミックレンジ及びADCの電力消費の低減を可能にし得る。信号は量子化器でサンプリングされることができ、それにより、受信信号がデジタルドメインで処理されるとともに、干渉がアナログドメインでキャンセルされる。低電力の完全デジタルmmWave受信器を実現するために、フィードバックループを有する並列デルタシグマADCが使用され得る。
図274は、いくつかの態様に従う受信器アーキテクチャ27400を示している。受信器アーキテクチャ27400は、図3Eに示した並列受信回路382に組み込まれ得るが、受信器アーキテクチャ27400はそのような組み込みに限定されるものではない。図274に示す受信器アーキテクチャ27400は、例えば、RFフロントエンド27410、コンバイナ27402、ローパスフィルタ(LPF)27404、27412のセット、ゲイン27406、27422のセット、量子化器27408、デシメータ27414、フィルタ27416、DAC27418、及びベースバンドプロセッサ27420を含み得る。RF信号が、複数のアンテナ素子を含むアンテナ27430によって受信され、RFフロントエンド27410に提供され得る。
RF信号r[n]、i=1,…,Nは、アンテナ27430からの出力であるとし得る。これらのRF信号は、受信器アーキテクチャ27400においてN個のアンテナからの一様な線形アレイとして示され得る。Nは、受信器アーキテクチャ27400におけるビームフォーミング利得及び電力消費に応じて選択され得る。受信信号は、
r(t)=x(t)+α(t)a(θ)+…+α(t)a(θ)+n
のように記述されることができ、ここで、x(t)は所望信号ベクトルであり、nは雑音ベクトルであり、i(t)、i=1,…,Iは干渉信号であり、ただし、Iは、ゼロ化されるべき干渉方向の総数である。角度ベクトルa(θ)、i=1,…,Iは、
Figure 2024059722000044
によって与えられることができ、ここで、θは到来角度であり、dはアンテナ27430間のアンテナ間距離である。RFフロントエンド27410によって提供される複数のアンテナ出力r(t)、i=1,…,Nの各々が、コンバイナ27402のうちの1つに供給され得る。更に詳細に後述するデシメータ27414からの変更された信号が、RFフロントエンド27410からのアンテナ出力と結合され得る。この変更された信号は、受信信号の量子化に先立って干渉を少なくとも部分的に軽減し、それにより、量子化器27408のダイナミックレンジの低減を可能にする。
コンバイナ27402は、アナログドメインの積分器によって形成され得るとともに、補償回路の一部を形成し得る。結合された信号が、コンバイナ27402から第1のLPF27404に供給され得る。第1のLPF27404は、結合された信号内の量子化雑音を帯域外へと整形し得る。アンテナ27430からの信号は、例えばRFフロントエンド27410内でなど、第1のLPF27404に到達するのに先立ってベースバンドへとミキシングされ得る。
第1のLPF27404からのローパスフィルタリングされた信号が、可変ゲイン27406に供給され得る。これら異なるアンテナ信号に対するゲイン出力は、g[n]、i=1,…,Nとして示され得る。ゲイン27406は、入力を量子化器ダイナミックレンジに調整するために、ローパスフィルタリングされた信号に対する増幅又は減衰を提供し得る。ゲイン27406は、チャネル又はチャネル品質(例えばSNR又はSINRなど)に応じて最適化されることができ、あるいは一部の態様では、複雑度の低い受信器に対して固定ゲインに設定されることができる。ゲイン27406は、所望の信号及び干渉のように、経時的に変化し得る。
ゲイン27406からの信号が、続いて、b1ビット量子化器27408を有する量子化回路に提供され得る。量子化器27408は、信号のbビットデジタルバージョンを提供し得る。bは、所望のBER及びフィルタ特性に応じて選択され得る。一部の態様において、量子化器分解能は、とりわけ、信号のタイプ(例えば、制御又はデータ)又は量子化器27408の動作モード(例えば、平均化モード又はタイムインターリーブモードなど)など、高速さが望まれるのか、それとも高い信頼性が望まれるのかに応じて、様々とし得る。b[n]、i=1,…,Nビットを有する量子化器27408の出力は、故に、すなわち、b[n]=Q(g[n])とし得る。量子化器27408の各々からの出力は、干渉をフィルタリングするために使用されるデータ行列であるBを形成し得る。
一部の態様において、データ行列Bは、干渉を軽減するためにフィードバックされるのに先立って、フィルタ27416によってフィルタリング(又は重み付け)され得る。使用されるフィルタ27416は、例えば、時間ベースであるとともに、干渉の到来方向に依存し得る。フィルタリングされた信号がDAC27418に供給され、DAC27418がdビットデジタル信号をアナログ出力へと変換し得る。上でと同様に、dは、所望のBER及びフィルタ特性に応じて選択され得る。一部の態様において、DAC27418は、量子化器27408がアナログ入力をデジタル信号に変換するのに使用するのと同じ数のビットを使用して、デジタル入力をアナログ信号に変換し得る。他の態様では、量子化器27408及びDAC27418によって使用されるビット数は相異なっていてもよい。一部の態様において、変換の分解能は、量子化に使用されるのと同様の要因に応じて可変であるとし得る。量子化分解能と変換分解能との間の相対的な差は、上記の要因とともに変わり得る。DAC27418からのアナログ出力が、第2のゲイン27422であるc[n]、i=1,…,Nに供給され得る。第2のゲイン27422は、チャネル又はSNRに応じて最適化されることができ、あるいは一部の態様では、複雑度の低い受信器に対して固定ゲインに設定されることができる。第2のゲイン27422は、上でのように、増幅又は減衰を提供することができ、また、経時的に変化し得る。そして、DAC27418からの変更された信号が、上述のように、RFフロントエンド27410からの信号から差し引かれ得る。
量子化器27408からの信号b[n]、i=1,…,Nはまた、第2のローパスフィルタ27412に供給され得る。第2のローパスフィルタ27412は、量子化器27408によって導入される高調波を除去するために使用され得る。一部の態様において、量子化器27408は入力信号をオーバーサンプリングし得る。これらの態様では、その後、第2のローパスフィルタ27412からの信号が、更なる処理のためにベースバンドプロセッサ27420に供給されるのに先立って、デシメータ27414でデシメートされ(間引かれ)得る。デシメータ27414は、デジタルデータのサンプリングをナイキストレートまで減らし得る。デシメーション(間引き)は、デジタルを処理して、ローパス特性を有するように信号をダウンサンプリングし得る。一部の態様において、量子化器27408はオーバーサンプリングを避けてもよく、デシメータ27414は排除され得る。
一部の態様において、ベースバンドプロセッサ27420は、信号を、量子化によって生じる誤差の範囲内で、アンテナ27430からの元々の(オリジナルの)信号のものに基本的に復元するために、フィルタを反転させ得る。これは、オリジナル信号が量子化器27408に供給されることなく、ベースバンドプロセッサ27420が、例えばデジタル相互相関などを通じて、オリジナル信号をデジタル処理することを可能にし得る。代わりに、アナログドメインで干渉を補償する補償信号が量子化器27408に提供されることができ、それにより、干渉に関連する振幅スパイクが低減されるとともに、量子化器のダイナミックレンジを減ずることが可能になる。
一部の態様において、複数のビームフォーミングアンテナから複数のビームフォーミングされた信号を受信する手段が、受信器アーキテクチャ27400によって実装され得る。一部の態様において、図示のように、受信器アーキテクチャ27400は更に、ビームフォーミングされた信号の各々に対して、例えば図示のフィードバックループにより、ビームフォーミングされた信号が量子化器に供給されるのに先立って、ビームフォーミングされた信号を干渉源からの干渉に関して補償し、補償された信号を量子化器に供給することによって、ビームフォーミングされた信号が提供される量子化器のダイナミックレンジを低減する手段と、例えば量子化器27408により、補償された信号を量子化する手段と、例えばBBプロセッサ27420により、ビームフォーミングされた信号に適用された補償をデジタル反転させて、ビームフォーミングされた信号のデジタルバージョンを再生する手段及びビームフォーミングされた信号のデジタルバージョンを処理する手段とを実装し得る。
一部の態様において、図示のように、受信器アーキテクチャ27400は更に、その係数が干渉源の方向に依存するフィルタ27416を用いて、量子化された出力をフィルタリングして、フィルタリングされた信号を生成する手段、例えばDAC27418により、フィルタリングされた信号をアナログ信号へと変換する手段、及び/又は、例えばコンバイナ27402により、上記アナログ信号をビームフォーミングされた信号と結合して、補償された信号を生成する手段、のうちの1つ以上を実装し得る。一部の態様において、図示のように、受信器アーキテクチャ27400は更に、LPF27404を用いて、補償された信号内の量子化雑音を帯域外へと整形して、LPF信号を生成する手段、例えばゲイン27406を使いて、LPF信号を量子化するのに先立ってLPF信号のゲインを調整して、量子化器27408のダイナミックレンジを低減する手段、及び/又は、LPF27416を用いて、量子化器27408によって導入された高調波を除去して、LPF信号を生成する手段と、例えばデシメータ27414を用いて、LPF信号をナイキストレートにダウンサンプリングする手段を実装し得る。
例示的なアーキテクチャの数学に移って、フィルタWの設計、データ行列B、及びデシメーション演算について以下に説明する。一部の態様において、フィルタWを設計するプロセスは、(1つ以上の)干渉の方向、すなわち、θ、i=1,…,Iを使用し得る。干渉の方向は、先立つ計算によって知ることができ、例えば、MUSICプロセスなどのデジタルプロセスの使用によってなど、数あるプロセスのうちのいずれかで決定され得る。下三角行列Lとスケーリングベクトルαを次のように定義する。
Figure 2024059722000045
すると、フィルタ係数行列:
Figure 2024059722000046
は、次式のように決定されることができ、
Figure 2024059722000047
ここで、[・]は疑似逆演算子であり、×を○で囲んだ記号はクロネッカー積を表す。オーバーサンプリングレートをKによって表記すると、K≧2の場合、
Figure 2024059722000048
は次のように形成され得る。
Figure 2024059722000049
Kが高いほど、BERにとっては良く、消費電力には良くない。従って、受信器アンテナの数はNr、干渉の方向はθ、i=1,…,I、オーバーサンプリングレートはK≧2とし得る。
次に、データ行列:
Figure 2024059722000050
の構造を提示する。メモリの初期状態はゼロ、すなわち、b[0]=0に設定され得る。オーバーサンプリングレートKに対して、K-1個のサンプルが各アンテナ出力から使用され得る。データベクトルb[i]は、
b[i]=[b[n],b[n],…,bNr[n]]
として表記されることができ、ここで、iは(n modulo K)であり、b[n]はm番目の量子化器の出力におけるn番目のデジタルサンプルであり、m=1,…,Nrである。すると、データ行列は次のようになり得る。
Figure 2024059722000051
従って、mod(n,K)=0の場合、干渉が信号よりも大きくて信号部分が無視され得ると仮定して、DAC入力はb[n]とし得る。それ以外の場合には、DAC入力はW(i)とすることができ、ここで、i=mod(n,K)、且つb[i]=[b[n],b[n],…,bNr[n]]である。
デシメーション演算は、オーバーサンプリングされたものであり得る信号をダウンサンプリングするように実行され得る。K個ごとのサンプルの最初のサンプルは大きい干渉を有し得るので、K個ごとのサンプルのうちK-1個のサンプルが使用され得る。図275は、いくつかの態様に従うオーバーサンプリングされた信号を示している。図275に示すように、(n modulo K)≠1を満たすデシメータにおけるサンプルnがゼロで置換され得る。そして、残っている信号が、例えば櫛形フィルタなどのローパスフィルタを用いてダウンサンプリングされ得る。一部の態様において、b>1ビットの量子化器の場合、乗算W(i)は、サンプル当たりO(N )の実乗算及び加算ビットを使用し得る。一方、1ビット量子化器が使用される場合には、実加算がO(N )のみに制限され得る。
第1及び第2のゲインのゲイン制御パラメータは、
c[i]=[c[n],c[n],…,cNr[n]],i=mod(n,K)
g[i]=[g[n],g[n],…,gNr[n]],i=mod(n,K)
として決定され得る。
一部の態様において、ゲイン制御パラメータはシミュレーションによって選択されることができ、あるいは、自動ゲイン制御プロセスを使用してサンプリングの間に見出されることができる。他の態様では、ゲイン制御パラメータは上でのように設定され得る。
図276A及び276Bは、いくつかの態様に従う受信器のフィルタ特性を示している。図276Aに示すように、N=8のアンテナを有する受信器は、K=4で4ビット量子化器のみが使用されるとき、θ=40°及びθ=120°における干渉を最大で-30から-40dBだけ軽減することができる。これは、無限分解能量子化器が使用されるとき、とりわけ余分な電力損失という犠牲の下で、干渉の緩和を約-250dBまで増大させる。なお、アナログビームフォーミングは、所与の方向に対して干渉をキャンセルする柔軟性を持たないことがある(すなわち、アナログビームフォーミングのアレイパターンは、干渉方向に関係なく固定パターンを有するように設計される)。代わりに、アナログビームフォーミングは所望の方向に対してのみビームフォーミングし得る。
図277は、いくつかの態様に従うビームフォーミングパターンを示している。図277は、θ=95°に関するアナログビームフォーミングを示している。見て取れるように、このアナログビームフォーミングは、θ=40°及びθ=120°において、それぞれ、-18dB及び-15dBだけ干渉をキャンセルすることができるのみであり得る。上でのように、アナログビームフォーミングは、柔軟性のないビームパターンを有し得る。
図278は、いくつかの態様に従うBER性能を示している。特に、図278は、アナログビームフォーミング、デジタルビームフォーミング、及び図247に示したアーキテクチャのBER性能を示している。図278に示す異なる受信器アーキテクチャは、110°の所望信号方向及び90°の干渉方向を有するLOSチャネルにおいて受信器にN=8個のアンテナを有し得る。シミュレーション目的で、帯域幅は1MHzとし、干渉及び所望信号が同じ帯域上で通信する。パルス整形フィルタが使用され得る。パルス整形フィルタは、8シンボルのフィルタ長及び0.2のロールオフ係数を有する平方根コサインフィルタとし得る。このシミュレーションでは、SIR=-30dB及びQPSK変調が使用されている。図278に示されるように、アナログビームフォーミングは干渉を-13dBだけ減少させることができるのみであるので、アナログビームフォーミングアーキテクチャは干渉によって完全にブロックされ得る。4ビットADCを有する完全デジタル受信器も、図274のアーキテクチャよりも劣った性能となり得る。何故なら、4ビットADCは強い干渉の下で飽和するからである。一方、図274のアーキテクチャは、量子化の前の干渉ゼロ化により最良の性能となり得る。
図279は、いくつかの態様に従う、受信器において量子化器ダイナミックレンジを低減する方法27900を示している。方法27900は、図274に示した構造によって実行され得る。動作27902にて、複数のビームフォーミングアンテナから複数のビームフォーミングされた信号が受信され得る。ビームフォーミングアンテナは全ての角度にわたって走査して、各角度にビームフォーミング信号を生成し得る。
動作27904にて、各角度の各ビームフォーミングされた信号について、ビームフォーミングされた信号が、ビームフォーミングされた信号のデジタル化及び変更されたバージョンによって補償され得る。この変更は、干渉源及び関連する角度に基づき得る。干渉源の角度は、先に決定されて変更中に使用され得る。これは、ビームフォーミングされた信号が供給される量子化器のダイナミックレンジを低減させ得る。
動作27906にて、補償された信号が更に処理され得る。この処理は、ローパスフィルタ(LPF)を用いて、補償された信号内の量子化雑音を帯域外へと整形することを含み得る。LPF信号のゲインが、量子化器のダイナミックレンジを低減するためにLPF信号を量子化することに先立って調整され得る。ゲインは、予め決定されてもよいし、あるいは、可変であって、チャネル特性に応じて設定されてもよい。
そして、動作27908にて、調整された信号が量子化され得る。量子化分解能は、予め決定されていてもよいし、あるいは、信号タイプ、チャネル、又は他の変数に応じて変化してもよい。
動作27910にて、量子化された信号が変更されて、ビームフォーミングされた信号にフィードバックされ得る。フィードバックループ内で、その係数が干渉源の方向に依存するフィルタを用いて、量子化された信号がフィルタリングされ、そして、フィルタリングされた信号がアナログ信号へと変換され得る。このアナログ信号は、フィードフォワード部分のゲインと同様のゲインに供給され得るが、それらのゲインは互いに独立であるとし得る。一部の態様において、ゲインは異なるタイプ(例えば、固定又は可変)のものであってもよい。補償された信号を量子化する際の分解能、及びフィルタリングされた信号を変換する際の分解能は、互いに独立であるとすることができ、これらの分解能の少なくとも一方は、例えば、所望のビットエラーレート(BER)又はフィルタ特性に依存し得る。
動作27912にて、量子化された信号が更に処理され得る。量子化された信号の処理はデシメーションを含むことができ、例えば、ビームフォーミングされた信号が量子化中にオーバーサンプリングされる場合、量子化された信号は、量子化器によって導入された高調波を別のLPFを用いて除去した後に、ナイキストレートまでダウンサンプリングされ得る。得られた信号が、デシメーションされたか否かにかかわらず、ベースバンドプロセッサに供給され、そこで、ビームフォーミングされた信号のデジタルバージョンを再生するために、補償がデジタル的に反転され得る。得られたデジタル信号が、ベースバンドプロセッサによって信号処理され得る。
通信システムにおいて、受信されたRF信号が、UEでの処理のためにデジタル信号に変換され得るとともに、デジタルデータが、UEからの送信のためにRF信号に変換され得る。受信器チェーン内のADCが、アンテナからのRF信号を受信し、そのRF信号をデジタル信号へと変換し得る。送信器チェーン内のDACが、デジタル信号を受信し、そのデジタル信号を、アンテナからの送信のためにRF信号へと変換し得る。ADCの設計トレードオフは、電力、ADCの分解能、及び信号帯域幅の間の比を組み込んだ性能指数(FoM):
FOM=電力/(ダイナミックレンジ・帯域幅) (7)
によって表され得る。
もうすぐやって来る、mmWave通信を使用し得る規格は、以前の規格とは異なる要件を有し得る。例えば、5Gベースバンド又は802.11ay(WiGig)は、低分解能(4b-7b)で高変換レートのADCを使用し得る一方で、802.11ax WiFiは、中-高(10b-12b)分解能だが低帯域幅のベースバンドコンバータを使用し得る。より多くのチャネル(ADC)をインターリーブすることがFoMを向上させ得る。何故なら、単一のチャネルの変換速度が技術の限界に近づくにつれて、電力と速度とのトレードオフが非線形になるからである。これは、これらの限界で単一のADCの速度における所望の上昇のために不相応に高い電力を要求することになり得る。タイムインターリーブ型ADCは、トレードオフの線形性を維持し、より低レートの単一のADCによって達成可能なFoMを有する高速ADCにつながり得る。
しかしながら、タイムインターリーブ型ADCは、低分解能で高速のADCアーキテクチャにとっては望ましい選択であり得るが、そのようなタイムインターリーブ型ADCの使用は、もっと高い分解能ともっと低い帯域幅とを使用することになるアーキテクチャにとっては適切でないことがある。そのようなアーキテクチャは、設計目標を達成するために、オーバーサンプリング、ノイズシェーピング、及びフィルタリングを使用し得る。ナイキスト信号帯域幅と比較して遥かに高いサンプリングレートを用いるタイムインターリーブ型ADCはオーバーサンプリング機能を備えてはいるものの、オーバーサンプリング及びフィルタリング(ノイズシェーピングなし)を組み込むことは、オーバーサンプリング比ひいてはチャネル数Nchを2倍にするごとに(理論的に)若干3dBの分解能改善を達成するのに限られ得る。このことは、規格ごとに幾つかの異なるタイプの専用ADCを使用し得るものマルチスタンダード受信器に、設計時間、複雑さ及び統合リソース使用の対応するオーバーヘッドを伴って、タイムインターリーブ型ADCを採用することを、制限してしまい得る。
ここに開示される態様は、例えば、複数の規格の通信信号を受信するように構成される受信器の要求を満たすのに十分に柔軟な、再構成可能(リコンフィギュラブル)ADCアーキテクチャ(又はADCシステム-ADCS)を提供し得る。このADCSは、所望に応じて、Nchチャネルのタイムインターリーブ型ADCから、相対的なオフセットを持つNch並列ADCへと再構成され得る。これは、ADCSが、チャネルをタイムインターリーブすることに代えて、チャネルの出力を平均することによって、より低い帯域幅で、より高い分解能を達成することを可能にし得る。一部の態様において、このアーキテクチャによって提供される分解能改善は、チャネル数Nchが2倍になるごとに6dBとなり得る。
図280は、いくつかの態様に従うADCS28000を示している。ADCS28000は、通信システムの受信器、例えばベースバンド又はRFサブシステムといった通信システムの受信器内で使用され得る。ADCS28000は、図3Eに示したADC394に組み込まれ得るが、ADCS28000はそのような組み込みに限定されるものではない。従って、ADCS28000は、例えばUE、eNB、AP又は他の装置などの通信デバイスに組み込まれ得る。ADCS28000は、レガシー(例えば、3G、4G通信)及び次世代規格(例えば、5G通信)などを含め、任意の数の規格に基づく通信が使用される環境で使用され得る。ADCS28000は、タイムインターリーブモード及び平均化モードを含む異なる動作モード間で構成可能であるとし得る。これは、異なる速度対分解能トレードオフ設定点を持つ異なる規格/仕様にADCS28000が適応することを可能にし得る。例えば、平均化は、ADCS速度を低下させるという犠牲の下で精度を向上させることができ、従って、例えば制御シグナリングなどの低めの速度でのシグナリングに適し得る。
ADCS28000は、オーバーサンプリング及びデシメーションのためのサンプリング回路28004を各々が含む複数のNch ADC28002(コアADC(CORE-ADC)とも称する)を含み得る。ADC28002のトポロジーは一般的なものとすることができ、用途(例えば、SAR、パイプライン、デルタシグマ)に基づいて変わることができる。各コアADC28002の入力は、信号・クロック分配回路28008及びTU28006と接続され得る。各コアADC28002の出力は、処理回路28010に供給され得る。各コアADC28002は、Nqビットの分解能、fscの最大速度、及び対応するPcore-ADC@fscの電力消費を有し得る。コアADC28002は、ADC 0からADC Nch-1まで番号を付けられ得る。コアADC28002のサンプリング回路28004は、コアADC28002が信号・クロック分配回路28008からのアナログ入力信号Vin,n及びVin,pをオーバーサンプリング及びデシメートすることを可能にし得る。
信号・クロック分配回路28008は、アンテナ28030からのアナログ入力信号Vin,n及びVin,pを(フロントエンドを通じて)提供されて、コアADC28002の間に分配し得る。アナログ入力信号Vin,n及びVin,pは、ADCS28000とは別個のドライバ回路28020から受信され得る。信号・クロック分配回路28008はまた、局所発振器又は他のタイミング回路(図示せず)からマスタクロック信号(MCK)を提供され得る。MCKは、コアADC28002に付随するタイミング回路(TU)28006に供給されることができ、TU28006は、付随するコアADC28002のみへの配給のためにローカルマスタークロック信号(LMCK)及びシステムクロック(SCK)を生成し得る。MCKはTU28006にて分周されることができ、故に、MCKは、LMCKの整数倍である周波数を有し得る。TU28006の各々によって提供されるLMCK信号は同じあるとし得る。TU28006は、ADCS28000の動作モードに依存するSCKを生成し得る。TU28006は、コアADC 0に付随するタイミングユニットからコアADC Nch-1に付随するタイミングユニットまで一緒に結び付けられ得る。
これら複数のコアADC28002からのデジタル出力がデジタル処理回路28010に供給され得る。処理回路28010は、複数の動作を実行することができ、そのうちの少なくとも一部はADCS28000の動作モードに依存し得る。処理回路28010は、タイムインターリーブモードにおいて、コアADC28002のうち少なくとも一部からのデジタル出力を個別に重み付けしてから加算し得る。一部の態様において、コアADC28002からの入力は、等しくても異なっていてもよいデジタルでプリセットされた重みを有するように重み付けされることができ、処理回路28010が等化器として機能する。一部の態様において、平均化モードにおいて、処理回路28010は、代わりに、コアADC28002のうち少なくとも一部のための多重化されたバッファ28010を提供し得る。一部の他の態様では、処理回路28010は、一部又は全てのコアADC28002の出力をメモリに書き込み得る。
ADCS28000はまた、コントローラ28040からのモード信号を使用して、ADCS28000の動作モードを決定し得る。モード信号は、コアADC28002だけでなく、タイミングユニット28006にも供給され得る。これら複数のタイミングユニット28006は、ともに直列に接続されてもよく、例えば、各タイミングユニット28006にとって適切な時点にLMCKをトリガするために使用され得る。タイミングユニット28006は、例えば、隣接するタイミングユニット28006によってトリガされる1つ以上のラッチを含み得る。各ADC28002は、サンプリング回路28004に関連するサンプリングタイミングスキューと具体的なオフセットとを特徴付け得る。モード信号は、動作構成を設定するプリセットビットとし得る。コントローラ28040は、一部の態様において処理回路28010とすることができ、他の態様では、別のプロセッサとすることができる。
一部の態様において、モード信号は、2つのモードのうちのどちらでADCS28000が動作すべきか、ひいては、どのADC構成を使用すべきかを指し示す単一ビットとし得る。一部の態様において、モード信号は、いくつのコアADC28002を使用すべきかを指し示す1つ以上の追加ビットを含み得る。一部の態様において、限られた数のコアADC28002が使用される場合、モード信号内のそれら追加ビットは、コアADC28002のうちのどれを使用すべきかを指し示し得る。例えば、コアADC28002は一部の態様では隣接し合うことができ、従って、並びのADC番号を有し得る。この場合、一部の態様において、モード信号はまた、使用する連続したコアADC28002のうちの最初のものを指し示すオフセットビットを有し得る。一部の態様において、モード信号はまた、コアADC28002の各々についての、そのコアADC28002を使用するかを指し示すビットを有し得る。一部の態様において、タイムインターリーブモードで使用されるコアADC28002は、例えば、複合タイムインターリーブ信号のタイミングが一様になるように、均一に分散されて(例えば、1つおきのコアADC28002)、コアADC28002のタイミングを同じに保ち得る。これは、例えば、一部の態様において、使用されるコアADC28002の数、ひいては、電力消費を減らすために使用され得る。
一部の態様において、コアADC28002は、モードとは無関係に固定ビットの分解能を有し得る。コアADC28002は、例えば8-12ビットの分解能を有し得る。一部の態様において、コアADC28002はモードに依存する可変分解能を有し得る。この場合、コアADC28002の分解能は、並列演算(平均化モード)で、より多くのビット数(例えば8-11ビット)を有し、直列演算(タイムインターリーブモード)で、より少ないビット数(例えば1-3ビット)を有し得る。
一部の態様において、より高い分解能、より低い帯域幅での動作のための平均化モードADC構成と、より低い分解能、より高速な動作のためのタイムインターリーブモードADC構成との間で、ADC構成を調整する手段が、ADCS28000によって実装され得る。一部の態様において、図示のように、ADCS28000は更に、例えば処理回路28010により、平均化モードADC構成においてコアADC28002からの出力の平均を取って、平均化されたADC出力を生成する手段と、タイムインターリーブモードADC構成においてコアADCからの出力を結合して、タイムインターリーブされたADC出力を生成する手段とを実装し得る。
一部の態様において、図示のように、ADCS28000は更に、例えば信号・クロック分配回路28008、TU28006及びコントローラ28040により、タイミングユニット28006に供給されるマスタークロック信号に基づいて、システムクロック信号及びローカルマスタークロック信号を各コアADC28002に提供する手段と、ADC構成に応じてシステムクロック信号を調整する手段とを実装し得る。一部の態様において、図示のように、ADCS28000は更に、例えばコントローラ28040及びADC28002により、ADC構成に応じてコアADC28002の分解能を調整する手段、及び/又は、例えばTU28006、コントローラ28040、及びサンプリング回路28004により、入力信号を量子化して量子化された信号を生成するのに先立って、コアADC28002の各々への入力信号をオーバーサンプリング及びデシメーションする手段、のうちの1つ以上を実装し得る。
図281A及び281Bは、いくつかの態様に従うADCS28100の異なる動作モードを示している。図281Aに示すように、ADCS28100は、タイムインターリーブモードで動作し得る。タイムインターリーブモードは、例えば単一ビットによる、タイミングユニット28106及びコアADC28102へのモード入力によってシグナリングされ得る。タイムインターリーブモードは、高い帯域幅Nch・fsc/2又はNch・fscの変換速度、及びNq(又はそれ以下)の分解能のアナログデジタル変換をADCS28100が達成することを可能にし得る。
タイムインターリーブモードにおいて、SCKは、コアADC28102に順番に供給され得る。例えば、ADC N+1用のSCK信号がADC N用の信号の直後に発生し、最終的にコアADC 0用のSCK信号がコアADC Nch-1用の信号の直後に発生するまで繰り返され得る。従って、タイムインターリーブモードでは、各チャネルが、グローバルクロックMCKレートで変換サンプルを提供し得る。タイムインターリーブモードにおいて、コアADC28102からの出力は、メモリ/バイパス(処理回路)28110に提供されることができ、それが、例えばパラレルインシリアルアウト(PISO)シフトレジスタなどのバッファ、メモリ又はバイパスとして動作して、コアADC出力をADCS28100の出力として提供し得る。
図281Bに示すように、ADCS28100はまた、平均化モードで動作し得る。平均化モードは、TU28106及びコアADC28102(符号付けは図281Aに示されている)へのモード入力によってシグナリングされ得る。平均化モードにおいて、各コアADC28102は特定のオフセットを有し得る。平均化モードでは、信号・クロック分配回路28108が、図280に示したように信号を供給して、ADCS28100は、コアADC28102を並列にして動作し、チャネル間のオフセット及びタイミングスキューを利用して、fsc/2に等しいナイキスト帯域幅又はfscの変換速度を上回るNqよりも高い分解能(最大でNq+3.32log10(Nch))を達成し得る。図示のように、各コアADC用のSCKが同時に同じ周波数で発生し得る。従って、平均化モードでは、各チャネルが、ローカルクロック(SCK、LMCK)を用いてfsc=fMCK/Nchで同時に動作し得る。コアADC出力が処理回路28110に提供され、処理回路28110が、コアADC28102からの信号を重み付けして出力を等化するように動作して、コアADC出力をADCS28100の出力として提供し得る。一部の態様において、コアADC出力を平均して、ADCS出力を生成し得る。処理デジタル回路は、例えば、TIモードでは、パラレルインシリアルアウト(PISO)シフトレジスタなどのシフトレジスタ、メモリ若しくはバイパスとして、又はAVGモードでは加算器若しくは等化器として働くことができる。
ADCSの再構成可能性によって可能にされる、制御された相対オフセットを有するNch並列チャネルの平均化動作モードは、タイムインターリーブアーキテクチャを用いた、同等の、Nchによるオーバーサンプリングよりも、良好な分解能を達成することができ得る。Nchタイムインターリーブ型オーバーサンプリングシステムでのADCナイキスト周波数fsc/2に等しい帯域幅を持つ信号についての分解能改善は、
△SNDRoversampling=10log10(Nch
となり得る。
一部の態様において、Nch並列チャネル平均化システムでは、分解能改善は、
△SNDRavg=20log10(Nch
に至ることができる。
一部の態様において、システム電力はこれら2つの構成間で変わらないとし得る。システム電力(Psys)は、フルレートで動作しているとき、一次では:
sys=Nch×Pcore-ADC@fsc
によって与えられる。
例えばスキュー、微分非直線性(DNL)又は積分非直線性(INL)などの、較正されていない誤差源が存在しても、平均化技術は、タイムインターリーブモードで動作する同数のチャネルの較正済みのオーバーサンプリングコアADCの分解能改善と同等の分解能改善を提供し得る。しかしながら、オーバーサンプリング式のコアADCとは異なり、ADCSは、例えば少なくとも最小振幅を有するように入力信号を制約するといったことを回避し得る。
なお、相関のない雑音源は二乗和平方根(RSS)ベースで加わるが、信号電圧は線形ベースで加わる。従って、複数のコアADCの平均をとることはSNRを高め得る。図282は、いくつかの態様に従うコアADC平均化を示している。相対的なオフセットを有するM個の等しいコアADCの平均した変換特性のLSBは、単一のコアADCのLSBのそれよりもM倍小さくなり得る。図282は、一方が所定量のオフセットを有する2つの量子化器を用いたシミュレーションを示しており、単一チャネルの分解能と比較して、分解能が高められることが明瞭に示されている。
さらに、一部の態様において、相対的なオフセットを有する複数の並列コアADCの出力を平均することによって、チャネル数Nchを2倍にするごとに最大で1ビットの分解能を増やすことが可能である。一部の態様において、コアADCのナイキスト周波数に等しい帯域幅を持つ信号をオーバーサンプリングするNchタイムインターリーブ型ADC構成を採用することから得られる最大の分解能改善は、次のとおりである:
△SNDRoversampling,max=10log10(OSR)
=10log10(Nch) (α)
これは、オーバーサンプリングレート(OSR)ひいてはチャネル数を2倍にするごとに3dB(ハーフビット)と等価である。代わって、一部の態様において、相対的なオフセットを有するNch個のコアADCを平均することによって得ることができる最大の分解能改善は、次のとおりである:
△SNDRavg(OS),max=20log10(Nch
=2△SNDRoversampling,max (β)
△ENOBavg(OS),max=3.32log10(Nch
≒2△ENOBoversampling,max (γ)
式(β)は、式(α)と比較して、より良好な分解能改善を目立たせており、斯くして示されることには、チャネル間のオフセットを用いる平均化技術が、単一量子化器限界を超えて分解能を改善するためのより効率的な手法であり、この再構成可能アーキテクチャは固定的な(タイムインターリーブのみの)ものよりも有益であり得る。実際、一部の態様においてシステム電力はこれら2つの構成の間で変わらないとし得るので、システム電力(Psys)は、一次では:
sys=Nch×Pcore-ADC@fsc
となり得る。
また、平均化アーキテクチャは、タイムインターリーブアーキテクチャに対して、タイミングスキュー及びDNL/INLミスマッチの影響を受けにくいとし得る。実際、例えばチャネル間のタイミングスキュー及びDNL/INLなどの、較正されていない誤差源の存在下であっても、平均化はなおも、オーバーサンプリングと同等の分解能改善を達成し得る。量子化器及びシステム出力の較正が適用されてもよい。一部の態様では、稼働環境での実装に先立って較正を適用することができる。一部の他の態様では、較正は、回路動作と同時に適用されて、バックグラウンドで走り得る。較正は、コントローラ28040を含むフィードバックループを介して実行されることができ、コントローラ28040が、処理回路28010からのADC出力に基づいて、所望の動作点及び性能に近づくようにADCS28000を調整するための適切な設定ビットを計算し得る。
図283は、いくつかの態様に従う平均化システムの分解能改善を示している。このADCSのシミュレーションは、各チャネルに中程度の分解能(例えば、9ビット)を有する理想的な量子化器を使用した。コアADCは、1GS/sにおいて、及び180MHz入力信号(例えば、IEEE802.11ax推定仕様を用いる)に対して、異なるオフセットを有し得る。結果は、異なるチャネル数Nch=2、5、10に対するLSBのSNDRの変動を示している。
図283の結果は、チャネル数とは無関係に、分解能の改善が存在することを示している。さらに、図283にて見て取れるように、LSBコア/Nchの倍数であるオフセット値に関して、その改善は、平均されるチャネルの数に等しい単純なオーバーサンプリングファクタによって達成可能なものよりも高くなり得る。図示のように、その改善は、例えば、Nchが2倍になるごとに6dBに等しいとし得る。LSB/Nchよりも多い相対オフセットを特徴とするNch=10チャネルの平均化ADC動作(Verilog-Aモデル)のCadenceモデル(Verilog-A及び回路図構築ブロックを使用)シミュレーション結果は、20dBの分解能改善を示しており、Nchによる同等のオーバーサンプリングが達成するであろうものよりも10dB良好である。較正されていない誤差源(DNL/INL、チャネル間に2psスキュー、ゲインミスマッチ)の存在下での、α・LSB9b/Nch(αは整数)に等しい工学設計オフセットを持つ10チャネル/9ビットコアADC平均化構成の他のモンテカルロ統計シミュレーションは、0.1LSBのDNL/INL標準偏差、チャネル間の1%のゲインミスマッチ、及び最大で9/9.5dB分解能改善を示しており、故に、較正済みのオーバーサンプリング技術のものと同等である。
図284は、いくつかの態様に従うフレキシブルなADCアーキテクチャを提供する方法28400を示している。方法28400は、図280に示した他の回路と共にコントローラ28040によって実行され得る。動作28402にて、平均化モードADC構成とタイムインターリーブモードADC構成との間でADC構成が調整(又は選択)され得る。平均化モードは、例えば、高分解能、低帯域幅動作に使用され得る。タイムインターリーブモードADC構成は、例えば、コアADCからの出力が平均化される、より低い分解能、より高速の動作のために使用され得る。選択されたモードとは無関係に、タイミングユニットに供給されるマスタークロック信号に基づいて、システムクロック信号及びローカルマスタークロック信号が各コアADCに提供され得る。システムクロック信号は、ADC構成に応じて調整され得る。一部の態様において、システムクロック信号は、ADC構成を指し示すモード信号に基づいて調整され得る。モード信号は、例えば、ADC構成を指し示す単一ビットと、コアADCのうちいくつを使用すべきかを指し示す少なくとも1つの追加ビットとを有し得る。コアADCの分解能はADC構成に応じて調整され得る。量子化において、アナログ入力はオーバーサンプリング及びデシメーションされ得る。
動作28404にて、平均化モードADC構成にあるコアADCからの出力が平均されて、平均化されたADC出力が生成され得る。そして、平均化されたADC出力が更に処理され得る。この処理は、コアADCの各々からの量子化された信号をバッファリングすることを有し得る。
動作28406にて、タイムインターリーブモードADC構成にあるコアADCからの出力が結合されて、タイムインターリーブされたADC出力が生成され得る。そして、上でのように、タイムインターリーブモードADC出力が更に処理され得る。量子化された信号は、ADC構成に応じて異なるように処理され得る。この処理は、コアADCの各々からの量子化された信号を等化することを有し得る。
ビームフォーミングに使用される方法及びビームフォーミング位置は、受信器及びシステム性能の双方に広範な意味合いを持ち得る。デジタルビームフォーミングに対する(RF又はIFのいずれかでの)アナログビームフォーミングの選択は、最終的に、受信器の線形性、ブロッカ除去、ADCのダイナミックレンジ、及び電力消費におけるトレードオフを表し得る。
デジタルビームフォーミングは、NLOS環境における制御プレーンレイテンシ及び実効SNRに関して利点を有し得る。デジタルビームフォーミングはビーム整形における柔軟性を提供し得るが、それは送信器RFチェーンとアンテナとの間の一対一対応という犠牲の下でそうすることができる。特に、デジタルビームフォーミングでの電力消費は、部分的に、それらのうちの1つが各RFチェーンに使用され得るものである多数のADC及びDACに起因し得る。特に、ADC及びDACの電力消費は、サンプリングレートとともに線形に増加し、また、サンプルあたりの分解能ビット数とともに指数関数的に増加し得る。ADCの数量及び分解能は、データコンバータ、及びそれに付随した、ベースバンドプロセッサへのデータリンクに、かなりの電力消費が生じさせ得る。また、特に、1つ以上の強力な干渉源が存在するとき、ADCのダイナミックレンジが問題となり得る。干渉を処理するのに十分なダイナミックレンジをADCが有することが望ましいとし得るが、ADC入力は空間的な干渉除去を欠いている。
アナログビームフォーミングを組み込み得るものであるハイブリッドビームフォーミングは、電力消費の問題をある程度まで抑制又は軽減し得るが、個々のアンテナ信号をデジタル処理からマスキングすること、並びに、制御レイテンシ及びNLOS欠損への追加となることという犠牲を伴う。あるいは、典型的に使用される高分解能ADCではなく、低分解能ADC(例えば1-3ビットADCなど)でのデジタルビームフォーミングの使用は、ADC及びデジタル処理の電力消費を低減させ得るが、高SNRの状況においてスループットの犠牲を伴う。
一部の態様において、使用されるADC仕様、特にダイナミックレンジが、デジタル処理のために個々のアンテナ信号を保持しながら、アナログ加算によって低減され得る。特に、動作するADCの数量の動的調整は、信号の状態及びシステムの活動に依存し得る。一部の態様において、空間的な干渉除去のために複数素子にわたるアナログ加算が使用され得るが、高速な制御プレーン動作のためにデジタルドメインでは可逆的なやり方にされ得る。従って、一部の態様において、制御プレーン性能がデジタルビームフォーミングの性能と合致し、故に、制御プレーンレイテンシがアナログビームフォーミングでのものよりも小さくなり得る。さらに、アナログビームフォーミングにおいて存在するアナログ位相シフタ及び同相/直交位相(I/Q)不均衡補償回路の使用を回避することができ、すなわち、一部の態様において、このアーキテクチャは、I/Q合成を回避して、スイッチング信号極性を当てにするのみとし得る。また、デジタルビームフォーミングの、低い制御レイテンシ、高い実効SNR、高い非ラインオブサイトスループット及びMU-MIMO能力で、空間的な干渉除去と、より少ないADCがアナログビームフォーミングに使用されることとが達成され得る。
図285は、いくつかの態様に従う受信器アーキテクチャ28500を示している。受信器アーキテクチャ28500は、図3Eに示した並列受信回路382に組み込まれ得るが、受信器アーキテクチャ28500はそのような組み込みに限定されるものではない。受信器アーキテクチャ28500は、UE、NB、AP又は他の通信デバイスの中に配置され得る。通信デバイスは、便宜上図示していないが、例えば送信器回路などの他の回路を有し得る。アーキテクチャ28500は、RFフロントエンド28502、乗算器28504、コンバイナ28506、可変ゲインコントロール28508、ADC28510、及びベースバンドプロセッサ28520を含む受信器回路を有し得る。例えばローパスフィルタなどの他の要素も設けられ得るが、便宜上、図示していない。RFフロントエンド28502の出力が、RFフロントエンド28502と接続されたアンテナ28530のアンテナ素子(図示せず)からの出力s[t]、i=1,…,Nを提供し得る。
複数の乗算器28504と共に、各ADC28510が、異なるコンバイナ28506及び可変ゲインコントロール28508に付随させられ得る。各乗算器28504は、RFフロントエンド28502からの異なる出力s[t]、i=1,…,Nと結合されるとともに、それらの信号を重み付けるために使用される個々の信号重みwij、i,j=1,…,Nを有し得る。一部の態様において、信号重みは-1又は1のいずれかの値を取り得る。重みをこれらの値に制限することは、より容易な計算をもたらし得るが、一部の態様では、重みは他の整数又は非整数の実数若しくは複素数を取り得る。異なるADC28510が異なる量の干渉を見ているとき、異なる重み付けが使用され得る。重み付けは、所望信号の信号対干渉雑音(SINR)を最大化又は増大させるために所望信号及び干渉信号の条件(又は他の変数)に応じて適応的であるようにされることができ、あるいは、固定にされ、故に、信号及びチャネルの状態とは無関係にされてもよい。
従って、各アンテナ素子について、コンバイナ28506にて、特定のアンテナ素子からのアナログ出力が、他のアンテナ素子各々からの重み付けされたアナログ出力と結合され得る。コンバイナ28506からの結合された出力が、可変ゲインコントロール28508に供給され得る。可変ゲインコントロール28508は、ADC28510への入力であるv[t]、i=1,…,Nを調整するためのゲインg、i=1,…,Nを提供し得る。
可変ゲインコントロール28508は、ADC28510への提供に先立って、可能性ある干渉源に関する可逆的なアナログ補償を提供することによって、ADC28510のダイナミックレンジの低減を可能にし得る。ADC28510のダイナミックレンジは、所望のアレイ干渉除去及び角度分解能に従って、ベースバンドプロセッサ28520(又は別のプロセッサ)によって選択され得る。より小さな角度を分解するため、より大きなアレイ又はより大きなADCダイナミックレンジが使用され得る。ADC28510からの出力がベースバンドプロセッサ28520に供給され、そこで、コンバイナ28506によって提供されるアナログ補償がデジタル的に反転され得る。この反転は、一部の態様において、ADC28510の分解能に制限され得る。
一部の態様において、アナログ加算は、電流モード加算を用いて実装され得る。他の態様では、他の信号加算方法が使用されてもよい。使用される加算方法は、所望の電力及び性能を満たすものとし得る。図示のように、一部の態様において、図285の構成は、アナログビームフォーミングで使用されるアナログ位相シフタ及びI/Q不均衡補償回路の使用を削減又は排除し得る。
一部の態様において、アンテナの複数のアンテナ素子からビームフォーミングされた信号を受信する手段が、受信器アーキテクチャ28500によって実装され得る。一部の態様において、図示のように、受信器アーキテクチャ7200は更に、例えば乗算器28504及びコンバイナ28506により、ビームフォーミングされた信号をADCに提供するのに先立って干渉信号に関して補償する手段と、例えばADC28510により、補償された信号を量子化する手段と、例えばベースバンドプロセッサ28520により、量子化された信号を処理するのに先立って、補償を反転させる手段とを実装し得る。
一部の態様において、図示のように、受信器アーキテクチャ28500は更に、例えばベースバンドプロセッサ28520により、所望信号若しくは干渉信号又は量子化された信号の処理中のチャネルサウンディングのうち少なくとも1つの方向を決定する手段を実装し得る。一部の態様において、図示のように、干渉信号に関して補償する手段は更に、例えばコンバイナ28506により、ビームフォーミングされた信号の各々の重み付けされたコピーを結合する手段を有し得る。一部の態様において、図示のように、受信器アーキテクチャ28500は更に、例えば、可変ゲインコントロール28508により、ADC28510の対応するADCに供給される信号の電力レベルを正規化するように、各補償された信号の可変ゲインを調整する手段、特定の動作中に使用するADC28510の数を調整する手段、及び/又は、例えばベースバンドプロセッサ28520により、所望のアレイ干渉除去及び角度分解能に応じて各ADC28510のダイナミックレンジを選択する手段、のうちの少なくとも1つを実装し得る。
一部の態様において、ADC28510の数は、例えばADC28510の全てではないといった、利用可能なADC28510のうち所定の数又はパーセントに制限され得る。ベースバンドプロセッサ28520が、使用されるADC28510の数を選択し得る。ベースバンドプロセッサ28520は、例えば、とりわけ、制御プレーン動作、所望方向及び干渉方向の発見、又はチャネルサウンディングのために全てのADC28510をイネーブルにし得る。一部の態様において、ベースバンドプロセッサ28520は、使用されるADC28510の数を、例えばアクティブリンクにおいて利用可能なADC28510のサブセットに制限し得る。
数学的に、上述の動作は、以下に示すようにベクトルを用いて記述され得る。特に、ベクトルs(アンテナ出力)及びv(ADC入力)は、それぞれ、要素s及びvで構成され、W(重み付け)はwij要素で構成される重み付け行列であり、Gはg要素で構成されるテプリッツ行列(可変ゲインコントロール)である。アレイサイズNは、所望のアレイゲイン、干渉除去、及び電力量に応じて選択され得る。
Figure 2024059722000052
ADC入力vは、sの行列変換:
v=sWG
として表されることができる。
オリジナルのアンテナ信号はvから、
s’=v pinv(WG)
として計算されることができ、ここで、pinvは行列の擬似逆行列である。アナログ行列変換を基本的に反転させてオリジナル信号の処理を可能にするために、ベースバンドプロセッサによる使用のために、デジタルドメインで、vの量子化バージョンが利用可能であり得る。特に、所望信号及び干渉源の方向を、s’を用いて見出すことができる。様々な信号の方向を見つけることには、様々なプロセスが使用され得る。そのようなプロセスの一例は、MUSICプロセスとし得る。ADCの前でのアナログ加算の使用は、ADCに空間的な干渉除去を依然として提供しながら、個々のアンテナ素子信号を保持することを可能にする。
一部の態様において、行列変換は静的なままとし得る。これが言わんとすることは、通信デバイスのメモリに記憶され得るものである重み付けが、干渉とは無関係に同じままであり得るということである。他の態様では、重み付けは動的であって干渉に依存し得る。この場合、RFフロントエンドからの信号のサンプリングが周期的に実行され、行列係数を調整するために使用され得る。一部の態様において、ベースバンドプロセッサは、どのADCが最も低いSNRを有するかを決定し、可能であれば干渉源を単一のADCに押し付け、そして、それに従ってアンテナ素子からの信号を重み付け得る。
所望信号及び(1つ以上の)干渉信号の方向の知識を用いて、ベースバンドプロセッサは、SINRを増大又は最大化させるためにイネーブルにすべき1つ以上のパスを選択し得る。図286は、いくつかの態様に従う空間応答のシミュレーションを示している。この空間応答は、4素子線形アレイについてのものであり、アダマールの重み付けを使用し得る。一部の態様において、最大化SINRのパスの決定後、ベースバンドプロセッサは電力を節減するために不使用パスのADCをディセーブルにし得る。このシミュレーションに示されるように、所望の方向は0°である。
図287は、いくつかの態様に従うBERのシミュレーションを示している。特に、図287は、16QAMでの、8素子アレイ、4ビットADC、20dBの信号/干渉比について、図285に示した設計での例示的なBER性能を、アナログ及びデジタルのビームフォーミング構造に対して示している。所望の方向は、図286に示したものと同様に0°であり、干渉方向は20°である。見て取れるように、アナログBERは、アンテナ当たりのSNRに関係なく、基本的に一定のままであるのに対し、デジタルBERは着実に減少し、最終的には頭打ちになる。一方、図285に示した設計に関する例示的なBER性能は、低SNRではデジタル性能と一致し、この例ではSNRが高まるにつれてBERが急速に低下する。
一部の態様では、アダマール行列以外の行列が使用されてもよい。図288は、いくつかの態様に従う干渉除去のシミュレーションを示している。このシミュレーションは、8要素アレイ及び適応重み行列Wを有する4ビットADCについてのものとし得る。図288は、アダマールの重み付けと、やはり集合{-1,+1}内の重みに制約された最適化されたコードブックとについて、方位角に対する干渉除去のシミュレーションを示している。どちらのコードブックも、全ての角度で12dBよりも大きい干渉除去を提供している。これは、ADC量子化における2ビットの削減を可能にし得るものであり、それにより電力消費を低減させ得る。
図289は、いくつかの態様に従う、受信器において量子化器ダイナミックレンジを低減する方法28900を示している。方法28900は、図285に示したRFフロントエンド28502、乗算器28504、コンバイナ28506、可変ゲインコントロール28508、ADC28510及びベースバンドプロセッサ28520によって実行され得る。動作28902にて、RFフロントエンド28502で、アンテナ28530の複数のアンテナ素子から、複数のビームフォーミングされた信号が受信され得る。各ビームフォーミングされた信号が、所望信号と干渉信号とを含み得る。使用するADC28510の数が、とりわけ、チャネル状態又は信号タイプに応じて調整され得る。
動作28904にて、ビームフォーミングされた信号を量子化するのに先立って、干渉信号に関して補償が行われ得る。この補償は、コンバイナ28506で、ビームフォーミングされた信号の各々の重み付けられたコピーを結合することを有し得る。この重み付けは、その重み付けが固定される可逆的なアナログ加算重み行列によって規定されてもよいし、あるいは、例えば所望信号のSINRなどの信号品質を最大化するように所望信号及び干渉信号の条件に依存してもよい。一部の態様において、アナログ加算重み行列はアダマール行列を有し得る。一部の態様において、補償された信号が各々、異なるADCに提供され得る。一部の態様では、補償された信号の一部又は全てが、1つ以上の同じADC28510に提供され得る。
次いで、動作28906にて、補償された信号がADC28510で量子化されて、量子化された信号が形成され得る。量子化に先立って、ADC28510のうち対応するADCに供給される信号の電力レベルを正規化するために、各補償された信号の可変ゲインが調整され得る。補償された信号を量子化することは、所望信号及び/又は干渉信号又はチャネルサウンディングのうちの少なくとも1つの方向を決定することを含み得る。
量子化された信号は、ベースバンドプロセッサ28520に供給され得る。動作28908にて、ベースバンドプロセッサ28520が補償をデジタル的に反転させ得る。ベースバンドプロセッサ28520は、その後、ビームフォーミングされた信号のデジタルバージョンを更に処理し得る。
本開示の一部の態様は、概して、外部試験機器を使用しないタイムインターリーブ型アナログデジタル変換器(ADC、まとめてTI-ADC)のためのループバックベースの時間スキューキャリブレーションに関する。TI-ADCは、無線周波数トランシーバで使用され得る。
最新の遠隔通信デバイスが動作する周波数は、関連するハードウェアコンポーネントに伴う困難を生じさせ得る。そのようなデバイスで信号を処理するために使用されるADCは、単一のADCの能力を超えてしまい得る。これに対処するために、共通のクロックによって制御されて、インターリーブされて順次トリガされ得る別々のチャネル内の多数のADC回路を使用することが可能であり得る。
チャネル要素が全て同じであったとすれば、これらのコンポーネントは全て同じように振る舞うことになる。しかしながら、実世界のコンポーネントは製造プロセスに起因して多少の違いを有しており、それが代わって、TI-ADCで使用されるチャネル間にミスマッチを生じさせて、例えば信号対雑音比(SNR)及びスプリアスフリーダイナミックレンジ(spurious free dynamic range;SFDR)を低下させるなど、システムの性能を低下させる。チャネル間には、例えば、1)DCオフセットミスマッチ、2)ゲインミスマッチ、3)時間スキューミスマッチ、及び4)帯域幅ミスマッチなどの、異なるタイプのミスマッチが現れ得る。本開示の一部の態様は、例えばループバック方式を使用することによって、外部試験機器(TE)を使用せずに時間スキューミスマッチに対処するために、どのように時間スキューを較正するかを検討する。
図290は、M個の並列低速ADCチャネル(例示目的で、チャネルA CH-A、チャネルB CH-B、及びチャネルC CH-Cという3つのチャネルが示されている)を用いて高速変換を達成するタイムインターリーブ型アナログデジタル変換器(TI-ADC)アーキテクチャ29000の一例のブロック図である。TI-ADCは、上述のADC回路394であってもよいし、あるいは、異なる構成を有していてもよい。アナログ入力29010が、共通のクロック29025を使用して、3つの異なる位相時間φ0、φ1、及びφM-1でそれぞれサンプリングされ得るM個の異なるサンプル・アンド・ホールド回路29020A、29020B、29020Cに提供され得る。サンプリングされた信号が、アナログデジタル変換器(ADC)29030A、29030B、29030Cに提供され得る。そして、マルチプレクサ29040を用いてデジタル信号が結合され、デジタル出力信号29050が生成され得る。
図291は、どのように全てのチャネルが、M個の均一間隔の位相で、同じサンプリング周波数FS(又は、図示のように、その反転TS)で動作するかを示すタイミング図29100である。各位相のφサンプル・アンド・ホールドは時間TSだけ続き(すなわち、m番目の位相ではmTS)、全て位相の全体のサンプル時間はnMTSである。従って、φ0のサンプルは時刻T0で始まり、φ1のサンプルは時刻T1で始まり、等々で、時刻Tnサイクルが繰り返す。全体でのサンプリング周波数はMFS(これは1/MTSである)に等しい。
図292は、ループバック設計を有するトランシーバ29200の一例を示すブロック図である。リファレンス信号発生器29205が、例えば正弦波又は複素指数関数信号とし得るリファレンス信号29207を発生し、それが、単側波帯(シングルサイドバンド;SSB)生成器29210の入力に提供され得る。SSB生成器29210は、I(同相)及びQ(直交)成分又はサブチャネルを有する直交出力を生成し得る。これらの成分が、それぞれのデジタルアナログ変換器(DAC)29220I、29220Qの入力に提供され得る。DAC29220I、29220Q出力がIQ変調器29230のそれぞれの入力に提供され、IQ変調器29230が、その信号を送信パス増幅器29240に、そして送信(TX)パスに提供する。一態様において、増幅器29240は中間周波数(IF)増幅器とし得る。
送信パス増幅器29240の入力に提供された信号は、リファレンス信号29207を含めて送信信号を受信器に導くものであるループバック接続29250を介して、対応する受信パス増幅器29260の出力に接続され得る。他の一構成において、ループバック接続29250は、送信パス増幅器29240の出力と受信パス増幅器29260の入力とに接続されてもよい。これらの構成において、ループバック接続29250は、増幅器29240、29260のすぐ近隣にある。しかしながら、ループバック接続29250に関する他の配置も可能である。例えば、ループバック接続29250は、IQ変調器29230及びIQ復調器29270の前に適用されてもよく、この構成では別々のループバックラインが使用され得る。
結合された受信パス信号及びループバック信号がIQ復調器29270に供給され、それが信号をそれぞれのI成分及びQ成分に分解する。これらの信号が、図1に関して説明したように、クロック29025によって制御されるそれぞれのサンプル・アンド・ホールド回路(例えば、図示されたチャネルA用)29020AI、29020AQの入力に提供され、次いで、それぞれのADC29030AI、29030AQに提供され、そして、得られたアナログ信号が、リファレンス信号29207の位相を推定するために、各信号用の位相推定器29290I、29290Qに提供され得る。この推定された位相から、I及びQサブチャネルの時間スキュー:
Figure 2024059722000053
が計算され得る。これらの推定時間スキューを用いて、クロック29025によって生成される信号を調整するそれぞれの補正回路29280I、29280Qによって、信号が補正され得る。これは、キャリブレーション信号を生成するためのループバックを使用することによって、追加のADCを使用しないキャリブレーションを可能にする。デバイスがIQ不均衡キャリブレーション用の位相シフタループバックを既に有している場合には、一部の態様において、このキャリブレーションを実装するための如何なる追加ハードウェアも使用しないとし得る。
以下の説明は、例として、時間スキューの決定及び補正を行う際に使用され得る分析を提供する。
1つのADCの場合、デジタル送信器のリファレンス信号発生器29205は、その出力が正弦波信号であり得るように構成され得る(単一のDAC29220(例えば、29220I又は29220Qのうちの1つで十分であり得る))。m番目のADCチャネルの出力は、
[n]=s(nMT+mT+τ
とすることができ、ここで、
m:チャネル番号
nMT:ADC全体(集合でのADCチャネル)のサンプリング間隔
mT:1つのチャネルのサンプリング間隔
τ:m番目のADCチャネルの時間スキュー
s(t):ADCへのアナログ信号入力
である。
この場合、(それをチャネルに分割する前の)リファレンス信号についてのs(t)は、
s(t)=Asin(2πft+θ)
によって与えられることができ、ここで、
f:正弦波周波数
θ:正弦波の位相
A:正弦波の未知の振幅
である。
リファレンス信号29207を複数のチャネルに分割した後、s(t)はs(nMT+mT+τ)によって置き換えられ、従って、m番目のADCチャネルの出力は、
[n]=Asin(2πf(nMT+mT+τ)+θ)
=Asin(wn+θ[m])
とすることができ、ここで、
Figure 2024059722000054
である。
位相θ[m]は、ADCチャネル当たりNサンプルと仮定して、
Figure 2024059722000055
によって推定され得る(これはタイミングスキュー(キャップτ(^付のτ、以下同様)の導出を可能にする)。
単純化のために2πfTmを減算し、
Figure 2024059722000056
を用いることによって線形トレンド除去を適用する。
Figure 2024059722000057
の平均として、
Figure 2024059722000058
を計算し、次に、時間スキュー推定:
Figure 2024059722000059
を計算する。
時間スキュー補正が、例えば、ADCチャネルの各々の入力における信号を遅延させるデジタル制御遅延線によって、又はADCチャネルの各々の出力のデジタル補正によって実行され得る。
(I及びQチャネル用の)2つのADCの場合には、
(t)=Acos(2πft+θ),
(t)=Asin(2πft+θ)
の形態の複素指数リファレンス信号が使用され得る。
使用される手順は以下のようになり得る:
1. ADCチャネルの各々について、1つのADCの場合と同じアプローチを用いて、受信された正弦波/余弦波の位相が推定され得る。
2. キャップθ[m]推定の全てが1つの線上に置かれ、そして、キャップθ[m]推定が、キャップθ[m]の線に対してπ/2ラジアンのオフセットを持つ別の線上に置かれる。これを補正するため、キャップθ[m]からπ/2ラジアンを引く。その結果が、キャップθ[m]、キャップθ[m]と表記される。
3. キャップθ[m]、キャップθ[m]から2πfTmを引くことによって線形トレンド除去を適用する。
4. 全ての位相の平均を計算する。
5. 全ての位相から平均位相を引く。
6. 2πfで割ることによって位相差をスキューに変換する。
7. 時間スキュー補正を実行する。
図293は、上述された方法8000のコンポーネントを示すフローチャートである。トランシーバ29200は、タイムインターリーブ型アナログデジタル変換器(ADC)のための、ループバックに基づく時間スキューキャリブレーション回路を動作させる方法を実行する手段を構成し得る一例であるが、手段はこれに限定されない。動作S29310において、リファレンス信号は送信側で生成されてよく、動作S29320において、このリファレンス信号は受信器側に通信されてよい。動作S29330において、推定スキュー時間が、リファレンス信号に基づいて位相推定器で計算されてよい。最後、動作S29340において、S/H回路のクロックタイミングが、推定時間スキューを補償するために補正されてよい。
時間スキュー推定及び補正は1つのショット内で行われてよく、あるいは(補正の正確さに依存して)反復的に行われてよい。周波数の選択に関して、一般に、周波数がより高いほど推定の品質がより良好である(なぜならば、スキューに変換するとき周波数による除算が存在し得るからである)。別様に言うと、位相の測定の所与の正確さについて、より大きい周波数で分割することは、時間スキュー推定がより正確であることを一般に可能にすることになる。測定は、測定の正確さを向上させるために複数の周波数に対して実行されてよい。この方法は、例えば、デバイスの生産の間に、電源投入時に、周期的に、又は何らかの予め定義されたスケジュール若しくはイベントに従って使用できる。
現代の電気通信デバイスが動作する高周波数は、関連したハードウェアコンポーネントとの間に困難さを生じ得る。こうしたデバイスにおいて信号を扱うために使用されるADCは、単一のADCの能力を超え得る。これに対処するために、共通クロックにより制御される、インターリーブされ及び順次トリガされ得る別個のチャネルで、複数のより遅い(すなわち、より長いサイクル時間の)ADC回路を利用することが可能であり得る。
チャネル要素がすべて同一である場合、コンポーネントがすべて同じ方法で挙動することになるため、インターリーブ型設計は簡素になる。しかしながら、現実世界のコンポーネントは製造プロセスに起因していくつかの差を有し、これは次に、タイムインターリーブ型(Time Interleaved、TI)‐ADCにおいて使用されるチャネル間のミスマッチを生じ、信号対雑音比(signal to noise ratio、SNR)及びスプリアスフリーダイナミックレンジ(spurious free dynamic range、SFDR)を低減するなど、システムの性能を低減する。チャネル間の4つの異なるタイプのミスマッチ:1)DCオフセットミスマッチ、2)ゲインミスマッチ、3)時間スキューミスマッチ、及び4)帯域幅ミスマッチが出現し得る。本開示は、外部テスト機器(Test Equipment、TE)を使用することなくゲインミスマッチを扱うためにゲインを較正する方法を検討する。
TI‐ADCを使用するとき、複数の個々のADCにわたりキャリブレーションを実行することが望ましい場合がある。より高い変調次数(64‐QAM、256‐QAM、及び1024‐QAMなど)が、2640MHzサンプルレートにおいて9ビットの有効ビット数(effective number of bits、ENoB)を使用し得る。これらの状況において、所望の性能は、ゲインキャリブレーションを含め、TI‐ADCチャネル(「スライス」とも呼ばれる)における個々のADCのキャリブレーションを望ましいものにし得る。
一般的な意味では、様々な構成によれば、TI‐ADCは通常動作及びキャリブレーションモードなどのモードで動作し得る。通常動作では、ADCチャネル/スライスにおけるゲイン分散が補正され得、キャリブレーションモードでは、既知の信号がADCチャネル/スライス内に提供され得、ゲイン補正値が後の時間に適用するよう計算され得る。様々なリファレンス電圧がゲイン補正値を決定するために使用されてよく、これらの値は様々な方法で保存されてよい。システムは、温度にわたり変動し得るゲイン補正値を許容する温度リファレンスを利用してよい。
図294は、例示的なTI‐ADC29400のブロック図である。TI‐ADC29400は、上述されたADC回路394であってよいが、異なる回路を同様に含んでもよい。通常動作の間のデバイス入力信号29405とキャリブレーション動作の間の電圧リファレンス29415ソースとの間で切り替えるスイッチ29410が提供されてよい。電圧リファレンス29415は、バンドギャップリファレンス、オンチップ抵抗器から導出されるリファレンス、及びバッテリなどによる供給を含む外部リファレンスなどの、任意の形式の安定的な電圧リファレンスであってよい。電圧リファレンス29415は、正確又は不正確な絶対値を有してよく、それはその電圧を維持してよく、それにより、どんな電圧値がキャリブレーションの間に1つのADC29435に提供されても、他に同様に正確に提供され得る。
いずれの場合も、スイッチ29410からのスイッチド信号(アナログ入力)29420が、リンケージを介して並列に配置され且つカスケード方式で動作する複数のトラック・アンド・ホールド(track and hold、T/H)回路29425に提供されてよい。これらの回路29425は、特定の時間に入力信号29405を獲得し、サイクルの一部に対して不変に値を保持して、安定的な入力をADC29435に提供するために使用されてよい。T/H回路29425は、サンプル・アンド・ホールド(sample and hold、S/H)回路として構成されてもよい。いくつかの態様において、値が、何らかの制御された時点で収集され、維持されてよい。用語「トラック・アンド・ホールド」又は「T/H回路29425」は本明細書において、サンプル・アンド・ホールド又はS/H回路を同様に含む。
一変形において、スイッチ29410は、T/H回路29425の後に提供されてよい。これは、スイッチ29410が複数のチャネルを切り替える点でいくらかの複雑さをもたらし得るが、こうした構成は各チャネルの独立した切り替えを可能にし、さらに、T/H回路29425にわたり任意の種類のゲイン変化を較正することを可能にする。
図295においてタイミングが示され、図295は、M個の並列低速ADCチャネルを使用して高速変換を達成するTI‐ADC29400アーキテクチャの一例のブロック図である(チャネルA CH‐A、チャネルB CH‐B、及びチャネルC CH‐Cの3つのチャネルが例示目的で示され得る)。共通クロック信号29480を利用して、3つの異なる位相時間φ0、φ1、及びφM‐1でそれぞれサンプリングされ得るアナログ入力29420が、M個の異なるトラック又はサンプル・アンド・ホールド回路29425A、29425B、29425Cに提供されてよい。サンプリングされた信号は、アナログデジタル変換器(ADC)29435A、29435B、29435Cに提供されてよく、これらは例えば、いくつか例を挙げると、フラッシュADC、シグマ‐デルタADC、デュアルスロープ変換器ADC、及び連続近似変換器ADCであってよい。本明細書で説明されるアーキテクチャは、使用されるADCデバイスの特定のタイプとは独立であってよい。カスケードされたADC29435からのデジタル出力信号29440(図294)は、次いで、単一ストリームデジタル出力信号29455(図294)を生じるように、マルチプレクサ29450と結合されてよい。
図296は、一例示的な態様においてすべてのチャネルが如何にしてM個の均等に離間された位相を有し同じサンプリング周波数FS(又は、図に示されるその逆数TS)で動作するかを示すタイミング図29600である。各位相φのサンプル・アンド・ホールドは、時間TS(又は、m番目の位相についてmTS)の間持続し、すべての位相の全体サンプル時間はnMTSである。ゆえに、φ0のサンプルは時間T0で開始し、φ0のサンプルは時間T0で開始し、以下同様であり、サイクルは時間Tnで繰り返す。全体サンプリング周波数はMFS(これは1/MTSである)に等しい。
通常動作において、コントローラ29475(図274)は、通常入力信号29405を選択するようにスイッチ29410を設定する。コントローラ29475は、リンケージ29430を介して対応するADC29435に接続され得るT/H回路29425の各々に対してリンケージ29480を介したタイムインターリーブ型制御信号をさらに生成する。コントローラ29475は、適切なADCデジタル出力信号29440の後の選択と共に、ADCサイクルを開始する。
図294に戻り、マルチプレクサ29450に続き、デジタル測定及び補正(measure and correction、MC)ユニット29460が存在してよく、これは、通常動作モード及びキャリブレーションモードの双方において動作し得る。通常動作モードで動作するとき、MCユニット29460は、(例えば、アナログ調整がADCの前又はADC内でいつ行われるのかを調整しないことにより、)どのADC29435が現在の時間に選択され得るかに依存し得る出力信号に補正ゲイン調整値を適用する、又は適用するのをサポートするために、使用されてよい。それは次いで、ゲイン調整された出力信号29495をデバイスの後続部分に転送してよい。
キャリブレーションモードで動作するとき、MCユニット29460は、測定信号関連データ29470をコントローラ29475に提供してよい。測定データのゲイン値への変換は、MCユニット29460又はコントローラ29475のいずれかで行われてよい。MCユニット29460は、コントローラ29475の拡張と見られてよい。この収集されたデータ29470は、例えばゲインオフセットでよく、これは、乗数がそれぞれのADC29435の出力に適用されることを結果としてもたらす。ゲイン調整値は、ルックアップテーブル(LUT)により提供され、かつ/あるいは補間を可能性として含む何らかの他の区分的線形補正モデルを利用してもよい。電圧リファレンス29415は、マルチポイントキャリブレーションを可能にするために異なる値に設定されてよく、これは、非線形性を追い出し得る。電圧リファレンス29415は、次いで測定され得る一連の出力又は波形を提供してよく、これは、より複雑なLUTの構築を可能にする。ゲインオフセット及び/又はLUT値は、通常動作モードの間、後の使用のためにメモリ29490に記憶されてよい。線形補間が、電圧リファレンス29415により実際に供給される電圧間で電圧のゲイン値を推定するために利用されてよい。一構成において、出力信号29472の直接ループバック/フィードバックが電圧リファレンスとして利用されてよい。これは、(図示されていない)DACを使用する複素指数関数の送信を可能にし得る。したがって、システムはキャリブレーション波形を送信し、次いでそれを捕捉することができる。それは、上記で論じられたマルチポイントキャリブレーションなどの精巧なキャリブレーションを可能にする。
ゆえに、コントローラ29475は、どのADC29435がコントローラ29475により選択され得るかにその値が依存する、メモリ29490に記憶されたゲイン補正を適用し、あるいはその適用をサポートし得る(例えば、MCユニット29460が調整するとき)。この補正は、簡素な形式又は複雑な形式であってよい。複雑な形式は、例えば記憶された多項式係数を使用する、線形補正を含んでよい。別の実装において、ゲイン及びオフセットの補正は、個々のADC29435に入力されるアナログ又はデジタル制御信号29482を直接設定することにより達成できる。
ゆえに、いくつかの態様において、キャリブレーション(ビルトインセルフテスト(built-in self-test)(BIST))モードにおいて、コントローラ29475は、電圧リファレンス29415をADC29435に入力し、結果として生じる出力を監視するようにスイッチ29410を設定する。コントローラ29475は、通常動作の間、後の調整のためにメモリ29490に記憶される補正値のテーブルを生成してよい。メモリ29490は、ゲイン値、LUT、又は他の関連データを記憶するレジスタのセット又はより精巧なスタティックRAMデバイスであってよい。コントローラ29475は、温度リファレンス29485(例えば、サーモメータ)を監視し、温度が閾量より多く変化したと検知された場合にキャリブレーションサイクルを再実行してもよい。別の構成において、メモリ29490は、異なる温度におけるゲイン値の複数のセットを記憶してよく、それにより、特定の温度における後の動作は再キャリブレーションを採用しない。一構成において、システムは、測定されていない温度におけるゲイン値を導出するために温度間の値の線形補間を実行してよい。さらなる構成において、温度とゲイン値との間の正確な関係が数学的に決定され得る場合、異なる温度でデバイスを動作させるときにキャリブレーションの間に1つの温度に対して決定されたゲイン値に、式が適用されてよい。さらなる構成において、温度は無視でき、(時間の大部分であり得る)受信モードでないときにバックグラウンドキャリブレーションが連続的に実行されてよい。
いくつかの態様において、外部テスト機器の使用は回避され得、製造中の余分な時間が浪費されなくてよい。ゲインキャリブレーションは、デバイス起動時に、周期的に、又は何らかの他の条件に基づいて行われてよい。進行中のゲインキャリブレーションは、ゲイン不完全性が(例えば、温度変動に起因して)時間変動している場合、特に有益であり得る。
一実装において、ADC出力のある時間にわたる波形の平均値を見るアルゴリズムが実装されてよい。このアルゴリズムは、送信器の局所発振器(LO)漏れ及び受信パスのDCオフセットを有するとき、I/Qインバランスが較正されたことを仮定し得る。送信パスは、複素指数波形を送信するために使用されてよく、これは、I/Q変調器の後に連続波(continuous wave、CW)信号(例えば、単一RF周波数)を提供する。ループバックが送信側から受信側に提供されてよく、各ADCスライスの信号電力出力が別個に計算されてよい。次いで、スライスのすべての平均電力がI及びQの双方について計算されてよい。各スライスの信号電力は平均で除算され、この比率の二乗根が計算されてよく、これは、補正されるべきゲインエラーを生じる。
例として、10個のADC29435が存在する場合、出力値は例えば10個の別個のテーブルに配置されてよく、該テーブルは各1つが10個のスライス(時間におけるオフセット)ごとに取得している。次いで、テーブルの各々にわたり、平均電力計算が行われる。これは特定のADC29435に複数のスライスの平均電力を提供し、これはゲイン補正の基礎を提供する。
各スライスの信号電力を計算するために、まず、処理は各スライスからN個のサンプルを収集する。Nは、N個のサンプルが測定される正弦信号の整数のサイクル数を形成するように選択されてよい。各スライスについて、値は二乗され、加算され、次いでNで除算されてよい。代わりに、各スライスについて、信号は、受信信号をe2πjftで乗算することにより復調されてよい。ここで、fは信号周波数であり、tはADC29435のサンプリング時間である。復調された信号は加算されNで除算されてよく、これは二乗振幅を計算する。
補正は、(ADC29435の後)アナログで又はデジタルで行われてよい。補正がアナログドメインで行われる場合、較正の第2のラウンドは、結果が良好であることを検証するため、又はさらなる変更が発生すべきであることを決定するために行われてよい。アナログ補正は、閉ループシステムを使用し、ADC29435に提供されるアナログ制御信号により提供できる。この配置は、いくらかの期間の間、測定された電力(ADC29435の平均電力)が所望のレベルになるまでそのADC29435への制御入力を調整し、実行できる。これは、各ADC29435のレベルを調整するよう順次実行されてよい。
図297は、ゲイン補正をTI‐ADCに適用する処理29700の例示的な実装を示すフローチャートである。TI‐ADC29400は、ゲイン補正デバイスを有するタイムインターリーブ型アナログデジタル変換器(TI‐ADC)を動作させる手段を構成し得る一例であるが、手段はこれに限定されない。動作S29710において、TI‐ADCは、例えば、コントローラ29475により、キャリブレーションモードで動作するように設定されてよく、既知の信号が、ADCスライスの各々に順次適用されてよい。動作S29720において、ADCスライスの出力が測定及び補正ユニット29460により測定されてよく、動作S29730において、ゲイン補正が、そのADCスライスのために、それが収集された条件に関連した任意の他の情報と共にメモリ29490に記憶されてよい。ひとたび各ADCスライスのゲインが収集されると、動作S29740において、動作の通常モードにおいて、保存されたゲイン調整が、例えば、コントローラ29475により、動作の特定のスライス内でアクティブであり得るADCに適用されてよい。
本明細書で説明されるデバイスの様々な実装を利用することで、製造の時にキャリブレーションを実行する必要又は当該分野で提供されるテスト機器の使用を防止し、より頻繁なキャリブレーションが実行されることを可能にし、最終的にデバイスのより正確且つ信頼可能な動作を結果としてもたらす。
無線送信に使用される電力増幅器(PA)は、その送信電力能力の限られた範囲にわたり線形特性を典型的に有する。真の線形PAは、PAの入力信号及びゲインにのみ比例する出力信号(振幅及び位相)を生じることになる(例えば、入力信号のレベルに依存する振幅又は位相歪みがない)。実際のPAは、(PAの入力信号及びゲインに比例する)望まれる出力信号と、PA非線形性に起因して生成され得る他の望まれない信号とを生じる。これらの望まれない信号は、相互変調積(intermodulation product、IM)と呼ばれる。これらのIM信号は、PA出力において信号の品質を劣化させる相互変調歪み(intermodulation distortion、IMD)を引き起こす。PAの非線形挙動は、いくつかの方法、すなわち、多項式PAモデル、PA入力対出力挙動を記述するルックアップテーブル(LUT)、(現在のPA出力が現在のPA入力とさらに前の入力信号とに依存する)メモリを有するPAモデルのボルテラ級数で、モデル化及び提示できる。
図298は、AM/AM(入力振幅対出力振幅)のPA特性曲線の一例を示し、図299は、以下の適用可能な式を用いたAM/PM(入力振幅対出力位相変動)のPA特性曲線の一例である。
Figure 2024059722000060
しかしながら、送信の前にデジタルプリディストーション(digital pre-distortion、DPD)として知られるものを適用することにより、PAの線形範囲を拡張し、線形振幅及び位相を生じることが可能であり得る。DPDはPAに信号を適用し、それによりPA出力は、IMDを有するその出力において望まれる信号のみを(理想的には)有することになる。例えば、PAがAM/AM及びAM/PM多項式を使用してモデル化される場合、DPDの後に続くPAは、理想的なPAに近くなり得る同等のAM/AM及びAM/PMを生じることになる。図298の破線により分かるように、DPDの適用は、飽和出力電力PSATに至るまで線形ゲインを生じることができる。DPDにより計算及び補正を実行するために、PAのモデルが提供されてよい。モデルがより良好であるほど、DPD補正がより良好に適用され得る。PA特性はPVT‐f(プロセス、電圧、温度、及び動作周波数)に依存し、PAモデルはリアルタイムで又はリアルタイム情報に基づいて更新されてよい。これを行うために、PA出力のフィードバック及び検知が使用されてよく、デジタルドメインへのデータの供給であってよい。フィードバックに基づく設計において、増幅器特性に関連した情報がDPDにフィードバックされてよく、それにより、DPDは信号に適切な補正を行うことができる。
多くのPAを多くのアンテナと共に利用し得るフェーズドアレイシステムは、電波のビームがアンテナを物理的に移動することなく電子的にステアリングされることを可能にする。これらのシステムにおいて、各増幅器にフィードバック信号を提供することは非実際的であり、あるいはコストがかかる可能性がある。
図300は、フェーズドアレイ送信器の一部のゲインモデル30000の一例のブロック図である。無線周波数(RF)信号が、独立したコンポーネント(例えば、増幅器、スイッチ、アンテナ)を各々含み得る複数のチャネルCHANxに信号を分割するフェーズドアレイ送信電力スプリッタ30010において受信され得る。これらのコンポーネントは製造変動に起因してすべて同一ではない可能性があり、ゆえに、その性能は(PVT‐fにわたり)変動する。図300は変動のソース数を取り出し、これは、スプリッタ30010とPAとの間の回路のゲインGTXn30020(これは、ゲインファクタが1より小さい場合、実際のゲイン又は減衰であってよい)、適用された信号電力PTXnに対するPA自体のゲインGPAn30022、適用された信号電力PPAnに対するスイッチGSWn30024のゲイン、適用された信号電力PSWnに対するアンテナトレースGtracen30026のゲイン、及び適用された信号電力Ptracenに対するアンテナGANTn30028のゲインを含んでよい。2つの問題が、例えば、各PAの出力におけるIMに影響し得る。第1は、特定の特徴づけ(例えば、AM/AM及びAM/PM曲線)であり、第2は、各PAに対する特定の入力電力である(例えば、我々が同一のPAを有するが、これらのうち1つがその入力において(他のPAと比較して)かなり高い入力レベルを扱っている可能性があり、このPAは支配的なIMを生じることになると仮定する)。第3の問題は、PAの後のロス(ライン及びアンテナゲイン)が総計電力及びIMにさらに影響し得ることである。
集合的に、各チャネルにおいて、電力は、
Figure 2024059722000061
により表されてよく、ここで、
Pdesiredは、所望の出力信号であり、
IMは、所与のソースの相互変調歪み電力であり、
nは、ソース数である。
フェーズドアレイ送信器は、通信リンクの他方側に到達する単一の主ビームを生成する。PTXはこの信号を表す。まとめてすべてのチャネルについて、送信電力は、
Figure 2024059722000062
又は
Figure 2024059722000063
である。
送信電力式30050からの値PTXは、PAの線形部分からの所望の成分Pdesiredと、所望されない相互変調成分:
Figure 2024059722000064
とを含む、送信器デバイスのフェーズドアレイアンテナからの総計電力出力を表す。ここで、PIMは、総計非所望相互変調成分電力である。
これらの値は、本トランシーバの送信器部分による送信信号を受信する外部トランシーバ(external transceiver、ET)により決定されてよい。反対に、これらの値は、外部フェーズドアレイトランシーバ(external phased array transceiver、EPAT)から受信された信号について、本フェーズドアレイトランシーバにより決定されてよい。外部トランシーバは、フェーズドアレイトランシーバである必要はない。それは、本フェーズドアレイトランシーバから信号を受信し、非線形データを返信することが可能であり得る。外部トランシーバは、例えば、フェーズドアレイトランシーバ、マルチセクタトランシーバ、又は無指向性トランシーバとして実装されてよい。
図301は、上記で説明された送信器モデルが表し得る切り替え可能トランシーバ部分30100の一例のブロック図である。ここで、トランシーバ部分30100の他の部分からのRF送信信号30115が、フェーズドアレイビームの制御を可能にする位相シフタ30130に信号の分割部分を提供する送信電力スプリッタ30110(これは、図300においてモデル化された送信電力スプリッタB4‐110の一例であり得る)に供給されてよい。これはPA30140に対する入力として提供されてよい。信号はPA30140により増幅され、出力信号TXOUTがスイッチSW30150を通されてよく、スイッチSW30150は図301において送信位置にあり、それをフェーズドアンテナアレイ30165内のアンテナ30160のうち1つに接続する。上記の式30050からの総計送信電力PTXは、アンテナアレイ30165からの出力であると示されている。
トランシーバ部分30100内の受信コンポーネントは、チャネルの各々において、フェーズドアレイビームの制御を可能にする位相シフタ30180に信号を提供する低雑音増幅器30170を含み得る。集合的出力が、受信電力コンバイナ30120により結合されてよく、結合されたRF受信信号30125は、トランシーバ部分30100の他の受信器コンポーネントに提供されてよい。図301は、アンテナアレイ30165の入力において提供されるトランシーバ部分30100の非線形データ30190を含むループバック信号をさらに示す。
図302は、本質的に図301に示されるトランシーバ部分30100の複製のトランシーバ部分30100’であるが、スイッチ30150が受信構成に入れられている。スイッチ30150がRXIN位置にあるとき、トランシーバは受信モードで動作しており、アンテナ30160から受信された信号は低雑音増幅器30170を通じて受信電力コンバイナ30120に向けられる。スイッチ30150がTXOUT位置にあるとき、トランシーバは送信モードで動作しており、TX電力スプリッタ30110からの信号は電力増幅器30140を通じてアンテナ30160に向けられる。コンポーネントの説明及び動作はここで繰り返されない。
図303A及び303Bは、上記で説明されたトランシーバ部分30100などのトランシーバ部分を含み得る全体のトランシーバ30300の例のブロック図の一部である。さらに、RF受信器30310部分の他のコンポーネントが示され、例えば上記で説明された、受信電力コンバイナ30120から結合信号を受信するRF増幅器30312と、コンポーネント30316により増幅され又はその他の方法で条件づけられ得る局所発振器発生器(例えば、RFシンセサイザ)30340により生成された信号を利用する復調器30314とが含まれる。復調信号は、次いで、トリプレクサ及びスイッチ30345に提供される前に中間周波数(intermediate frequency、IF)増幅器30332に提供されてよく、トリプレクサ及びスイッチ30345において、復調信号は、接続、例えば同軸ケーブル30350を通じて、トランシーバ30300の他の部分に送信されてよい。
トランシーバ30300の対応する送信部分が同様に提供されてよい。送信されるべき信号は接続30350を通じて提供され、IF増幅器30334に提供されてよく、IF増幅器30334の出力はRF送信器30320部分に提供されてよい。RF送信器30320部分は、局所発振器発生器30340により生成され可能性として増幅器30326により増幅された信号を利用するRF変調ミキサを含んでよく、変調RF信号は、次いで、送信電力スプリッタ30110に送出される前にRF増幅器30322に提供されてよく、送信電力スプリッタ30110において、信号は、例えば、上記で説明されたように最終的に送信されてよい。
図303Bは、トランシーバ30300の別の部分を示すブロック図である。受信側において、IFへ下方に復調された受信信号が、接続30350並びにトリプレクサ及びスイッチ30355を介して受信され得る。IF受信器30360部分において、IF信号はIF増幅器30362に提供されてよい。図面に明示的に示されていないが、システムは直交符号化信号を扱うよう設計されてよく、IF受信器30360部分及びIF送信器30370部分に示される2つのパスは信号の同相成分I及び直交成分Qを表し、各々について別個のパスが提供されてよい。IF復調器30364は、ベースバンド信号のアナログI/Q成分を生成するために提供されてよい。このIF復調器30364は、例えば、水晶発振器30384及びIFシンセサイザ30382により生成された信号を受信してよい。分周器30380が、周波数シンセサイザ30382から来る信号により供給され、COAXケーブルを通じて渡されるRFEMに対するリファレンス信号を生成してよい。例えば、絶対周波数精度が+/-20ppm(百万分率(part per million))であるべき場合、+/-20ppmの周波数精度を有する外部クォーツ水晶(及び内部水晶発振器)が使用できる。すべての周波数発生ブロックが、この周波数又はこの周波数の乗算/除算により供給されてよい。ローパスフィルタ30366及びアナログデジタル変換器(ADC)30368が、I/Q成分の各々について、ベースバンド(BB)信号をBBプロセッサ30390に供給するために提供されてよい。BBプロセッサ30390は、以下でより詳細に論じられる。
送信側において、BBプロセッサ30390により生成されるベースバンドデジタル信号は、IF送信器30370部分に提供されてよく、I/F送信器30370部分は、デジタルアナログ変換器30378とローパスフィルタ30376とIF変調器30374とを有するI/Q部分を含み得る。I/Q信号はIF増幅器30372に提供されてよく、IF信号がトリプレクサ及びスイッチ30355を介して接続30350を通じて送出されてよい。図303A及び303BはIF段階の部分間でユニットを分離する接続30350を示すが、同様にRF段階の部分間でユニットを分離すること(又は、ユニットを全く分離しないこと)も可能であり得る。
BBプロセッサ30390のコンポーネントをより良く説明するために、図304が最初に簡潔に論じられる。図304は、外部フェーズドアレイトランシーバ(EPAT)30300’と通信するフェーズドアレイトランシーバ30300を示すブロック図である(例えば、1つの組み合わせは、ハンドヘルドデバイスと5G基地局又は他のタイプの基地局である)。これらトランシーバ30300、30300’の各々は同様に動作してよく、それぞれの電力送信を他のそれぞれのトランシーバからの送信の電力式30050、30050’特性から決定し(これは、例えば、基地局がDPDを使用しているか又はそのDPDの異なるキャリブレーションに依存することになる間、モバイルデバイスをDPDで最適化することが望ましい可能性がある場合に当てはまり得る)、受信した送信に基づいてそれぞれの非線形データ30190、30190’を通信してよい。図304は、外部フェーズドアレイトランシーバ30300’をフェーズドアレイトランシーバであるとして示すが、それがフェーズドアレイトランシーバであるという要件はなく、例えば、それは単に通常の外部トランシーバ(ET)でもよい。トランシーバ、例えばトランシーバ30300’は、フェーズドアレイトランシーバ30300から送出された信号を解釈し、関連した非線形データ30190で応答することが可能であり得る。
図303Bに戻り、BBプロセッサ30390は、デジタルプリディストーション(DPD)プロセッサ30394が存在し得るモデム30392を含み得る。DPDは、全体送信器増幅器特性曲線の反転であり得る歪みを適用するために使用されてよく、それにより、全体送信器増幅器は、最大で電力飽和PSAT点までより線形的に動作し得る。
DPD30394の制御は、内部非線形プロセッサ30396により提供されてよく、内部非線形プロセッサ30396は、ET30300’により送出された非線形データ30190を受信してよい。1つの例示的な構成において、非線形データ30190は、電力送信特性曲線PTX30050の反転曲線を記述する多項式係数により表されてよい。非線形性のソースの性質を所与として、5次の多項式が、いくつかの態様において電力送信特性曲線PTX30050又はその反転を正確に反映するのに適切なことを証明している。さらなる例において、非線形データ30190は、反転特性をマッピングするルックアップテーブル(LUT)により表されてよい。内部非線形プロセッサ30396は、受信した非線形データ30190を処理し、それをDPD30394を制御するために使用され得る制御パラメータに変換してよい。
外部非線形プロセッサ30398は、EPAT30300’の電力送信特性曲線PTX30050’を取得し、EPAT30300’に送出されるべきであり得る非線形データ30190’を決定する。図303Bは、この非線形データ30190’が他のデータと組み合わせられDPD30394を通じて送出されることを示すが、この情報がDPD30394を使用してEPAT30300’に送信されることは必要でなくてよく、送信はDPD30394を使用することなく発生してよい。
以下で2つの例示的な態様を説明する。第1は図305に提示され、図305は、トランシーバ30300により使用され得る処理30500の一例を示すフローチャートであり、フェーズドアレイ送信器が、(低いEVMに起因して低いコンステレーションであり得る)他の側における受信を可能にし、かつ適用可能なレギュレーションに違反しないレベルのIMを含む信号を、(可能性として初期DPD設定(これは工場で予め定義されてよい)を使用して)送信するシーケンスを示す。他の側が非線形情報を評価し、返信した後、DDPは、最適な条件の近くで動作し、より高い出力電力及び/又は高いデータスループット(より高いコンステレーション)を送信し得る。
動作S30510において、送信信号は、上述されたものなどの送信チャネルに分割されてよい。次いで、動作S30520において、信号は次いで、フェーズドアレイアンテナの各々内のアンテナから送信されてよい。動作S30530において、フェーズドアレイアンテナの加算出力の特性曲線の反転を含む、上述されたものなどの非線形データが受信されてよい。動作S30540において、この非線形データは、上述されたものなどのデジタルプリディストーションプロセッサのための制御信号に変換されてよく、デジタルプリディストーションプロセッサは出力信号を変更する。最後、動作S30550において、DPDプロセッサ変更データはトランシーバにより送信されてよい。
第2の例示的な方法が図306に提示され、送信のかなり最初に補正(及び最適に近い)DPD設定を設定することを可能にし得るデータベース(例えば、ルックアップテーブル)を生成するための、図305のものと類似点を有するシーケンスを示している。図305との類似点はここで繰り返されない。データベースは、動作S30638において各動作からある時間にわたり収集され(例えば、異なる受信器が使用されてよく、これは線形化されるべきTX側に影響しない)、他の側から受信される動作S30635におけるフィードバックを利用することができる。これは、送信器DPDの使用ケースの正確さ及びスパンを向上させ得る。
動作条件は、動作S30633、すなわち、送信周波数、アクティブTXチェーン、(RFEM上の電力検出器からの、又は各チェーンの出力における)出力電力レベル、(RFEM内の)温度センサ、(RFEM内の)電圧センサなどを含んでよい。LUTの動作は任意選択で、他の側からのリアルタイムフィードバックと組み合わせられてよい。また、DPDデータの高速及び正確な抽出を可能にすることになる予め定義された「ハンドシェイク」(例えば、プリアンブル又はデータシーケンス)が利用されてよい。動作S9340において、システムは、非線形データ及び/又は動作条件をDPD制御データに変換してよい。
現代の通信デバイスにおける無線周波数受信器は、典型的に、有意な範囲の入力電力レベルを扱うように構成され得る。このため、受信増幅器が、様々な強度の入来信号を増幅し得る複数のAGCゲイン設定を含んでよい。特定の増幅AGCゲイン設定を選択して性能を向上又は最大化することは困難であり得る。入力電力レベルの広範囲が、入力信号レベルの関数として増幅のレベルを変更することにより、受信器により扱われてよい。低い入力レベル信号が、使用可能な雑音指数(noise figure、NF)を提供するために高い増幅を使用してよく、一方で、高い入力信号レベルが、受信器の圧迫を防止するために低いレベルの増幅を使用してよい。
図307A及び307Bは、全体的な分散フェーズドアレイトランシーバシステム30700の一例のブロック図の一部であるが、本明細書で説明される概念はこの特定のタイプのトランシーバに限定されない。こうしたトランシーバシステムは、上述されたように無線チェーン回路372に関連し得るが、異なる回路に同様に関連し得る。フェーズドアレイアンテナ30702を通して来る受信信号RXINは、増幅器30703により受信されてよく、増幅信号は、受信電力コンバイナ30705に送出されてよい。例えば上述されたように受信電力コンバイナ30120から結合信号を受信するRF増幅器30712が提供されてよく、コンポーネント30716により増幅され又はその他の方法で条件づけられ得る局所発振器発生器(例えば、RFシンセサイザ)30740により生成された信号を利用する復調器30714が提供されてよい。復調信号は次いで、トリプレクサ及びスイッチ30745に提供される前に中間周波数(IF)増幅器30732に提供されてよく、トリプレクサ及びスイッチ30745において、復調信号は、接続30750、例えば同軸ケーブルを通じて、トランシーバシステム30700の他の部分に送信されてよい。
トランシーバ30700の対応する送信部分が同様に提供されてよい。送信されるべき信号は接続30750を通じて提供され、IF増幅器30734に提供されてよく、IF増幅器30734の出力はRF送信器30720部分に提供されてよい。RF送信器30720部分は、局所発振器発生器30740により生成され可能性として増幅器30726により増幅された信号を利用するRF変調ミキサを含んでよく、変調RF信号は、送信電力スプリッタ30706に送出される前にRF増幅器30722に提供されてよい。RF送信信号は、送信電力スプリッタ30706に供給されてよく、送信電力スプリッタ30706は、信号の30704への分割部分を提供する。信号の分割部分は、PA30707への入力に提供されてよく、PA30707において、信号は増幅されてよく、出力信号TXOUTがフェーズドアレイアンテナ30702に提供されてよい。
図307Bは、トランシーバシステム30700の別の部分を示すブロック図である。受信側において、IFへ下方に復調された受信信号が、接続30750並びにトリプレクサ及びスイッチ30755を介して受信され得る。IF受信器30760部分において、IF信号はIF増幅器30762に提供されてよい。これは図面に明示的に示されていないが、システム30700は直交符号化信号を扱うよう設計されてよく、IF受信器30760部分及びIF送信器30770部分に示される2つのパスは信号の同相成分I及び直交成分Qを表し、各々について別個のパスが提供されてよい。IF復調器30764は、ベースバンド信号のアナログI/Q成分を生成するために提供されてよい。このIF復調器30764は、例えば、水晶発振器30784及びIFシンセサイザ30782により生成された信号を受信してよい。ローパスフィルタ30766及びアナログデジタル変換器(ADC)30768が、I/Q成分の各々について、ベースバンド(BB)信号をBBプロセッサ30790に供給するために提供されてよい。ここで、BBプロセッサ30790はモデム30792を含んでよく、モデム30792は、RF AGCゲイン設定を制御するために使用されてよい。
送信側において、BBプロセッサ30790により生成されるベースバンドデジタル信号は、IF送信器30770部分に提供されてよく、I/F送信器30770部分は、デジタルアナログ変換器30778とローパスフィルタ30776とIF変調器30774とを有するI/Q部分を含み得る。I/Q信号はIF増幅器30772に提供されてよく、IF信号がトリプレクサ及びスイッチ30755を介して接続30750を通じて送出されてよい。図307A及び307BはIF AGCゲイン設定の部分間でユニットを分離する接続30750を示すが、同様にRF AGCゲイン設定の部分間でユニットを分離すること(又は、ユニットを全く分離しないこと)も可能であり得る。周波数分周器DIV30780が、シンセサイザ30782の後に提供されてよい。
図308は、受信器30800のブロック図であり、受信器30800は、上記で論じられた増幅器30703の一例であるか又はこれを含んでよく、あるいは、各々がその独自のゲインAGCゲイン設定を有する、システム内の増幅器の組み合わせでもよい。増幅器は、スイッチ30810を含むか、あるいは該増幅器に関連づけられたスイッチ30810を有してよく、スイッチ30810は、受信信号、例えば、RXIN RF信号強度を決定し、その決定に基づいて、処理のための比較的一定の入力信号を受信回路の残りに提供するために、自動ゲイン制御(automatic gain control、AGC)ゲイン設定30820からの制御を使用して適切なゲイン設定を選択する。
スイッチ30810は、例えば、プロセッサ30812と、メモリ30814と、いずれのAGCゲイン設定30820が所与の電力入力レベルで動作するべきかを決定するため並びに電力及びEVM測定を実行し以下でより詳細に説明されるディザリング動作モードを実装するための(可能性としてメモリ30184に存在するプログラム命令及び/又は回路のハードウェアロジックとして存在する)ロジックとを含んでよい。スイッチ30810は、AGCアルゴリズムを実装する任意のハードウェア又はソフトウェア機構を含んでよい。また、簡潔さのためスイッチ30810は単一の要素として示されているが、スイッチ30810は、単一のデバイスであるか又は信号(受信RF信号、IF信号、モデム内のベースバンド信号等)の単一部分で動作するかである必要はなく、信号のそれぞれの部分を扱う複数のデバイスでもよい。
向上したAGCゲイン設定30820は、所与の電力レベルにおいてより良い信号品質指標(signal quality measure、SQM)を生じるものである。1つのSQMはエラーベクトル振幅(error vector magnitude、EVM)であり、これは、直交符号化信号において、コンステレーションマップ内のポイントがその理想的位置からどれほど遠いかの指標である。
図308に示されるAGCゲイン設定30820は、異なるレベルのゲインの論理構成を表し、必ずしも別個のゲイン増幅器の物理構成を表さない。例えば、物理ゲイン要素が、次レベルのゲインを達成するために一緒にチェーン化され又は連続してアクティブにされてよく、それにより、AGCゲイン設定2がAGCゲイン設定1からの要素を使用できる。しかしながら、同様に又はさらに、AGCゲイン設定のうち1つ以上を実行するために、別個の物理AGCゲイン設定コンポーネントが存在してもよい。
図309は、30820の所与のAGCゲイン設定について、受信電力RX PINに対するEVMをプロットしたグラフ30900である。図309に示されるように、高いEVMは、(他の中でも)関心のある2つの原因に起因し得る。第1の原因は、信号対雑音比(SNR)であり得、ここで、雑音は、受信器ブロックにより生成される熱雑音である。低いRX Pinにおいて、熱雑音は支配的であり得、AGCゲイン設定は、RX NFを最小化する(例えば、RX熱雑音を最小化する)ためにRXゲインを高いレベルのゲインに設定する。これらの低いレベルのRX Pinにおいて、熱雑音は信号に対してより際立ち、より低いSNRを、ゆえにより高いEVMを結果としてもたらし得る。
第2の原因は、高いレベルの入力信号を扱うときに受信器に存在する非線形性から結果として生じる相互変調歪みであり得る。受信器の入力における信号がより高いとき、それはより非線形的に挙動し、より高いEVMを作成し、受信器における相互変調歪み(IMD)のレベルを低下させ、線形性を向上させて受信器のゲインを低下させ、ゆえにNF(より高い熱雑音)を劣化させる。図309は、全体EVMに対するSNR及びIMDの双方の効果を示し、全体EVMを最小化するのに役立つ「スイートスポット」又は動作範囲を示す。この曲線は、チャネル又は動作周波数を含む、供給電圧、製造変動に起因したプロセス変動、及びデバイスの動作温度を含む、様々な曲線シフトファクタに基づき変動し得る。
受信電力は、モデム30792(図307B)内の電力レベル検出器により決定されてよく、あるいは、受信電力は、アンテナ自体、RF処理、IF処理、及びベースバンド処理からのいずれかの場所を含む、受信チェーンに沿って位置する他の電力レベル検出器により決定できる。
図310は、図309に示されるものと同様のグラフ31000であるが、複数のAGCゲイン設定についてのEVM対受信電力曲線を含み、ここで、AGCゲイン設定は、互いにある程度の重なりを有する。EVM曲線は各々の受信入力電力レベルについて重なるが、特定の受信電力レベルについてEVMを最小化する最適なAGCゲイン設定が存在し得る。システムが最良の可能なEVMを維持するために、システムは、図311に示されるように最適な閾値(POPT_TH)において適切なスイッチを選択することにより、ゲイン設定間で切り替えてよい。
図311は、特定のAGCゲイン設定をアクティブにするための最適閾値POPT_THを示すグラフ31100である。電力入力に対する最適閾値POPT_THを決定するために、システムは、受信器AGCゲイン設定のためのすべての受信電力点においてEVMを最小化する最適なゲイン設定点を提供するために、受信器(これは異なる測定温度に結び付けられてよい)の異なるAGCゲイン設定から測定値を取得してよい。曲線形状が動作の間にシフトするとき、上述された曲線シフトファクタに基づいて、最適閾値POPT_THが同様に、例えば、グラフ31100においてPOPT_TH1_OLDからPOPT_TH1にシフトしてよい。最適閾値POPT_THがシフトしたが切り替え閾値が同じままである(例えば、POPT_TH1_OLDにとどまる)場合、準最適な切り替えが生じ、より高いEVMを信号にもたらし、最終的な結果が所望のスループットをサポートしない可能性がある劣化信号であることになる。
図312は、最適閾値POPT_THを決定するために利用され得る例示的な方法31200を示すフローチャートである。トランシーバ30700は、受信器のためのゲイン制御デバイスを動作させる手段を構成し得る一例であり、これは、ディザリング動作モードにおいて第1の入力信号を第1の信号電力レベルで受信することと、スイッチを使用して、第1及び第2のAGCゲイン設定を入力信号に別個に適用し、第1及び第2のAGCゲイン設定についての第1及び第2の信号品質指標(SQM)をそれぞれ測定することと、第1及び第2のSQMに基づいて第1のAGCゲイン設定及び第2のAGCゲイン設定を使用することの間で切り替えるために使用される電力レベルを表す最適閾値を決定及び記憶することと、通常動作モードにおいて最適閾値に基づいて第1の信号電力レベルにおいて第2の入力信号について第1又は第2のAGCゲイン設定を使用すべきかを決定することとを含み得るが、手段はこれに限定されない。動作S31210において、入力信号が受信されてよく、その電力が決定されてよい。動作S31220において、ディザリング動作が、周期的に呼び出され得る時間の満了などの予め定義された条件に基づいて、又は何らかの形式の提供される信号に従って開始されてよい。こうしたトリガは、新しいチャネルに移動することによる周波数変化、温度又は電圧変化などの、動作条件の変化でもよい。ディザリング動作は、異なるAGCゲイン設定が所与の受信電力レベルについて使用されることを可能にし、EVMが、可能性として現在の動作温度と共に測定されてよい。ディザリング動作は、所与の電力レベルについて指示されたAGCゲイン設定のいずれかの側のAGCゲイン設定を選択してよく、この選択は、例えば、ランダムに又は何らかの予め定義されたパターンに従って発生してよい。ゆえに、EVMの測定及び/又はディザリング動作は、あらゆる受信フレームで生じる必要はなく、通常動作との干渉を最小化するようにより少ない頻度で、又はさらにはまれに行われてもよい。動作S31230において、EVMと、任意選択で、曲線の形状及び位置に影響し得る温度又は他のファクタとが測定されてよく、その値が記憶されてよい。EVMは、例えば、モデム30792において測定されてよいが、同様にデジタルドメインにおいて他の場所で測定されてもよい。
動作S31240において、決定が、最適閾値POPT_THを決定するために行われてよい。これは、ディザリング動作(例えば、その電力レベルで通常使用されるものに隣接したAGCゲイン設定)の対象であった特定の電力レベルにおける現在のEVM値を、通常使用されるその電力レベルにおける記憶されたEVM値と比較することにより行われてよい。ディザリング動作からのEVM値がより低い場合、閾値は調整されてよく、それにより、動作S31250において、後の通常(非ディザリング)動作において、更新された閾値が使用され得る。調整の量又は閾値の設定は、EVM値における差のファクタでよい。
例として、及び図311を参照し、電力PDにおける入力電力が受信されてよい。例のため、元の閾値POPT_TH1_OLDがPDの右にあり、AGCゲイン設定#1が使用されるべきであることを意味する。しかしながら、(AGCゲイン設定#1が通常動作において指示されることになるとしてもAGCゲイン設定#2を使用するようにディザリングする)ディザリング動作において実際に測定され得るものが、図311に示されるものである。例示されるように、AGCゲイン設定#2動作のEVM値は、AGCゲイン設定#1動作のものより低い可能性がある。したがって、システムは、それが閾値点POPT_TH1を左に移動するべきであり、それにより、それが図311に示される点を占有することを決定する。ゆえに、後の通常モード動作において、AGCゲイン設定#2がAGCゲイン設定#1の代わりに電力レベルPDで使用されることになる。2つの異なるAGCゲイン設定についてのEVM値における差が、閾値点POPOT_TH1をどれほど遠くに移動するかを規定してよい。さらに、電力対EVM又はSQM曲線の形状に関する何らかの知識が、閾値点POPT_TH1をより正確に決定するために利用されてよい。
AGCゲイン設定、ゲイン、EVM、温度の測定値、並びに測定値及び閾値に関連づけられた他の値又はパラメータは、後の使用のため、LUTにおいてなどでメモリに記憶されてよい。現在の動作温度又は他のパラメータにおけるEVMが前に決定されていた場合、その値が通常(非ディザリング)動作モードにおいて使用されてよい。そうでない場合、前に捕捉された2つの温度又は他のパラメータの間で補間が実行されてよい。
図313は、動作の第1の方法のための構成を示す無線周波数(RF)フェーズドアレイシステム31300のブロック概略図である。システムは、上述されたように、並列受信回路382、及び/又は結合受信回路384の1つ以上を組み込んでよく、あるいは他の形式の受信回路を組み込んでよい。複数のアンテナ31310が各々、その信号を、各々の送信(又は受信)信号を調整するために使用され得るRF位相シフタ31320及び可変ゲイン増幅器(VGA)31330により処理される。これらの送信信号は、スプリッタ31340により分割されてよい(あるいは、受信信号は、コンバイナ31340により結合されてよい)。これは、フェーズドアレイシステムの一形式でよい。システム31300の恩恵の1つは簡素さであり得、なぜならば、サンプル又はトラック・アンド・ホールドデバイスとアナログデジタル変換器(ADC)31370とを含む1つのみのミキサ31350及びベースバンドチェーンが使用され得るからである。システム31300は、以下の特性、すなわち、a)スケーラビリティの欠如(RF周波数においていくつかのパスを追加することは帯域幅ボトルネックを形成する)、b)受信器における追加の雑音指数(雑音のあるフェーズアレイ及びVGAがアンテナのより近くに追加され得るため)、及びc)追加の電力消費(フェーズアレイシステムを可能にする2つのブロックがミリメートル波周波数において動作する)、のうち1つ以上を有し得る。
図314は、局所発振器(LO)フェーズドアレイシステム31400として参照され得るフェーズドアレイ無線トランシーバの別のトポロジを示すブロック概略図である(個々のコンポーネントの説明については図313を参照する)。このトポロジにおいて、LOフェーズドアレイシステム31400は信号パス内のVGA31330に依然として依存するが、位相シフタ31320がLOパスに移転され得る。図313に示されるRFフェーズドアレイシステム31300に対するこのトポロジの恩恵は、低減された雑音であり得る。別の特性は、いくつかのミキサ31350及びLO位相シフタ31320が(各アンテナ31310について1つ)使用されてよいことであり得る。ミリメートル波周波数で動作するLO信号をルーティングすることは困難である可能性があり、これが、この手法がいくつかの場合に非スケーラブルであると考えられ得る理由である。しかしながら、LOフェーズドアレイシステム31400は、完全デジタルPLL(all-digital PLL、ADPLL)についてより将来有望な可能性があり、なぜならば、位相シフトがADPLLループ内でデジタルに達成できるからである。これは、(電力消費の観点でコストがかかり、信号パスに歪み及び挿入損失をもたらす可能性がある)RF位相シフタの使用を除去する。ADPLL内の位相シフトはまた、大規模フェーズドアレイシステムについてLO分配を緩和する。
図315は、フェーズドアレイ無線トランシーバ設計に対する第3の選択肢を示すブロック概略図であり、デジタルフェーズドアレイシステム31500として参照され得る。このトポロジにおいて、サンプル又はトラック・アンド・ホールドデバイス31360及びADC31370を含むトランシーバチェーン全体が各アンテナ31310について複製され得る。フェーズドアレイ結合は、デジタルドメインにおいて実行されてよい。その特性は、増加した複雑さ(チップ面積)及び電力消費を含み得る。その増加した電力消費は、トランシーバブロックからだけでなく、フェーズドアレイ結合が発生するデジタルバックエンドからも来る。しかしながら、1つの主な恩恵は、複数のユーザを同時にサポートするその能力であり、各ユーザがフルのアンテナアレイゲインを活用し得る。しかしながら、このサポートは、各ユーザについて専用のデジタル結合パスを使用するコストがかかり得る。
すべての上記のフェーズドアレイのストラテジ(フェーズドアレイシステム31300、LOフェーズドアレイシステム31400、及びデジタルフェーズドアレイシステム31500)において、すべてのフェーズドアレイ受信器(又は送信器)の和が異なる振幅重み及び/又は位相シフトと結合され得る再結合点(結合ノード/コンバイナ31340)が存在し得る。この結合ノード31340は、しばしば、性能及び複雑さの観点でフェーズドアレイ受信器におけるボトルネックであり得る。異なるサイズのフェーズドアレイが所望される場合、この結合ノード31340は再設計され、設計複雑性を有意に増加させる可能性がある。フェーズドアレイ設計のこの側面は、フェーズドアレイのスケーラビリティに対する主要な障害であり得る。
本開示のいくつかの態様において、サイズをうまくスケーリングするスケーラブルフェーズドアレイ無線トランシーバアーキテクチャ(scalable phased array radio transceiver architecture、SPARTA)が提供される。これは、複数のアプリケーション及び製品に対するこのアーキテクチャの再使用性に大きく役立ち、市場に出るまでの時間を低減し得る。提案のアーキテクチャはさらに自己構成可能でもあり、デバイスのプログラム化可能性を容易にし得る。従来の動作モードをサポートすることに追加で、SPARTAはさらに、以下で説明されるように、より良いフェーズドアレイゲイン又は低い電力消費を可能にする新しい動作モードをサポートすることができ得る。
図316は、SPARTAアレイの例示的なセル要素31600のブロック図である。本図が示すように、SPARTAアレイセル要素31600は、送信器(TX)31610、受信器(RX)31620、局所発振器(LO)31630、及びデジタルブロック(DIG)31640を含み得る。マルチプレクサ及びデマルチプレクサのセット31650が、隣接セルとの通信を可能にするためにSPARTAアレイセル要素31600の4つのエッジにタイル状にされてよい。このセル要素31600は、フェーズドアレイ無線トランシーバを動作させる手段を構成し得る一例であり、これは、複数のタイル状にされ相互接続されたトランシーバセルで信号を送信及び受信することを含み得るが、手段はこの処理に限定されない。
SPARTAアレイセル31600を近隣セルに接続してセルのタイル状化を可能にするアナログ及びデジタル双方の並列バス31660が存在し得る。TX31610及びRX31620は単一又は複数いずれかの受信器及び送信器を有することができ、複数のRX及びTXセルが(電力消費を節減するように)単一のLO31630を共有することを可能にすることに留意する。水晶発振器(XO)信号がすべてのセル間でバッファリングされてよい。ループバックが、各セル要素31600内のXOバッファによりもたらされた遅延を測定及び較正するために使用されてよい。各セル要素31600は、それを近隣セルに接続する制御信号と、静的であり得るグローバル制御信号とを有してもよい。SPARTAアレイセル要素31600は、アナログ及びデジタル係数セット並びにパイプライン要素をさらに含むI/O及び位相結合ユニット31670をさらに含んでよい。以下で論じられる位置接続ポート31680が提供されてもよい。
図317は、セルのタイル状SPARTAアレイ31700を示すブロック図である。図が示すように、同一セル10300のアレイ31700が示される。これは、セル31600(ダイ)が正確なコピーであり得ることを意味する。セル要素31600間の通信は、アナログ及びデジタルバス31660を含む。バス31660の幅は、(以下で論じられる)フェーズドアレイシステムがサポートできる同時ユーザの数に等しくてよい。各SPARTAアレイセル要素31600は、隣接セル要素のみに接続されてよい。これは、提案の手法のスケーラビリティを提供するのに役立つ可能性がある。
いくつかの態様において、この提案のアーキテクチャは有利には、異なるアプリケーションについてウェハの異なる形状へのダイシングを可能にする。図318及び319は、ウェハダイシングの絵図である。図318は、低電力アプリケーションに対するSPARTAセル要素31600のダイシングされた部分31810を有するウェハ31800を示し、図319は、高性能アプリケーションに対するSPARTA要素のダイシングされた部分30910を有するウェハ31900を示す。
図318が示すように、異なる数の要素が様々なシステムレベル要件に対してダイシングされてよい。いくつかの低電力アプリケーションにおいて、例えば、4つのみのSPARTA要素が使用されてよい。基地局などの高性能システムにおいて、例えば、図319に示されるように、ウェハ全体が使用されてよい。換言すると、同じウェハが、異なるフォームファクタで満たされ、処理された正確なコピーのウェハを有すると同時にスキューを生成することができる。パッケージングコストを低減するためのウェハ統合のレベルは、より大きいダイ面積から結果として生じる生産高とバランスをとられ、最大生産高のための最大アレイサイズを結果としてもたらし得る。
図320は、ウェハ処理されアンテナアレイ32020と結合され得る32000、結合32000SPARTAアレイ32010の絵図である。この処理ステップでは、アンテナアレイ32020レイヤは、フルのシステムソリューションを提供するために単にかみ合わせられ(meshed)てもよい。
提案のフェーズドアレイシステムは、以下のとおり説明される自己認識構成可能構造を有してもよい。識別番号(ID)が、ID割り当てルーチンによって電源投入時に決定されてよい。これは、どれほど多くのSPARTAアレイセル要素31600がアレイ31700で使用されるかをシステムが知ることを可能にする。チップの4つのサイドは、北(N)、南(S)、西(W)、及び東(E)として参照され得る。図317により示される1つの例示的な識別スキームにおいて、ID#1が、NWコーナーセル要素31600に割り当てられてよい。NWコーナーは、ポートがオープンである又は別のポートに接続されている可能性があるかどうかを検出できる位置接続ポート31680により決定されてよい。例えば、N及びW双方のポートがオープンである場合、ID#1はそのセル要素31600に割り当てられてよい。次いで、そのセル要素31600は順次番号付けシーケンスを開始し、ここで、ID番号は1だけインクリメントされ、東のセル要素31600に渡されてよい。
現在のセル要素31600がEポート接続を有さず、それがそのID番号を西のセル要素31600から受信した場合(例えば、セル#4)、それはID番号を(#5で示される)南のセル要素31600に渡す。現在のセル要素31600がEポート接続を有さず、それがそのID番号を北のセル要素31600から受信した場合、それはID番号を(接続されている場合に)西のセル要素31600に渡す(その他の場合、それもまたID番号を南のセル要素31600に渡す)。同様のアルゴリズムが、アレイ31700の西の境界について続けられてよい。このルーチンは、SE又はSWコーナーセル要素31600が到達されるまで継続されてよい。その時点で、ID番号付けが完了し、各セル要素31600はアレイ内の一意識別子を有する。また、セルのID番号が割り当てられたとき、セル要素31600は、その送信及び受信双方の振幅及び位相値の、局所的な振幅及び位相較正を受けてよい。セル要素31600内の一意識別子を生成する他の番号付けスキームが同様に可能であり得る。
SPARTAアレイセル要素31600は、a)LOフェーズドアレイ動作モード、b)デジタルフェーズドアレイ動作モード、c)アナログフェーズドアレイ動作モード、及びd)ハイブリッド動作モード、などの動作のモードをサポートしてよい。すべてが、サイズスケーラブル動作を可能にするSPARTAアレイセル要素31600を使用して実装されてよい。
図321は、デジタルフェーズアレイタイル状化に使用され得る(SPARTAセル31600の一実装であり得る)SPARTAアレイセル要素32100を示すブロック図である。デジタルフェーズドアレイ動作において、SPARTAセル32100内のトランシーバ要素全体が使用されてよい。受信モードにおいて、受信信号はデジタル信号に変換され、次いで、前のID番号を有するSPARTAセル要素32100とベクトル加算されてよい。スケーラビリティを維持するために、各段階間の加算がパイプライン化されてよい。これは、データバスラインに対する負荷を制限するために提供されてよい。また、総計k人のユーザをサポートするために、各ユーザについて1つで、k個のバスラインが使用されてよい。バスラインの数がハードウェアにおいて固定され得るため、SPARTAセル要素32100は、大抵のシステムがデジタルフェーズドアレイ動作をサポートするために使用することになるユーザ数の最大値をサポートするハードウェアを用いて設計されてよい。また、データラインがパイプライン化され得るため、NDの深さの内部パイプラインレジスタが維持されてよい。パイプライン深さNDは最大SPARTAアレイサイズを制限し、ここで、個々の要素はデジタルフェーズドアレイモードで接続されてよい。
図が示すように、k個のデジタルバス32110がすべての方向(N、S、E、W)に存在してよい。送信器(TX)32120及び受信器(RX)32130ブロックの双方に対するデジタルマルチプレクサが、いずれのセル32100から入力を受信するか及びいずれのセル32100に出力するかを選択する。
図322は、LO位相結合モードにおいて隣接セル要素31600間でパイプライン化したLOフェーズドアレイを示すブロック図である。LOフェーズドアレイ結合動作モードにおいて、各セル要素31600はその位相シフトを中央制御ユニットから受信する。受信パスにおいて、すべてのミキサ段階の出力がアナログドメインにおいて加算され、アナログデジタル変換器(ADC)をバイパスし得る。次いで、1つのADC31730(図323)のみが結合出力を取得し、これらをデジタル形式に変換する。この結合は、隣接SPARTAセル要素31600間でインターフェイスするアナログバス31660を通じて実行されてよい。これは、ADC31730がフェーズドアレイシステム内の最大電力消費ブロックのうちの1つであり得るため、有意な電力低減の恩恵を有する。
LO位相シフト動作モードは、上記で論じられたように、LOフェーズドアレイ結合の1つの方法であり得る。SPARTAアーキテクチャは、この手法の新規のスケーラビリティを提供する。スケーラビリティを維持するために、アナログバス31660ラインは、パイプライン深さNAのサンプル・アンド・ホールドベクトルバスを通じて「アナログパイプライン化され」てよい。パイプライン深さNAは最大SPARTAアレイサイズを制限してよく、ここで、個々の要素はアナログフェーズドアレイモードで接続されてよい。各セル間のアナログ値は、スイッチドキャパシタアナログ積分器32210により加算されてよい。
本図は、前のセル要素31600と加算する積分器と、セルを接続するバス31660を通じて通信される前の遅延10920とを示す。LO位相シフトを有するSPARTAアレイ31700全体が図323に示され、図323は、LOフェーズアレイを使用するSPARTAセルタイル状化を示し、アクティブデータ変換器(active data converter)ADCを示すブロック図である。
図324は、ハイブリッドモードにおけるSPARTAアレイ31700を示すブロック図であり、ここで、各行は、あるLO位相シフトにおいてタイル状にされ、単一のADC31370を共有し得る。マルチユーザ動作が、ハイブリッド動作モードを使用することによりLOフェーズドアレイ動作モードにおいてサポートされてよい。このハイブリッド動作モードにおいて、アレイ31700は階層的に分割されてよく、ここで、より低レベルのセルはLOフェーズドアレイモードで結合されてよく、より高レベルのセルはデジタルフェーズドアレイモードで結合されてよい。いくつかの態様において、LOフェーズドアレイクラスタごとに、データ変換器の1つのペアのみが使用されてよい。いくつかの態様において、アレイクラスタのいくつか又はすべてで、データ変換器のペアが使用されなくてよく、いくつかの態様において、LOフェーズドアレイクラスタごとに、データ変換器の2つ以上のペアが使用されてよい。図324に示される構成は少なくとも2つの恩恵を提供する。第1に、それは、ソフトウェアを通じて制御され得る電力消費とアレイゲイン効率との間のトレードオフを提供する。第2に、それは、現在使用され得るSPARTAセル要素10300の総数がN=ND*NAであるため、ユーザあたりのアレイゲインを最大化するための方法を提供する。
図325は、アナログフェーズドアレイ結合動作モードに対する隣接セル要素31600間のアナログフェーズドアレイ結合のパイプライン化を示すブロック図である。この動作モードは、例えば、ユーザごとに1つのみのデータ変換器がアクティブである点で、LOフェーズドアレイ結合(及び、ハイブリッドフェーズドアレイ結合)と類似する。アナログパイプライン化は、図325に示されるように、重み付け加算結合で拡張されてよく、ここで、SPARTAセル31600は、フェーズドアレイ結合をアナログドメインにおいてパイプライン化する新規の能力を有してアナログフェーズドアレイ結合で示される。関数A1(s) 32510及びA2(s) 32520は、アナログドメインにおいて実現可能な一般的な複素関数でよい。異なるアナログ係数重みが、(レジスタ、キャパシタ、又は電流源などの)異なるアナログコンポーネントのデジタル結合により実現されてよい。上述されたアナログ加算器32210及び遅延32220と共に、パイプライン化されたベクトル加算演算が実現されてよい。このタイプの動作において、いくつかの態様において、ユーザごとに1つのみのデータ変換器がアクティブであり、フェーズドアレイセル31600ごとに有意な電力消費を除去し得る。
例示的な動作モードが以下の表10に要約される。いくつかの態様においてアレイがサポートできる最大同時ユーザ数は、(並列アナログ及びデジタルバス幅により規定される)M人のユーザであり得る。総計最大ユーザ数は、(アレイサイズ並びにデジタル及びアナログパイプライン深さにより規定される)N個のアレイ要素であり得る。「アパーチャ」は、アンテナアレイゲインを計算するときに考慮に入れられ得る要素数を参照する。デジタル結合のためのすべてのADCの使用は、(サイズスケーラビリティについて)大きいアレイに対するデジタルパイプライン化でマルチユーザ/マルチビーム動作を可能にするが、より大きい電力を消費する。並列アナログパイプライン化段階(ユーザごとに1つ)を用いたアナログベースバンド結合によりユーザごとに1つのみのADCを用いたユーザごとのアレイアパーチャ全体の使用は、電力を節減し得る。LO位相シフト及び単一のユーザに対する単一のADCの使用は、ADC電力を節減し、アナログパイプライン化を使用して大規模アレイにスケーリングする。それは、ADCについて増加した又は最大のレベルの干渉緩和を提供する。ハイブリッド構成は、LO結合及びユーザごとに1つのADCを用いたユーザごとのアレイ全体のサブセクションを使用し得る。
Figure 2024059722000065
本明細書でいくつかの態様に従って開示されるのは、同等の基本周波数変調より低い電力で高速位相変調を可能にするために、分数調波周波数においてILを利用するシステムである。こうした手法は、大きい利用可能な比帯域幅(及び、ゆえに高いスループット)を効率的に実装するために、ミリメートル波周波数において特に有用であり得る。直接デジタル変調は、キャリア信号の分数調波において注入同期型発振器(injection-locked oscillator)の自走周波数を変調する容量型デジタルアナログ変換器(digital-to-analog converter、DAC)を通じて達成されてよい。次いで、変調信号は、キャリア周波数で動作するミリメートル波発振器をさらに注入同期する(injection-lock)ために使用されてよい。
直接基本周波数変調と対照的に、こうした分数調波注入はより低い位相変調範囲を使用し、ゆえに、いくつかの態様においてより少ない注入強度を、したがってより低い電力を可能にする。高速開始/停止発振器を使用する直接VCO変調に基づく手法と対照的に、いくつかの態様において、提案の手法は、a)フェーズドアレイ要素間のVCO周波数ミスマッチを予防し、b)ベースバンドサンプルレートの整数倍であるというキャリア周波数に対する制限を除去する。
従来の狭帯域フェーズドアレイトランシーバは、ビームフォーミングに対してRF/LO/ベースバンド位相シフトを使用する。こうした手法がより高い比帯域幅及び/又は(マッシブMIMOにおいてなどで)多数のフェーズドアレイ要素にスケーリングされるとき、この手法は有意なシンボル間干渉(inter-symbol-interference、ISI)と、したがって信号対雑音比(SNR)劣化とを結果としてもたらす。ILに基づく遅延変調を使用することにより、このアーキテクチャは実時間遅延(true-time delay)に基づくビームフォーミングの使用を可能にする。各フェーズドアレイ要素上で変調キャリアを直接遅延させることにより、この手法はいかなるこうした劣化も除去する。
従来の基本周波数LO分配は、ミリメートル波周波数においてチャレンジングであり得、特に、大きいシリコンダイサイズを有するマルチ要素アレイに分配されるとき、全体電力消費に有意に寄与する。代わりに、(組み込みの変調及びビームフォーミングと共に)2つの連続した分数調波注入を採用することにより、いくつかの態様において、この手法は低周波数(及び、ゆえに低電力)LO分配を可能にする。結果として、アーキテクチャは、多数のアレイ要素にかなり効率的にスケーリングできる。
以下の様々な態様は、本明細書で説明されるシステムに組み込まれてよい。ロック周波数に関して、第1の態様は、基本周波数においてILを利用し得るシステムと異なり、分数調波周波数においてILを利用することであり得る。位相シフト/変調範囲に関して、一実装において、位相変調は出力周波数の1/3でよく、ゆえに、フルの±180°カバレッジに対して±60°範囲のみが使用され得る。これは、さらなる極性反転を除去し、電力を節減する。これは、最大で±90°まで位相シンボルを生成する設計に対して、向上であり得る。したがって、位相変調についてフルの±180°カバレッジを生成することは、さらなる信号極性反転を使用する。こうしたブロックがキャリア周波数で動作するため、それは有意な電力オーバヘッドであり得る。
注入強度に関して、本設計においていくつかの態様によれば、低減された位相範囲のため、注入強度と、したがってLO分配電力とは、強いILが±90°位相シフトを達成するために使用され得る設計と対照的に、より低くできる。
LO分配に関して、本設計においていくつかの態様によれば、出力ミリメートル波周波数がf0である場合、2段階分数調波ILを採用することにより、LO分配はf0/9に低減され、それにより、電力消費及び設計複雑性を有意に低減させ得る。これは、ミリメートル波周波数及び/又は多数のフェーズドアレイ要素に対して有意な電力オーバヘッドを有する、LO分配が基本周波数におけるものである設計と、対照をなす。
ビームフォーミングに関して、本設計においていくつかの態様によれば、容量型DACに基づくILがビームフォーミングに使用されてよく、これは、実時間遅延ビームフォーミングを構成する。こうしたビームフォーミングは、基本的にISIがない可能性がある。これは、ベースバンド/LO又はRFドメインに位相シフトを配置し、かつ広帯域及び/又はマルチ要素フェーズドアレイに対してISIを生じる狭帯域位相シフトに基づくアーキテクチャを利用する設計に対して、向上であり得る。
実時間遅延に基づくアーキテクチャであることに追加で、本設計においていくつかの態様によれば、位相シフトが容量型DAC設定の単に一機能であり得るため、ベースバンド変調信号は有意に緩いジッタ仕様を有する。これは、マルチ要素フェーズドアレイに対する分配の電力オーバヘッドを緩める。これは、実時間遅延ビームフォーミングを可能にするために発振器を急速に開始及び停止し、ベースバンド変調信号分配に対してかなり厳しいジッタ仕様が存在し得る手法を使用する設計に対して、このジッタがミリメートル波キャリアを使用する位相シフトに直接変換され、多数のフェーズドアレイ要素にスケーリングすることをチャレンジングにするため、向上であり得る。
本設計は、いくつかの態様によれば、多数の要素に対してスケーラブルな周波数ロックシステムであり得、シンボルレートに対する制限を有さなくてよい。これは、(フェーズドアレイ要素間の周波数ミスマッチに起因する)スケーラビリティ問題に追加で、ベースバンドシンボルレートをかなり特定の値にさらに制限する周波数ロックされないアーキテクチャに対して、向上であり得る。
図326は、いくつかの態様による、ILに基づく位相変調回路32600のコンポーネントを例示する概略図であり、これは、同期型発振器(locked oscillator)の位相シフト特性を活用する。変調回路32600は、上述されたようにアップコンバージョン回路350を組み込んでよく、あるいは他の形式のアップコンバージョン回路を組み込んでよい。(図328において例として示される)データ信号32610が、容量型DAC32625を含む発振器タンク回路32620に提供されてよい。この回路32600は、フェーズドアレイトランシーバについて注入同期型変調回路を動作させる手段を構成し得る一例であるが、手段はこの処理に限定されない。
図327は、周波数32635がロック注入周波数fINJ32630に依然としてロックされている間、ロック周波数fINJ32630、出力位相、及び振幅変化に関して発振器32620の中心周波数が如何に変更され得るかを示すグラフ32700である。発振器32620内の容量型DAC32625を利用することにより、ほぼ又は純粋にデジタルな仕方で位相シフト範囲内の複数の位相シンボルを生成することができる。
図328は、データ入力32610としてベースバンド変調ビットを用いて容量型DAC32625を制御することにより生成される、位相φ1及びφ2を有する2つのシンボルを示すタイミンググラフ32800である。この回路32600において、注入周波数32630は所望の中心周波数fの3分の1の分数調波でよい。これは、LO分配網における有意により低い電力消費につながる。より旧式の設計において、IL位相シフト範囲は典型的に±90°に制限される可能性があり、これは、高い電力コストにおいて強い注入と共に実装されるべきである。さらに、より旧式の設計において、位相シンボルのフルの±180°カバレッジを確保するために、さらなる位相反転ブロック(ギルバートセル電流転換器(Gilbert cell current commutator)など)が典型的に使用され、さらにより高い電力消費につながる可能性がある。
図329は、キャリア周波数fCARRIER32940に関してカスケードされた分周調波注入同期型アーキテクチャを使用してフルの360°位相変調を有するILに基づく位相変調回路32900のブロック図である。図329は、キャリア周波数fCARRIER32940の3分の1の分周調波(fCARRIER/3)周波数32635における位相シフトが如何に±60°のみの位相シフトを使用するかを示し、これは、3倍にした後、基本周波数fCARRIER32940においてフルの±180°カバレッジに変換される。この分数調波変調器は、今度は、カスケード設計においてその3分の1の分数調波fCARRIER/9 32930に注入同期され得る。この設計は、従来の(及び典型的に帯域制限される)アップコンバージョンミキサと同相/直交(I/Q)に基づく送信器要素とを除去し、それにより電力消費を低減させる。
本明細書で開示される様々な設計の別の態様は、同じアーキテクチャを使用して実時間遅延に基づくビームフォーミングを組み込む能力である。各アンテナがこれらの注入同期型位相変調発振器の1つにより供給され得るフェーズドアレイシステムについて、要素間の相対的遅延もまた、同じ容量型DACに基づく位相シフトを使用することによりチューニングできる。
図330は、要素1 33010及び要素2 33020が2つの異なるオフセット(0、ΔT)において同じベースバンドデータ信号(“11”、“00”)33030を供給され、実時間遅延に基づくシグナリングをエミュレートする遅れた又は進んだ波形に至り得る、実時間遅延に基づくビームフォーミングを示す結合グラフ33000である。従来のRF/LO/ベースバンド位相シフトアーキテクチャは、広い比帯域幅及びマルチ要素フェーズドアレイと共にビームフォーミングに使用され得る実時間遅延を生成できない。
図331は、高調波ILに基づく位相変調を実時間遅延ビームフォーミングと結合することを実装する4要素フェーズドアレイ送信器33100の例示的なアーキテクチャの概略ブロック図である。1/9のキャリア周波数fCARRIERにおける位相ロックループ(phase-locked loop、PLL)33110(すなわち、3分の1の分数調波fCARRIER/9 32930)が中心ロックネットワークにおいて利用され、それにより、大分より低い電力のLO分配網を使用し得る。
変調及びビームフォーミングの双方が、fCARRIER/3にチューニングされた発振器32635内のIL機構を通じて生じる。これは、位相シフト範囲を増加又は最大化することを可能にし、ゆえに、フルの±180°位相シンボルカバレッジと拡張されたビームフォーミング範囲とを確保する。
次いで、振幅変調が、電力バックオフ効率向上のためにデジタルPA33120のような極性アーキテクチャを使用することによりシステムに組み込まれてよい。次いで、信号は、フェーズドアレイアンテナ33130を介して出力されてよい。アーキテクチャは、より旧式のアーキテクチャより低電力であり、(多数の要素についてより高い電力に変換されるベースバンド信号分配ジッタに対してより敏感でない可能性がある。結果として、提案の配置は、例えば10個の要素を有するアレイに電力効率良くスケーリングする。
図332は、図329に示されるものと同様のILに基づく位相変調回路11900のブロック図であり、キャリア周波数fCARRIERの1/3で動作する注入同期型発振器の一例を示し、これにおいて、位相変調及びビームフォーミングは、I/Qミキサ又は位相シフタの使用なく単一のブロックに結合され得る。図においてトリプラ33240として示される、周波数及び位相乗算のための逓倍器が提供され得る。3の値がここで使用されるが、別の整数Nが、33230及び32635のfCARRIER/N、並びに逓倍器33240の逓倍器 ×Nとしての双方で使用できる。有利には、より高いN値は、より低い周波数及びより低い電力分配と緩い注入同期とを結果としてもたらす。しかしながら、より高いN値の欠点は、より低い比帯域幅であり得る。より低いN値により、より高速の変調及びより高い比帯域幅と、さらにより効率的な乗算とが存在し得る。しかしながら、これは、より高い周波数分配を結果としてもたらす。
図333は、図329及び332に示されるものと同様のILに基づく位相変調回路33300のブロック図であり、キャリア周波数fCARRIERの1/2で動作する注入同期型発振器の一例を示し、これにおいて、位相変調及びビームフォーミングは、I/Qミキサ又は位相シフタの使用なく単一のブロックに結合され得る。周波数及び位相乗算のためのダブラ33340が提供され得る。さらに、極性フリップ並びに周波数及び位相乗算のためのギルバートクアッド/極性スイッチ(Gilbert quad / polarity switch)33345が提供されてよい。fCARRIER/3の代わりのfCARRIER/2 33335と、ギルバートクアッド/極性スイッチ33345と使用することにより、より広い比帯域幅が達成され得、±60°のみの位相シフトが使用される。さらに、fCARRIERにおいて分配がなくてもよく、これは電力を節減する。
16‐QAMなどの独立したI/Qストリームを利用する無線ボーレートクロックデータリカバリ(clock data recovery、CDR)を扱うことについて、様々なシステム及び方法が開示される。
図334は、QPSKパルス振幅2(PAM2)変調のためのコンステレーションマップ33400と、可能なそれぞれのI及びQ値33410とを示す絵図である。
図335は、16‐QAM(PAM4)変調のためのコンステレーションマップ33500と、可能なそれぞれのI及びQ値33510とを示す絵図である。
図336は、(ZKの計算に基づく)タイミング調整を決定するために使用され得るテーブル33650を伴うPAM2変調タイミング推定器33600と、値を決定するための回路ブロック図33670とについての設計の絵図である。これらの回路は、上述されたようにベースバンド処理回路392を組み込んでよく、あるいは何らかの他の形式のベースバンド処理回路を組み込んでよい。この図33670において、PAM2について、2つのデータレベル、プラス1及びマイナス1が存在する。入力ストリームから、データ値DK及びエラーEKが決定されてよい。データがプラス1である場合、signはプラス1でありエラーはプラス1である。データがプラス1より小さくゼロより大きい場合、データはプラス1でありエラーはマイナス1である。値ZKが、現在のデータ、前のデータ、現在のエラー、及び前のエラーを使用して計算されてよい。Zが正である場合、サンプリング位相は早い。Zが負である場合、サンプリング位相は遅い。サンプリング位相は、計算されたZ値に基づいて調整されてよい。これは、PAM2に対するボーレートCDRである。
しかしながら、概念をPAM4(16‐QAM)に拡張することは新規の手法を表し、この変調の文脈においてボーレートCDRを適用することの決定は、以下の議論で示される。図337を参照すると、これは、第1の手法に従って提供されるデータ及びエラー値の第1の推定器テーブル33700であり、第1の推定器テーブル33700は、16‐QAMに関連づけられたマルチビット値に対する可能な適用を示す。テーブルに示されるエラー値を使用し、CDRが動作するが、準最適な方法においてである。
図338は、Zについての式及び第1の推定器テーブル33800の使用を示すグラフ33800である。PAM2(QPSK)曲線33810は、それが時間1において0値を跨いで0.5から-0.5に移行するとき、CDRの正しいロック点33830を示す。しかしながら、PAM4(16‐QAM)曲線33820について、それもまた時間1における正しいロック点33830の跨ぎを示すが、2つの偽のロック点33840がさらに存在し、これの間には正から負の値への移行が発生するが、これはCDRのロック点として機能すべきでない。テーブル33700がこれら偽のロック点を生成するため、それは受け入れ可能なソリューションでない可能性がある。
図339は、第2の例示的な手法を示す第2の推定器テーブル33900であり、これにおいて、エラー値は、プラス3値を上回る及びマイナス3値を下回るものを除き、すべてマイナス1である。図340は、第2のテーブル33900を使用するZ関数のグラフ34000である。第1に、参照のため、第1の手法(PAM4/16‐QAM)33820の関数が、正しいロック点33830及び偽のロック点33840と共にこのグラフ34000に再プロットされる。次いで、第2の手法34010の関数がプロットされ、第2のテーブル33900を用いて計算された値に基づき得る。グラフ34000に見られるように、第2の手法34010の関数は、第1のテーブル33700に基づく曲線33830に関して偽のロック点が存在した場所に、これらを有さない(34020)。したがって、第2のテーブル33900値は効果的なCDRを表す。
図340に示されるグラフ34000は、マルチパスシンボル間干渉(ISI)又は雑音を含まず、これらは、第2のテーブル33900を使用しても、偽のロックの周波数に対して何らかの関係を有することになる。特定の環境下で、第2のテーブル値33900は他の値(例えば、EK +1, +1, -1, -1, +1, +1, -1, +1)で代替でき、いずれの値セットが特定の環境セット下で最良の結果を生じるかについて、何らかの決定が実験的に測定され、かつ/あるいは行われてよい。
図341は、いくつかの論理計算34110と、位相検出器(MMPD)34120と、多数決34130フィルタリングと、累算器を有する上部に積分パス及び底部に比例パスを備えたデジタルループフィルタ34140(2次フィルタ)とを有する、ワイヤラインの典型的なボーレートCDRループ34100のブロック概略図である。累算器がさらに、ルックアップテーブル(LUT)及びさらなる処理を有し、デジタルループフィルタ34140の後に続く。
図342は、同相(I)及び直交(Q)双方の入力を有する、無線CDRループ34200のブロック概略図である。さらに、このループ34200は、CDR回路の部分を含み得、かつ多数決ブロックから2つのデータ(I、Q)出力を受信する、モードユニット34210を有する。
図343は、サンプリング位相の調整を決定するためにモードユニット34210により使用され得る様々なモード値及び調整指示を含むテーブル34300である。モード0において、アーリー及びレイトが双方ゼロである場合、判断はなく、現在のサンプリング位相が維持されてよい。モード1において、アーリーが1である場合、信号は早く、サンプリング位相はより遅い点に移動されてよい。モード2において、レイトが1である場合、信号は遅く、サンプリング位相はより早い点に移動されてよい。モード3について、モード0と同様に、アーリー及びレイトが双方1である場合、判断はなくてよい。
モード4において、Q出力は使用されなくてよく、I入力のみが使用されてよい。モード5は、それがQ入力のみ使用することを除き、同じである。モード6の場合、I又はQのいずれかが早い場合、信号は早く、サンプリング位相はより遅い点に移動されてよい。I又はQのいずれかが遅い場合、信号は遅く、サンプリング位相はより早い点に移動されてよい。モード7は同様であるが、それは「or」関数と対照的に「and」関数である。ゆえに、I及びQの双方が早くてサンプリング位相をより遅い点に移動させ、逆もまた同様である。モードテーブル34300と組み合わせてこのモードユニット34210を使用し、偽のロックの確率が低減できる。
ISI及び雑音が偽のロックを生じる可能性があることを思い出し、1つの目的は、偽のロックの確率を低減させることであり得る。無線通信は2つの独立したデータストリームを有するため、システムがこれらストリームの双方を利用できる。ボーレートCDRについてI及びQ双方を使用することは、偽のロックの確率を有意に低減させる。より多くの設定が、異なる状況を扱うためにテーブルに追加でき、テーブルに追加できる多くの論理組み合わせが存在する。例えば、IでなくQ、などである。
モードは様々な基準に従って選択されてよいが、I及びQ双方のチャネルを利用するモードはよりロバストである傾向があり、ゆえに、モード6及び7が好まれる傾向がある。第1の例において、QPSK変調方式で動作するとき、これはかなりロバストであり、一般に偽のロックの影響を受けにくい可能性があり、QPSK訓練信号が、最初に任意のモードを使用して正しいロック点を見つけるために使用されてよい。次に、モードはモード6(I又はQ)又はモード7(I及びQ)に設定されてよい。これらモードの双方がI及びQ双方のストリームを見ており、これは単一のストリームを見ているよりロバストであり得、偽のロックの確率を低減できる。第2の例において、I及びQ信号の偽のロック点が異なる場合、モード6又はモード7が、多くの場合に結合グラフの偽ロック点を除去するために使用されてよい。第3の例において、PAM2変調を使用するときなど、I又はQのいずれかが2つのレベルを有する場合、モードをモード4又は5に設定することが可能でよい(しかし、例えば、モード6及び7がここでも動作してよい)。
上述されたように、一般に、双方のチャネルを考慮することがより良い結果を生み出すが、これは常に当てはまるわけではない可能性がある。いくつかの例において、チャネルの1つを無視することがより良い結果を生み出すことになる。第4の例において、Iチャネルは有意なISIを有さないがQチャネルは有し、ゆえに、モード4が最良の結果を提供するとして選択されてよい。
モード設定は動的に変更されてよい。この例において、モードは3ビットで表されてよく、これらは、様々な条件が検出され得るとき、リアルタイムで変更できる。例えば、送信器が訓練信号を送出するがこれらが受信器により受信されない場合、モードは、訓練信号が異なるモードで受信できるかどうかを確認するために変更されてよい。何らかの形式のディザリングを行うことが可能でもよい。例えば、モード4がいくらかの期間について選択でき、次いで、我々は次の時間にモード5に切り替えることができる。ゆえに、モード4及びモード5はディザリングでき、条件が、いずれのモードが特定の時点において及び変化する条件に応答してより良く又は最良であるかを決定するために検出及び監視されてよい。この概念は、より大きいデータビット値について64‐QAM又はより高次の変調モードに一般化可能でよい。より高次の変調モードについて、テーブルは、図339のテーブル33900と同様に、プラス1が極値においてエラーに対して提供されマイナス1が他の値に対して提供されて、作成されてよい。
本開示のいくつかの態様は、低電力MIMOシステムの低分解能ADCの使用に関し、低分解能アナログデジタル変換器(ADC)を有する受信器におけるAGC設計のための新しいほぼ最適の信号電力推定器を提供し、低電力低遅延アプリケーションを対象にする。
本開示は、受信信号電力がADCのダイナミックレンジを上回るときに量子化雑音の効果を低減させ電力推定の正確さを有意に増大させるほぼ最大尤度の電力推定アルゴリズムを提供する。正確な電力推定は、MIMO通信システムの遅延を低減させ、低電力MIMOシステムについて低分解能ADCの使用を可能にする。この解決策は、AGCフィードバックループへの変更を採用せず、シングルインプットシングルアウトプット(single-input-single-output、SISO)及びMIMOシステムについて高分解能ADCを使用せず、それはまた、MIMOシステムの各アンテナ出力においてAGC回路を使用しない。したがって、提案の解決策は電力効率が良い可能性がある。低分解能を用いた平均電力計算を使用すると、ADCは、遅延(解決時間)をも増加させる高い推定エラーを有する。したがって、本明細書における提案の解決策は高精度及び低遅延を有する。
ADCにおける総計電力損失を低減させるために、本明細書で説明されるシステム及び方法は、いくつかの態様により、1)各アンテナ出力及び単一のデジタルAGCフィードバックループにおいて低分解能ADCを利用し、2)量子化ビン(まとめて同相/直交信号(I/Q)量子化ビン)の各々について、何らかの量子化ビンセットについて受信信号の確率を作成又はシミュレートし、ルックアップテーブルを作成し、3)何らかの特定の量子化ビンセットに該当するサンプルの総計数を数え、数えられたサンプル数についてルックアップテーブルから電力レベルを決定してよい。本開示のいくつかの態様は、この最適検出解決策の属性を使用することにより、任意タイプのコンステレーション及びチャネル並びに任意数のADCビット分解能を有する電力検出アルゴリズムを提供する。受信器におけるAGCの機能性は、ADCの入力における一定振幅を維持するためでよい。この開示において、いくつかの態様により、低分解能ADC及び新しい電力検出器アルゴリズムを有する受信器システムが提案される。
図344Aは、例示的なAGC回路34400のブロック概略図であり、これは、受信信号の振幅が受信器の動作の間に変わる受信器に実装されてよい。AGC回路34400は、上記で説明されたようにデジタルベースバンド回路310を組み込んでよく、あるいは、他の形式のデジタルベースバンド回路を含んでよい。信号がアンテナ34410で受信されてよく、RF増幅器34415に供給されてよい。信号はミキサ34420に提供されてよく、ミキサ34420は局所発振器を使用してそれをRFから中間周波数(IF)信号に変換する。IF信号は可変ゲイン増幅器(variable gain amplifier、VGA)34425に提供されてよく、出力がサンプル・アンド・ホールド(S/H)回路34430に提供されてよく、該回路においてそれは低分解能ADC34435によりデジタル化できる。デジタル信号の一部が電力決定器34440に入力として提供されてよい。出力電圧はリファレンス電圧VREFと組み合わせられ(34445)、ループフィルタ34450に提供されてよい。ループフィルタ34450は出力をVGA34425に対する制御として利用し、それにより制御ループを完成させる。
図344Bは、例示的なAGC処理34460のフローチャートであり、該処理は、直交変調信号からの複数の量子化信号を受信するステップS34465と、量子化信号を同相(I)/直交(Q)量子化ビンから構成されるコンステレーションマップの領域にその量子化電力レベルに従って割り当てるステップS34470と、割り当てられた量子化信号に基づいて最尤推定量(maximum likelihood estimator、MLE)を決定するステップS34475と、MLEに基づいて電力を推定するステップS34480と、推定された電力に基づいてさらなる受信信号に対して可変ゲイン増幅器を調整するステップS34485とを含む。AGC回路34400は、無線周波数(RF)受信器の自動ゲイン制御(AGC)のための方法を実行する手段を構成し得る一例であるが、手段はこれに限定されない。
図345は、直交符号化のコンステレーショングラフ34500であり、該グラフは、単一アンテナ受信器システムにおいて受信器信号のI/Q成分の各々にb=log(2n)ビットを有する低分解能ADCのための量子化ビンを示す。量子化後の受信信号は、以下のとおり書くことができる:yq,i=Q(h+n)、i=1,...,N。ここで、Nはサンプルの総計数である。ここで、xはチャネル入力信号であり、16‐QAM、8PSK、64‐QAM、BPSK等などのサイズMコンステレーションから選択されてよく、hはチャネルゲインであり、nはゼロ平均及び単位分散を有する加算性白色ガウス雑音(additive white Gaussian noise、AWGN)である。
上記式において、Q()は量子化器であり、量子化器の閾値レベルがtとして表され、j=-n,...-1,0,1,...,nであり、したがってt-n=-∞及びt=∞であり、ゆえにしたがって
Figure 2024059722000066
のとき
Figure 2024059722000067
である。
上記量子化演算は、受信信号の虚数成分について同様に同じでよい。
領域r、i=1,...,2b-2(2b-1+1)が、I/Q量子化ビン上にその量子化電力レベルに従って定義されてよく、それにより、各領域内のサンプルは図345に示されるように同じ電力レベルを有する。例えば、領域rは、
Figure 2024059722000068
に等しい電力レベルを有する。
次いで、最尤(ML)推定量が以下のとおり定式化されてよい。
Figure 2024059722000069
ここで、nriは領域r内で量子化されたNのうちのサンプル数であり、Pは平均受信信号電力であり、これは、
Figure 2024059722000070
として計算されてよい。所与のコンステレーション選択について、Pはチャネルhの分散にのみ依存する。
次に、最適解が上記のML推定量及び条件付き分布の属性に対して決定されてよく、P(r|P)が以下のとおり識別される。
Figure 2024059722000071
上記式において、第1の不等式はln x≧(x-1)という事実に起因し、等式はx=1のときに満たされ得る。このことから以下が生じる。
Figure 2024059722000072
上記不等式の左辺(left-hand side、LHS)は有界であり、上界は
Figure 2024059722000073
のとき達成され得る(例えば、x=1のとき、ln x=(x-1)である)ことに留意する。
したがって、条件付き分布P(r|P)及び量子化サンプル数nriを使用し、電力が推定され得る。しかしながら、以下の問題が存在し得る。i)条件付き分布は複数の解を有する可能性がある、ii)領域の数r、i=1,...,2b-2(2b-1+1)は大きい可能性がある、iii)サンプル数Nは遅延要件に起因して小さい可能性がある。
以下で条件付き分布の属性を論じ、上記問題を解決し、受け入れ可能な精度が達成され得るように検索数を制限することにより推定アルゴリズムを簡素化する。これは以下のステップにより達成されてよい。
1. 単調に増加又は減少する条件付き分布P(r|P)を有する領域rを選択する。
2. ステップ1において選択された領域rから、関心のあるPにわたり、
Figure 2024059722000074
であるような領域のセットを選択する。これは、数えられたサンプル数の感度を低減する。
3. 最適化問題:
Figure 2024059722000075
を解く。
図346は、以下の例で使用される3ビットADCのための量子化領域を示す直交符号化のコンステレーショングラフ34600である。64‐QAM入力信号、及び単一アンテナ受信器のI/Q成分の各々における3ビットADC、並びにSNR=10dBを考え、領域が図346に強調されるとおり定義される。領域を使用し、条件付き分布が図347のグラフ34700により提供されて示されるように計算されてよく、これは条件付き確率分布を示すグラフであり、ここで、r及びrのみが単調に増加及び減少している。図に示されるように、r及びrの条件付き分布のみが、Pに関して単調に増加及び減少している(上記から、ステップ1)。次いで、図348(これは条件付き確率分布の導関数を示すグラフ34800である)に与えられるように(ステップ2)、r及びrの条件付き確率分布の導関数が調べられる。図に示されるように、0<√P<5.3のとき、rは最大傾斜を有し、これは、推定エラーが領域r内の数えられた数のサンプルの変動の影響をより受けない可能性があることを意味する。5.3<√P<65のとき、rはより良い推定精度を有する。次いで、P(r|P)及びP(r|P)を使用し、ステップ3における最適化問題が解かれ得る。いくつかの態様において、ルックアップテーブルが作成され、解を見つけるために使用されてよい。
図349は、従来の平均電力決定と比較した提案の電力推定アルゴリズムの推定性能の例を示すグラフ34900である。ここで、従来の電力推定は以下のように平均電力推定であり得る。
Figure 2024059722000076
図349に示されるように、新規のアルゴリズムは、周知の平均電力推定と比較して有意により良い性能を有する。平均電力計算方法は、ADCの制限されたダイナミックレンジに起因して有限点に収束する。図において、領域のすべてを使用して電力推定がさらに提供される。さらに図に示されるように、r及びrのみの使用に起因した性能劣化は最小である。
例として、10dB SNRにおいて16‐QAM及び2ビットADCの使用を考え、0.3ステップサイズを有するログフィードバックループと共に新規アルゴリズムの遅延を最初考える。図350(これは新規アルゴリズムの遅延を示すグラフ35000である)において新規アルゴリズムを平均電力推定と比較するために、初期√P=9.48が設定され、最良収束値が√P=3.16である。図350に示されるように、提案のアルゴリズムは平均電力計算と比較してかなり速く収束し、なぜならば、新規アルゴリズムがより良い精度を有するからである。
図351は、正規化された平均二乗誤差(mean square error、MSE)を比較するグラフ35100である。図に示されるように、新規アルゴリズムは平均電力計算より有意により良い可能性がある。
図352は、均一45°位相雑音で性能を評価する、この45°位相雑音を有する平均二乗誤差(MSE)を示すグラフ35200である。図に示されるように、位相雑音は電力検出の観点で助けになり得、なぜならば、それが受信信号をランダム化するからである。条件付き確率分布が雑音(信号対雑音比(SNR))に依存するため、性能はSNR値に依存して変化し得る。しかしながら、ディザリングアルゴリズムを使用し、任意のSNRに対する最良の可能な解が発見できる。
図353は、デジタルプロセッサ35310(電力決定器34440を含み得る)とデジタルAGC35320と複数のフェーズドアレイアンテナ及びI/Q入力チャネルを有する低分解能ADC34425とを有する、MIMO受信器35300の例を示すブロック概略図である(図343において説明された他のコンポーネントはここで繰り返されない)。この設計において、ADC34435の各々からのサンプルのすべてが一緒に使用され得る。これは、各ADC34435がより少ないサンプルを使用するため、遅延の低減を可能にする。
この設計による新しい電力推定器は、様々な低電力受信器において使用できるAGCゲインのかなり高速な適応を可能にする。
いくつかの態様によりここで開示されるのは、受信モードで動作する受信器と送信モードで動作する送信器との双方についてアンテナアレイをゲイン制御要素として使用するシステム及び方法である。時分割複信(TDD)システム(及び/又は周波数分割複信(FDD)システム)において、受信器及び送信器は同時に動作しないため、アンテナアレイは受信器及び送信器について独立したゲイン制御を可能にするように構成されてよい。アンテナアレイにおけるゲイン制御は、アレイの要素を選択的にオン(又はオフ)することにより実現されてよく、それにより、アンテナアレイのゲイン及び指向性が動作条件に合わせられ得る。
送信時間スロットの間にアンテナアレイの要素を選択的にオン(又はオフ)にすることは、放射電力を制御することを可能にし、一方でまた、要素がオフにされたときバッテリ電力節減をもたらす。受信時間スロットの間にアンテナアレイの要素を選択的にオン(又はオフ)にすることは、最初の増幅段階の前のゲイン制御の実装を可能にする。アレイの要素がオフにされたとき、この段階への駆動レベルが低減され、それによりその線形性要件が低減し得る。
これを実装することにおける1つの挑戦は、アンテナアレイが受信又は送信いずれかのモードにおいてゲイン制御を実行するためにいつ使用されるべきかを決定する方法であり得る。これは、(受信の場合に)干渉電力を感知することと、(信号条件下で)より高いか又はさらには最も高いスループットに適した信号対雑音歪み比(signal-to-noise distortion ratio、SNDR)を維持することによりユーザ装置(user equipment、UE)及び基地局(BS)の間のリンクが劣化しないようにビーム探索を実行することとを含む。
ここで説明されるシステム及び方法は、ネットワーク条件に対する電流ドレイン低減に基づくアンテナアレイの増加又は最適化された制御にさらに使用されてよい。レーダ又は固定ポイントツーポイントシステムなどの既存のミリメートル波システムにおいて、トランシーバは、リンク品質を維持するために精巧なゲイン制御を使用しない。対照的に、モバイルセルラーシステムは、受信器及び送信器の双方においてより複雑なゲイン制御を日常的に使用する。
図354は、ビームフォーミング回路35400の実装を示すブロック図であり、N個の同一トランシーバスライス35410及びN個のアンテナ素子35420を示す。システムは上記で論じられたように並列受信回路382及び/又は結合受信回路384を利用してよく、あるいは、それは異なる受信回路を含んでよい。TDDトランシーバの一実装が第1のスライス35410に示される。特定のビームパターンを達成するために、アンテナ素子35420が、特定の振幅及び位相を有する信号を供給され得る。スイッチ35430が、トランシーバが送信モードで動作しているか又は受信モードで動作しているかを設定するために使用されてよい。トランシーバは、ゲイン制御(受信パスにおける可変ゲイン低雑音増幅器(low noise amplifier、LNA)35440及び送信パスにおける可変ゲイン電力増幅器(power amplifier、PA))と、所与のビームパターンに対する振幅及び位相を設定するための位相シフタ35450、35470とを含む。図354は、以下でより詳細に論じられるゲインテーブル35490を利用してフェーズドアレイを制御するために使用され得るプロセッサ35480をさらに含む。
アンテナアレイは、矩形パターン、例えば、8素子アンテナに対して2×4パターンなどの様々な構成で配置されたアンテナを有してよい。それは、同様に無指向性アンテナ素子をさらに含んでよい。1つの例示的な構成において、受信信号(所望の信号及び干渉信号)は、20log(n)により与えられるアンテナアレイにおけるゲインを経験し、一方で、熱雑音が10log(n)のゲインで増幅される。この状況において、アンテナアレイの有効受信ゲインは20log(n)-10log(n)である。
形成されるビームは、いくつの素子がアクティブ化されるかに依存して異なり得る。2×4パターンにおける全8素子がオンにされたとき、ゲインは最も高く(例えば、13.2dB)、ビームは最も狭い。反対に、8素子のうち4つのみがオンにされたとき、ゲインは最も低く(例えば、10.1dB)、ビームは最も広い。素子のうち6つがオンにされたとき、ゲインはこれら極値の間であり(例えば、11.7dB)、ビーム幅も同様である。
以下の表11は、オンにされた所与の数のアレイ素子について理論上の受信ゲイン、シミュレートされた受信ゲイン、及びゲイン(理論上及びシミュレート)の差を要約している。表11から、アンテナアレイは、8つのうち2つの素子のみが受信時間スロットの間にオンにされたとき、少なくともさらなる5.5dBのゲイン制御範囲を提供できることが分かり得る。アレイのうち1つの素子のみがオンにされた場合、さらなる3dB(理論上)のゲイン低減が可能であり得る。
Figure 2024059722000077
ビームフォーミングは、アクティブ素子の位置構成に基づいてもよい。例えば、ビームは、8つのアンテナ素子のうち外側4つがアクティブ化されるか又は内側4つがアクティブ化されるかに依存して、より広く又はより狭くなり得る。
図355及び356は、アンテナアレイがゲイン制御機構として使用される場合に実現できる受信器ダイナミックレンジの向上を示すグラフ35500、35600である。これらグラフは、2つの場合についてのアンテナにおける入力電力に対するSNDRのプロットである。図355は、アンテナアレイゲインが一定に保たれる場合を示す。電力曲線に対する、重なっているSNDR信号35510及びアナログデジタル変換(ADC)有りSNDR35520並びにADC駆動レベル35530がプロットされている。アンテナにおける電力が十分高いとき、低減ダイナミックレンジ領域35540において、SNDR35510、35520は有意に降下し、ADC駆動レベル35530が有意に上昇することが分かり得る。
図356は、アンテナアレイゲインがゲイン制御を可能にするために変えられる場合を示す。電力曲線に対する、重なっているSNDR信号35610及びアナログデジタル変換(ADC)有りSNDR35620並びにADC駆動レベル35630がプロットされている。アンテナにおける電力が高いとき、前の図において低減ダイナミックレンジ領域35540により占有される電力範囲において、SNDR35610、35620はそのレベルを維持し、ADC駆動レベル35630はおおよそ同じままとどまることが分かり得る。
図355及び356を比較すると、アンテナアレイをゲイン制御機構として利用することは、8素子アンテナアレイについて少なくとも10dBだけ受信器のダイナミックレンジを増加させることが分かり得る。より多数のアンテナ素子は、受信器の有効ダイナミックレンジのより大きい増加を可能にすることになる。さらに、トランシーバにおいてスライスを遮断することにより、電流ドレイン節減が実現されてよい。例えば、8つのアレイ素子うち4つのみを使用することは、受信器のフロントエンドにおいて約50%の電流ドレイン節減をもたらすことになる。
同様に、送信信号がアンテナアレイに起因したゲインを経験する。送信器に対して得られるゲイン制御範囲は、8素子アレイについて20log(Non/8)として表されてよく、Nonはアレイ内のアクティブ素子の数である。この関連は、素子の各々に対する入力が相互に関連づけられ得るため、持続する。アレイの要素がゲイン制御機構の一部としてオフにされたとき、電流ドレイン節減が送信器において得られてもよい。
図357は、アンテナアレイにおけるアクティブ素子数に対する放射電力35710及び相対電流ドレイン35720を示すグラフ35700である。
上記から分かり得るように、アンテナアレイにおけるゲイン制御を可能にすることの恩恵は有意であり得る。以下で論じられる態様は、アンテナアレイにおいてゲイン制御を如何に及びいつ適用するかのアルゴリズム及び原理である。
受信器ダイナミックレンジは、受信信号強度表示(received signal strength indicator、RSSI)測定を使用することにより拡張されてよい。さらに、高い信号レベルに対するゲインバックオフ(電力増幅器の飽和を下回るレベルで動作する)が、所望の信号及び/又は干渉検出に基づいてよい。低い乃至中間の信号レベルに対するゲインバックオフは、電流ドレインの低減に使用されてよい。また、偶数のチェーンが対称性を維持するために使用されてよく、一方で、奇数のチェーンが増加させた制御ステップ/範囲のために使用されてよい。送信に関し、要求された/プログラムされた送信電力は、アクティブチェーンの数を決定してよい。高い電力レベルに対するゲインバックオフが電流ドレインを低減させるために考慮されてよく、一方で、低い電力レベルに対するゲインバックオフが送信器ゲイン制御範囲を拡張するために考慮されてよい。また、受信と同様に、偶数のチェーンが対称性を維持するために使用されてよく、一方で、奇数のチェーンが増加させた制御ステップ/範囲のために使用されてよい。
図358及び359は、信号電力レベル、RxのRSSI及びTxの要求電力に対する、アンテナアレイにおけるアクティブ素子数の制御のための動作ウィンドウの境界をフレーム化したグラフである。動作ウィンドウは、電流ドレインに対するトレードオフをさらに表す。
図358は、Rxについて動作条件トレードオフを示すグラフ35800である。ここで、アクティブ素子の数は、左のパス35810で示されるように、受け入れ可能な信号雑音比(SNR)を維持して電流ドレインを向上させる最も低い信号レベルにおいて低減できる。これは、受信器がビームフォーミングゲインなしで動作する、広い範囲の信号レベルを結果としてもたらすことができる。これは、低干渉条件下での動作モードの例である。対照的に、右のパス35820は高干渉の条件にうまく適し得、なぜならば、アンテナパターンが所望の信号に焦点を合わせた狭いビーム幅を有するからである。狭いビーム幅条件は、見通し線(line-of-sight、LOS)動作にうまく適し得、より広いビーム幅条件は非LOS動作にうまく適し得る。
図359は、Txについて動作条件トレードオフを示すグラフ35900である。ここで、アクティブ素子の数は、右のパス35910で示されるように、受け入れ可能SNRを維持して電流ドレインを向上させる最も高い信号レベルにおいて低減できる。これは、送信器がビームフォーミングゲインなしで動作し得る、広い範囲の信号レベルを結果としてもたらすことができる。これは、最も低い電力消費のための動作モードであり得る。対照的に、左のパス35920は、より少ない又は最も少ない量の干渉を放射するのにうまく適し得、なぜならば、アンテナパターンが所望の基地局に焦点を合わせた狭いビーム幅を有するからである。
図360及び361は、それぞれ、アンテナアレイを構成するための例示的な受信36000及び送信36100処理のフローチャートである。これら処理36000は、動作の送信モード(TM)及び受信モード(RM)の間で切り替え可能な送信及び受信スイッチを切り替えることを含み得る、フェーズドアレイトランシーバにおけるアンテナアレイを制御する方法を実行する手段を構成し得る例であるが、手段はこの処理に限定されない。これらフローチャートに定義される動作は、デバイスのメモリに記憶された命令を実行するプロセッサ35480(図354)により実行されてよい。図360は受信処理36000を示し、該処理は、動作S36010においてアンテナアレイの最小電流ドレイン設定のためにゲインテーブル35490(図354)を構成することにより開始する。動作S36020において、AGC動作がゲインテーブル35490を使用して実行されてよい。これら動作は、可変低雑音増幅器35440を調整すること及び/又はアクティブアンテナ素子35420の数又は構成を調整することにより、ゲインに対する通常の調整を含んでよい。動作S36030において、ワイドバンド及びナローバンド信号検出が実行されてよい。動作S36040において、干渉が存在するかどうかについて決定が行われてよい。そうである場合(S36040:Y)、動作S36050において、ゲインテーブル35490がアンテナアレイのより狭いビーム幅設定のために構成されてよく、処理は動作S36020で継続してよい。そうでない場合(S36040:N)、処理は動作S36010で継続してよい。
図361は送信処理36100を示し、該処理は、動作S36110においてアンテナアレイの最小電流ドレイン設定のためにゲインテーブル35490を構成することにより開始する。動作S36120において、電力制御動作がゲインテーブル35490を使用して実行されてよい。これら動作は、電力増幅器35460を調整すること及び/又はアクティブアンテナ素子35420の数又は構成を調整することにより、ゲインに対する通常の調整を含んでよい。動作S36130において、既知の共存又は干渉懸念が存在するかどうかについて決定が行われてよい。そうである場合(S36130:Y)、動作S36140において、ゲインテーブル35490がアンテナアレイのより狭いビーム幅設定のために構成されてよく、処理は動作S36120で継続する。そうでない場合(S36130:N)、動作S36150において、ネットワークがより狭いビーム幅を要求したかどうかについて決定が行われてよい。そうである場合(S36150:Y)、処理は前のように動作S36140で継続してよい。そうでない場合(S36150:N)、処理はS36110で継続してよい。
考慮され得る他のファクタにはモバイルデバイスの移動速度が含まれ、例えば、セルフォンと共に歩いている歩行者対それを車の中で使用することである。移動しているデバイスはより広いビームからの恩恵をより受ける可能性があり、なぜならば、ビームフォーミング指示があまり頻繁に行われる必要がなくなるからである。身体により吸収されるエネルギーの測定値である比吸収率(specific absorption rate、SAR)が同様に安全の理由で考慮されてよい。例えば、ユーザの近さ及び方向がビームフォーミング判断に関わってよい。送信の方向を所与として、ナロービームを作成することがビームをユーザから離すことになる場合、より多くの素子をアクティブ化し、ビームフォーミングを行うことが望ましい可能性があり、なぜならば、これが、より大きい帯域幅で動作するよりもユーザに対してより安全な構成になるからである。反対に、送信の方向がユーザに向かう場合、より少ない素子をアクティブ化してより広い(及び、いずれの方向においてもより強力でない)ビームを作成することが望ましい可能性があり、なぜならば、これがユーザに対してより安全になるからである。
上記で論じられたシステム及び方法を利用することは、増大されたトランシーバ性能及び増大されたバッテリ寿命を可能にし得る。
無線チャネルにおいて、すべてのタップが等しい強度で同時に使用されるわけではない。通常の使用の間、タップのほとんど(例えば80%以上)は低い強度(例えば、その最大値の25%未満)に設定され得る。通信信号におけるより遅いポストカーソルタップはより早いタップよりもより低い強度を有し、この事実が利用できる。DACのフルスケールを低減し、分解能の範囲をトレードオフすることにより、低強度タップの量子化雑音の低減が実現され得る。
図362は、典型的なDACアーキテクチャ36200の概略図である。DAC36200は、上記で説明されたようにDAC340を、又は異なるアーキテクチャを含んでよい。こうしたDACは、トランジスタ36220を通じて電流を提供する電流源36210を含む電流ミラー14905を使用し、トランジスタ36220のゲートにおける電圧レベルを確立する。ゲートはスイッチ36230をさらに有してよく、それにより、ゲートは、DACの分解能を構成するビットを含む複数のDACレッグ36250を含む回路の右手部分から切断され得る。アクティブ化されたDACレッグの数に関連した電圧レベルが提示される出力36255が提供される。アクティブ化は、スイッチとして動作し電流がトランジスタ36270を通じて流れることを可能にするトランジスタ36260を介してでよく、これは、電流ミラー36205を通じて流れるものと同じである。こうしたDACは、例として、128個の異なるレベルを有する高分解能7ビットDACでよい。これらレベルは、例えば、5ボルトにわたり拡散でき、それにより、DACの各ステップは、約5v/128ステップ=0.0391V/ステップの電圧レベルを表す。
図363は、本明細書で説明されるデバイスの一実装による、階層構造化されたDAC36300の概略図である。前の図と共通の要素は同様の方式で機能し、それらの説明はここで繰り返されない。このDAC36300は、デジタルアナログ回路デバイスを動作させる方法を実行する手段の例であり、これは、第1コンポーネントにおいて、少なくとも2つの切り替え可能パスを提供することと、電流源から少なくとも2つの切り替え可能パスを通じて電流を流して、オンに切り替えられたパスの数に依存したリファレンス電圧点におけるリファレンス電圧を確立することと、第2コンポーネントにおいて、少なくとも2つの切り替え可能パスを提供することと、第2コンポーネントに関連づけられた出力が、第2のオンに切り替えられたパス数及び電圧リファレンス点に依存することと、電圧リファレンス点が第1コンポーネントを第2コンポーネントに接続することと、を含み得るが、手段はこれに限定されない。この設計のさらなる特徴は回路の左手部分における第2のDACレッグ36330であり、左手部分は以下の理由で「粗DAC(coarse DAC)」とラベル付けされている。このDACレッグ36330が、スイッチとして動作するトランジスタ36345を介してオンにされたとき、電流源36210からの電流は、それを通じてトランジスタ36340に流れる。トランジスタ36220を含む(及びその独自のスイッチ36320を介してさらに動作可能な)、このレッグ36330と初期レッグ36250とが、オンであり、ソース36210の電流ドレインの役割を果たすとき、電流は2つのレッグ間で分割され、ゲートにおける(及びスイッチ36230における)電圧は、1つのレッグのみがアクティブであるときのその値の2分の1に低減される。これは、右側の精細DAC部分と考えられてよいものの有効範囲を低減させる。
上記で提供された例を使用し、128ステップを有する7ビットDACは依然として右側に存在するが、回路の左手側で2つのレッグにより分割される電流に起因して、その範囲は半分に、例えば2.5Vにカットされる。分解能が存続するため、これは、DACの各ステップが今や約2.5V/128ステップ=0.1953V/ステップの電圧レベルを表すことを意味する。ゆえに、DACレッグ36330の1つを係合又は係合解除することにより、DACの動作を0~5V間から0~2.5Vに切り替えることができ、本質的に、2つのレベル間で切り替えるように動作可能な粗DACとして動作する。
粗DAC側は、2つのレッグを有することに限定されない。さらなるレッグが追加され、トランジスタ36345と同様の上側スイッチを介して係合可能及び係合解除可能であるように構成されてよい。4つのDACレッグ36330が粗DAC側に提供される場合、DACは、フルレンジ(1つのレッグがアクティブ化される)、ハーフレンジ(2つのレッグがアクティブ化される)、3分の1レンジ(3つのレッグがアクティブ化される)、及び4分の1レンジ(4つのレッグがアクティブ化される)で動作することができる。
設計時に、Nビットの粗DACを含むことは、(DACの同じ全体分解能を有するために)最大Nビットの分解能の精細DACを低減させる可能性がある。しかし、Nビットだけ精細DACを低減することは、その面積を2N倍だけ低減させる可能性がある。ゆえに、この階層構造でDACを配置することにより、機能性に対してほとんど影響なく相当なチップスペースが節減され得る。
いくつかの態様に従い本明細書で開示されるのは、多重化のためにミリメートル波無線通信において偏波を使用して、さらなるデータストリームとして多重チャネルを使用することによりスペクトル利用効率を向上させるシステム及び方法である。無線チャネルは、特に数百のタップを有するマッシブMIMO構成について、ギガバイト/秒(GB/s)レートにおいてマルチパス反射からISI及び交差偏波(cross-polarization)に困難さを有する。従来、これらの問題を扱うことは、これらの問題を解析及び補正するためにアナログデジタル変換器(ADC)を使用し、次いでデジタル信号プロセッサ(DSB)を利用し、デジタルドメインで実行されることになったであろう。しかしながら、この解決策は、秒あたり複数ギガビットのオーダであり得るミリメートル波無線通信に使用されるデータ速度において実際的でない。さらに、こうした解決策は、複雑かつ高価な傾向がある。いくつかの態様により本明細書で開示されるシステムは、ADC+DSP解決策より低い電力を利用し、ミリメートル波帯域幅において信号を適切に扱うのに十分な速度を有する、混合信号フィードフォワード+フィードバックポラライザ+等化器(mixed signal feedforward + feedback polarizer + equalizer、MSFFPE)設計である。
図364は、送信アンテナ36430と受信アンテナ36440とが整列している/並列であるときの主偏波(co-polarization)36410及び交差偏波36420を示すグラフの対を含む、組み合わせの絵チャート図36400である。図は、交差偏波信号の受信振幅が比較的低いことを示す。
しかしながら、特にモバイルデバイスに関して、アンテナが整列していることに常に依存できない。図365は、送信アンテナ36530と受信アンテナ36540とが不整列である/並列でないときの主偏波36510及び交差偏波36520を示すグラフの対を含む、組み合わせの絵チャート図36500である。図は、交差偏波信号の受信振幅が、図364に示される整列したアンテナの状況よりもこの状況において有意により高いことを示す。
図366は、いくつかの態様による、MSFFPE設計を使用する受信器36600の例である。受信器36600は、MSFFPEを動作させる方法の手段を構成し得る一例であるが、手段はこれに限定されない。受信器36600は、垂直36610V及び水平36610Hコンポーネントを有する複数のビームフォーミングアンテナを含んでよい。これらの各々が、ビームフォーミング要素36620内の(別個にラベル付けされていない)処理コンポーネントをさらに有してよい。受信器15300は、上記で説明されたようにベースバンド処理回路392を利用してよく、あるいは、他の回路を含んでよい。これらは、低雑音増幅器(LNA)、別個のI及びQミキサ、並びに信号を結合するための加算器を含んでよい。垂直36610V及び水平36610Hコンポーネントの各々についてのI及びQ信号は、可変ゲイン増幅器(VGA)36630及びキャリアリカバリ回路36640に提供されてよい。ADC36650は、VI、VQ、HI、及びHQ信号線の各々に提供されてよい。図366で分かり得るように、信号がデジタルに変換された後にこれらを獲得する新規のMSFFPE36660が提供され、これらはクロック遅延36664を受け得る。遅延信号は、等化及び偏波処理を介してフィルタリングを提供するためにポラライザ及び等化器コンポーネント36662により処理されてよく、出力信号はADC36650のアナログ側に提供されてよい。等価は、以下でより詳細に説明される積分(integrating)判定帰還型等化器(decision feedback equalizer、DFE)加算器を利用することにより実行されてよい。
図367は、従来の加算器36700を示す回路図であり、図368は、関連する差分が強調された、積分DFE加算器36800を示す回路図である。従来の加算器36700において、帯域幅は、抵抗器36710及びキャパシタンス36720により作成されたRC時間定数により制限される。帯域幅が制限されることに追加で、この設計は静的電流とゲイン・帯域幅トレードオフとに起因して高い電力消費を有する。
対照的に、積分DFE加算器36800は、静的電流及び解決時間要件が存在しないため、低電力設計を有する。従来の加算器36700の抵抗器36710はリセット可能キャパシタ36810で置換され、出力キャパシタ電圧は(CLK=0の)リセットの間にリセットされる。キャパシタンス36820は、上記で説明されたキャパシタンス36720と同じである。次いで、電荷が(CLK=1の)積分の間に積分され、次いで、これは端部でサンプリングされる。
図369は、DFE加算器36900設計に関してさらなる詳細を提供する概略図である。オペアンプ36910が、帯域幅増大及びオフセット相殺のためにブーストデバイス36950に関連したコモンモードフィードバックに提供される。信号は、プリアンプ36920に、次いで出力のためにスライサ36930に提供されてよい。回路はまた、DFEフィードバックタップ36940及びDFE入力36960を含む。
図370は、加算増幅器出力信号37010とstrong-arm-1(SA1)信号37020とに関してクロック信号37005を示すDFE加算器36900設計に関連したグラフ37000である。加算器は、2つのフェーズ、すなわちリセット及び積分で、上記で論じられたリセットスイッチを利用する。それは、5Gシンボル/sについてフルの5GHzクロックレートをサポートでき、コモンモードフィードバックを提供する。カスコード構成された(cascode-configured)デバイスは帯域幅増大を提供し、ブーストデバイスが帯域幅及びオフセット相殺のために提供されてよい。AC結合キャパシタがオフセットを除去するために提供されてよく、7つのプリアンプ及びスライスの使用が7つの異なる閾値(オフセット)のために提供されてよい。意図的クロックスキューが、最適点における加算器出力のサンプリングを可能にするためにCLK_SUMとCLK_SLICERとの間に提供されてよい。
図371が参照され、図371は、いくつかの例示的な態様に従う、RFデバイス371100のブロック図を概略的に示す。図371に示されるように、いくつかの例示的な態様において、RFデバイス371100はトランシーバを含んでよい。例えば、トランシーバは、半二重トランシーバ、全二重トランシーバなどを含んでよい。本明細書で説明されるRFデバイスは、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路に組み込まれてよいが、RFデバイスはこのようなものに限定されない。
いくつかの例示的な態様において、RFデバイス371100は、例えば上記で説明されたように、1つ以上のタイプの無線通信信号及び/又はシステムと関連して使用されてよい。
いくつかの例示的な態様において、RFデバイス371100は、少なくとも1つのアンテナ371101を含んでよく、かつ/あるいは該アンテナに動作上結合されてよい。例えば、アンテナ371101は、フェーズドアレイアンテナ、複数素子アンテナ、切り替えビームアンテナのセットなどを含んでよい。
いくつかの例示的な態様において、少なくとも1つのアンテナ371101は、別個の送信及び受信アンテナ素子を使用して送信及び受信機能性を実装してよい。いくつかの例示的な態様において、少なくとも1つのアンテナ371101は、共通の及び/又は一体化された送信/受信要素を使用して送信及び受信機能性を実装してよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばTx/Rxスイッチ371105を含んでよく、これは、例えば以下で説明されるように、例えば、Rx信号を例えばRxデバイス371100のRxパスに適用するように少なくとも1つのアンテナを切り替え、あるいは、Tx信号を例えばRFデバイス371100のTxパスから受信するように少なくとも1つのアンテナ371101を切り替えるように構成されてよい。
いくつかの例示的な態様において、RFデバイス371100は、例えば電力増幅器(PA)371110を含んでよく、これは、例えば以下で説明されるように、Tx RF信号をTx信号に増幅するように構成されてよい。例えば、PA371110は、広帯域PA、低帯域PA、アナログPA、デジタルPA、結合アナログ及びデジタルPA、アウトフェージングPA、ドハティPAなどを含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えば低雑音増幅器(LNA)371115を含んでよく、これは、例えば以下で説明されるように、Rx信号をRx RF信号に増幅するように構成されてよい。例えば、LNA371115は、広帯域増幅器、低帯域増幅器、アナログ増幅器、デジタル増幅器、結合デジタル及びアナログ増幅器などを含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えば位相シフタ371120を含んでよく、これは、例えば以下で説明されるように、Tx RF信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、RFデバイス371100は、例えば位相シフタ371125を含んでよく、これは、例えば以下で説明されるように、Rx信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、位相シフタ371120及び/又は位相シフタ371120は、例えばトランジスタ回路を含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばTx/Rxスイッチ371130を含んでよく、これは、例えば以下で説明されるように、例えば、TxパスからTx信号を受信するようにミキサ371125を切り替え、あるいは、例えば、Rx信号をRFパスに提供するようにミキサ371120を切り替えるように構成されてよい。例えば、Tx/Rxスイッチ371130は、複数の電界効果トランジスタ(Field Effect Transistor、FET)、スイッチング回路、スイッチング論理、スイッチングサブシステムなどを含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばスプリッタ/コンバイナ371135を含んでよく、これは、例えば、1つ以上のRF信号を結合し、かつ/あるいは、例えば、1つ以上のRF信号を分割するように構成されてよい。例えば、スプリッタ/コンバイナ371135は、1:4スプリッタ/コンバイナ、1:6スプリッタ/コンバイナ、2:6スプリッタ/コンバイナ、ウィルキンソンスプリッタ/コンバイナ、アナログスプリッタ/コンバイナ、デジタルスプリッタ/コンバイナ、及び/又はアナログスプリッタ/コンバイナのデジタルスプリッタ/コンバイナとの任意の組み合わせを含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばTx/Rxスイッチ371140を含んでよく、これは、例えば以下で説明されるように、例えば、TxパスからTx信号を受信するよう又はRx信号をRFパスに提供するように、スプリッタ/コンバイナ371135を切り替えるよう構成されてよい。例えば、Tx/Rxスイッチ371140は、例えば、複数のFET、スイッチング回路、スイッチング論理、スイッチングサブシステムなどを含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばRx増幅器371145を含んでよく、これは、例えば、Rx RF信号を増幅するように構成されてよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばTx増幅器371150を含んでよく、これは、例えば、Tx RF信号を増幅するように構成されてよい。
いくつかの例示的な態様において、Rx増幅器371145及び/又はTx増幅器371150は、例えば、広帯域増幅器、低帯域増幅器、IF増幅器、アナログ増幅器、デジタル増幅器、及び/又は任意の他の増幅器を含んでよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばミキサ371155を含んでよく、これは、例えば以下で説明されるように、例えば、Tx IF信号をTx RF信号にアップコンバートするように構成されてよい。
いくつかの例示的な態様において、RFデバイス371100は、例えばミキサ371160を含んでよく、これは、例えば以下で説明されるように、例えば、Rx RF信号をRx IF信号にダウンコンバートするように構成されてよい。
いくつかの例示的な態様において、ミキサ371135及び/又はミキサ371160は、例えば、ギルバートセルミキサ、アナログミキサ、デジタルミキサ、及び/又は任意の他のミキサを含んでよい。
いくつかの例示的な態様において、RFデバイス371100はIFユニット371170を含んでよく、これは、例えば以下で説明されるように、例えばTx IF信号を生成し、かつ/あるいは例えばRx IF信号を処理するように構成されてよい。
いくつかの例示的な態様において、IFユニット371170は、デジタル回路、アナログ回路、及び/又は任意の他のIF回路を含んでよい。
いくつかの態様において、例えば、RFデバイス371100は、Txモード又はRxモードで動作するように構成されてよい。
いくつかの例示的な態様において、RFデバイス371100がTxモードであるとき、Tx/Rxスイッチ371140、371130、及び371105は、Txパスを接続するように切り替えられてよい。一例において、IFユニット371170がTx IF Txを生成してよく、Tx IF信号をミキサ371155に提供してよい。ミキサ371155は、所望の周波数帯域、例えば、60GHz周波数帯域で、Tx IFをTx RF信号にアップコンバートしてよい。
いくつかの例示的な態様において、Txモードにおいて、Tx増幅器371145はTx RF信号を増幅してよく、スプリッタ/コンバイナ371135は、例えばスプリッタモードにおいて、Tx/Rxスイッチ371130を介してTx RF信号を位相シフタ371125に提供してよい。位相シフタ371125は、例えばコンステレーションポイントマップに従って、例えばTx RF信号の位相を所望の位相にシフトしてよい。PA371110は、Tx RF信号をTx信号に増幅してよい。Tx信号は、少なくとも1つのアンテナ371101を介して送信されてよい。
いくつかの例示的な態様において、RFデバイスがRxモードであるとき、Tx/Rxスイッチ371140、371130、371105は、Rxパスを少なくとも1つのアンテナ371101に接続するように設定されてよい。
いくつかの例示的な態様において、Rxモードにおいて、Rx信号は、Tx/Rxスイッチ371105を介して少なくとも1つのアンテナ371101からLNA371115により受信されてよい。LNA371115は、Rx信号をRx RF信号に増幅してよい。位相シフタ371120は、例えばコンステレーションポイントマップに従って、Rx RF信号の位相を所望の位相にシフトしてよい。
いくつかの例示的な態様において、Rxモードにおいて、コンバイナ/スプリッタ371130は、コンバイナモードで動作してよい。このモードにおいて、コンバイナ/スプリッタ371130は、Rx RF信号をRx増幅器371150に提供してよい。ミキサ371160は、Rx RF信号をRx IF信号にダウンコンバートしてよい。Rx IF信号は、例えば、IF回路371170に提供されてよい。IF回路371170は、Rx IF信号を処理するように構成されてよい。
図4に戻り、いくつかの例示的な態様において、RF回路425は無線アーキテクチャに従って構成されてよく、これは、少なくとも1つの双方向増幅器を含んでよく、これは、例えば以下で説明されるように、RF回路425のTx方向において及び/又はRF回路425のRx方向においてRF信号を増幅するように構成されてよい。
いくつかの例示的な態様において、いくつかのユースケース及び/又はシナリオにおいて、例えば以下で説明されるように、送信及び受信パスについて1つ以上の回路を共有し得る無線アーキテクチャを実装することは有利であり得る。受信及び/又は送信パスは、例えば、1つ以上の増幅器、1つ以上のスプリッタ、1つ以上のコンバイナ、1つ以上のミキサ、及び/又は必要な場合、1つ以上の他の追加的又は代替的コンポーネントを含んでよい。
いくつかの例示的な態様において、無線アーキテクチャは、例えば以下で説明されるように、双方向増幅器回路を含んでよい。有利には、双方向増幅器回路は、例えば、送信パスについての別個の回路、例えばPAと、受信パスについての別個の回路、例えばLNAと、PA及びLANの間で切り替えるための1つ以上のスイッチと、含む回路と同様の性能を提供し得る。
いくつかの例示的な態様において、双方向増幅器は無線アーキテクチャ内に実装されたとき、例えば以下で説明されるように、例えばスイッチの必要を除去することにより1つ以上の恩恵を提供し及び/又は1つ以上の技術的問題を解決し得、挿入損失を除去することにより性能を向上させ得、かつ/あるいは、無線アーキテクチャ回路の面積サイズを例えば50%まででさえ低減し得る。
いくつかの例示的な態様において、無線アーキテクチャは、例えば以下で説明されるように、例えば、少なくとも1つの双方向増幅器、少なくとも1つの双方向ミキサ、及び少なくとも1つの双方向スプリッタ/コンバイナを含んでよい。
いくつかの例示的な態様において、双方向増幅器回路は、アップコンバージョン及びダウンコンバージョン回路の一部として、例えばサブシステム415(図4)の一部として、フィルタリング及び増幅器回路の一部として、例えばサブシステム424(図4)の一部として、電力結合及び分割回路の一部として、例えばサブシステム430(図4)の一部として、及び/又は無線チェーン回路の一部として、例えばサブシステム435(図4)の一部として、及び/又は所望される場合任意の他のサブシステム及び/又は要素の一部として含まれてよく、かつ/あるいはこれらの1つ以上の動作及び/又は機能性を実行してよい。
次に図372が参照され、図372は、いくつかの例示的な態様に従う、RFデバイス372100のブロック図を概略的に示す。例えば、RFデバイス372100の1つ以上の要素及び/又はコンポーネントが、例えば上記で図1及び/又は図1Aを参照して説明されたように、トランシーバの一部として実装されてよい。本明細書で説明されるRFデバイスは、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路に組み込まれてもよいが、RFデバイスはこのようなものに限定されない。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、Tx信号を送信するよう及びRx信号を受信するように構成されたトランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバは、第5世代(5G)セルラートランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバは、60GHz周波数帯域を通じてTx信号を送信するよう及びRx信号を受信するように構成された60GHzトランシーバを含んでよい。しかしながら、他の態様において、トランシーバは、任意の他の周波数帯域、例えば45GHzを上回る周波数帯域を通じて、Tx信号を送信するよう及び/又はRx信号を受信するように構成されたトランシーバを含んでよい。
他の態様において、トランシーバは、任意の他の追加的又は代替的な周波数帯域を通じて送信及び受信するように構成された任意の他タイプのトランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバは半二重トランシーバを含んでよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば1つ以上のフェーズアレイアンテナ及び/又は任意の他タイプのアンテナを含む、少なくとも1つのアンテナ372101を含んでよく、かつ/あるいは該アンテナに動作上結合されてよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、例えば双方向増幅器372105を含む、1つ以上の双方向増幅器を含んでよい。
いくつかの例示的な態様において、双方向増幅器372105は、例えば以下で説明されるように、PA及び/又はLNAの1つ以上の動作及び/又は機能性を実行するように構成されてよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、双方向増幅器372105に動作上結合される位相シフタ372110を含んでよい。
いくつかの例示的な態様において、RFデバイス372100は、複数のアンテナパスを介して複数のアンテナ372101(図示されていない)に結合されてよい。例えば、アンテナパスは、双方向増幅器372105及び位相シフタ372110を含んでよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、位相シフタ372110及び双方向増幅器372120に動作上結合されるスプリッタ/コンバイナ372115を含んでよい。例えば、スプリッタ/コンバイナ372115は、例えば以下で説明されるように、Tx信号を複数のアンテナパスに分割するよう、及び複数のアンテナパスからの複数のRx信号を結合するように構成されてよい。
いくつかの例示的な態様において、双方向増幅器372120は、例えば以下で説明されるように、Tx IF増幅器及び/又はRx IF増幅器の1つ以上の動作及び/又は機能性を実行するように構成されてよい。Tx IF増幅器及び/又はRx IF増幅器は、例えば以下で説明されるように、例えば、広帯域増幅器、低帯域増幅器、デジタル増幅器、アナログ増幅器、及び/又は結合アナログデジタル増幅器により実装されてよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、双方向増幅器372120に動作上結合されるミキサ372125を含んでよい。
いくつかの例示的な態様において、RFデバイス372100は、例えば以下で説明されるように、ミキサ372125に結合されたIF回路372170を含んでよい。
いくつかの例示的な態様において、RFデバイス372100は制御回路372180を含んでよく、これは、例えば以下で説明されるように、双方向増幅器372105及び/又は372120を、例えばTx信号を扱うためのTxモードと例えばRx信号を扱うためのRxモードとの間で切り替えるように構成されてよい。
いくつかの例示的な態様において、Txモードにおいて、例えば、IF回路372120はTx IF信号をミキサ372155に提供してよく、ミキサ372155は、所望の周波数帯域、例えば60GHz周波数帯域及び/又は任意の他の周波数帯域で、Tx IF信号をTx RF信号にアップコンバートしてよい。
いくつかの例示的な態様において、双方向増幅器372120は、Tx RF信号を増幅してよく、増幅されたTx RF信号をスプリッタ/コンバイナ372115に提供してよい。例えば、スプリッタ/コンバイナ372215は、例えば、複数のアンテナパス間でTx RF信号を分割することにより、増幅されたTx RF信号を位相シフタ372110に提供してよい。例えば、位相シフタ372110は、例えば変調方式に基づいて、増幅されたTx RF信号の位相を所望の位相にシフトしてよい。
いくつかの例示的な態様において、双方向増幅器372105は、位相シフタ372110からの増幅されたTx RF信号を増幅してよく、Tx信号をアンテナ372101に提供してよい。
いくつかの例示的な態様において、RXモードにおいて、Rx信号が1つ以上のアンテナ372101により受信されてよい。双方向増幅器372120は、例えばアンテナ372101からのRx信号を増幅してよく、増幅されたRx RF信号を位相シフタ372110に提供してよい。位相シフタ372110は、例えば、変調方式、例えば直交振幅変調(QAM)方式又は任意の他の方式に基づいて、増幅されたRx RF信号の位相を所望の位相にシフトしてよい。コンバイナ/スプリッタ372115は、例えば、複数のアンテナパスからの増幅されたRx RF信号を結合することにより、増幅されたRx RF信号を双方向増幅器372120に提供してよい。
いくつかの例示的な態様において、双方向増幅器372120は、増幅されたRx RF信号を増幅してよく、増幅されたRx RF信号をミキサ372125に提供してよい。ミキサ372125は、増幅されたRx RF信号をRx IF信号にダウンコンバートしてよい。IF回路372120はRx IF信号を処理してよい。
いくつかの例示的な態様において、双方向増幅器、例えば双方向増幅器372105及び/又は双方向増幅器372120は、例えば以下で説明されるように、Txモードにおいて増幅されたTx信号を提供するためにTx信号を増幅する第1増幅器と、Rxモードにおいて増幅されたRx信号を提供するためにRx信号を増幅する第2増幅器とを含んでよい。
いくつかの例示的な態様において、双方向増幅器、例えば双方向増幅器372105及び/又は双方向増幅器372120は、例えば以下で説明されるように、Txモードにおいて第1入力部/出力部から第1増幅器にTx信号を提供し、及びRxモードにおいて第2増幅器からの増幅されたRx信号を第1入力部/出力部で出力する、第1変圧器を含んでよい。
いくつかの例示的な態様において、双方向増幅器、例えば双方向増幅器372105及び/又は双方向増幅器372120は、例えば以下で説明されるように、Rxモードにおいて第2入力部/出力部から第2増幅器にRx信号を提供し、Txモードにおいて第1増幅器からの増幅されたTx信号を第2入力部/出力部で出力する、第2変圧器を含んでよい。
いくつかの例示的な態様において、双方向増幅器、例えば双方向増幅器372105及び/又は双方向増幅器372120は、例えば以下で説明されるように、Txモードにおいて複数の作動電圧を第1増幅器に、及び複数の非作動電圧を第2増幅器に切り替える、複数のスイッチを含んでよい。
いくつかの例示的な態様において、例えば、第1の実装方式によれば、複数のスイッチは、例えば以下で説明されるように、Rxモードにおいて、複数の作動電圧を第2増幅器に、及び複数の非作動電圧を第1増幅器に切り替えるように構成されてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのドレインに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのドレインに印加される、ドレイン電圧を含んでよい。一例において、スイッチは、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのドレインに、及びRxモードにおいて第2増幅器の少なくとも1つのドレインに、ドレイン電圧を印加するように構成されてよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えば以下で説明されるように、Txモードにおいて第2増幅器の少なくとも1つのドレインに印加され、及びRxモードにおいて第1増幅器の少なくとも1つのドレインに印加される、ソース電圧を含んでよい。一例において、スイッチは、例えば以下で説明されるように、Txモードにおいて第2増幅器の少なくとも1つのドレインに、及びRxモードにおいて第1増幅器の少なくとも1つのドレインに、ソース電圧を印加するように構成されてよい。
いくつかの例示的な態様において、複数のスイッチは、例えば以下で説明されるように、第2増幅器の少なくとも1つのドレインをTxモードにおけるドレイン電圧とRxモードにおけるソース電圧との間で切り替える第1スイッチと、第1増幅器の少なくとも1つのドレインをTxモードにおけるソース電圧とRxモードにおけるドレイン電圧との間で切り替える第2スイッチとを含んでよい。
いくつかの例示的な態様において、双方向増幅器372105及び/又は双方向増幅器372120は、例えば以下で説明されるように、第1変圧器から第1増幅器の第1入力部にTx信号を提供する第1キャパシタと、第1変圧器から第1増幅器の第2入力部にTx信号を提供する第2キャパシタと、第2変圧器から第2増幅器の第1入力部にRx信号を提供する第3キャパシタと、第2変圧器から第2増幅器の第2入力部にRx信号を提供する第4キャパシタとを含んでよい。
いくつかの例示的な態様において、双方向増幅器372105及び/又は双方向増幅器372120の第1及び第2増幅器のうち少なくとも1つの増幅器は、例えば以下で説明されるように、コモンソース負性金属酸化膜半導体(Negative Metal Oxide Semiconductor、NMOS)FETを含んでよい。
いくつかの例示的な態様において、例えば、第2の実装方式によれば、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのドレインに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのドレインに印加される、ドレイン電圧を含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのゲートに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのゲートに印加される、バイアス電圧を含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのソースに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのソースに印加される、ソース電圧を含んでよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えば以下で説明されるように、Txモードにおいて第2増幅器の少なくとも1つのゲートに印加され、及びRxモードにおいて第1増幅器の少なくとも1つのゲートに印加される、ドレイン電圧と、Txモードにおいて第2増幅器の少なくとも1つのソースに印加され、及びRxモードにおいて第1増幅器の少なくとも1つのソースに印加される、バイアス電圧とを含んでよい。
いくつかの例示的な態様において、複数のスイッチは、例えば以下で説明されるように、第2増幅器の少なくとも1つのドレイン及び第1増幅器の少なくとも1つのゲートをTxモードにおけるドレイン電圧とRxモードにおけるバイアス電圧との間で切り替える第1スイッチ、第1増幅器の少なくとも1つのソースをTxモードにおけるバイアス電圧とRxモードにおけるソース電圧との間で切り替える第2スイッチ、第2増幅器の少なくとも1つのソースをTxモードにおけるソース電圧とRxモードにおけるバイアス電圧との間で切り替える第3スイッチ、及び/又は第2増幅器の少なくとも1つのドレイン及び第1増幅器の少なくとも1つのゲートをTxモードにおけるバイアス電圧とRxモードにおけるドレイン電圧との間で切り替える第4スイッチを含んでよい。
いくつかの例示的な態様において、例えば、第3の実装方式によれば、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのソースに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのドレインに印加される、ドレイン電圧を含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのドレインに印加され、及びRxモードにおいて第2増幅器の少なくとも1つのソースに印加される、ソース電圧を含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えば以下で説明されるように、Txモードにおいて第1増幅器の少なくとも1つのゲートに印加される第1バイアス電圧と、Rxモードにおいて第2増幅器の少なくとも1つのゲートに印加される第2バイアス電圧とを含んでよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えば以下で説明されるように、Txモードにおいて第2増幅器の少なくとも1つのドレインに及び第2の増幅器の少なくとも1つのソースに印加される第1バイアス電圧と、Rxモードにおいて第1増幅器の少なくとも1つのドレインに及び第1増幅器の少なくとも1つのソースに印加される第2バイアス電圧とを含んでよい。
いくつかの例示的な態様において、複数のスイッチは、例えば以下で説明されるように、第2増幅器の少なくとも1つのドレイン及び第1増幅器の少なくとも1つのゲートをTxモードにおけるソース電圧とRxモードにおける第2バイアス電圧との間で切り替える第1スイッチ、第1増幅器の少なくとも1つのソースをTxモードにおける第1バイアス電圧とRxモードにおける第2電圧との間で切り替える第2スイッチ、第2増幅器の少なくとも1つのソースをTxモードにおけるドレイン電圧とRxモードにおける第2バイアス電圧との間で切り替える第3スイッチ、及び/又は第1増幅器の少なくとも1つのドレイン及び第2増幅器の少なくとも1つのゲートをTxモードにおける第1バイアス電圧とRxモードにおけるドレイン電圧との間で切り替える第4スイッチを含んでよい。
いくつかの例示的な態様において、例えば以下で説明されるように、第1増幅器は1つ以上の正性金属酸化膜半導体(Positive Metal Oxide Semiconductor、PMOS)FETを含んでよく、かつ/あるいは、第2増幅器は1つ以上の負性金属酸化膜半導体(NMOS)FETを含んでよい。
いくつかの例示的な態様において、制御回路372180は、例えば以下で説明されるように、例えばTxモード又はRxモードに従って、双方向増幅器372105及び/又は双方向増幅器372120の第1増幅器と第2増幅器との間で複数のスイッチを制御可能に切り替えるために複数の制御信号を提供するように構成されてよい。
いくつかの例示的な態様において、例えば以下で説明されるように、双方向増幅器372105及び/又は双方向増幅器372120の第1増幅器は、例えばPAを含んでよく、かつ/あるいは、双方向増幅器372105及び/又は双方向増幅器372120の第2増幅器は、例えばLNAを含んでよい。
いくつかの例示的な態様において、例えば以下で説明されるように、双方向増幅器372105及び/又は双方向増幅器372120の第1増幅器は、例えば第1のコモンソースFET対を含んでよく、かつ/あるいは、双方向増幅器372105及び/又は双方向増幅器372120の第2増幅器は、例えば第2のコモンソースFET対を含んでよい。
図373が参照され、図373は、いくつかの例示的な態様に従う双方向増幅器回路373100を概略的に示す。例えば、双方向増幅器372105(図372)及び/又は双方向増幅器372120(図372)は、双方向増幅器回路373100の1つ以上の要素及び/又は機能性を実装してよい。本明細書で説明される双方向増幅器は、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路325)に組み込まれてよいが、増幅器はこのようなものに限定されない。
いくつかの例示的な態様において、双方向増幅器373100は、例えば以下で説明されるように、トランジスタ(Q1)373110及び(Q2)373120のコモンソースFET差動対と、トランジスタ(Q3)373130及び(Q3)373140のコモンソースFET差動対と、入力/出力ノード13150と、入力/出力ノード373155と、入力/出力ノード373160と、入力/出力ノード373165と、変圧器373170と、変圧器373135と、例えばスイッチ373180、373185、373190及び/又は13195を含む複数のスイッチとを含んでよい。
いくつかの例示的な態様において、第1のコモンソーストランジスタ対、例えばトランジスタ(Q1)373110、(Q2)373120、並びに第2のコモンソーストランジスタ対、例えばトランジスタ(Q3)373130及び(Q4)373140は、同じタイプのものでよく、NMOSFET又はPMOSFETなどを含んでよい。
いくつかの例示的な態様において、FETは、3つの端子、ソース(S)、ドレイン(D)、及びゲート(G)を含んでよい。ソース(S)は、キャリアがトランジスタのチャネルに入る端子でよい。例えば、ソースSでチャネルに入る電流は、ソース電流ISにより示されてよい。ドレイン(D)は、キャリアがトランジスタのチャネルを出る端子でよい。例えば、ドレイン(D)端子でチャネルに入る電流は、IDにより示されてよく、ドレイン対ソース電圧は、VDSとして示されてよい。ゲート(G)端子は、チャネル導電性を変調してよく、例えば、IDは、ゲート(G)端子に電圧を印加することにより制御されてよい。
いくつかの例示的な態様において、双方向増幅器373100は、RFデバイス、例えばRFデバイス372100(図372)の、RxパスにおけるLNAとして、及び/又はTxパスにおけるPAとして実装されてよい。
いくつかの例示的な態様において、スイッチ373180、373185、373190、及び/又は373195は、例えば以下で説明されるように、双方向増幅器373100をTxモード乃至Rxモードの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ373180、373185、373190、及び/又は373195は、例えば以下で説明されるように、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120、及び/又は第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140を、例えば、複数の作動電圧及び/又は非作動電圧をコモンソーストランジスタ対(Q1)373110、(Q2)373120、及び/又はコモンソーストランジスタ対(Q3)373130及び(Q4)373140に接続することにより、アクティブ及び/又は非アクティブにしてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて、例えば、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のドレイン(D)で印加され得るドレイン電圧VDDを含んでよい。例えば、ドレイン電圧VDDは、例えばRxモードにおいて、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のドレイン(D)に印加されてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のゲート(G)に印加され得るバイアス電圧Vbiasを含んでよい。例えば、バイアス電圧Vbiasは、例えばRxモードにおいて、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のゲートに印加されてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のソース(S)に印加され得るソース電圧VSSを含んでよい。例えば、ソース電圧VSSは、例えばRxモードにおいて、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のソース(S)に印加されてよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えばTxモードにおいて第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のゲート(G)に印加され得、及び例えばRxモードにおいてコモン第1ソーストランジスタ対(Q1)373110、(Q2)373120のゲート(G)に印加され得る、ドレイン電圧VDDを含んでよい。例えば、バイアス電圧Vbiasが、例えばTxモードにおいて、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のソース(S)に印加されてよく、例えばRxモードにおいて、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のソース(S)に印加されてよい。
いくつかの例示的な態様において、スイッチ373180は、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のドレイン(D)並びに第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のゲート(G)を例えばTxモードにおけるドレイン電圧VDDと例えばRxモードにおけるバイアス電圧Vbiasとの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ373185は、第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のソース(S)を例えばTxモードにおけるバイアス電圧Vbiasと例えばRxモードにおけるソース電圧VSSとの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ373190は、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のソース(S)を例えばTxモードにおけるソース電圧VSSと例えばRxモードにおけるバイアス電圧Vbiasとの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ373195は、第2のコモンソーストランジスタ対(Q3)373130及び(Q4)373140のドレイン(D)並びに第1のコモンソーストランジスタ対(Q1)373110、(Q2)373120のゲート(G)を例えばTxモードにおけるバイアス電圧Vbiasと例えばRxモードにおけるドレイン電圧VDDとの間で切り替えてよい。しかしながら、TxモードとRxモードとは交換可能であり、Txモードの上記例はRxモードに適用可能であり得、その逆も同様であることが理解されるべきである。
図374が参照され、図374は、いくつかの例示的な態様に従う双方向増幅器回路374100を概略的に示す。例えば、双方向増幅器372105(図372)及び/又は双方向増幅器372120(図372)は、双方向増幅器回路374100の1つ以上の要素及び/又は機能性を実装してよい。本明細書で説明される双方向増幅器は、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路325)に組み込まれてよいが、増幅器はこのようなものに限定されない。
いくつかの例示的な態様において、双方向増幅器374100は、例えば以下で説明されるように、トランジスタ374110及び374120のコモンソースFET差動対と、トランジスタ374130及び374140のコモンソースFET差動対と、入力/出力ノード374150と、入力/出力ノード374155と、変圧器374153と、入力/出力ノード374160と、入力/出力ノード374165と、変圧器374163と、キャパシタ374170と、キャパシタ374172と、キャパシタ374174と、キャパシタ374176と、抵抗器374180と、抵抗器374182と、抵抗器374184と、抵抗器374180と、スイッチ374190と、スイッチ374195とを含んでよい。
いくつかの例示的な態様において、例えば、トランジスタ374110、374120、374130、及び/又は374140のサイズに基づいて、キャパシタ374170、374172、374174、及び/又は374176の値は約10フェムトファラド(femtofarad、fF)乃至約10ピコファラド(picofarad、pF)の間で変動してよく、抵抗器374180、374182、374184、及び/又は374180の値は約100オーム乃至約10Kオームの間で変動してよい。いくつかの例示的な態様において、他の範囲が使用されてよい。
いくつかの例示的な態様において、第1のコモンソーストランジスタ対、例えばトランジスタ(Q1)374110、(Q2)374120、並びに第2のコモンソーストランジスタ対、例えばトランジスタ(Q3)374130及び(Q4)374140は、同じタイプのものでよく、NMOSFET又はPMOSFETなどを含んでよい。
いくつかの例示的な態様において、双方向増幅器374100は、RFデバイス、例えばRFデバイス372100(図372)の、RxパスにおけるLNAとして、及び/又はTxパスにおけるPAとして実装されてよい。
いくつかの例示的な態様において、スイッチ374190、及び/又は374195は、例えば以下で説明されるように、双方向増幅器374100をTxモード乃至Rxモードの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ374190、及び/又は374195は、例えば以下で説明されるように、第1のコモンソーストランジスタ対(Q1)374110及び(Q2)374120、及び/又は第2のコモンソーストランジスタ対(Q3)374130及び(Q4)374140を、例えば、複数の作動電圧及び/又は非作動電圧をコモンソーストランジスタ対(Q1)374110、(Q2)374120、及び/又はコモンソーストランジスタ対(Q3)374130及び(Q4)374140に接続することにより、アクティブ及び/又は非アクティブにしてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて第1のコモンソーストランジスタ対(Q1)374110及び(Q2)374120のドレイン(D)に印加され得、及び/又は例えばRxモードにおいて第2のコモンソースFET差動対トランジスタ(Q3)374130及び(Q4)374140のドレイン(D)に印加され得る、ドレイン電圧VDDを例えば含んでよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えばTxモードにおいて第2のコモンソーストランジスタ対(Q3)374130及び(Q4)374140のドレイン(D)に印加され得、及び/又は例えばRxモードにおいて第1のコモンソーストランジスタ対(Q1)374110及び(Q2)374120のドレイン(D)に印加され得る、ソース電圧VSSを含んでよい。
いくつかの例示的な態様において、スイッチ374195は、コモンソーストランジスタ対(Q3)374130及び(Q4)374140のドレイン(D)を例えばTxモードにおけるドレイン電圧VDDと例えばRxモードにおけるソース電圧VSSとの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ374190は、第1のコモンソーストランジスタ対(Q1)374110及び(Q2)374120のドレインを例えばTxモードにおけるソース電圧VSSと例えばRxモードにおけるドレイン電圧VDDとの間で切り替えてよい。しかしながら、TxモードとRxモードとは交換可能であり、Txモードの上記例はRxモードに適用可能であり得、その逆も同様であることが理解されるべきである。
いくつかの例示的な態様において、例えば、キャパシタ374170は、変圧器374153からトランジスタ(Q3)374130のゲート(G)に入力信号を提供してよく、キャパシタ374176は、変圧器374153からトランジスタ(Q4)374140のゲート(G)に入力信号を提供してよく、キャパシタ374172は、変圧器374163からトランジスタ(Q1)374110のゲート(G)に入力信号を提供してよく、かつ/あるいは、キャパシタ374174は、変圧器374163からトランジスタ(Q2)374120のゲート(G)に入力信号を提供してよい。
いくつかの例示的な態様において、例えば、トランジスタ(Q1)374110、(Q2)374120、(Q3)374130、及び/又は(Q4)374140は、同じタイプのものでよく、NMOSFET又はPMOSFETなどを含んでよい。
図375が参照され、図375は、いくつかの例示的な態様に従う双方向増幅器回路375100を概略的に示す。例えば、双方向増幅器372105(図372)及び/又は双方向増幅器372120(図372)は、双方向増幅器回路375100の1つ以上の要素及び/又は機能性を実装してよい。
いくつかの例示的な態様において、双方向増幅器375100は、例えば以下で説明されるように、トランジスタ(Q1)375110及び(Q2)375120のコモンソースFET差動対と、トランジスタ(Q3)375130及び(Q4)375140のコモンソースFET差動対と、入力/出力ノード375150と、入力/出力ノード375155と、入力/出力ノード375160と、入力/出力ノード375165と、変圧器375170と、変圧器375175と、例えばスイッチ375180、375185、375190及び/又は375195を含む(上記でも固定する)複数のスイッチとを含んでよい。
いくつかの例示的な態様において、第1のコモンソーストランジスタ対、例えばトランジスタ(Q1)375110、(Q2)375120は、同じタイプのものでよく、NMOSFETを含んでよく、かつ/あるいは、第2のコモンソーストランジスタ対、例えばトランジスタ(Q3)375130及び(Q4)375140は、同じタイプのものでよく、PMOSFETを含んでよい。
いくつかの例示的な態様において、第1のコモンソーストランジスタ対、例えばトランジスタ(Q1)375110、(Q2)375120は、同じタイプのものでよく、PMOSFETを含んでよく、かつ/あるいは、第2のコモンソーストランジスタ対、例えばトランジスタ(Q3)375130及び(Q4)375140は、同じタイプのものでよく、NMOSFETを含んでよい。
他の態様において、トランジスタ375110、375120、375130、及び/又は375140は、任意の他タイプのトランジスタを含んでよい。
いくつかの例示的な態様において、双方向増幅器375100は、RFデバイス、例えばRFデバイス372100(図372)の、RxパスにおけるLNAとして、及び/又はTxパスにおけるPAとして実装されてよい。
いくつかの例示的な態様において、スイッチ375180、375185、375190、及び/又は375195は、例えば以下で説明されるように、双方向増幅器375100をTxモード乃至Rxモードの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ375180、375185、375190、及び/又は375195は、例えば以下で説明されるように、第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120、及び/又は第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140を、例えば、複数の作動電圧及び/又は非作動電圧を第1のコモンソーストランジスタ対(Q1)375110、(Q2)375120、及び/又は第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140に接続することにより、アクティブ及び/又は非アクティブにしてよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140のソース(S)に印加され得、及び/又は例えばRxモードにおいて第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のドレイン(D)に印加され得る、ドレイン電圧VDDを例えば含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140のドレイン(D)に印加され得、及び/又は例えばRxモードにおいて第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のソース(S)に印加され得る、ソース電圧VSSを例えば含んでよい。
いくつかの例示的な態様において、複数の作動電圧は、例えばTxモードにおいて第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140のゲート(G)に印加され得る第1バイアス電圧Vbias1、及び/又は例えばRxモードにおいて第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のゲート(G)に印加され得る第2バイアス電圧Vbias2を例えば含んでよい。
いくつかの例示的な態様において、複数の非作動電圧は、例えばTxモードにおいて第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のドレイン(D)に及びソース(S)に印加され得る第1バイアス電圧Vbias1、及び/又は例えばRxモードにおいて第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140のドレイン(D)に及びソース(S)に印加され得る第2バイアス電圧Vbias2を含んでよい。
いくつかの例示的な態様において、スイッチ375195は、第2のコモンソーストランジスタ対(Q3)375130及び(Q4)375140のドレイン(D)並びに第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のゲート(G)をTxモードにおけるソース電圧VSSとRxモードにおける第2バイアス電圧Vbias2との間で切り替えてよい。
いくつかの例示的な態様において、スイッチ375190は、第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のソース(S)を例えばTxモードにおける第1バイアス電圧Vbias1と例えばRxモードにおけるソース電圧VSSとの間で切り替えてよい。
いくつかの例示的な態様において、スイッチ375185は、第2のコモンソーストランジスタ対(Q3)375130および(Q4)375140のソース(S)を例えばTxモードにおけるドレイン電圧と例えばRxモードにおける第2バイアス電圧Vbias2との間で切り替えてよい。
いくつかの例示的な態様において、スイッチ375180は、第1のコモンソーストランジスタ対(Q1)375110及び(Q2)375120のドレイン(D)並びに第2のコモンソーストランジスタ対(Q3)375130および(Q4)375140のゲート(G)を例えばTxモードにおける第1バイアス電圧Vbias1と例えばRxモードにおけるドレイン電圧VDDとの間で切り替えてよい。
図4に戻り、いくつかの例示的な態様において、RF回路425は無線アーキテクチャに従って構成されてよく、これは、少なくとも1つの双方向スプリッタ及びコンバイナ回路を含んでよく、これは、例えば以下で説明されるように、RF回路425のTx方向においてRF信号を分割し、及び/又はRF回路425のRx方向において複数のアンテナからのRF信号を結合するように構成されてよい。
いくつかの例示的な態様において、例えば、5Gのセルラーシステム、及び/又は約60GHzの通信周波数を有するWLAN、例えばWiGigなどの、ミリメートル波アプリケーションが、例えば以下で説明されるように、双方向スプリッタ及びコンバイナ回路を含んでよい。
いくつかの例示的な態様において、双方向スプリッタ及びコンバイナ回路は複数の低電流増幅器を含んでよい。例えば、低電流増幅器は、例えば以下で説明されるように、例えば、RF負荷/ソース、例えば、低電流増幅器への共通ポートに、例えば複数の抵抗器により、動作上結合され得る変圧器を使用することにより、例えば50オームインピーダンス又は任意の他のインピーダンスに対して構成されてよい。
いくつかの例示的な態様において、RF負荷/ソースは、例えば以下で説明されるように、例えばRFソースとして、分割ネットワークの一部として実装され、該分割ネットワークに結合され、かつ/あるいは該分割ネットワークにおいて使用されてよい。
いくつかの例示的な態様において、RF負荷/ソースは、例えば以下で説明されるように、例えばRF負荷として、結合ネットワークの一部として実装され、該結合ネットワークに結合され、かつ/あるいは該結合ネットワークにおいて使用されてよい。
いくつかの例示的な態様において、RF負荷/ソースは、例えば、双方向スプリッタ及びコンバイナ回路に結合され得る回路、例えば増幅器回路の、インピーダンスを表してよい。
一例において、変圧器及び/又はRF負荷は、例えば以下で説明されるように、分割ネットワークの一部として実装され、該分割ネットワークに結合され、かつ/あるいは該分割ネットワークにおいて使用されてよい。分割ネットワークは、例えば以下で説明されるように、入力ポートからの信号を例えば6つ以上の出力ポートへ分割してよい。他の態様において、任意の他の数の出力ポートが使用されてよい。
一例において、変圧器及び/又はRF負荷/ソースは、例えば以下で説明されるように、結合ネットワークの一部として実装され、該結合ネットワークに結合され、かつ/あるいは該結合ネットワークにおいて使用されてよい。結合ネットワークは、例えば6つ以上の入力ポートからの信号を出力ポートにおける信号に結合してよい。他の態様において、任意の他の数の入力ポートが使用されてよい。
いくつかの例示的な態様において、アクティブ双方向スプリッタ及びコンバイナ(active bidirectional splitter and combiner、ABDSC)が複数のトランジスタを利用してよく、該トランジスタは、例えば、低電流増幅器のトポロジへ実装されてよい。例えば、低電流増幅器は、例えば以下で説明されるように、その寄生、例えば内部キャパシタンス及び/又は抵抗に起因して、電流スイッチ及び/又は整合要素の双方として動作するために使用されてよい。
有利には、いくつかの例示的な態様において、ABDSCは、例えば低い電流消費、ポート間の高い絶縁、低い挿入損失、全ポートにおける良い整合性能を有する、例えば小さいサイズのパッケージにおいてでさえ、実装されてよい。いくつかの態様において、ABDSCは、これら属性、技術的利点、及び/又は恩恵のうちいくつか又はすべてを提供し、及び/又は1つ以上の追加的又は代替的な属性及び/又は技術的利点及び/又は恩恵を提供するように構成されてよい。
いくつかの例示的な態様において、ABDSCは、例えば以下で説明されるように、2つの動作モード、例えば結合モード及び/又は分割モードで動作するように構成されてよい。
いくつかの例示的な態様において、例えば結合モードにおいて、低電流増幅器は、例えば以下で説明されるように、その電流をRF負荷/ソース及び/又は変圧器を通じて駆動してよく、1つ以上の、例えばすべてのアクティブ増幅器からの電流の結合を結果としてもたらす。
いくつかの例示的な態様において、例えば分割モードにおいて、共通入力が、例えば以下で説明されるように、RF負荷/ソース、例えばRFソース、及び/又は変圧器により、複数の増幅器を駆動してよい。
いくつかの例示的な態様において、ABDSCは、例えば5G、WiGigなどの複数の放射素子をサポートする例えば少なくともいくつかのアプリケーションについて、例えば向上した又は最適な電力結合及び/又は電力分割さえ可能にするために、例えば1つ以上の技術的恩恵及び/又は利点を提供するように構成されてよい。例えば、5G及び/又はWiGigデバイスは、フェーズドアレイアンテナ及び/又はマルチプルインプットマルチプルアウトプット(multiple-input-multiple-output、MIMO)アーキテクチャを含んでよい。他の態様において、ABDSCは、任意の他の技術と共に、及び/又は任意の他の無線通信周波数帯域及び/又はデバイスに関して実装されてよい。
いくつかの例示的な態様において、ABDSCは、例えば以下で説明されるように、例えばカスコードトポロジに従って実装されてよい。
いくつかの例示的な態様において、ABDSCは、例えば以下で説明されるように、例えばコモンソース(CS)トポロジに従って実装されてよい。
いくつかの例示的な態様において、ABDSCは、例えば以下で説明されるように、例えばコモンソース/コモンゲート(CG/CS)トポロジに従って実装されてよい。
いくつかの例示的な態様において、ABDSCは、例えば以下で説明されるように、例えばコモンゲートトポロジ(CG)トポロジに従って実装されてよい。
他の態様において、ABDSCは、トポロジの組み合わせに基づいて、及び/又は任意の他の追加的又は代替的なトポロジに従って実装されてよい。
いくつかの例示的な態様において、ABDSCは、電力結合/分割回路の一部として、例えば、所望される場合にサブシステム430(図4)の一部として含まれてよく、かつ/あるいは電力結合/分割回路の1つ以上の動作及び/又は機能性を実行してよい。
次に図376が参照され、図376は、いくつかの例示的な態様に従う、ABDSC376100のカスコードトポロジを含むトランシーバ376000のブロック図を概略的に示す。
いくつかの例示的な態様において、トランシーバ376000は、例えば以下で説明されるように、1つ以上のTx信号を送信し、1つ以上のRx信号を受信するように構成されてよい。
いくつかの例示的な態様において、トランシーバ376000は、例えば、60GHz周波数帯域を通じてTx信号を送信し及びRx信号を受信するように構成された60GHzトランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバは半二重トランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバ376000は5Gセルラートランシーバを含んでよい。
他の態様において、トランシーバ376000は任意の他タイプのトランシーバを含んでよく、かつ/あるいは任意の他の周波数帯域を通じてTx及び/又はRx信号を通信するように構成されてよい。
いくつかの例示的な態様において、トランシーバ376000は、1つ以上のアンテナ376200を含んでよく、あるいは該アンテナに動作上結合されてよい。
いくつかの例示的な態様において、アンテナ376200は、1つ以上のフェーズアレイアンテナ及び/又は任意の他タイプのアンテナを含んでよい。
いくつかの例示的な態様において、ABDSC376100は、例えば以下で説明されるように、コンバイナモードとスプリッタモードとの間で切り替え可能であってよい。
いくつかの例示的な態様において、ABDSC376100は複数のアンテナインターフェイス376115を含んでよく、該アンテナインターフェイスは、例えば以下で説明されるように、コンバイナモードにおいてそれぞれの複数のアンテナポート16190から複数のRx信号を受信し、及びスプリッタモードにおいて複数のTx信号をそれぞれの複数のアンテナポート376190に出力するように構成されてよい。
いくつかの例示的な態様において、ABDSC376100は、例えば以下で説明されるように、ABDSC376100を増幅回路376105に動作上結合するためにRF負荷/ソース376101、例えば変圧器376110を含んでよい。
いくつかの例示的な態様において、RF負荷/ソース、例えばRF負荷/ソース376101、例えば変圧器376110のインピーダンスは、例えば以下で説明されるように、スプリッタモードにおいて増幅回路376105から複数のアンテナインターフェイス376115にTx信号を転送するように構成されてよい。
いくつかの例示的な態様において、RF負荷/ソース376101、例えば変圧器376110は、例えば以下で説明されるように、コンバイナモードにおいて、複数のRx信号を増幅回路376105に提供される結合Rx信号へと結合するように構成されてよい。
いくつかの例示的な態様において、複数のアンテナインターフェイス376115のうちのアンテナインターフェイス376115は、例えば、カスコード接続における第1トランジスタ対、例えばトランジスタ376120及び376130を含んでよく、これは、例えば以下で説明されるように、例えば、第1トランジスタ対のトランジスタ、例えばトランジスタ376130により、例えば、スプリッタモードにおいてアクティブにされ、コンバイナモードにおいて非アクティブにされてよい。
いくつかの例示的な態様において、アンテナインターフェイス376115は、例えば、カスコード接続における第2トランジスタ対、例えばトランジスタ376140及び376150を含んでよく、これは、例えば以下で説明されるように、例えば、第2トランジスタ対のトランジスタ、例えばトランジスタ376150により、例えば、コンバイナモードにおいてアクティブにされ、スプリッタモードにおいて非アクティブにされてよい。
いくつかの例示的な態様において、例えば以下で説明されるように、第1トランジスタ対、例えばトランジスタ376120及び376130は、FETの第1の対を含んでよく、かつ/あるいは、第2トランジスタ対、例えばトランジスタ376140及び376150は、FETの第2の対を含んでよい。他の態様において、任意の他タイプのトランジスタが使用されてよい。
いくつかの例示的な態様において、複数のアンテナインターフェイス376115は、例えば以下で説明されるように、少なくとも4つのアンテナインターフェイスを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス376115の数はアンテナポート及び/又はアンテナの数と同様であってよい。例えば、4つのアンテナ及び/又はアンテナポートについて、ABDSC376100は4つのアンテナインターフェイス376115を含んでよい。この例において、ABDSC376100は、1:4 ABDSCと呼ばれてよい。
いくつかの例示的な態様において、ABDSC376100は、例えば6つのアンテナ及び/又はアンテナポートからの/への信号を分割及び/又は結合するように6つのアンテナインターフェイス376115を含んでよい。この例において、ABDSC376100は、1:6 ABDSCと呼ばれてよい。
他の態様において、ABDSC376100は任意の他の数のアンテナインターフェイス376115を含んでよく、かつ/あるいは、ABDSC376100は任意の他の1:X ABDSCを含んでよく、ここでX>1である。
いくつかの例示的な態様において、例えば、トランジスタ376120、376130、376140、及び/又は376150は、FET、金属酸化膜半導体FET(MOSFET)トランジスタ、バイポーラ接合トランジスタ(bipolar junction transistors、BJT)、及び/又は任意の他タイプのトランジスタを含んでよい。MOSFETトランジスタは、負性MOSFET及び/又は正性MOSFET(PMOS)を含んでよい。例えば、BJTは、ネガティブ・ポジティブ・ネガティブ(Negative-Positive-Negative、NPN)トランジスタ及び/又はポジティブ・ネガティブ・ポジティブ(Positive-Negative-Positive、PNP)トランジスタを含んでよい。
いくつかの例示的な態様において、例えば、トランジスタ376120、376130、376140、及び/又は376150は、NMOSトランジスタ、PMOSトランジスタ、及び/又はNMOS及び/又はPMOSトランジスタの組み合わせを含んでよい。
有利には、NMOS及びPMOSトランジスタの組み合わせは、例えばDCブロックキャパシタなどのABDSC376100内のコンポーネントの数を低減し、異なるバイアス条件下でトランジスタの寄生を低減し得、かつ/あるいはABDSC376100の全体性能を向上させ得る。
いくつかの例示的な態様において、ABDSC376100は、例えばトランジスタ376120に動作上結合される抵抗器376180を含んでよい。例えば、抵抗器376180は、例えば、トランジスタ(Q1)のドレイン(D)に少なくともバイアスをかけるために構成された、150Ω抵抗及び/又は任意の他の適切な値を有してよい。
いくつかの例示的な態様において、ABDSC376100は、アクティブ負荷376180、例えば抵抗器を含んでよい。例えば、アクティブ負荷376180は、例えば、その三極間領域(triode region)にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、ABDSC376100は、例えば、DC電圧をトランジスタ376120のゲート(G)に提供するように動作上結合される、直流(Direct Current、DC)電圧源376160を含んでよい。
いくつかの例示的な態様において、ABDSC376100は、例えば、DC電圧を例えば抵抗器376180を通じてトランジスタ376120のドレイン(D)に提供するように動作上結合される、DC電圧源376170を含んでよい。
いくつかの例示的な態様において、ABDSC376100は、コントローラ回路376107を含んでよく、かつ/あるいは該コントローラ回路に動作上結合されてよく、これは、例えば以下で説明されるように、ABDSC376100をスプリッタモードとコンバイナモードとの間で制御可能に切り替えるように構成されてよい。
いくつかの例示的な態様において、コントローラ回路376107は、例えばスプリッタモードにおいて、トランジスタ(Q4)376150をOFF状態に切り替えるように構成されてよい。例えば、スプリッタモードにおいて、RF負荷/ソース376101、例えば変圧器376110は、例えば増幅回路376105から提供されるRF信号を、少なくともいくつかのトランジスタ、例えばトランジスタ376130に提供してよい。例えば、複数のアンテナ376200のうち1つ以上の、例えば各々のアンテナに提供される信号は、トランジスタ376120のドレイン(D)から提供されてよい。
いくつかの例示的な態様において、コントローラ回路376107は、例えばコンバイナモードにおいて、トランジスタ376120をOFF状態に切り替えるように構成されてよい。例えば、コンバイナモードにおいて、複数のアンテナ376200のうち1つ以上の、例えば各々のアンテナからのRF信号は、トランジスタ376140のゲート(G)に提供されてよい。例えば、コンバイナモードにおいて、例えば複数のアンテナインターフェイス376115のうち各アンテナインターフェイスのトランジスタ376150は、アンテナ信号をRF負荷/ソース376101、例えば変圧器376110に提供してよい。例えば、RF負荷/ソース376101、例えば変圧器376110は、複数のアンテナインターフェイス376115のトランジスタ376150からの信号を結合して、結合信号を増幅回路376105に提供してよい。
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:4 ABDSC、例えば1:4 ABDSC 376100により達成され得る、シミュレートされたパラメータの一例である。
Figure 2024059722000078
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:6 ABDSC、例えば1:6 ABDSC 376100により達成され得る、測定されたパラメータの一例である。
Figure 2024059722000079
いくつかの例示的な態様において、増幅回路376105は、例えばTx信号を増幅するための少なくとも1つの電力増幅器(PA)、及び/又はRx信号を増幅するための少なくとも1つの低雑音増幅器(LNA)を含んでよい。
いくつかの例示的な態様において、ABDSC 376100は、増幅回路376130における双方向増幅器からTx信号を受信し、及び/又は増幅回路376150における双方向増幅器に結合Rx信号を提供するように動作上結合されてよい。例えば、増幅回路376105は、例えば上記で説明されたように、双方向増幅器372205(図372)の1つ以上の要素を含むよう、及び/又は1つ以上の機能性を実行するように構成されてよい。
他の態様において、増幅回路376150は、例えば双方向増幅器の代わりに、1つ以上の別個の増幅器、例えばTx増幅器及びRx増幅器を含んでよい。
いくつかの例示的な態様において、例えば、増幅回路376105は、結合Rx信号を増幅Rx信号へ増幅するように構成されてよく、かつ/あるいはアップコンバートされたTx信号を増幅することによりTx信号を生成するように構成されてよい。
いくつかの例示的な態様において、トランシーバ376000は、ミキサ、例えばミキサ372225(図372)を含んでよく、これは、IF Tx信号をアップコンバートTx信号にアップコンバートし、及び/又は増幅Rx信号をIF Rx信号にダウンコンバートするように構成されてよい。
いくつかの例示的な態様において、トランシーバ376000は、1つ以上のIF信号をミキサに提供するために、例えばIFサブシステム372170(図372)の1つ以上の要素を含む、IF回路を含んでよい。例えば、IF回路は、IF Rx信号に基づき第1デジタル信号を生成し、及び/又は第2デジタル信号に基づきIF Tx信号を生成するように構成されてよい。
次に図377が参照され、図377は、いくつかの例示的な態様に従う、ABDSC377100のコモンソーストポロジの回路図を概略的に示す。
いくつかの例示的な態様において、例えば、ABDSC377100は、トランシーバの一部として、例えばトランシーバ37600(図376)の一部として、例えばABDSC376100(図376)の代わりに実装されてよい。本明細書で説明されるABDSCは、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、電力結合及び分割回路374)に組み込まれてよいが、ABDSCはこのようなものに限定されない。
いくつかの例示的な態様において、ABDSC377100は、例えば以下で説明されるように、コンバイナモードとスプリッタモードとの間で切り替え可能であってよい。
いくつかの例示的な態様において、ABDSC377100は、例えば以下で説明されるように、例えば、RF負荷/ソース377101と、変圧器377110と、複数のアンテナインターフェイス377115とを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス377115の数は、アンテナポート及び/又はアンテナの数と同様であってよい。例えば、4つのアンテナ及び/又はアンテナポートについて、ABDSC377100は4つのアンテナインターフェイス377115を含んでよい。例えば、ABDSC377100は、1:4 ABDSCと呼ばれてよい。6つのアンテナ及び/又はアンテナポートについて、ABDSC377100は6つのアンテナインターフェイス377115を含んでよい。例えば、ABDSC377100は、1:6 ABDSCと呼ばれてよい。他の態様において、ABDSC377100は任意の他の数のアンテナインターフェイス377115を含んでよく、かつ/あるいは、ABDSC377100は任意の他の1:X ABDSCを含んでよく、ここでX>1である。
いくつかの例示的な態様において、複数のアンテナインターフェイス377115のうちのアンテナインターフェイス377115は、例えば、コモンソース接続を有する第1トランジスタ377120を含んでよい。例えば、トランジスタ377120は、例えば以下で説明されるように、スプリッタモードにおいてアクティブにされてよく、コンバイナモードにおいて非アクティブにされてよい。
いくつかの例示的な態様において、アンテナインターフェイス377115は、コモンソース接続を有する第2トランジスタ377130を含んでよい。例えば、トランジスタ377130は、例えば以下で説明されるように、コンバイナモードにおいてアクティブにされてよく、スプリッタモードにおいて非アクティブにされてよい。
いくつかの例示的な態様において、例えば、トランジスタ377120及び377130は、FET、MOSFETトランジスタ、BJTなどを含んでよい。例えば、MOSFETは、NMOS及び/又はPMOSトランジスタを含んでよい。例えば、BJTは、NPN及び/又はPNPトランジスタを含んでよい。
一例において、トランジスタ377120及び377130は、NMOSトランジスタ、PMOSトランジスタ、及び/又はNMOS及びPMOSトランジスタの組み合わせを含んでよい。
有利には、NMOS及びPMOSトランジスタの組み合わせは、例えばDCブロックキャパシタなどのABDSC377100内のコンポーネントの数を低減し、異なるバイアス条件下でトランジスタの寄生を低減し得、かつ/あるいはABDSC377100の全体性能を向上させ得る。
他の態様において、トランジスタ377120及び/又は377130は、任意の他タイプのトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス377115は抵抗器377180を含んでよく、これは、トランジスタ377120のドレイン(D)に動作上結合されてよい。例えば、抵抗器377180は、例えばトランジスタ377120のドレイン(D)にバイアスをかけるために、150Ω抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、アンテナインターフェイス377115は負荷377180、例えば抵抗器377180を含んでよい。例えば、負荷377180は、アクティブ負荷、例えばトランジスタの三極間領域にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス377115は、例えば抵抗器377185などの高抵抗コンポーネントを含んでよく、これは、トランジスタ377120のゲート(G)に動作上結合されてよい。例えば、抵抗器377185は、例えばトランジスタ377120のドレイン(D)にバイアスをかけるために、2KΩ抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、抵抗器377185は、アクティブ負荷、例えばその三極間領域にあるように構成されたトランジスタ、及び/又は任意の他のアクティブ負荷で置換されてよい。
いくつかの例示的な態様において、アンテナインターフェイス377115は抵抗器377190を含んでよく、これは、トランジスタ377130のゲート(G)に動作上結合されてよい。例えば、抵抗器377190は、例えばトランジスタ(Q1)377130のゲート(G)にバイアスをかけるために、2KΩ抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、抵抗器377190は、アクティブ負荷、例えばその三極間領域にあるように構成されたトランジスタ、及び/又は任意の他のアクティブ負荷で置換されてよい。
いくつかの例示的な態様において、アンテナインターフェイス377115はキャパシタ377140を含んでよく、これは、トランジスタ377120のゲート(G)に動作上結合されてよい。例えば、キャパシタ377140は、例えば、15のQファクタで60GHz帯域について100フェムトファラド(fF)などの、低/中Qキャパシタを含んでよく、これは、例えば、変圧器377110をトランジスタ377120のゲートバイアス電圧から切り離すように構成されてよい。他の態様において、任意の他のキャパシタンス値及びQファクタが使用されてよい。他の態様において、キャパシタは、例えばPMOS及び/又はNMOSトランジスタが一緒に使用され得るとき、冗長であってよい。
いくつかの例示的な態様において、アンテナインターフェイス377115はキャパシタ377150を含んでよく、これは、トランジスタ377130のゲート(G)に動作上結合されてよい。例えば、キャパシタ377150は、例えば、15のQファクタで60GHz帯域について100fFなどの、低/中Qキャパシタを含んでよく、これは、例えば、トランジスタ377120のドレインバイアスをトランジスタ377130のゲートバイアス電圧から切り離すように構成されてよい。他の態様において、任意の他のキャパシタンス値及びQファクタが使用されてよい。他の態様において、キャパシタは、例えばPMOS及び/又はNMOSトランジスタが一緒に使用され得るとき、冗長であってよい。
いくつかの例示的な態様において、トランジスタ377120及び/又は377130は、二重の機能性で動作するように構成されてよい。例えば、第1機能性モードにおいて、トランジスタ377120及び/又は377130は増幅器として機能してよく、かつ/あるいは、第2機能性モードにおいて、トランジスタ377120及び/又は377130はスイッチとして機能してよい。例えば、トランジスタ377120及び/又は377130は、例えば以下で説明されるように、ABDSC377110の方向性を、例えばスプリッタ方向及び/又はコンバイナ方向の間で切り替えるように構成されてよい。
いくつかの例示的な態様において、DC電圧源377160が、DC電圧をトランジスタ377120のゲート(G)に供給してよい。例えば、DC電圧源377170は、DC電圧を例えば抵抗器377180を通じてトランジスタ377120のドレイン(D)に供給してよい。
いくつかの例示的な態様において、ABDSC377100は、コントローラ回路376107(図376)を含んでよく、かつ/あるいは該コントローラ回路に動作上結合されてよく、これは、例えば以下で説明されるように、ABDSC377100をスプリッタモードとコンバイナモードとの間で制御可能に切り替えるように構成されてよい。
いくつかの例示的な態様において、コントローラ回路376107(図376)は、例えばスプリッタモードにおいて、トランジスタ377130をOFF状態に切り替えるように構成されてよい。例えば、スプリッタモードにおいて、RF負荷/ソース377101、例えば変圧器377110は、例えば増幅回路376105から提供されるRF信号を、アンテナインターフェイス377115の少なくともいくつかのトランジスタ、例えばトランジスタ377120に提供してよい。例えば、複数のアンテナ376200のうち1つ以上の、例えば各々のアンテナ、例えばアンテナ376200(図376)に提供される信号は、複数のアンテナインターフェイス377115のうち1つ以上の、例えば各々のアンテナインターフェイスの、トランジスタ377120のドレイン(D)から提供されてよい。
いくつかの例示的な態様において、コントローラ回路376107(図376)は、例えばコンバイナモードにおいて、トランジスタ377120をOFF状態に切り替えるように構成されてよい。例えば、コンバイナモードにおいて、複数のアンテナ、例えばアンテナ376200(図376)のうち1つ以上の、例えば各々のアンテナからのRF信号は、複数のアンテナインターフェイス377115のうち1つ以上の、例えば各々のアンテナインターフェイスの、トランジスタ377130のゲート(G)に提供されてよい。例えば、コンバイナモードにおいて、複数のアンテナインターフェイス377115のうち1つ以上のアンテナインターフェイス、例えば各々のアンテナインターフェイスの、トランジスタ377130は、アンテナ信号をRF負荷/ソース377101、例えば変圧器377110に提供してよい。例えば、RF負荷/ソース377101、例えば変圧器377110は、1つ以上のアンテナインターフェイス377115のトランジスタ377130からの信号を結合してよく、結合信号を増幅回路376105(図376)に提供してよい。
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:4 ABDSC、例えば1:4 ABDSC 377100により達成され得る、シミュレートされたパラメータの一例である。
Figure 2024059722000080
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:6 ABDSC、例えば1:6 ABDSC 377100により達成され得る、シミュレートされたパラメータの一例である。
Figure 2024059722000081
表T4
次に図378が参照され、図378は、いくつかの例示的な態様に従う、ABDSC378100のコモンゲートトポロジを概略的に示す。
いくつかの例示的な態様において、例えば、ABDSC378100は、トランシーバの一部として、例えばトランシーバ37600(図376)の一部として、例えばABDSC376100(図376)の代わりに実装されてよい。
いくつかの例示的な態様において、ABDSC378100は、例えば以下で説明されるように、コンバイナモードとスプリッタモードとの間で切り替え可能であってよい。
いくつかの例示的な態様において、ABDSC378100は、例えば以下で説明されるように、RF負荷/ソース378101、例えば変圧器378110と、複数のアンテナインターフェイス378115とを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス378115の数は、アンテナポート及び/又はアンテナの数と同様であってよい。例えば、4つのアンテナ及び/又はアンテナポートについて、ABDSC378100は4つのアンテナインターフェイス378115を含んでよい。例えば、ABDSC378100は、1:4 ABDSCと呼ばれてよい。6つのアンテナ及び/又はアンテナポートについて、ABDSC378100は6つのアンテナインターフェイス378115を含んでよい。例えば、ABDSC378100は、1:6 ABDSCと呼ばれてよい。他の態様において、ABDSC378100は任意の他の数のアンテナインターフェイス17115を含んでよく、かつ/あるいは、ABDSC377100は任意の他の1:X ABDSCを含んでよく、ここでX>1である。
いくつかの例示的な態様において、複数のアンテナインターフェイス378115のうちのアンテナインターフェイス378115は、例えば、コモンゲート接続を有するトランジスタ378120を含んでよい。例えば、トランジスタ378120は、例えば以下で説明されるように、コンバイナモードにおいて、トランジスタ378120のドレインでドレイン電圧(Vd)を、トランジスタ378120のソースでソース電圧(Vs)を、及びトランジスタ378120のゲートでゲート電圧(Vg)を受けてよい。
いくつかの例示的な態様において、トランジスタ378120は、例えば以下で説明されるように、スプリッタモードにおいて、ドレインでソース電圧(Vs)を、ソースでドレイン電圧(Vd)を、及びゲートでゲート電圧(Vg)を受けてよい。
いくつかの例示的な態様において、例えば、トランジスタ378120は、FET、MOSFETトランジスタ、BJTなどを含んでよい。例えば、MOSFETは、NMOS及び/又はPMOSトランジスタを含んでよい。
有利には、NMOS及び/又はPMOSトランジスタの組み合わせは、ABDSC378100内のコンポーネントの数を低減し、異なるバイアス条件下でトランジスタの寄生を低減し得、かつ/あるいはABDSC378100の全体性能を向上させ得る。
いくつかの例示的な態様において、複数のアンテナインターフェイス378115のうちのアンテナインターフェイス378115、例えば各アンテナインターフェイス378115は、抵抗器378180を含んでよく、これは、トランジスタ378120に動作上結合されてよい。例えば、抵抗器378180は、例えばトランジスタQ1 378120のドレイン(D)にバイアスをかけるために、150Ω抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、アンテナインターフェイス378115は、抵抗器378120の代替としてアクティブ負荷を、例えば、三極間領域にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、コンバイナモードにおいて、複数のアンテナのうちの1つ以上のアンテナ、例えば各アンテナ、例えばアンテナ376200からのRF信号が、トランジスタ378120のドレイン(D)に提供されてよい。
いくつかの例示的な態様において、ソース電圧(Vs)が、トランジスタ378120のドレイン(D)に提供されてよい。例えば、トランジスタ378120は、RF信号をRF負荷/ソース378101、例えば変圧器378110に提供するように構成されてよい。RF負荷/ソース378101、例えば変圧器378110は、複数のアンテナのうちの1つ以上のアンテナ、例えば各アンテナ、例えばアンテナ376200(図376)からの信号を結合してよく、結合信号を増幅回路、例えば増幅回路376105(図376)に提供してよい。
次に図379が参照され、図379は、いくつかの例示的な態様に従う、ABDSC379100のコモンゲート/コモンソース(CS/CG)トポロジを概略的に示す。
いくつかの例示的な態様において、例えば、ABDSC379100は、トランシーバの一部として、例えばトランシーバ37600(図376)の一部として、例えばABDSC376100(図376)の代わりに実装されてよい。
いくつかの例示的な態様において、アンテナインターフェイス379115の数は、アンテナポート及び/又はアンテナの数と同様であってよい。例えば、4つのアンテナ及び/又はアンテナポートについて、ABDSC379100は4つのアンテナインターフェイス379115を含んでよい。例えば、ABDSC379100は、1:4 ABDSCと呼ばれてよい。6つのアンテナ及び/又はアンテナポートについて、ABDSC379100は6つのアンテナインターフェイス379115を含んでよい。例えば、ABDSC379100は、1:6 ABDSCと呼ばれてよい。
いくつかの例示的な態様において、例えば、ABDSC379100は、2つの変圧器及び/又はRF負荷/ソースと、6つのアンテナインターフェイス19115とを含んでよい。この例において、ABDSC379100は、2:6 ABDSCと呼ばれてよい。
他の態様において、ABDSC379100は任意の他の数のアンテナインターフェイス379115を含んでよく、かつ/あるいは、ABDSC379100は任意の他の1:X ABDSCを含んでよく、ここでX>1である。
いくつかの例示的な態様において、複数のアンテナインターフェイス379115のうちの1つ以上のアンテナインターフェイス379115、例えば各々のアンテナインターフェイス379115は、例えば以下で説明されるように、コンバイナモードにおいてアクティブにされ、スプリッタモードにおいて非アクティブにされるように構成された、コモンゲート接続を有する第1トランジスタ379130と、スプリッタモードにおいてアクティブにされ、コンバイナモードにおいて非アクティブにされるように構成された、コモンソース接続を有する第2トランジスタ379120とを含んでよい。
いくつかの例示的な態様において、例えば、トランジスタ379120及び/又は379130は、FET、MOSFETトランジスタ、BJTなどを含んでよい。MOSFETは、NMOS及び/又はPMOSトランジスタを含んでよい。例えば、BJTは、NPN及び/又はPNPトランジスタを含んでよい。
一例において、トランジスタ379120及び379130は、NMOSトランジスタ、PMOSトランジスタ、及び/又はNMOS及びPMOSトランジスタの組み合わせを含んでよい。
有利には、NMOS及びPMOSトランジスタの組み合わせは、ABDSC379100内のコンポーネントの数を低減し、異なるバイアス条件下でトランジスタの寄生を低減し得、かつ/あるいはアンテナインターフェイスABDSC379100の全体性能を向上させ得る。
他の態様において、トランジスタ379120及び/又は379130は、任意の他タイプのトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス379115は抵抗器379180を含んでよく、これは、トランジスタ379120のドレイン(D)に動作上結合されてよい。例えば、抵抗器379180は、例えばトランジスタ(Q1)379120のドレイン(D)にバイアスをかけるように構成され、150Ω抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、アンテナインターフェイス379115は、抵抗器379180の代替としてアクティブ負荷を、例えば、三極間領域にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス379115は抵抗器379185を含んでよく、これは、トランジスタ379120のゲート(G)に動作上結合されてよい。例えば、抵抗器379185は、例えばトランジスタ379120のゲート(G)にバイアスをかけるように構成され、2KΩ抵抗及び/又は任意の他の適切な値を有してよい。いくつかの他の態様において、アンテナインターフェイス379115は、抵抗器379185の代替としてアクティブ負荷を、例えば、三極間領域にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス379115は抵抗器19190を含んでよく、これは、トランジスタ379190のゲート(G)に動作上結合されてよい。例えば、抵抗器19190は、2KΩ抵抗及び/又は任意の他の適切な値を含んでよく、これは、トランジスタ379130のゲート(D)にバイアスをかけるように構成されてよい。いくつかの他の態様において、アンテナインターフェイス379115は、抵抗器377180の代替としてアクティブ負荷を、例えば、三極間領域にあるように構成されたトランジスタを含んでよい。
いくつかの例示的な態様において、アンテナインターフェイス379115はキャパシタ379140を含んでよく、これは、トランジスタ379120のゲート(G)に動作上結合されてよい。例えば、キャパシタ379140は、例えば、15のQファクタで60GHz帯域について約100fFなどの、低/中Qキャパシタを含んでよく、これは、例えば、変圧器379110をトランジスタ379120のゲートバイアス電圧から切り離すように構成されてよい。いくつかの例示的な態様において、例えば、トランジスタ379120はコモンソーストポロジで実装されてよく、かつ/あるいは、トランジスタ379130はコモンゲートトポロジで実装されてよい。例えば、DC電圧源379150が、DC電圧をトランジスタ379130のドレイン(D)に供給してよい。例えば、DC電圧源379155が、必要な場合、DC電圧をトランジスタ379120のソース(S)に供給してよい。例えば、DC電圧源379160が、DC電圧を例えば抵抗器379190を通じてトランジスタ379130のゲート(G)に供給してよい。例えば、DC電圧源379165が、DC電圧を例えば抵抗器379185を通じてトランジスタ379120のゲート(G)に供給してよい。例えば、DC電圧源379170が、DC電圧をトランジスタ(Q1)379120のドレイン(D)に、例えば抵抗器379180を通じて供給してよい。いくつかの他の態様において、抵抗器379190及び379185は、抵抗器377180としてのアクティブ負荷及び/又は電流ミラーによる代替であってよい。抵抗器379180は、トランジスタの三極間領域にあるように構成されたトランジスタによる代替であってよい。
いくつかの例示的な態様において、ABDSC379100は、コントローラ回路376107(図376)を含んでよく、かつ/あるいは該コントローラ回路に動作上結合されてよく、これは、例えば以下で説明されるように、ABDSC379100をスプリッタモードとコンバイナモードとの間で制御可能に切り替えるように構成されてよい。
いくつかの例示的な態様において、コントローラ回路、例えばコントローラ回路376107(図376)は、例えばスプリッタモードにおいて、トランジスタ379130をOFF状態に切り替えるように構成されてよい。例えば、スプリッタモードにおいて、変圧器379110は、例えば増幅回路376105(図16)から提供されるRF信号を、複数のアンテナインターフェイス379115の少なくともいくつかのトランジスタに、例えばトランジスタ379120に提供してよい。例えば、複数のアンテナのうち1つ以上の、例えば各々のアンテナ、例えばアンテナ376200(図376)に提供される信号は、複数のアンテナインターフェイス379115のうち1つ以上の、例えば各々のアンテナインターフェイスの、トランジスタ379120のドレイン(D)から提供されてよい。
いくつかの例示的な態様において、コントローラ回路376107(図376)は、例えばコンバイナモードにおいて、トランジスタ379120をOFF状態に切り替えるように構成されてよい。例えば、複数のアンテナのうちの各アンテナ、例えばアンテナ376200(図376)からのRF信号は、例えば、複数のアンテナインターフェイス379115のうち1つ以上のアンテナインターフェイス、例えば各々のアンテナインターフェイスの、トランジスタ379130のソース(S)に提供されてよい。例えば、複数のアンテナインターフェイス379115のうち1つ以上の、例えば各々のアンテナインターフェイスの、トランジスタ379130は、アンテナ信号を変圧器379110に提供してよい。例えば、変圧器379110は、1つ以上のアンテナインターフェイス379115のトランジスタ379130からの信号を結合してよく、結合信号を増幅回路376105(図376)に提供してよい。
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:4 ABDSC、例えば1:4 ABDSC 379100により達成され得る、測定されたパラメータの一例である。
Figure 2024059722000082
以下は、いくつかの例示的な態様に従う、コンバイナモードおいて及びスプリッタモードにおいて例えば1:6 ABDSC、例えば1:6 ABDSC 379100により達成され得る、測定されたパラメータの一例である。
Figure 2024059722000083
図4に戻り、いくつかの例示的な態様において、RF回路425は無線アーキテクチャに従って構成されてよく、これは、少なくとも1つのデジタルE級積層PAを含んでよく、これは、例えば以下で説明されるように、RF信号を増幅するように構成されてよい。
いくつかの例示的な態様において、いくつかのユースケース、シナリオ、及び/又は実装において、例えば、ミリメートル波(mm‐Wave)5Gアプリケーション及び/又は任意の他の実装の高いデータレートをサポートするために、例えば、高い速度、高い振幅、及び/又は位相分解能を有する、スペクトル効率の良いポーラーコンステレーション(polar constellations)、例えばマルチレベル振幅位相シフトキーイング(Multi-level Amplitude-Phase Shift Keying、M‐APSK)、及び/又はデカルトコンステレーション(Cartesian constellations)、例えばマルチレベル直交振幅変調(Multi-Level Quadrature Amplitude Modulation、m‐QAM)を実現する技術的必要があり得る。
いくつかの例示的な態様において、高振幅分解能を例えばミリメートル波送信器フロントエンドにおいて実現するために、送信器におけるミリメートル波PAが、例えば以下で説明されるように、複数のセグメント、例えばバイナリスケーリングされたセグメントにセグメント化されてよい。
いくつかの例示的な態様において、増幅器セグメントのうち1つ以上、例えばさらには各増幅器セグメントが、例えば以下で説明されるように、例えば所望の振幅分解能を実現するために、デジタル制御されてよい。
いくつかの例示的な態様において、例えば一方のトランジスタが他方のトランジスタの上に接続され、直列に積層された2つのトランジスタを有するスイッチング電力増幅器アーキテクチャ、例えばE級/F級PAなどが、直列変調制御スイッチの損失を緩和するために使用されてよい。例えば、積層型上部トランジスタが、変調制御スイッチとしてさらに動作するように構成されてよい。例えば、上部トランジスタのゲート電圧が制御信号によりデジタル制御されてよく、例えば、それにより、上部トランジスタの電流が、底部トランジスタを枯渇させて遮断し、例えば、変調出力振幅、例えばミリメートル波変調出力振幅が、例えば制御信号のデジタル制御ビットに従ってハイ又はローであるよう強制してよい。
いくつかの例示的な態様において、Nビット分解能デジタル電力増幅器が、例えば以下で説明されるように、例えば、N個の同一積層型トランジスタセグメントを複製し及びバイナリスケーリングすることにより実装されてよい。
いくつかの例示的な態様において、Nビット分解能デジタル電力増幅器は、例えば、増幅器セグメントの各々の入力部において損失のある直列スイッチの必要を除去するように構成されてよい。
いくつかの例示的な態様において、上部積層型トランジスタは、例えば以下で説明されるように、電力増幅段階と変調制御スイッチとの二重の役割を果たしてよい。例えば、変調スイッチ寄生を例えばmm波PA設計ネットワークに組み込むことにより、より大きいスイッチサイズ、例えば25μm乃至250μmが、例えば、大きいスイッチ寄生キャパシタンス、例えば20~200フェムトファラド(fF)のペナルティを払うことさえなく、スイッチオン抵抗損失を低減するために使用されてよい。
いくつかの例示的な態様において、NビットデジタルPAは、所望される場合、無線チェーン回路435(図4)に含まれてよい。
次に図380が参照され、図380は、いくつかの例示的な態様に従う、送信器380100のアーキテクチャのブロック図を概略的に示す。
いくつかの例示的な態様において、送信器380100は、例えば、集積回路(integrated circuit、IC)の一部として組み込まれてよい。
いくつかの例示的な態様において、送信器380100は、例えば以下で説明されるように、ミリメートル波周波数帯域を通じて信号を送信するミリメートル波送信器を含んでよい。他の態様において、送信器380100は、任意の他の周波数帯域を通じて信号を送信する任意の他タイプの送信器を含んでよい。
いくつかの例示的な態様において、送信器380100は、アナログ送信器、広帯域送信器、デジタル送信器、デジタル制御送信器などを含んでよい。例えば、送信器380100の1つ以上の要素が、送信器371110(図371)の一部として実装されてよい。
いくつかの例示的な態様において、送信器380100は、LO380110、例えば60GHz LO、又は任意の他のLOを含んでよい。
いくつかの例示的な態様において、送信器380100は、位相データ380125を生成するベースバンド380120を含んでよい。例えば、ベースバンド380120は、位相データ380125を生成し得る位相データサブシステム(図示されていない)の一部として含まれてよい。位相データ380125は、例えば、アナログ位相データ及び/又はデジタル位相データを含んでよい。
いくつかの例示的な態様において、送信器380100は、例えば、LO発生器380110からのLO信号に従って位相データ380125を変調することにより、入力信号380135を生成するように構成された位相変調器380130を含んでよい。一例において、入力信号380135は、60GHz RF信号、又は任意の他の周波数帯域の任意の他の信号を含んでよい。
いくつかの例示的な態様において、送信器380100は、例えば、振幅データを表すデジタル制御信号380145を生成するために、振幅データ信号源380140を含んでよい。
いくつかの例示的な態様において、送信器380100はNビットデジタルPA380150を含んでよく、これは、例えば以下で説明されるように、例えば制御信号380145に基づいて、入力信号380135を増幅するように構成されてよい。
いくつかの例示的な態様において、送信器380100は、例えば以下で説明されるように、例えば、入力信号380135に基づいて少なくとも1つの信号を送信するデジタルPA380150に結合された、少なくとも1つのアンテナ380170を含んでよく、あるいは該アンテナに動作上結合されてよい。
いくつかの例示的な態様において、送信器382100は、例えば以下で説明されるように、例えば、デジタルPA382150に結合された、1つ以上のフェーズアレイアンテナ380170を含んでよい。
いくつかの例示的な態様において、NビットデジタルPA380150は、例えば以下で説明されるように、コンバイナ380159に動作上結合される複数の積層型ゲート制御式増幅器380155を含んでよい。
いくつかの例示的な態様において、NビットデジタルPA380150は、例えば以下で説明されるように、コンバイナ380159を含んでよい。
いくつかの例示的な態様において、デジタルPA380150は、例えば以下で説明されるように、例えばデジタル制御信号380145に基づいて、入力信号380135を制御可能に増幅及び変調するように構成されてよい。
いくつかの例示的な態様において、複数の積層型ゲート制御式増幅器380155は、例えば以下で説明されるように、例えば複数の増幅され変調された信号380157を提供するために、デジタル制御信号380145により制御可能であってよい。
いくつかの例示的な態様において、複数の積層型ゲート制御式増幅器380155のうちの積層型ゲート制御式増幅器380151は、例えば以下で説明されるように、入力信号380135を受信する第1入力部380152と、デジタル制御信号380145を受信する第2入力部20153と、増幅され変調された信号380157を提供する出力部380154とを含んでよい。
いくつかの例示的な態様において、コンバイナ380159は、例えば以下で説明されるように、複数の増幅され変調された信号380157を、例えばデジタル制御信号380145に基づく出力電力レベル及び変調を有する、コンバイナ出力信号380180へと結合するように構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152は、例えば以下で説明されるように、第1トランジスタ及び第2トランジスタを含んでよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152の第1トランジスタは、例えば以下で説明されるように、例えばデジタル制御信号380145に基づいて、例えば積層型ゲート制御式増幅器380152の第2トランジスタのゲートにおいて入力信号380135を増幅及び変調することにより、増幅され変調された信号380157を提供するように構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152の第1トランジスタは、例えば以下で説明されるように、例えばデジタル制御信号380145に基づいて、積層型ゲート制御式増幅器380152の第2トランジスタの増幅をデジタル制御するように構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152の第2トランジスタは、例えば以下で説明されるように、例えばデジタル制御信号380145のビット値に基づいて、例えば積層型ゲート制御式増幅器380152をオン状態とオフ状態との間で切り替えるように構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152の第1トランジスタは、例えば第1FETを含んでよく、かつ/あるいは、積層型ゲート制御式増幅器380152の第2トランジスタは、例えば第2FETを含んでよい。他の態様において、第1及び/又は第2トランジスタは、任意の他タイプのトランジスタを含んでよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器380152の第1トランジスタは、例えば以下で説明されるように、例えばデジタル制御信号380145のビットに基づいて、例えば2倍に、入力信号380135を増幅するように構成されてよい。
いくつかの例示的な態様において、デジタルPA380150は、例えば、変調方式、例えば、図12A、12B、12C、13A、及び/又は13Bを参照して上記で説明された変調方式、及び/又は任意の他の変調方式に従って、デジタル制御信号20145に基づいて入力信号380135を変調するように構成されてよい。
いくつかの例示的な態様において、変調方式は、例えば図12A、12B、12C、13A、及び/又は13Bを参照して上記で説明されたように、QAM方式を含んでよい。
いくつかの例示的な態様において、QAM方式は、例えば以下で説明されるように、64QAM方式を含んでよい。他の態様において、QAM方式は、任意の他のQAM方式、例えば、256QAM方式又は任意の他のより高度若しくはより低度のQAMを含んでよい。
一例において、NビットデジタルPA20150は、64QAM又は128QAMの高い変調速度をサポートする6つのセグメントを含んでよい。他の態様において、任意の他の数のセグメントが実装されてよい。
いくつかの例示的な態様において、デジタル制御信号380145は、例えば以下で説明されるように、6ビットを含んでよい。他の態様において、デジタル制御信号380145は、例えば6ビットより小さいか又は大きい、任意の他のビット数を含んでよい。
いくつかの例示的な態様において、複数の積層型ゲート制御式増幅器380155は、例えば以下で説明されるように、6つの積層型ゲート制御式増幅器を含んでよい。他の態様において、複数の積層型ゲート制御式増幅器380155は、任意の他の数の積層型ゲート制御式増幅器を含んでよい。
いくつかの例示的な態様において、位相変調器380130は、例えば位相データ380125に基づいて、入力信号380135をデジタルPA380155に提供してよい。ベースバンド380120は、例えば以下で説明されるように、例えば位相データ380125に基づいて、デジタル制御信号380145をデジタルPA380150に提供してよい。
いくつかの例示的な態様において、ベースバンド380120は、Nビットデジタル信号380125をNビットデジタルPA380150に提供してよい。ベースバンド380120は、位相データ380125関連デジタル信号を位相変調器380130に提供してよい。位相変調器380130は、LO380110からLO信号を受信してよい。LO380110は、例えば、60GHz変調信号を位相変調器380130に提供してよい。位相変調器380130は、LO信号と位相データ380125を変調してよく、入力信号380135をNビットデジタルPA380150に提供してよい。
いくつかの例示的な態様において、複数の積層型ゲート制御式増幅器380155の第1入力部380152は、位相変調器380130に接続されてよく、複数の積層型ゲート制御式増幅器380155の第2入力部380153は、振幅データ信号源380140に接続されてよく、かつ/あるいは、複数の積層型ゲート制御式増幅器380155の出力部380154は、コンバイナ380159に接続されてよい。コンバイナ380159は、例えば変調されたRF信号を含む出力信号380180を1つ以上のアンテナ380170に提供してよい。
いくつかの例示的な態様において、デジタル制御信号380135、例えば、複数の積層型ゲート制御式増幅器380155の第2入力部380153におけるNビットデジタル信号は、例えば以下で説明されるように、コンバイナ380159の出力信号380180の出力電力レベル及び/又は変調を制御してよい。
図381A及び381Bが参照され、図381A及び381Bは、いくつかの例示的な態様に従う、積層型ゲート制御増幅器381100の電子回路を概略的に示す。例えば、積層型ゲート制御増幅器380150(図380)は、積層型ゲート制御増幅器381100の1つ以上の要素を含んでよい。
いくつかの例示的な態様において、積層型ゲート制御増幅器381100は、入力信号381170を受信するトランジスタ(M1)381110を含んでよい。一例において、入力信号は、例えば約60GHzの周波数において、約1ボルトの振幅を有してよい。他の態様において、任意の他の振幅及び/又は周波数が実装されてよい。
いくつかの例示的な態様において、積層型ゲート制御増幅器381100は、デジタル制御信号381180を受信するトランジスタ(M2)381120を含んでよい。例えば、デジタル制御信号は、1ボルトと0ボルトとの間で、又は任意の他の電圧範囲の間で振れてよい。
いくつかの例示的な態様において、積層型ゲート制御増幅器381100はキャパシタ381130を含んでよい。例えば、トランジスタ381120のゲートにおけるキャパシタ381130が、トランジスタ(M1)381110及び(M2)381120において同相で信号を増幅するために、最適なスイングを導入してよい。
いくつかの例示的な態様において、積層型ゲート制御増幅器381100は、例えばキャパシタ分割器ネットワークとして構成された、キャパシタ381140及び/又はキャパシタ381150を含んでよい。
いくつかの例示的な態様において、積層型ゲート制御増幅器381100はインダクタ381160を含んでよく、これは、トランジスタ(M1)381110を遮断するため、及び/又は出力部381190における出力振幅をロー、例えば0ボルトにするために、供給電圧VDDから引き込まれた電流をクランプするように制御信号により構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器381100は、図381Bに示されるように、例えばデジタル制御信号381180に基づいて、トランジスタ381170のゲートにおける入力信号381170を増幅及び変調することにより増幅され変調された信号を提供するトランジスタ381120、及び/又は、例えばデジタル制御信号381180に基づいて、トランジスタ381170の増幅をデジタル制御するトランジスタ381120を含んでよい。
いくつかの例示的な態様において、例えば、図381Aに示されるように、トランジスタ381180は、例えばデジタル制御信号381170のビット値に基づいて、積層型ゲート制御式増幅器381100をオン状態とオフ状態との間で切り替えるように構成されてよい。例えば、トランジスタ381180は、例えばトランジスタ381120のゲートにおけるビットが「ハイ」値を有するとき、積層型ゲート制御式増幅器381100をオン状態に切り替えるよう、及び例えばトランジスタ381120のゲートにおけるビットが「ロー」であるとき、積層型ゲート制御式増幅器381100をオフ状態に切り替えるように構成されてよい。
いくつかの例示的な態様において、トランジスタ(M1)381110及びトランジスタ(M2)381120は、例えばFETを含んでよく、これは、カスコード接続に従って互いに接続されてよい。
いくつかの例示的な態様において、トランジスタ(M2)381120のゲート電圧がデジタルハイで、例えば1ボルトであり得るとき、2つの直列の積層型トランジスタ、例えばトランジスタ(M1)381110及びトランジスタ(M2)381120は、スイッチングPAとして動作してよい。例えば、キャパシタ381140及び381150により例えば形成される、キャパシタ分割器ネットワークは、トランジスタ(M1)381110及びトランジスタ(M2)381120において同相で信号を増幅するために、最適なスイングを導入するように構成されてよい。
一例において、デジタル制御信号381180の論理「1」ビットレベルは、例えばトランジスタ(M2)38120により、入力信号381170の2倍の増幅をもたらしてよい。デジタル制御信号381180の論理ゼロ信号レベルは、出力信号381190におけるゼロレベル信号をもたらしてよい。入力信号381170の1ボルト振幅は、出力信号381190における2ボルト振幅をもたらしてよい。
図381Bを参照し、いくつかの例示的な態様において、変調の間、トランジスタ(M2)381120のゲート(G)電圧は、例えば、積層型ゲート制御増幅器381100をオフ状態にするために、デジタル的に低く、例えば0ボルトであってよい。これは、例えば、トランジスタ(M1)381110のゲート(G)における信号スイングにかかわらず、トランジスタ(M2)381120をオフにさせ得る。トランジスタ(M2)381120が遮断し得るとき、それは、供給電圧VDDからインダクタ381160を通じて引き込まれた電流をクランプし得、これは、トランジスタ(M1)381110を遮断することと、出力381190における出力振幅をロー、例えば0ボルトにすることとを結果としてもたらし得る。
いくつかの例示的な態様において、ベースバンドプロセッサ、例えばベースバンドサブシステム380145(図380)が、例えば積層型ゲート制御増幅器381100の出力信号の出力電力レベル及び/又は変調を制御するために、例えばNビットデジタル信号の形式で、デジタル制御信号381180を生成してよい。
図382が参照され、図382は、いくつかの例示的な態様に従う、積層型ゲート変調式デジタルPA382110を含む送信器382100のブロック図を概略的に示す。例えば、積層型ゲート変調式デジタルPA382110は、NビットデジタルPA380150(図380)の1つ以上の要素を含んでよい。本明細書で説明される電力増幅器は、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれてよいが、電力増幅器はこのようなものに限定されない。
いくつかの例示的な態様において、送信器382100はプロセッサ382120を含んでよく、これは、デジタル制御信号382125を提供するように構成されたベースバンドプロセッサを含んでよい。例えば、ベースバンドプロセッサ382120は、振幅データ信号源380140(図380)の1つ以上の動作及び/又は機能性を実行してよい。
いくつかの例示的な態様において、送信器382100は変調器382130を含んでよい。例えば、変調器382130は、位相変調器380130(図380)の1つ以上の動作及び/又は機能性を実行してよい。
いくつかの例示的な態様において、積層型ゲート変調式デジタルPA382110は、出力信号382145を生成するために、複数の積層型ゲート制御式増幅器382150を含んでよい。
いくつかの例示的な態様において、送信器382100は、出力信号382145を少なくとも1つのアンテナ、例えばフェーズドアレイアンテナ又は任意の他タイプのアンテナに提供するために、アンテナポート382140を含んでよい。
いくつかの例示的な態様において、プロセッサ382120は、例えば上記で説明されたように、例えば、Nビットデジタル信号を複数の積層型ゲート制御式増幅器382150のトランジスタ382152のゲートに提供してよい。
いくつかの例示的な態様において、例えば、Nビットデジタル信号382125のビットが、複数の積層型ゲート制御式増幅器382150のうちそれぞれの積層型ゲート制御式増幅器のトランジスタのゲートに提供されてよい。
一例において、Nビットデジタル信号382125は6ビットを含んでよい。この例によれば、Nビットデジタル信号の第1ビット、例えばビット0は、複数の積層型ゲート制御式増幅器382150のうち第1積層型ゲート制御式増幅器に提供されてよく、Nビットデジタル信号の第2ビット、例えばビット1は、複数の積層型ゲート制御式増幅器382150のうち第2積層型ゲート制御式増幅器に提供されてよく、Nビットデジタル信号の第3ビット、例えばビット2は、複数の積層型ゲート制御式増幅器382150のうち第3積層型ゲート制御式増幅器に提供されてよく、Nビットデジタル信号の第4ビット、例えばビット3は、複数の積層型ゲート制御式増幅器382150のうち第4積層型ゲート制御式増幅器に提供されてよく、Nビットデジタル信号の第5ビット、例えばビット4は、複数の積層型ゲート制御式増幅器382150のうち第5積層型ゲート制御式増幅器に提供されてよく、かつ/あるいは、Nビットデジタル信号の第6ビット、例えばビット5は、複数の積層型ゲート制御式増幅器382150のうち第6積層型ゲート制御式増幅器に提供されてよい。
いくつかの例示的な態様において、変調器382130は、RF変調信号を複数の積層型ゲート制御式増幅器382150のトランジスタ382154に提供してよい。複数の積層型ゲート制御式増幅器382150は、Nビットデジタル信号のビット系列に従ってRF変調信号を増幅してよい。積層型ゲート変調式デジタルPA382110は、複数の積層型ゲート制御増幅器382150からのTx RF信号、例えば出力信号382145、例えばTx RF信号を、アンテナポート382140に出力してよい。
図383A及び383Bが参照され、図383A及び383Bは、いくつかの例示的な態様に従う、マルチレベル高速アイダイアグラム383100の動的実現を概略的に示す。
いくつかの例示的な態様において、例えば、変調器382130は、QAM方式、例えば16QAM、32QAM、64QAMなどに従って、IF信号を変調してよい。例えば、結合出力信号382145は、例えば16QAMについて図383に、及び64QAMについて図383に示されるように、QAM変調方式の所望のコンステレーションポイントに整合するようにNビットデジタル信号により形成されてよい。
図384A及び図384Bが参照され、図384A及び図384Bは、いくつかの例示的な態様に従う、入力直列切り替え増幅器に対応する性能向上グラフ(図384A)及び電力低減グラフ(図384B)を表す。
いくつかの例示的な態様において、図384A及び図384Bに示されるように、積層型ゲート制御式増幅器、例えば積層型ゲート制御式増幅器382150(図382)は、例えば変調制御切り替え増幅器と比較して、電力低減における25%の向上、及び電力付加効率(power-added efficiency、PAE)の少なくとも150%の増加を達成し得る。
図385A及び図385Bが参照され、図385A及び図385Bは、いくつかの例示的な態様に従う、NビットデジタルPA、例えばデジタルPA382150(図382)に対応する、振幅分解能グラフ(図385A)及び電力効率グラフ(図385B)を表す。
一例において、6ビット振幅分解能が、ビット設定に基づき線形に近い(図385A)。
一例において、6dB電力バックオフ下のピーク効率の50%が、例えば図385Bに示されるように、積層型ゲートデジタル増幅器により達成され得る。
図386が参照され、図386は、いくつかの例示的な態様に従う、積層型ゲート制御式増幅器及びそれの前のドライバ増幅器の電力飽和に対するドレイン効率のグラフを表す。
いくつかの例示的な態様において、例えば、積層型ゲート制御式増幅器の前にドライバ増幅器を有するNビットデジタルPAは、6dBバックオフにおいて、効率が例えば50%であり得る図385と比較して、低減した効率(例えば、39%)を有し得る。一例において、ドライバ増幅器電力は、例えばデジタルPAのセグメントがオフに切り替えられているときでさえ、実質的に同じままになり得、ゆえに、全体システムが6dBバックオフにおいてそのピーク効率の50%を維持することを可能にする。
いくつかの例示的な態様において、複数のドライバ増幅器が、積層型ゲート制御式増幅器の出力段階において例えば50%効率を受けるために、積層型ゲート制御式増幅器の前に追加されてよい。
いくつかの例示的な態様において、有利には、積層型ゲート制御式増幅器アーキテクチャ、例えば積層型ゲート制御式増幅器381100(図381)又は積層型ゲート制御式増幅器380151(図380)は、PAチェーンの、例えば-2dBmから8dBmの電力ゲイン、及び/又は、ミリメートル波帯域幅、例えば60GHz帯域幅において、例えば最大39%の送信器効率を提供し得る。
図4に戻り、いくつかの例示的な態様において、RF回路425は、無線アーキテクチャに従って構成されてよく、これは、サブクォーター波長バラン(sub-quarter wavelength balun)を有する少なくとも1つの直列ドハティコンバイナを含んでよく、これは、例えば以下で説明されるように、複数のRF信号を一RF信号へと結合するよう、及び該RF信号を1つ以上のアンテナを介して送信するように構成されてよい。
いくつかの例示的な態様において、積層型ゲート制御式増幅器、例えば積層型ゲート制御式増幅器381100(図381)及び/又は積層型ゲート制御式増幅器380151(図380)は、変調制御スイッチとして設計において、積層型上部トランジスタ、例えばトランジスタ381120(図381)、例えば積層型ミリメートル波スイッチング増幅器を再使用してよく、ゆえに、NビットデジタルPAのドレイン効率を、例えば電力飽和2.5dBm乃至8dBmにおいて最大39%又はそれ以上、向上させる。
いくつかの例示的な態様において、いくつかのユースケース及び/又はシナリオにおいて、例えば以下で説明されるように、送信及び受信パスについて1つ以上の回路を共有し得る無線アーキテクチャを実装することは有利であり得る。受信及び/又は送信パスは、例えば、1つ以上の増幅器、1つ以上のスプリッタ、1つ以上のコンバイナ、1つ以上のミキサ、及び/又は必要な場合、1つ以上の他の追加的又は代替的コンポーネントを含んでよい。
いくつかの例示的な態様において、無線アーキテクチャは、例えば以下で説明されるように、少なくとも1つのドハティ電力増幅器を含んでよい。
いくつかの例示的な態様において、無線アーキテクチャにドハティ電力増幅器を実装することは、例えば、より少ないダイ面積を占有すると同時に電力増幅器の効率を少なくとも増加させることにより、1つ以上の恩恵を提供し、かつ/あるいは1つ以上の技術的問題を解決し得る。例えば、出力電力の効率は、9dB又は任意の他のレベルだけ増加し得る。
いくつかの例示的な態様において、ドハティ電力増幅器は、例えば以下で説明されるように、RF信号の高効率増幅を提供するように構成されてよい。例えば、RF信号の高効率増幅を提供する能力は、例えば、低減した電力消費の技術的恩恵を少なくとも可能にし得る。
いくつかの例示的な態様において、ドハティ電力増幅器は、例えば以下で説明されるように、例えばコンパクトなダイ面積においてでさえ、例えば効率的な電力結合を提供するために、サブクォーター波長バラン概念を採用するように構成されてよい。
いくつかの例示的な態様において、無線アーキテクチャは、例えば以下で説明されるように、例えば、少なくとも1つのミキサに動作上結合される少なくとも1つのドハティ電力増幅器回路を含んでよい。
いくつかの例示的な態様において、ドハティ電力増幅器は、無線チェーン回路の一部として、例えばサブシステム435(図4)、及び/又は所望される場合任意の他のサブシステム及び/又は要素の一部として含まれてよく、かつ/あるいはこれらの1つ以上の動作及び/又は機能性を実行してよい。
いくつかの例示的な態様において、本明細書で説明されるドハティ電力増幅器及び/又はドハティコンバイナは、図3Aに示されるミリメートル波通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれてよいが、増幅器及びコンバイナはこのようなものに限定されない。
図387が参照され、図387は、いくつかの例示的な態様に従う、送信器27000のブロック図を概略的に示す。例えば、送信器387100の1つ以上の要素及び/又はコンポーネントが、例えば図1、1A、及び/又は371を参照して上記で説明されたように、トランシーバの一部として実装されてよい。
いくつかの例示的な態様において、送信器387000は、例えば以下で説明されるように、Tx信号を送信するように構成されてよい。例えば、送信器387000は、例えば以下で説明されるように、I/Q送信器を含んでよい。
いくつかの例示的な態様において、送信器387000は、少なくとも1つのアンテナ387100を含んでよく、かつ/あるいは該アンテナに結合されてよい。例えば、少なくとも1つのアンテナ387180は、例えば以下で説明されるように、フェーズドアレイアンテナ、ダイポールアンテナ、アンテナのアレイなどを含んでよい。
いくつかの例示的な態様において、送信器387000は、例えば以下で説明されるように、ドハティ電力増幅器387110を含んでよい。
いくつかの例示的な態様において、ドハティ電力増幅器387110は、例えば以下で説明されるように、例えば、シャント接続負荷タイプの二段式ドハティ電力増幅器、直列接続負荷タイプの二段式ドハティ電力増幅器、又は任意の他タイプのドハティ電力増幅器を含んでよい。
いくつかの例示的な態様において、ドハティ電力増幅器387110は二段式ドハティ電力増幅器を含んでよく、これは、例えば以下で説明されるように、少なくとも1つの第1段増幅器387113と、少なくとも1つの第2段増幅器387200とを含んでよい。
いくつかの例示的な態様において、例えば、第1段増幅器387113はドライバ増幅器を含んでよく、これは、例えば以下で説明されるように、ドライバRF信号をドハティ電力増幅器387110の第2段に提供するように構成されてよい。
いくつかの例示的な態様において、例えば、第2段増幅器387200は、例えば以下で説明されるように、少なくとも1つのメイン増幅器387210(「キャリア増幅器(carrier amplifier、CA)」とも呼ばれる)と、少なくとも1つの制御可能なピーキング増幅器(peaking amplifier、PA)387220とを含んでよい。例えば、メイン増幅器387210及び制御可能PA387220は、例えば以下で説明されるように、ドライバRF信号を増幅するように構成されてよい。
いくつかの例示的な態様において、ドハティ電力増幅器387110は、例えば以下で説明されるように、例えば第1及び第2段を含む、サブクォーター波長(Sub-Quarter-Wavelength、SQWL)バラン387230を含んでよい。例えば、SQWLバラン387230は、例えば以下で説明されるように、例えば、第2段においてメイン増幅器387210及び制御可能PAの出力において直列負荷として使用される、第2段への第1段の信号を結合するように構成されてよい。
いくつかの例示的な態様において、例えば、ドハティ電力増幅器387110は、シャント接続負荷構成で動作するように構成されてよい。例えば、シャント接続負荷構成において、ZLPと表される増幅器負荷が増幅器387210及び/又は制御可能PA387220に適用されてよい。
いくつかの例示的な態様において、例えば、ドハティ電力増幅器387110は、直列接続負荷構成で動作するように構成されてよい。例えば、直列接続負荷構成において、ZLSと表される増幅器負荷が増幅器387210及び/又は制御可能PA387220に適用されてよい。
いくつかの例示的な態様において、例えばシャント接続負荷タイプ構成について、以下の関係が保持され得る。
Figure 2024059722000084
ここで、ZCLはCA負荷を表し、ZLPは増幅器負荷を表し、ZPLはPA負荷を表し、Zは総計負荷を表す。
いくつかの例示的な態様において、CA負荷ZCLは、例えば直列接続負荷タイプ構成として、例えば以下のように表され得る。
Figure 2024059722000085
いくつかの例示的な態様において、例えば直列接続負荷タイプ構成及びシャント接続負荷タイプ構成の双方について、以下の式が保持され得る。
Figure 2024059722000086
例えば、
Figure 2024059722000087
の場合においてであり、ここでZは負荷インピーダンスを表す。
いくつかの例示的な態様において、例えば、負荷インピーダンスZは、アンテナインピーダンス、例えば50Ωのインピーダンスを含んでよく、表してよく、かつ/あるいは該インピーダンスに基づいてよい。他の態様において、負荷インピーダンスZは、任意の他の追加的又は代替的なインピーダンスを含んでよく、表してよく、かつ/あるいは該インピーダンスに基づいてよい。
いくつかの例示的な態様において、ZPLは、例えば、制御可能PA387220がオフ状態である、例えば、例えば6dB下回る電力飽和(Psat)、例えば6dBバックオフの、出力電力レベルに対応するローRF入力レベルであるとき、有限であり得る。例えば、このような場合、キャリア(メイン)増幅器負荷、例えばZCLは、例えば1/4波長インピーダンス変圧器を考慮し、2Z0になり得る。
いくつかの例示的な態様において、例えば、最大出力電力(Psat)に対応するハイRF入力レベルにおいて、制御可能PA387220はアクティブになり得、ZPLの値は減少し得る。例えば、ZPLがZ0に等しい電力レベルにおいて、ZCLはZ0になり得る。したがって、キャリア(メイン)増幅器負荷、例えばZCLは、例えば、制御可能PA387220の状態に依存して、例えば、制御可能PA387220がオフかどうか、及び/又は制御可能PA387220がどれほどの間オンにされている可能性があるかに依存して、例えば、Z0及び2Z0の間で変調してよい。
いくつかの他の例示的な態様において、制御可能PA387220の状態は、例えば、入力電力レベルの量により制御されてよい。
いくつかの例示的な態様において、SQWLバラン387230は、例えば以下で説明されるように、制御可能PA387220及びメイン増幅器387210に対する直列接続負荷として動作するように構成されてよい。
いくつかの例示的な態様において、二段式ドハティ増幅器387110は、例えば以下で説明されるように、例えばSQWLバラン387230により実装され得る直列負荷を含んでよい。他の態様において、二段式ドハティ増幅器387110は、任意の他の追加的又は代替的な負荷を含んでよく、これは、任意の他の追加的又は代替的な他のバランにより実装されてよい。
いくつかの例示的な態様において、第2段増幅器387200は、例えば以下で説明されるように、デジタル信号387115により制御されてよい。
いくつかの例示的な態様において、送信器387000は、例えば以下で説明されるように、LO信号387125を生成するLO387120を含んでよい。例えば、LO信号387125は60GHz信号であってよい。他の態様において、LO信号387125は任意の他の周波数を含んでよい。例えば、LO387120は、水晶発振器、可変周波数発振器、周波数シンセサイザなどを含んでよい。
いくつかの例示的な態様において、送信器387000は、例えば以下で説明されるように、LO信号387125に基づきI信号387135を生成するように構成され得る同相(I)ミキサ387130と、LO信号387125に基づきQ信号387125を生成するように構成され得る直交位相(Q)ミキサ387140とを含んでよい。
いくつかの例示的な態様において、送信器387000は、例えば以下で説明されるように、例えばドライバ増幅入力信号387155を提供するために、I信号387135をQ信号387125と結合するように構成され得るコンバイナ回路387150を含んでよい。
いくつかの例示的な態様において、Iミキサ回路387130は、例えば、LO信号387125をRF信号、例えばI RF信号387132と混合することにより、I信号387135を生成するように構成されてよく、該RF信号は、例えば、位相変調器から受信されてよい。他の態様において、I信号387135は、任意の他の回路により、及び/又は任意の他の信号に基づいて、生成され、かつ/あるいはドハティ増幅器387110に提供されてよい。
いくつかの例示的な態様において、Qミキサ回路387140は、例えば、LO信号387125をRF信号、例えばQ RF信号387142と混合することにより、Q信号387145を生成するように構成されてよく、該RF信号は、例えば、位相変調器から受信されてよい。他の態様において、Q信号は、任意の他の回路により、及び/又は任意の他の信号に基づいて、生成され、かつ/あるいはドハティ増幅器387110に提供されてよい。
いくつかの例示的な態様において、コンバイナ回路387150は、I信号387135及びQ信号387145をドライバ増幅入力信号387155へと結合するように構成されてよい。例えば、コンバイナ387150は、ウィルキンソンコンバイナ、2対1コンバイナ、4対2コンバイナなどを含んでよい。他の態様において、任意の他タイプのコンバイナが使用されてよい。
いくつかの例示的な態様において、1つ以上のアンテナ381780が、二段式ドハティ増幅器387110に動作上結合されてよい。
いくつかの例示的な態様において、少なくとも1つの第1段増幅器387113は、例えば以下で説明されるように、ドライバ増幅入力信号387155を増幅するように構成されてよく、第1段階においてドライバRF信号387157を提供してよい。
いくつかの例示的な態様において、第2段階の少なくとも1つのメイン増幅器、例えばCA387210は、例えば以下で説明されるように、ドライバRF信号387157を増幅するよう、及び第2段階においてメイン増幅器信号387215を提供するように構成されてよい。
いくつかの例示的な態様において、少なくとも1つの制御可能PA387220は、例えばドライバRF信号387157のレベルに基づいて、オン状態にされるように構成されてよい。例えば、オン状態において、二段式ドハティ増幅器387110は、例えば以下で説明されるように、例えばピーキング増幅器信号387225を提供するために、ドライバRF信号387157を増幅してよい。
いくつかの例示的な態様において、SQWLバラン387230は、例えば以下で説明されるように、メイン増幅器信号387125をピーキング増幅器信号387225と結合するように構成されてよい。
いくつかの例示的な態様において、SQWLバラン387230は、例えば以下で説明されるように、例えば、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113の少なくとも1つの出力部と、少なくとも1つのメイン増幅器387210の少なくとも1つの入力部と、少なくとも1つの制御可能PA387220の少なくとも1つの入力部との間のインピーダンスを整合させる第1伝送線路387232を含んでよい。
いくつかの例示的な態様において、SQWLバラン387230は、例えば以下で説明されるように、例えば、少なくとも1つのメイン増幅器387210の少なくとも1つの出力部と、少なくとも1つの制御可能PA387220の少なくとも1つの出力部との間のインピーダンスを整合させる第2伝送線路387235を含んでよい。
いくつかの例示的な態様において、SQWLバラン387230は、例えば、第3の伝送線路387237と、複数のスタブとを含んでよい。例えば、第3の伝送線路387237は第1インピーダンスを有してよく、複数のスタブのうちのスタブ、例えば各スタブは、例えば以下で説明されるように、第2インピーダンスを有してよい。
いくつかの例示的な態様において、スタブ(「共振スタブ(resonant stub)」とも呼ばれる)は、例えば、ある長さの要素、例えば伝送線路又は導波路を含んでよく、これは、一端で接続されてよい。
いくつかの例示的な態様において、第1インピーダンスは第2インピーダンスの2倍であってよい。例えば、例えば以下で説明されるように、第3伝送線路387237が50Ωのインピーダンスを有してよく、かつ/あるいは、複数のスタブのうちのスタブが25オームのインピーダンスを有してよい。他の態様において、任意の他のインピーダンスが使用されてよい。
いくつかの例示的な態様において、複数のスタブは、例えば以下で説明されるように、例えば、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113の少なくとも1つの入力部を第3伝送線路387237に動作上結合してよく、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113の少なくとも1つの出力部を第1伝送線路387232に動作上結合してよく、少なくとも1つのメイン増幅器387210の少なくとも1つの入力部を第1伝送線路387232に動作上結合してよく、少なくとも1つの制御可能PA387220の少なくとも1つの入力部を第1伝送線路387232に動作上結合してよく、少なくとも1つのメイン増幅器387210の少なくとも1つの出力部を第2伝送線路387235に動作上結合してよく、かつ/あるいは、少なくとも1つの制御可能PA387220の少なくとも1つの出力部を第2伝送線路387235に動作上結合してよい。
いくつかの例示的な態様において、スタブの長さは、例えば以下で説明されるように、例えば、ドライバRF信号387257の波長の8分の1に基づいてよい。
いくつかの例示的な態様において、第2伝送線路387235及び複数のスタブは、例えば以下で説明されるように、メイン増幅器387210の少なくとも1つの出力部において、及び制御可能PA387220の少なくとも1つの出力部において、直列負荷を提供するように構成されてよい。
いくつかの例示的な態様において、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113は、例えば以下で説明されるように、複数のスタブのうち第1スタブに動作上結合される第1入力部を含み得る第1整合ネットワークと、複数のスタブのうち第2スタブに動作上結合される第2入力部を有する第2整合ネットワークとを含んでよい。
いくつかの例示的な態様において、第1及び第2整合ネットワークは、例えば以下で説明されるように、第1及び第2スタブのインピーダンスを第3伝送線路387237のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113は、第1電力増幅器を含んでよく、これは、例えば以下で説明されるように、第1整合ネットワークの第1出力部に動作上結合され得る第1入力部と、複数のスタブのうち第3スタブに動作上結合され得る第1出力部とを含んでよい。
いくつかの例示的な態様において、少なくとも1つのドライバ増幅器、例えば第1段増幅器387113は、第2電力増幅器を含んでよく、これは、例えば以下で説明されるように、第2整合ネットワークの第2出力部に動作上結合され得る第2入力部と、複数のスタブのうち第4スタブに動作上結合され得る第2出力部とを含んでよい。
いくつかの例示的な態様において、第3及び第4スタブは、例えば以下で説明されるように、第1及び第2電力増幅器の第1及び第2と第1伝送線路との間のインピーダンスを整合させるように構成されてよい。
いくつかの例示的な態様において、少なくとも1つのメイン増幅器387210は、例えば以下で説明されるように、第1整合ネットワーク及び第2整合ネットワークを含んでよい。例えば、メイン増幅器387210の第1整合ネットワークは、例えば、複数のスタブのうち第1スタブに動作上結合される第1入力部を含んでよく、メイン増幅器387210の第2整合ネットワークは、例えば、複数のスタブのうち第2スタブに動作上結合され得る第2入力部を含んでよい。例えば、メイン増幅器387210の第1整合ネットワーク及び/又は第2整合ネットワークは、例えば以下で説明されるように、第1及び第2スタブのインピーダンスを第1伝送線路387232のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、少なくとも1つのメイン増幅器387210は、例えば以下で説明されるように、第1電力増幅器及び/又は第2電力増幅器を含んでよい。例えば、メイン増幅器387210の第1電力増幅器は、メイン増幅器387210の第1整合ネットワークの第1出力部に動作上結合され得る第1入力部と、複数のスタブのうち第3スタブに動作上結合され得る第1出力部とを含んでよい。例えば、メイン増幅器387210の第2電力増幅器は、第2整合ネットワークの第2出力部に動作上結合され得る第2入力部と、複数のスタブのうち第4スタブに動作上結合され得る第2出力部とを含んでよい。例えば、第3及び第4スタブは、例えば以下で説明されるように、メイン増幅器387210の第1及び第2電力増幅器の第1及び第2出力部と第2伝送線路387235との間のインピーダンスを整合させるように構成されてよい。
いくつかの例示的な態様において、少なくとも1つの制御可能PA387220は、第1整合ネットワーク及び第2整合ネットワークを含んでよい。例えば、制御可能PA387220の第1整合ネットワークは、複数のスタブのうち第1スタブに動作上結合され得る第1入力部を含んでよく、制御可能PA387220の第2整合ネットワークは、複数のスタブのうち第2スタブに動作上結合され得る第2入力部を含んでよい。例えば、制御可能PA387220の第1整合ネットワークは、例えば以下で説明されるように、第1及び第2スタブのインピーダンスを第1伝送線路387232のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、少なくとも1つの制御可能PA387220は、第1電力増幅器及び/又は第2電力増幅器を含んでよい。例えば、制御可能PA387220の第1電力増幅器は、制御可能PA387220の第1整合ネットワークの第1出力部に動作上結合され得る第1入力部と、複数のスタブのうち第3スタブに動作上結合され得る第1出力部とを含んでよい。制御可能PA387220の第1電力増幅器は、制御可能PA387220の第2整合ネットワークの第2出力部に動作上結合され得る第2入力部と、複数のスタブのうち第4スタブに動作上結合され得る第2出力部とを含んでよい。一例において、第3及び第4スタブは、例えば以下で説明されるように、制御可能PA387220の第1及び第2電力増幅器の第1及び第2出力部と第2伝送線路387235との間のインピーダンスを整合させるように構成されてよい。
図388が参照され、図388は、いくつかの例示的な態様に従う、SQWLバランを採用し得る二段式ドハティ増幅器388000のブロック図を概略的に示す。例えば、SQWLバランを有する二段式ドハティ増幅器388000は、二段式ドハティ増幅器387100(図387)の1つ以上の動作及び/又は機能性を実行するように実装されてよい。
いくつかの例示的な態様において、二段式ドハティ増幅器388000は、例えば、第1ドライバ増幅器388100及び第2ドライバ増幅器388110を含んでよい。例えば、第1ドライバ増幅器388100及び/又は第2ドライバ増幅器388110は、RF入力信号388350を増幅するように構成されてよく、第1段階において第1ドライバRF信号388360及び第2ドライバRF信号388365を提供してよい。
いくつかの例示的な態様において、二段式ドハティ増幅器388000は、例えば、第1メイン増幅器388300及び第2メイン増幅器3883100を含んでよく、これらは、ドライバRF信号388360を増幅するよう、及び第2段階においてメイン増幅器信号388340を提供するように構成されてよい。
いくつかの例示的な態様において、二段式ドハティ増幅器388000は、例えば、第1制御可能PA388200及び第2制御可能PA388210を含んでよい。例えば、第1制御可能PA388200及び/又は第2制御可能PA388210は、例えばドライバRF信号388360のレベルに基づいて、オン状態にされるように構成されてよい。例えば、オン状態において、二段式ドハティ増幅器388000は、ドライバRF信号388360を増幅してPA信号388240を提供してよい。
いくつかの例示的な態様において、二段式ドハティ増幅器388000は、例えば、SQWLバラン388400を含んでよく、これは、メイン増幅器信号388340をPA信号388240と結合するように構成されてよい。
いくつかの例示的な態様において、SQWLバラン388400は、例えば、第1ドライバ増幅器388100の出力部対第1メイン増幅器28300の入力部、第2ドライバ増幅器388110の出力部対第2メイン増幅器388310の入力部、及び/又は第1制御可能PA388200の入力部対第2制御可能PA388210の入力部の間のインピーダンスを整合させる第1伝送線路388500を含んでよい。
いくつかの例示的な態様において、SQWLバラン388400は、例えば、第1メイン増幅器388300の出力部と第2メイン増幅器388310の出力部との間のインピーダンスを整合させるように構成された第2伝送線路388600を含んでよい。第2伝送線路388600は、第1制御可能PA388200の出力部と第2制御可能PA388210の出力部との間のインピーダンスを整合させるように構成されてよい。
いくつかの例示的な態様において、SQWLバラン388400は、例えば、例えば50オームのインピーダンスと複数のスタブとを有する、第3伝送線路388700を含んでよい。例えば、複数のスタブ388800のうち少なくとも1つのスタブ、例えば各スタブ388800が、例えば25オームのインピーダンスを有してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1ドライバ増幅器388100の入力部及び第2ドライバ増幅器388110の入力部を第3伝送線路388700に動作上結合してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1ドライバ増幅器388100の出力部及び第2ドライバ増幅器388100の出力部を伝送線路388500に動作上結合してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1メイン増幅器388300の入力部及び/又は第2メイン増幅器388310の入力部を第1伝送線路388500に動作上結合してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1制御可能PA388200の入力部及び/又は第2制御可能PA388210の入力部を第1伝送線路388500に動作上結合してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1メイン増幅器388300の出力部及び/又は第2メイン増幅器388310の出力部を第2伝送線路388600に動作上結合してよい。
いくつかの例示的な態様において、複数のスタブ388800は、例えば、第1制御可能PA388200の出力部及び/又は第2PA388210の出力部を第2伝送線路388600に動作上結合してよい。
いくつかの例示的な態様において、スタブ388800の長さは、例えば、ドライバRF信号388360及び/又はRFドライバ信号388365の波長の8分の1に基づいてよい。
いくつかの例示的な態様において、第2伝送線路388600及び複数のスタブ388800は、第1メイン増幅器388300の第1出力部において、第2メイン増幅器388310の出力部において、及び出力第1制御可能PA388200において、及び/又は第2制御可能PA388210の出力部において、直列負荷を提供するように構成されてよい。
いくつかの例示的な態様において、第1ドライバ増幅器388100及び/又は第2ドライバ増幅器388110は、例えば、第1整合ネットワーク388130及び第2整合ネットワーク388135を含んでよい。例えば、第1整合ネットワーク388130の入力部が、複数のスタブ388800のうちの第1スタブに結合されてよく、第2整合ネットワーク388135の入力部が、複数のスタブ388800のうちの第2スタブに結合されてよい。
いくつかの例示的な態様において、第1及び/又は第2整合ネットワーク、例えば整合ネットワーク388130及び/又は388135は、第1及び第2スタブのインピーダンスを第3伝送線路388700のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、例えば、第1ドライバ増幅器388100は、第1整合ネットワーク388130の第1出力部に動作上結合され得る入力部を有する第1電力増幅器388120を含んでよい。第1ドライバ増幅器38100は、複数のスタブ388800のうちのスタブに動作上結合され得る第1出力部を含んでよい。
いくつかの例示的な態様において、第1ドライバ増幅器388100は、第2整合ネットワーク388135の第2出力部に動作上結合され得る入力部を有する第2電力増幅器388125を含んでよい。例えば、第1ドライバ増幅器388100は、複数のスタブ388800のうちのスタブに動作上結合され得る第2出力部を含んでよい。
いくつかの例示的な態様において、例えば、第2ドライバ増幅器388110は、第2ドライバ増幅器388110の第1整合ネットワークの第1出力部に動作上結合され得る入力部を有する第1電力増幅器を含んでよい。第2ドライバ増幅器388110は、複数のスタブ388800のうちのスタブに動作上結合され得る第1出力部を含んでよい。
いくつかの例示的な態様において、第2ドライバ増幅器388110は、第2ドライバ増幅器388110の第2整合ネットワークの第2出力部に動作上結合され得る入力部を有し得る第2電力増幅器を含んでよい。例えば、第2ドライバ増幅器388110は、複数のスタブ388800のうちのスタブに動作上結合され得る第2出力部を含んでよい。
いくつかの例示的な態様において、スタブ388800のうち1つ以上が、第1及び第2電力増幅器の出力部をドライバRF信号388360及び/又はドライバRF信号388365へと結合するために、2対1コンバイナとして使用されてよい。
いくつかの例示的な態様において、第1メイン増幅器388300は、第1整合ネットワーク388320及び第2整合ネットワーク388325を含んでよい。例えば、第1整合ネットワーク388320は、例えば、複数のスタブ388800のうちのスタブに動作上結合される第1入力部を含んでよく、第2整合ネットワーク388325は、複数のスタブ388800のうち別のスタブに動作上結合され得る第2入力部を含んでよい。例えば、第1整合ネットワーク388320及び/又は第2整合ネットワーク388325は、スタブ388800のインピーダンスを第1伝送線路388600のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、第1メイン増幅器388300は、第1電力増幅器388330及び/又は第2電力増幅器388335を含んでよい。例えば、第1電力増幅器388330は、第1整合ネットワーク388320の第1出力部に動作上結合され得る第1入力部と、複数のスタブ388800のうちのスタブに動作上結合され得る第1出力部とを含んでよい。第2電力増幅器388335は、第2整合ネットワーク388325の第2出力部に動作上結合され得る第2入力部と、複数のスタブのうち別のスタブに動作上結合され得る第2出力部とを含んでよい。例えば、伝送線路388600及び伝送線路388600に動作上結合されるスタブは、4対1コンバイナとして構成されてよい。
いくつかの例示的な態様において、第2メイン増幅器388310は、例えば上記で説明されたように、例えば、第1及び第2整合ネットワークと、例えば第1及び第2整合ネットワークとして動作するように構成され得る第1及び第2電力増幅器と、第1メイン増幅器388300の第1及び第2電力増幅器とを含んでよい。
いくつかの例示的な態様において、第1制御可能PA388200は、第1整合ネットワーク388220及び第2整合ネットワーク388225を含んでよい。例えば、第1整合ネットワーク388220は、複数のスタブ388800のうちのスタブに動作上結合される第1入力部を含んでよく、第2整合ネットワーク388225は、複数のスタブ388800のうち別のスタブに動作上結合され得る第2入力部を含んでよい。例えば、第1整合ネットワーク388220は、スタブのインピーダンスを第1伝送線路388500のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、第1制御可能PA388200は、第1電力増幅器388230及び/又は第2電力増幅器388235を含んでよい。例えば、第1電力増幅器388230は、第1整合ネットワーク388220の第1出力部に動作上結合され得る第1入力部と、複数のスタブ388800のうちのスタブに動作上結合され得る第1出力部とを含んでよい。第2電力増幅器388235は、第2整合ネットワーク388225の第2出力部に動作上結合され得る第2入力部と、複数のスタブ388800のうち別のスタブに動作上結合され得る第2出力部とを含んでよい。一例において、スタブ388800は、第1電力増幅器388230の第1出力部及び第2電力増幅器388235の第2出力部と、第2伝送線路388600との間のインピーダンスと整合させるように構成されてよい。
いくつかの例示的な態様において、RF入力信号388350は4方向に分割されてよく、第1ドライバ増幅器388130及び第2ドライバ増幅器388110に供給されてよい。例えば、第1ドライバ増幅器388130及び第2ドライバ増幅器388310はRF入力信号388350を増幅してよく、4つの出力RF信号を提供してよい。
一例において、4つのRF出力信号の各ペアが、例えばSQWLバラン388400により、第1段階の上半分及び下半分において結合されてよく、SQWLバラン388400は、例えば、その出力インピーダンスが例えば50Ωであり得る第1及び/又は第2の2対1電力コンバイナを含んでよい。第1及び/又は第2の2対1電力コンバイナは、ドライバRF信号388360を増幅してよく、かつ/あるいはドライバRF信号388365を増幅してよい。例えば、ドライバRF信号388360を増幅すること及び/又はドライバRF信号388365を増幅することは、第2段階の上半分及び下半分において、第1メイン増幅器388300、第2メイン増幅器388310、第1制御可能増幅器388200、及び/又は第2制御可能増幅器388210の間で分けられてよい。例えば、SQWLバラン388400は、その入力インピーダンスが例えば50Ωであるように構成され得る少なくとも2つの4対1スプリッタを含んでよく、これらは、第1メイン増幅器388300、第2メイン増幅器388310、第1制御可能増幅器388200、及び/又は第2制御可能増幅器388210の間で、ドライバRF信号388360を分割し、及び/又はドライバRF信号388365を増幅するために使用されてよい。
一例において、SQWLバラン388400は8方向電力コンバイナを含んでよく、これは、SQWLバラン388400の上半分と下半分との間の2方向並列コンバイナとして挙動してよい。
いくつかの例示的な態様において、SQWLバラン388400は4方向直列コンバイナを含んでよく、これは、第1PA388200の出力及び/又は第2PA388210の出力を、第1メイン増幅器388300の出力及び/又は第2メイン増幅器388310の出力と結合するように構成されてよい。例えば、4方向直列コンバイナは、第2伝送線路388600及び複数のスタブ388800を含んでよい。
図4に戻り、いくつかの例示的な態様において、RF回路425は無線アーキテクチャに従って構成されてよく、これは、TDDモードにおいて動作するように構成されてよい。いくつかの例示的な態様において、RF回路425はTxチェーンを含んでよく、例えば以下で説明されるように、Txチェーンのいくつかのコンポーネント及び/又は機能性が、Rxチェーンにおいて再使用されるように構成されてよい。
いくつかの例示的な態様において、無線アーキテクチャは少なくとも1つのI/Q発生器を含んでよく、これは、例えば以下で説明されるように、例えば、無線のRxモードの間にTxチェーンの1つ以上の要素を再使用するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器は、例えば以下で説明されるように、例えばRxモードの間に、例えば、ポーラー送信器の位相変調チェーンの1つ以上の要素を再使用するように構成されてよい。
いくつかの例示的な態様において、RxモードにおいてTxチェーンの要素を再使用するI/Q発生器を実装することは、1つ以上の恩恵を提供し、かつ/あるいは1つ以上の技術的問題を解決し得る。例えば、Rxモードの間にポーラー送信器の位相変調チェーンの1つ以上の要素を再使用することは、ダイ面積を低減することを可能にし得る。例えば、Txモードにおいて使用され得る、注入同期ベース発振器変調器(injection locking based oscillator modulator)が、例えば以下で説明されるように、Rxモードの間にI又はQ LOとして再使用されてよい。
いくつかの例示的な態様において、ミリメートル波送信器及び/又は受信器、及び/又は任意の他タイプの送信器及び/又は受信器が、時分割複信(time division duplex、TDD)モードにおいて動作してよい。例えば、TDDモードにおいて、同じ周波数帯域及び/又は少なくとも部分的に重なる周波数帯域が、Tx及びRxの双方に使用されてよい。例えば、周波数帯域は、例えば以下で説明されるように、送信及び受信動作に交互の時間スロットを割り当てることにより、Txモード及びRxモードの間で共有されてよい。
いくつかの例示的な態様において、トランシーバチップ、例えば半二重トランシーバが、TDDモードにおいて動作するように構成されてよい。例えば、トランシーバチップは、大きいチップ面積を必要とし得る大きい受動素子を含んでよい。例えば、受動素子間に寄生結合を有しての大きいチップ面積は、望まれない効果を引き起こす可能性がある。
いくつかの例示的な態様において、大きい受動素子のうち1つ以上が、例えば、トランシーバがTxモード及び/又はRxモードにおいて動作し得るとき、再使用されてよい。例えば、ポーラーTxの位相変調チェーンの1つ以上の要素が、例えば以下で説明されるように、Rx動作モードの間に再使用されてよい。
いくつかの例示的な態様において、ポーラーTxの位相変調チェーンは、例えば、例えばTxモードにおいて注入同期ベース発振器変調器として使用され得る1つ以上の要素を含んでよく、例えば、例えばRxモードにおいてI LO及び/又はQ LOとして再使用されてよい。例えば、単一のLOが、LO位相シフトを実行するためにRxモード及びTxモードの双方において使用されてよく、これは、例えば、少なくともフェーズドアレイ適用に対して実装されてよい。
いくつかの例示的な態様において、I/Q発生器は、アップコンバータ及び/又はダウンコンバータの一部として、例えばサブシステム415(図4)及び/又は無線チェーンの一部として、例えばサブシステム435(図4)及び/又は所望される場合任意の他のサブシステム及び/又は要素の一部として含まれてよく、かつ/あるいはこれらの1つ以上の動作及び/又は機能性を実行してよい。
次に図389が参照され、図389は、いくつかの例示的な態様に従う、トランシーバ389100のブロック図を概略的に示す。一例において、トランシーバ389100の1つ以上の要素が、トランシーバ371100(図371)の一部として実装され、かつ/あるいは該トランシーバの1つ以上の機能性を実行してよい。
図389に示されるように、いくつかの例示的な態様において、トランシーバ389100は半二重トランシーバを含んでよい。例えば、トランシーバ389100は、TDDモードにおいて動作し得る半二重トランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバ389100は、2.4GHz帯域、5GHz帯域、ミリメートル波帯域、サブ1GHz(S1G)帯域、及び/又は任意の他の帯域を通じて通信するように構成されてよい。
他の態様において、トランシーバ389100は、任意の他の追加的又は代替的な周波数帯域を通じて通信する任意の他タイプのトランシーバを含んでよい。
いくつかの例示的な態様において、トランシーバ389100は、例えば以下で説明されるように、1つ以上のアンテナ389185を結合する少なくとも1つのアンテナポート389180を含んでよい。
いくつかの例示的な態様において、トランシーバ389100は、アンテナ389185のうち1つ以上を含んでよく、かつ/あるいは、該アンテナのうち1つ以上へ少なくとも1つのアンテナポート389180を通じて動作上結合されてよい。
いくつかの例示的な態様において、アンテナ389185のうち1つ以上は、内部アンテナ、ダイポールアンテナ、フェーズドアレイアンテナ、八木アンテナ、アンテナアレイなどを含んでよい。
いくつかの例示的な態様において、トランシーバ389100はLNA389170を含んでよく、これは、例えば以下で説明されるように、例えば1つ以上のアンテナポート389180から受信される信号389182に基づいて、Rx信号389175を生成するように構成されてよい。
いくつかの例示的な態様において、トランシーバ389100はPA389160を含んでよく、これは、例えば、Tx信号389126を増幅するよう、及び増幅信号を1つ以上のアンテナポート389180を通じて1つ以上のアンテナ389185に提供するように構成されてよい。
いくつかの例示的な態様において、トランシーバ389100は、例えば以下で説明されるように、1つ以上のI及び/又はQ信号を生成するI/Q信号発生器389110を含んでよい。
いくつかの例示的な態様において、I/Q発生器389110は、例えば以下で説明されるように、LO信号389117を生成するLO389115を含んでよい。
いくつかの例示的な態様において、I/Q発生器389110は制御可能位相変調チェーン389120を含んでよく、これは、例えば以下で説明されるように、LO信号389117の位相を例えばTxモードにおいて及び/又はRxモードにおいて変調するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器389110は制御可能位相変調チェーン389130を含んでよく、これは、例えば以下で説明されるように、LO信号389117に基づくQ位相シフト信号389136を例えばRxモードにおいて生成するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器389110はミキサ回路389140を含んでよく、これは、例えば以下で説明されるように、例えば1つ以上のアンテナポート389180からのRx信号389175を1つ以上のLO信号と例えばRxモードにおいて混合するように構成されてよい。
いくつかの例示的な態様において、LO389115は、ある周波数を有するLO信号389117を生成するように構成されてよく、該周波数は、fcarrierと表されるキャリア周波数の3分の1、例えば(fcarrier/3)であってよい。一例において、LO信号389117は、例えば以下で説明されるように、例えばトランシーバ389100が60GHz周波数帯域において動作するために構成されるとき、20GHzの周波数を有してよい。
いくつかの例示的な態様において、LO389115は、例えば、水晶発振器、可変周波数発振器、周波数シンセサイザなどを含んでよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389120は位相シフタ389122を含んでよく、これは、例えば以下で説明されるように、例えば位相シフト信号389123を生成するように構成されてよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389120はトリプラ389124を含んでよく、これは、例えば以下で説明されるように、位相シフト信号389123を例えばTxモードにおいて3倍にすることによりTx信号389126を生成するよう、及び位相シフト信号389123を例えばRxモードにおいて3倍にすることにより位相シフトI信号389128を生成するように構成されてよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389120は、例えば以下で説明されるように、例えばLO信号389117に基づくTx信号389126を例えばTxモードにおいて生成するよう、及び例えばLO信号389117に基づく位相シフトI信号389128を例えばRxモードにおいて生成するように構成されてよい。
いくつかの例示的な態様において、位相シフタ389122及び/又はトリプラ389124は、例えば以下で説明されるように、Tx信号389126を例えばTxモードにおいて生成するように構成されてよく、位相シフトI信号389128を例えばRxモードにおいて生成するために再使用されてよい。
いくつかの例示的な態様において、位相シフタ389122は、LO信号389117の位相を例えば第1位相シフト、例えばΔφ/3だけシフトするように構成されてよく、ここで、Δφは、LO信号389117の位相からの位相シフトを表し、Δφは、LO信号389117の位相に対する、制御可能位相変調チェーン29120の出力、例えばTx信号389126及び/又は位相シフトI信号389128の、位相シフトを表す。
いくつかの例示的な態様において、位相シフタ389122は、位相シフト信号389123を例えばLO信号389117に基づいて生成するように構成されてよい。
いくつかの例示的な態様において、トリプラ389124は、例えばTxモードにおいて例えば位相変調信号389123の位相及び周波数を3倍にすることにより、Tx信号389126を生成するように構成されてよい。
いくつかの例示的な態様において、トリプラ389124は、例えばRxモードにおいて例えば位相シフト信号389123の位相及び周波数を3倍にすることにより、位相シフトI信号389128を生成するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器389110はスイッチ389155を含んでよく、これは、選択的に制御可能位相変調チェーン389120をPA389160に接続し、又は制御可能位相変調チェーン389120をPA389160から切断するように構成されてよい。例えば、スイッチ389155は、制御可能位相変調チェーン389120をPA389160に例えばTxモードにおいて接続するよう、及び/又は制御可能位相変調チェーン389120をPA389160から例えばRxモードにおいて切断するように制御されてよい。
例えば、Txモードにおいて、スイッチ389155はTx信号389126をPA389160に適用してよく、PA389160はTx信号389126を増幅して、増幅Tx信号をアンテナポート389180を通じて1つ以上のアンテナ389185に、例えばフェーズアレイアンテナ389185の素子に提供してよい。
いくつかの例示的な態様において、I/Q発生器389110はスイッチ389150を含んでよく、これは、選択的に制御可能位相変調チェーン389120をミキサ回路389140に接続し、又は制御可能位相変調チェーン389120をミキサ回路389140から切断するように構成されてよい。例えば、スイッチ389150は、制御可能位相変調チェーン389120をミキサ回路389140に例えばRxモードにおいて接続するよう、及び/又は制御可能位相変調チェーン389120をミキサ回路389140から例えばTxモードにおいて切断するように制御されてよい。
例えば、Rxモードにおいて、スイッチ389150は、位相シフトI信号389128をミキサ回路389140に適用してよく、ミキサ回路389140は、Rx I信号389175をIF信号へ、例えば位相シフトI信号389128に基づいてダウンコンバートしてよい。
いくつかの例示的な態様において、例えば、スイッチ389150及び/又はスイッチ389155は、FET、金属酸化膜半導体電界効果トランジスタ(MOSFET)、及び/又は任意の他のスイッチを含んでよい。
いくつかの例示的な態様において、スイッチ389155及び/又はスイッチ389150は、例えばコントローラ389200により、例えばトランシーバ389100の動作モードに基づいて制御されてよい。例えば、コントローラ389200は、ベースバンドコントローラ若しくは任意の他の制御回路、サブシステム、及び/又は論理を含んでよく、あるいはこれらの一部として実装されてよい。
例えば、Txモードにおいて、コントローラ389200は、トリプラ389124の出力とPA380160の入力との間で動作上接続するようにスイッチ389155を制御してよく、かつ/あるいは、コントローラ389200は、トリプラ389124の出力をミキサ回路389140から動作上切断するようにスイッチ389150を制御してよい。
例えば、Rxモードにおいて、コントローラ389200は、トリプラ389124の出力をPA380160の入力から動作上切断するようにスイッチ389155を制御してよく、かつ/あるいは、コントローラ389200は、トリプラ389124の出力をミキサ回路389140に動作上接続するようにスイッチ389150を制御してよい。
他の態様において、任意の他のスイッチング構成が、制御可能位相変調チェーン389120とPA389160及び/又はミキサ389140との間で切り替え可能に接続するために実装されてよい。一例において、1つのスイッチ又は2つより多くのスイッチが、信号389126をPA380160に又はミキサ389140に切り替え可能に提供するために実装されてよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389130は位相シフタ389132を含んでよく、これは、例えば以下で説明されるように、例えば位相シフト信号389138を生成するように構成されてよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389130はトリプラ389134を含んでよく、これは、例えば以下で説明されるように、位相シフト信号389138を位相シフトQ信号389136へと3倍にするように構成されてよい。
いくつかの例示的な態様において、制御可能位相変調チェーン389130は、例えば以下で説明されるように、例えばRxモードにおいて、LO信号389117に基づいて位相シフト信号389138を生成するように構成されてよい。
いくつかの例示的な態様において、例えば、位相シフタ389132及び/又はトリプラ389134は、例えば以下で説明されるように、位相シフトQ信号389136を例えばRxモードにおいて生成するように構成されてよい。
いくつかの例示的な態様において、位相シフタ389132は、LO信号389117の位相を例えば第2位相シフト、例えばΔφ/3±30oだけシフトするように構成されてよい。他の例示的な態様、例えば、トリプラ389134及び/又はトリプラ389134を含み得ない態様において、位相シフタ389132は、LO信号389117の位相を例えば第2位相シフト、例えばΔφ±90oだけシフトするように構成されてよい。
いくつかの例示的な態様において、位相シフタ389132は、位相シフト信号389138を例えばLO信号389117に基づいて生成するように構成されてよい。
いくつかの例示的な態様において、トリプラ389124は、例えばRxモードにおいて例えば位相シフト信号389138の位相及び周波数を3倍にすることにより、位相シフトQ信号389136を生成するように構成されてよい。
いくつかの例示的な態様において、位相シフタ389132は、LO信号389117の位相を、例えば第2位相シフトだけ、例えばRxモードにおいてシフトするように構成されてよい。例えば、第2位相シフトは、第1位相シフト、例えばΔφ/3の、90度回転を含んでよい。
例えば、位相シフトQ信号389136は、例えば以下で説明されるように、例えば、90度回転の位相シフト、例えばΔφ±90oを有するキャリア周波数fcarrierを含んでよい。
いくつかの例示的な態様において、例えば、位相シフトI信号389128及び/又は位相シフトQ信号389136は、例えば、位相シフト、例えば位相シフトΔφを有するキャリア周波数fcarrierを含んでよい。
いくつかの例示的な態様において、トリプラ389134は、例えば以下で説明されるように、位相シフトQ信号29136をミキサ回路389140に提供してよい。
いくつかの例示的な態様において、Rxモードにおいて、ミキサ回路389140は、例えば以下で説明されるように、Rx信号389175を例えばLNA389170から受信してよく、Rx信号389175を位相シフトI信号389128と混合してI位相信号389143にしてよい。
いくつかの例示的な態様において、Rxモードにおいて、ミキサ回路389140は、例えば以下で説明されるように、Rx信号389175を位相シフトQ信号389136と混合してQ位相信号389146にしてよい。
いくつかの例示的な態様において、ミキサ回路389140は、ミキサ389142及び/又はミキサ389145を含んでよい。例えば、Rxモードにおいて、ミキサ389142は、Rx信号389175を位相シフトI信号389128と混合してI位相信号389143にしてよく、かつ/あるいは、ミキサ29145は、Rx信号389175を位相シフトQ信号389136と混合してQ位相信号389146にしてよい。
いくつかの例示的な態様において、I位相信号389143及び/又はQ位相信号389146は、例えばベースバンド信号を含んでよい。
いくつかの例示的な態様において、例えば、ベースバンド、例えば、送信回路315及び/又は受信回路320(図3A)内のIF及びベースバンド処理回路に提供されるために、I位相信号389143はI-IF信号として使用されてよく、かつ/あるいは、Q位相信号389146はQ-IF信号として使用されてよい。
図4に戻り、いくつかの例示的な態様において、RF回路425は無線アーキテクチャに従って構成されてよく、これは、少なくとも1つのアウトフェージング電力増幅器を含んでよく、これは、RF信号を増幅するように構成される。いくつかの例示的な態様において、少なくとも1つのアウトフェージング電力増幅器は、例えば以下で説明されるように、例えばキレイクス(Chireix)サブクォーター波長バランにより実装されてよい。
いくつかの例示的な態様において、無線アーキテクチャにアウトフェージング電力増幅器を実装することは、例えば、より少ないダイ面積を占有すると同時に電力増幅器の効率を増加させること及び/又は高い電力レベルを提供すること、及び/又は任意の他の追加的又は代替的な技術的恩恵及び/又は利点を提供することにより、1つ以上の恩恵を提供し、かつ/あるいは1つ以上の技術的問題を解決し得る。
いくつかの例示的な態様において、アウトフェージング電力増幅器は、例えば以下で説明されるように、RF信号の高効率増幅を提供するように構成されてよい。例えば、複数の電力増幅器の出力を効率良く結合する能力は、例えば、高電力レベル信号を達成する技術的恩恵を少なくとも可能にし得る。
いくつかの例示的な態様において、アウトフェージング電力増幅器は、サブクォーター波長(SQWL)バランに動作上結合されてよい。例えば、SQWLバランは、例えば以下で説明されるように、例えば少なくとも効率的電力結合及び/又は高電力レベルを可能にするために、キレイクスコンバイナを採用するように構成されてよい。
いくつかの例示的な態様において、SQWLバランは選択的インダクタンスバンクを採用するように構成されてよく、これは、デジタル制御されてよく、例えば、キレイクスコンバイナの帯域幅を増加させることを結果として可能にし得る。
いくつかの例示的な態様において、アウトフェージング電力増幅器は、無線チェーン回路の一部として、例えばサブシステム435(図4)、及び/又は所望される場合任意の他のサブシステム及び/又は要素の一部として含まれてよく、かつ/あるいはこれらの1つ以上の動作及び/又は機能性を実行してよい。
次に図390が参照され、図390は、いくつかの例示的な態様に従う、送信器390000のブロック図を概略的に示す。例えば、送信器390000の1つ以上の要素及び/又はコンポーネントが、例えば図371を参照して上記で説明されたように、トランシーバ371100の一部として実装されてよい。
いくつかの例示的な態様において、送信器390000はRF増幅器390100を含んでよい。例えば、RF増幅器390100は、例えば以下で説明されるように、例えば第1アウトフェージング増幅器390200及び/又は第2アウトフェージング増幅器390300を含む、複数のアウトフェージング増幅器を含んでよい。
いくつかの例示的な態様において、アウトフェージング増幅器390200及び/又はアウトフェージング増幅器390300は、例えば以下で説明されるように、例えば定エンベロープ増幅器(constant envelope amplifiers)として構成されてよい。
いくつかの例示的な態様において、例えば、第1定エンベロープ増幅器、例えばアウトフェージング増幅器390110が、例えば以下で説明されるように、第2定エンベロープ増幅器、例えばアウトフェージング増幅器390120と異なる位相で動作するように構成されてよい。
他の態様において、アウトフェージング増幅器390200及び/又はアウトフェージング増幅器390300は、任意の他の構成を有してよく、かつ/あるいは任意の他のパラメータに従って動作してよい。
いくつかの例示的な態様において、例えば、振幅変調信号Sin(t)=A(t)cos(ωt)は、2つの「定振幅」信号S1(t)及びS2(t)の和として書き換えられてよく、例えば、
Figure 2024059722000088
である。
一例において、角度θ=cos-1(A(t))は、アウトフェージング角度を表してよく、これは、例えば、第1アウトフェージング増幅器390200と第2アウトフェージング増幅器390300との間の位相シフトを示すメトリックにおいて採用されてよい。例えば、第1アウトフェージング増幅器390200及び第2アウトフェージング増幅器390300がGのゲインを有する場合、結合信号は、例えば以下のように決定されてよい。
Figure 2024059722000089
いくつかの例示的な態様において、変調信号が、例えば以下で説明されるように、異なる位相を有する2つの定エンベロープ増幅器、例えば第1アウトフェージング増幅器390200及び第2アウトフェージング増幅器390300を通じて増幅されてよい。
有利には、定エンベロープ増幅器においてに任意の所与の入力増幅レベルに対して定振幅を有することは、例えばすべての入力電力レベルに対してでさえ、高い効率を提供し得る。
いくつかの例示的な態様において、RF増幅器390100は、例えば以下で説明されるように、SQWL4方向コンバイナバラン390400を含んでよい。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン390400は、例えばキレイクスコンバイナを含んでよい。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン390400は、例えば非絶縁コンバイナを含んでよい。
他の態様において、SQWL4方向コンバイナバラン390400は、任意の他のコンバイナを含んでよい。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン390400は、例えば以下で説明されるように、ロードプルを提供するため、及び結果として効率を増加させるために、非絶縁コンバイナ、例えばキレイクスコンバイナとして構成されてよい。例えば、非絶縁コンバイナの場合、第1アウトフェージング増幅器390200及び第2アウトフェージング増幅器390300のインピーダンスは、Z1=RL/2+j*tan(θ)/2、及びZ2=RL/2-j*tan(θ)/2により決定されてよい。
いくつかの例示的な態様において、キレイクスコンバイナは、各増幅器、例えば第1アウトフェージング増幅器390200及び第2アウトフェージング増幅器390300の出力部にキャパシタンス及びインピーダンスを追加することと、各増幅器により見られる反応的要素j*tan(θ)/2を共振させることとにより、非絶縁コンバイナの効率を最適化する技術を提供し得る。この例において、各増幅器は、例えば以下で説明されるように、RL/2の純粋な実インピーダンスが見える可能性がある。
いくつかの例示的な態様において、第1アウトフェージング増幅器390200は第1アウトフェージング増幅器回路390210を含んでよく、これは、例えば以下で説明されるように、例えば第1入力信号に基づく第1I信号、及び/又は例えば第2入力信号に基づく第1Q信号を提供するように構成されてよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器回路390220が、例えば以下で説明されるように、例えば第1入力信号に基づく第2I信号、及び/又は例えば第2入力信号に基づく第2Q信号を提供するように構成されてよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器390300は第3アウトフェージング増幅器回路390310を含んでよく、これは、例えば以下で説明されるように、例えば第3入力信号に基づく第3I信号、及び/又は例えば第4入力信号に基づく第3Q信号を提供するように構成されてよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器390300は第4アウトフェージング増幅器回路390320を含んでよく、これは、例えば以下で説明されるように、例えば第3入力信号に基づく第4I信号、及び例えば第4入力信号に基づく第4Q信号を提供するように構成されてよい。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン390400は、例えば以下で説明されるように、第1I信号及び第2I信号を第1伝送線路に結合する第1誘導スタブ、第3I信号及び第4I信号を第1伝送線路に結合する第2誘導スタブ、第1Q信号及び第2Q信号を第1伝送線路に結合する第1容量スタブ、及び/又は第3Q信号及び第4Q信号を第2伝送線路に結合する第2容量スタブを含んでよい。
いくつかの例示的な態様において、第1伝送線路は、例えば以下で説明されるように、例えば第1I信号、第2I信号、第1Q信号、及び/又は第2Q信号の組み合わせに基づいて、第1RF信号を提供するように構成されてよい。
いくつかの例示的な態様において、第2伝送線路は、例えば以下で説明されるように、例えば第3I信号、第4I信号、第3Q信号、及び/又は第4Q信号の組み合わせに基づいて、第2RF信号を提供するように構成されてよい。
いくつかの例示的な態様において、第1アウトフェージング増幅器回路390210は、例えば以下で説明されるように、第1誘導スタブに動作上結合され得る第1増幅器、及び/又は第1容量スタブに動作上結合され得る第2増幅器を含んでよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器回路390220は、例えば以下で説明されるように、第1誘導スタブに動作上結合され得る第1増幅器、及び/又は第1容量スタブに結合され得る第2増幅器を含んでよい。
いくつかの例示的な態様において、第3アウトフェージング増幅器回路390310は、例えば以下で説明されるように、第2誘導スタブに動作上結合され得る第1増幅器、及び/又は第2容量スタブに動作上結合され得る第2増幅器を含んでよい。
いくつかの例示的な態様において、第4アウトフェージング増幅器回路390320は、例えば以下で説明されるように、第2誘導スタブに動作上結合され得る第1増幅器390325、及び/又は第2容量スタブに動作上結合され得る第2増幅器を含んでよい。
いくつかの例示的な態様において、例えば、第1アウトフェージング増幅器390215、第2アウトフェージング増幅器390225、第3アウトフェージング増幅器390315、及び/又は第4アウトフェージング増幅器390325のうちのアウトフェージング増幅器、例えば各アウトフェージング増幅器が、例えば以下で説明されるように、LO I信号に基づいて初期I信号を生成し、及びLO Q信号に基づいて初期Q信号を生成するI/Q発生器を含んでよい。
例えば、例えば以下で説明されるように、第1アウトフェージング増幅器390215はI/O発生器390127を含んでよく、第2アウトフェージング増幅器390225はI/O発生器390227を含んでよく、第3アウトフェージング増幅器390315はI/O発生器390317を含んでよく、かつ/あるいは第4アウトフェージング増幅器390325はI/O発生器390337を含んでよい。
いくつかの例示的な態様において、例えば、第1アウトフェージング増幅器390215、第2アウトフェージング増幅器390225、第3アウトフェージング増幅器390315、及び/又は第4アウトフェージング増幅器390325のうちのアウトフェージング増幅器、例えば各アウトフェージング増幅器が、例えば以下で説明されるように、アウトフェージング増幅器の第1入力に基づいて初期I信号を変調することにより位相変調I信号を生成し、及びアウトフェージング増幅器の第2入力に基づいて初期Q信号を変調することにより位相変調Q信号を生成する位相変調器回路を含んでよい。
いくつかの例示的な態様において、例えば、第1アウトフェージング増幅器390215、第2アウトフェージング増幅器390225、第3アウトフェージング増幅器390315、及び/又は第4アウトフェージング増幅器390325のうちのアウトフェージング増幅器、例えば各アウトフェージング増幅器が、例えば以下で説明されるように、位相変調I信号を増幅することにより増幅I信号を出力する第1増幅器、及び位相変調Q信号を増幅することにより増幅Q信号を出力する第2増幅器を含んでよい。
いくつかの例示的な態様において、例えば、SQWL4方向コンバイナバラン390400の第1誘導スタブは、例えば以下で説明されるように、所定のインピーダンス、例えば25Ωインピーダンス又は任意の他のインピーダンスを、アウトフェージング増幅器390215、390225、290315、及び/又は390325の第1増幅器の出力に適用するように構成されてよい。
いくつかの例示的な態様、例えば、SQWL4方向コンバイナバラン390400の第1誘導スタブは、例えば以下で説明されるように、所定のインピーダンス、例えば25Ωインピーダンス又は任意の他のインピーダンスを、アウトフェージング増幅器390215、390225、390315、及び/又は390325の第2増幅器の出力に適用するように構成されてよい。
いくつかの例示的な態様において、例えば、第2誘導スタブは、例えば以下で説明されるように、例えば25Ωインピーダンス又は任意の他のインピーダンスを、アウトフェージング増幅器390215、390225、390315、及び/又は390325の第1増幅器の出力に適用するように構成されてよい。
いくつかの例示的な態様において、例えば、第2容量スタブは、例えば以下で説明されるように、例えば25Ωインピーダンス又は任意の他のインピーダンスを、アウトフェージング増幅器390215、390225、390315、及び/又は390325の第2増幅器の出力に適用するように構成されてよい。
いくつかの例示的な態様において、RF増幅器390100は、LO I信号及びLO Q信号を生成するLO390500を含んでよい。
いくつかの例示的な態様において、送信器390000は、例えばRF増幅器390100に動作上結合される1つ以上のアンテナ390700を含んでよく、あるいは該アンテナに動作上結合されてよい。例えば、1つ以上のアンテナ390700は、フェーズドアレイアンテナ、ダイポールアンテナ、内部アンテナ、アンテナのアレイなどを含んでよい。
いくつかの例示的な態様において、送信器390000は、信号プロセッサ390600を含んでよい。例えば、信号プロセッサ390600は、I及びQ入力信号を生成するように構成されてよい。例えば、I及びQ入力信号は、アウトフェージング増幅器390215、390225、390315、及び/又は390325の入力部に適用されてよい。
図391が参照され、図391は、いくつかの例示的な態様に従う、負荷としてSQWLバラン391100を採用するアウトフェージング増幅器391000のブロック図を概略的に示す。例えば、SQWLバラン391100を有するアウトフェージング増幅器391000は、RF増幅器390100(図390)の1つ以上の動作及び/又は機能性を実行してよい。
いくつかの例示的な態様において、アウトフェージング増幅器391000は、例えば以下で説明されるように、第1アウトフェージング増幅器391200、第2アウトフェージング増幅器391300、第3アウトフェージング増幅器391400、及び/又は第4アウトフェージング増幅器391500を含んでよい。例えば、アウトフェージング増幅器391200、391300、391400、及び/又は391500は、RF増幅器の1つ以上の動作を実行するように構成されてよい。
いくつかの例示的な態様において、第1アウトフェージング増幅器回路391200は、第1入力信号391020、例えば入力I信号に基づいて、第1I信号391212を提供するよう、及び第2入力信号391010、例えば入力Q信号に基づいて、第1Q信号391214を提供するように構成されてよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器回路391300は、例えば第1入力信号391020に基づいて第2I信号391312を提供するよう、及び例えば第2入力信号391010に基づいて第2Q信号391314を提供するように構成されてよい。
いくつかの例示的な態様において、第3アウトフェージング増幅器回路391400は、例えば第3入力信号391030に基づいて第3I信号391412を提供し、例えば第4入力信号391040に基づいて第3Q信号391414を提供してよい。
いくつかの例示的な態様において、第4アウトフェージング増幅器回路391500は、例えば第3入力信号391030に基づいて第4I信号391512を提供し、例えば第4入力信号391040に基づいて第4Q信号391514を提供してよい。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン391100は第1誘導スタブ391110を含んでよく、これは、第1I信号391212及び第2I信号391312を第1伝送線路391120に結合し得る。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン391100は第2誘導スタブ391130を含んでよく、これは、第3I信号391412及び第4I信号391512を第1伝送線路391120に結合し得る。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン391100は第1容量スタブ391140を含んでよく、これは、第1Q信号391214及び第2Q信号391314を第1伝送線路391120に結合し得る。
いくつかの例示的な態様において、SQWL4方向コンバイナバラン391100は、第3Q信号391414及び第4Q信号391514を第2伝送線路391160に結合する第2容量スタブ391150を含んでよい。
いくつかの例示的な態様において、第1伝送線路391120は、例えば第1I信号391212、第2I信号391312、第1Q信号391214、及び/又は第2Q信号391314の組み合わせに基づいて、第1RF信号391050を提供してよい。
いくつかの例示的な態様において、第2伝送線路391160は、例えば第3I信号391412、第4I信号391512、第3Q信号391414、及び/又は第4Q信号391514の組み合わせに基づいて、第2RF信号391060を提供してよい。
いくつかの例示的な態様において、第1アウトフェージング増幅器回路391200は、第1誘導スタブ391110に動作上結合され得る第1増幅器391210と、第1容量スタブ391140に動作上結合され得る第2増幅器391220とを含んでよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器回路391300は、第1誘導スタブ391110に動作上結合され得る第1増幅器391310と、第1容量スタブ391140に動作上結合され得る第2増幅器391320とを含んでよい。
いくつかの例示的な態様において、第3アウトフェージング増幅器回路391400は、第2誘導スタブ391130に動作上結合され得る第1増幅器391410と、第2容量スタブ391150に動作上結合され得る第2増幅器391420とを含んでよい。
いくつかの例示的な態様において、第4アウトフェージング増幅器回路391500は、第2誘導スタブ391130に動作上結合され得る第1増幅器391510と、第2容量スタブ391150に動作上結合され得る第2増幅器391520とを含んでよい。
いくつかの例示的な態様において、第1アウトフェージング増幅器391200は、第1増幅器391210のインピーダンスを例えば50Ωに整合させるように構成され得る第1整合ネットワーク391230と、第2増幅器391220のインピーダンスを例えば50Ωに整合させるように構成され得る第2整合ネットワーク391240とを含んでよい。他の態様において、任意の他の整合インピーダンスが使用されてよい。
いくつかの例示的な態様において、第2アウトフェージング増幅器391300は、第1増幅器391310のインピーダンスを例えば50Ωに整合させるように構成され得る第1整合ネットワーク391330と、第2増幅器391320でのインピーダンスを例えば50Ωに整合させるように構成され得る第2整合ネットワーク391340とを含んでよい。他の態様において、任意の他の整合インピーダンスが使用されてよい。
いくつかの例示的な態様において、第3アウトフェージング増幅器391400は、第1増幅器391410でのインピーダンスを例えば50Ωに整合させるように構成され得る第1整合ネットワーク391430と、第2増幅器391420でのインピーダンスを例えば50Ωに整合させるように構成され得る第2整合ネットワーク391440とを含んでよい。他の態様において、任意の他の整合インピーダンスが使用されてよい。
いくつかの例示的な態様において、第4アウトフェージング増幅器391500は、第1増幅器391510でのインピーダンスを例えば50Ωに整合させるように構成され得る第1整合ネットワーク391530と、第2増幅器391520でのインピーダンスを例えば50Ωに整合させるように構成され得る第2整合ネットワーク391540とを含んでよい。他の態様において、任意の他の整合インピーダンスが使用されてよい。
いくつかの例示的な態様において、例えば、アウトフェージング増幅器391200、391300、391400、及び/又は391500のうちのアウトフェージング増幅器、例えば各アウトフェージング増幅器が、I/Q発生器を含んでよい。例えば、アウトフェージング増幅器391200はI/Q発生器391250を含んでよく、アウトフェージング増幅器391300はI/Q発生器391350を含んでよく、アウトフェージング増幅器391400はI/Q発生器391450を含んでよく、かつ/あるいは、アウトフェージング増幅器391500はI/Q発生器391550を含んでよい。
いくつかの例示的な態様において、I/Q発生器391250は、LO I信号、例えばLO I信号391070に基づいて、初期I信号、例えば初期I信号391260を生成するよう、及びLO Q信号、例えばLO Q信号391080に基づいて、初期Q信号、例えば初期Q信号391270を生成するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器391250は、LO I信号、例えばLO I信号391071に基づいて、初期I信号、例えば初期I信号391360を生成するよう、及びLO Q信号、例えばLO Q信号391081に基づいて、初期Q信号、例えば初期Q信号391370を生成するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器391450は、LO I信号、例えばLO I信号391072に基づいて、初期I信号、例えば初期I信号391460を生成するよう、及びLO Q信号、例えばLO Q信号31082に基づいて、初期Q信号、例えば初期Q信号391470を生成するように構成されてよい。
いくつかの例示的な態様において、I/Q発生器391550は、LO I信号、例えばLO I信号391073に基づいて、初期I信号、例えば初期I信号391560を生成するよう、及びLO Q信号、例えばLO Q信号31083に基づいて、初期Q信号、例えば初期Q信号391570を生成するように構成されてよい
いくつかの例示的な態様において、例えば、アウトフェージング増幅器391200、391300、391400、及び/又は391500のうちのアウトフェージング増幅器、例えば各アウトフェージング増幅器が、例えば以下で説明されるように、アウトフェージング増幅器の第1入力に基づいて初期I信号を変調することにより位相変調I信号を生成し、及び/又はアウトフェージング増幅器の第2入力に基づいて初期Q信号を変調することにより位相変調Q信号を生成する位相変調器回路を含んでよい。
いくつかの例示的な態様において、例えば、第1アウトフェージング増幅器391200は、位相変調I信号391282を増幅することによりI信号391212を出力するように構成され得る第1増幅器391210、及び/又は位相変調Q信号391284を増幅することによりQ信号391214を出力するように構成され得る第2増幅器391220を含んでよい。
いくつかの例示的な態様において、例えば、第2アウトフェージング増幅器391300は、位相変調I信号391382を増幅することによりI信号391312を出力するように構成され得る第1増幅器391310、及び/又は位相変調Q信号391384を増幅することによりQ信号391314を出力するように構成され得る第2増幅器391320を含んでよい。
いくつかの例示的な態様において、例えば、第3アウトフェージング増幅器391400は、位相変調I信号391482を増幅することによりI信号391412を出力するように構成され得る第1増幅器391410、及び/又は位相変調Q信号391484を増幅することによりQ信号391414を出力するように構成され得る第2増幅器391420を含んでよい。
いくつかの例示的な態様において、例えば、第4アウトフェージング増幅器391500は、位相変調I信号391582を増幅することによりI信号391512を出力するように構成され得る第1増幅器391510、及び/又は位相変調Q信号391584を増幅することによりQ信号391514を出力するように構成され得る第2増幅器39120を含んでよい。
いくつかの例示的な態様において、例えば、第1アウトフェージング増幅器391200は位相変調器391280を含んでよく、これは、例えば、内部I信号391260及び/又は内部Q信号391270を例えば入力I信号391020及び/又は入力Q信号391020で変調することにより、位相変調I信号391282及び/又は位相変調Q信号391284を生成するように構成されてよい。
いくつかの例示的な態様において、例えば、第2アウトフェージング増幅器391300は位相変調器391380を含んでよく、これは、例えば、内部I信号391360及び/又は内部Q信号391370を例えば入力I信号391020及び/又は入力Q信号391020で変調することにより、位相変調I信号391382及び/又は位相変調Q信号391384を生成するように構成されてよい。
いくつかの例示的な態様において、例えば、第3アウトフェージング増幅器391400は位相変調器391480を含んでよく、これは、例えば、内部I信号391460及び/又は内部Q信号391470を例えば入力I信号391020及び/又は入力Q信号391020で変調することにより、位相変調I信号391482及び/又は位相変調Q信号391484を生成するように構成されてよい。
いくつかの例示的な態様において、例えば、第4アウトフェージング増幅器391500は位相変調器391580を含んでよく、これは、例えば、内部I信号391560及び/又は内部Q信号391570を例えば入力I信号391020及び/又は入力Q信号391020で変調することにより、位相変調I信号391582及び/又は位相変調Q信号391584を生成するように構成されてよい。
いくつかの例示的な態様において、例えば、誘導スタブ、例えば第1誘導スタブ391110及び/又は第2誘導スタブ391130は、アウトフェージング増幅器391200、391300、391400、及び/又は391500の第1増幅器の出力、例えば各出力に、25オームインピーダンスを適用するように構成されてよい。
いくつかの例示的な態様において、容量スタブ、例えば第1容量スタブ391140及び/又は第2容量スタブ391150は、アウトフェージング増幅器391200、391300、391400、及び/又は391500の第2増幅器の出力、例えば各出力に、25オームインピーダンスを適用するように構成されてよい。
他の態様において、第1誘導スタブ391110、第2誘導スタブ391130、第1容量スタブ391140、及び/又は第2容量スタブ391150は、アウトフェージング増幅器391200、391300、391400、及び/又は391500の第1増幅器及び/又は第2増幅器の出力の1つ以上に、任意の他のインピーダンスを提供するように構成されてよい。
いくつかの例示的な態様において、アウトフェージング増幅器391000は、LOスプリッタ391600及び/又はLOスプリッタ391650を含んでよい。例えば、LOスプリッタ391600及び/又はLOスプリッタ391650は、LO、例えばLO390500(図390)からLO信号を受信するように構成されてよい。例えば、LOスプリッタ391600は、LO信号を、例えばLO I信号391070及び/又は391073へと、及び/又はLO Q信号391080及び/又は391083へと分割してよい。例えば、LOスプリッタ391650は、LO信号を、例えばLO I信号391071及び/又は391072へと、及び/又はLO Q信号391081及び/又は391082へと分割してよい。
図4に戻り、いくつかの例示的な態様において、RF回路425は、無線アーキテクチャに従って構成されてよく、これは、少なくとも1つの位相シフタ(「位相回転器」とも呼ばれる)を含んでよく、これは、例えば以下で説明されるように、例えば1つ以上の所定の位相値に基づいて、信号の位相を所望の位相にシフト及び/又は回転するように構成されてよい。
いくつかの例示的な態様において、位相シフタは、制御可能位相シフタ、例えば電圧制御式位相シフタとして実装されてよく、これは、例えば以下で説明されるように、例えば低電力及び/又は高分解能を提供するように構成されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、無線チェーン回路の一部として、例えば、サブシステム435(図4)、及び/又は所望される場合任意の他のサブシステム及び/又は要素の一部として含まれてよく、かつ/あるいはこれらの1つ以上の動作及び/又は機能を実行してよい。
いくつかの例示的な態様において、制御可能位相シフタは、例えば以下で説明されるように、例えば同相(I)信号の位相及び/又は直交位相(Q)信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、例えば以下で説明されるように、例えば制御可能位相シフタの最大ゲインにおいて例えば高いレベルの正確さ及び/又は高い分解能を提供するために、例えばコンステレーションマップに従って較正されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、I/Qゲイン及び/又は位相インバランスを例えば高い精度で補正するために較正されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、例えばI位相シフト回路を含んでよく、これは、例えば以下で説明されるように、例えばI信号及びQ信号に基づいて、位相シフトI信号を提供するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば以下で説明されるように、I信号の位相を例えば第1制御信号に従ってシフトすることにより、第1シフトI信号を提供するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば以下で説明されるように、Q信号の位相を例えば第2制御信号に従ってシフトすることにより、第1シフトQ信号を提供するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば以下で説明されるように、例えば第1シフトI信号を第1シフトQ信号と結合することにより、位相シフトI信号を提供するように構成されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、例えばQ位相シフト回路を含んでよく、これは、例えば以下で説明されるように、例えばQ信号及びI信号に基づいて、位相シフトQ信号を提供するように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、I信号の位相を例えば第3制御信号に従ってシフトすることにより、第2シフトI信号を提供するように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、Q信号の位相を例えば第4制御信号に従ってシフトすることにより、第2シフトQ信号を提供するように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、例えば第2シフトI信号を第2シフトQ信号と結合することにより、位相シフトQ信号を提供するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路及び/又はQ位相シフト回路は、例えば以下で説明されるように、例えば電圧制御式位相シフト回路を含んでよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば第1電圧デジタルアナログ変換器(Voltage Digital to Analog Convertor、VDAC)を含んでよく、これは、例えば以下で説明されるように、第1制御信号をI制御電圧に変換するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば以下で説明されるように、例えばI制御電圧に従って、I信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば第2VDACを含んでよく、これは、例えば以下で説明されるように、第2制御信号をQ制御電圧に変換するように構成されてよい。
いくつかの例示的な態様において、I位相シフト回路は、例えば以下で説明されるように、例えばQ制御電圧に従って、Q信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、例えば、第3制御信号をI制御電圧に変換する第1VDACを含んでよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、例えばI制御電圧に従って、I信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば第2VDACを含んでよく、これは、例えば以下で説明されるように、第4制御信号をQ制御電圧に変換するように構成されてよい。
いくつかの例示的な態様において、Q位相シフト回路は、例えば以下で説明されるように、例えばQ制御電圧に従って、Q信号の位相をシフトするように構成されてよい。
いくつかの例示的な態様において、制御可能位相シフタは、例えば以下で説明されるように、送信(Tx)パスにおける1つ以上の電力増幅器(PA)への、及び/又は受信(Rx)パスにおける1つ以上の低雑音増幅器(LNA)からの、位相シフトI信号及び/又は位相シフトQ信号を提供するように構成されてよい。
いくつかの例示的な態様において、例えば本明細書で説明されるような制御可能位相シフタを実装することは、例えば、例えば分解能とは独立して電力消費と共に高度に線形な位相シフタを提供すること、及び/又は任意の他の追加的又は代替的な技術的恩恵及び/又は利点を提供することにより、1つ以上の恩恵を提供し得、かつ/あるいは1つ以上の技術的問題を解決し得る。
幾つかの例証的態様では、例えば後述のように、制御可能な位相シフタは、例えば、ほぼ低及び/又は高ゲイン設定であっても高分解能を提供するよう構成されてよい。
図392を参照すると、幾つかの例証的態様による、トランシーバ392000のブロック図を概略的に示す。例えば、トランシーバ392000の1つ以上の要素及び/又はコンポーネントは、例えば図381を参照して上述したようなトランシーバ371100の部分として実装されてよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ392000は、例えば、半二重通信トランシーバ、及び/又は全二重トランシーバを含んでよい。
幾つかの例証的態様では、トランシーバ392000は、60GHz周波数帯に渡り動作するよう構成されてよいミリメートル波トランシーバを含んでよい。他の態様では、トランシーバ392000は、任意の他の追加又は代替周波数帯で動作するよう構成される任意の他の種類のトランシーバを含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ392000は、複数のRxアンテナ392100に、及び/又は複数のTxアンテナ392150に動作可能に結合されてよい。例えば、Rxアンテナ392100及び/又はTxアンテナ392150は、例えば1つ以上のアンテナ素子、1つ以上のフェーズドアレイアンテナ、1つ以上のダイポールアンテナ、1つ以上の内部アンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、トランシーバ39200は、例えば、例えば後述のように例えば局所発振器(LO)信号392205を生成するよう構成されてよいLO392200を含んでよい。
幾つかの例証的態様では、LO392200は、例えば、水晶発振器、位相ロックループ(PLL)、注入LO(ILO)、及び/又は任意の他の種類のLOを含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ392000は、例えば、位相シフトLO信号を例えば1つ以上の送信器及び/又は受信器コンポーネント、回路及び/又はサブシステムに分配するよう構成されてよいLO分配網回路392300を含んでよい。
幾つかの例証的態様では、例えば後述のように、位相シフトLO信号は、例えば、サイン信号392264及び/又はコサイン信号392274を含んでよい。他の態様では、任意の他の追加又は代替LO信号が使用されてよい。
幾つかの例証的態様では、トランシーバ39200は、例えば、例えば後述のように例えば1つ以上のRx無線周波数(RF)信号を例えばRxアンテナ392100から受信するよう構成されてよい受信器392200を含んでよい。
幾つかの例証的態様では、受信器392200は、例えば、例えばそれぞれ複数のRxアンテナ392100に動作可能に結合されてよい複数のLNA392210を含んでよい。例えば、LNA392210は、Rxアンテナ392100に動作可能に結合されてよく、例えば後述のように、例えばRxアンテナ392100からのRF信号392220を増幅することにより、Rx信号を提供するよう構成されてよい。
幾つかの例証的態様では、受信器392200は、例えば、例えばそれぞれ複数のLNA392210に動作可能に結合されてよい複数のミキサ392250を含んでよい。例えば、LNA392210に結合されたミキサ392250は、例えば後述のように、LNA392210からのRF信号392220に従い、I信号392262及び/又はQ信号392272を生成するよう構成されてよい。
幾つかの例証的態様では、受信器392200は、例えば、例えばそれぞれ複数のミキサ392250に動作可能に結合されてよい複数の制御可能な位相シフタ392240を含んでよい。例えば、制御可能な位相シフタ392240は、ミキサ32250に動作可能に結合されてよく、例えば後述のように、ミキサ392250からのI信号392262の位相及び/又はQ信号392272の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、ミキサ392250は、例えば後述のように、例えば、制御可能な位相シフタ392240の第1入力392265に動作可能に結合されてよい第1ミキサ392260を含んでよい。
幾つかの例証的態様では、第1ミキサ392260は、例えば後述のように、例えばサイン信号392264に従い、Rx信号392220を混合することにより、I信号392262を生成するよう構成されてよい。
幾つかの例証的態様では、ミキサ392250は、例えば後述のように、例えば、制御可能な位相シフタ392240の第2入力392275に動作可能に結合されてよい第2ミキサ392270を含んでよい。
幾つかの例証的態様では、第2ミキサ392270は、例えば後述のように、例えばコサイン信号392274に従い、Rx信号39220を混合することにより、Q信号392272を生成するよう構成されてよい。
幾つかの例証的態様では、複数の制御可能な位相シフタ392240は、例えば後述のように、複数のそれぞれの位相シフトを複数のRxアンテナ392100に制御可能に適用するよう構成されてよい。例えば、位相シフタ392240は、例えば後述のように、例えばRxビームフォーミング方式に従いビームを生成し及び/又はステアリングするよう構成されてよいRxアンテナ392100に、各々の複数の位相シフトを適用するよう制御されてよい。
幾つかの例証的態様では、制御可能な位相シフタ392240は、例えば後述のように、例えば第1制御信号392410に従い、I信号392262の位相をシフトし、及び位相シフトされたI信号392280を提供するよう構成されてよい。
幾つかの例証的態様では、制御可能な位相シフタ392240は、例えば後述のように、例えば第2制御信号392420に従い、Q信号392272の位相をシフトし、及び位相シフトされたQ信号392290を提供するよう構成されてよい。
幾つかの例証的態様では、トランシーバ392000は、それぞれ複数の制御可能な位相シフタ392240に動作可能に結合されてよいQ Rxコンバイナ392510を含んでよい。例えば、Q Rxコンバイナ392510は、複数の制御可能な位相シフタ392240からの複数の位相シフトされたQ信号392290をQ中間周波数(IF)Rx信号392295に混合するよう構成されてよい。
幾つかの例証的態様では、トランシーバ392000は、複数の制御可能な位相シフタ392240に動作可能に結合されてよいI Rxコンバイナ392520を含んでよい。例えば、I Rxコンバイナ392520は、複数の制御可能な位相シフタ392240からの複数の位相シフトされたI信号392280をI IF Rx信号392285に混合するよう構成されてよい。
幾つかの例証的態様では、トランシーバ392000は、例えば、I Rxコンバイナ392520及びQ Rxコンバイナ392510に動作可能に結合されてよいベースバンド392500を含んでよい。例えば、ベースバンド392500は、例えば後述のように、IF信号、例えばI IF Rx信号392285及び/又はQ IF Rx信号392295を処理するよう構成されてよい。
幾つかの例証的態様では、トランシーバ39200は、例えば、例えば後述のように、例えば1つ以上のTx信号をTxアンテナ392150へ送信するために、ベースバンド392500に動作可能に結合されてよい送信器392300を含んでよい。
幾つかの例証的態様では、ベースバンド392500は、例えば後述のように、送信器392300により送信されてよい1つ以上のIF Tx信号、例えばI IF Tx信号392580及び/又はQ IF Tx信号392590を生成するよう構成されてよい。
幾つかの例証的態様では、送信器392300は、例えば後述のように、複数のTxアンテナ392150を介して複数のTx RF信号392320を送信するよう構成されてよい。
幾つかの例証的態様では、トランシーバ392000は、例えば、ベースバンド392500に動作可能に結合されてよいI Txスプリッタ392530を含んでよい。例えば、I Txスプリッタ392530は、例えばI IF Tx信号392580を、例えばそれぞれ複数のTxアンテナ392150を介して送信されるべき複数のTx I信号392285に分けるよう構成されてよい。
幾つかの例証的態様では、トランシーバ392000は、例えば、ベースバンド392500に動作可能に結合されてよいQ Txスプリッタ392540を含んでよい。例えば、Q Txスプリッタ392540は、例えばQ IF Tx信号392590を、例えばそれぞれ複数のTxアンテナ392150を介して送信されるべき複数のTx Q信号392295に分けるよう構成されてよい。
幾つかの例証的態様では、送信器392300は、例えば、Q Txスプリッタ392540及びI Txスプリッタ392530に動作可能に結合されてよい複数の制御可能な位相シフタ392340を含んでよい。例えば、制御可能な位相シフタ392340は、例えば後述のように、I Txスプリッタ392530からのTx I信号の位相、及び/又はQ Txスプリッタ392540からのTx Q信号の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、複数の制御可能な位相シフタ392240は、例えば後述のように、複数のそれぞれの位相シフトを複数のTxアンテナ392150に制御可能に適用するよう構成されてよい。例えば、位相シフタ392340は、例えば後述のように、例えばTxビームフォーミング方式に従いビームを生成し及び/又はステアリングするよう構成されてよいTxアンテナ392150に、各々の複数の位相シフトを適用するよう制御されてよい。
幾つかの例証的態様では、送信器392300は、例えば、例えばそれぞれ複数の制御可能な位相シフタ392340に動作可能に結合されてよい複数のミキサ392350を含んでよい。例えば、制御可能な位相シフタ392340に結合されたミキサ392350は、例えば後述のように、例えば制御可能な位相シフタ392340からのIシフト信号392360及び/又はQシフト信号32365に従い、RF信号392330を生成するよう構成されてよい。
幾つかの例証的態様では、複数のミキサ392350は、例えば後述のように、例えば、制御可能な位相シフタ392340の第1出力392341に動作可能に結合されてよい第1ミキサ392370を含んでよい。
幾つかの例証的態様では、第1ミキサ392370は、例えば後述のように、例えばサイン信号392264に従い、Iシフト信号392360を混合することにより、第1RF信号392332を生成するよう構成されてよい。
幾つかの例証的態様では、複数のミキサ392250は、例えば後述のように、例えば、制御可能な位相シフタ392340の第2出力392342に動作可能に結合されてよい第2ミキサ392380を含んでよい。
幾つかの例証的態様では、第2ミキサ392380は、例えば後述のように、例えばコサイン信号392274に従い、Qシフト信号392365を混合することにより、第2RF信号392334を生成するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、制御可能な位相シフタ392340からの第1RF信号392332及び第2RF信号392334は、例えば各々のTxアンテナ392150を介して送信されるべきRF信号392330に混合されてよい。
幾つかの例証的態様では、送信器392300は、例えば、例えばそれぞれ複数のミキサ392380に動作可能に結合されてよい複数のPA392310を含んでよい。例えば、PA392310は、ミキサ392350に動作可能に結合されてよく、例えば後述のように、例えばミキサ392350からのRF信号392330を増幅するよう構成されてよい。
幾つかの例証的態様では、PA392310は、例えば後述のように、Tx RF信号392320をTxアンテナ392150に供給するよう構成されてよい。
幾つかの例証的態様では、制御可能な位相シフタ392240及び/又は制御可能な位相シフタ392340は、例えば後述のように、例えばI信号392262及びQ信号392272に基づき例えば位相シフトされたI信号392280を提供するよう構成されてよいI位相シフト回路392242を含んでよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えば第1制御信号、例えば制御信号392410に従い、I信号392262の位相をシフトすることにより、第1のシフトI信号を提供するよう構成されてよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えば第2制御信号、例えば制御信号392420に従い、Q信号392272の位相をシフトすることにより、第1のシフトQ信号を提供するよう構成されてよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えば第1のシフトI信号を第1のシフトQ信号と混合することにより、位相シフト信号392280を提供するよう構成されてよい。
幾つかの例証的態様では、制御可能な位相シフタ392240及び/又は制御可能な位相シフタ392340は、例えば後述のように、例えばQ信号392272及びI信号392362に基づき例えば位相シフトされたQ信号392290を提供するよう構成されてよいQ位相シフト回路392244を含んでよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えば第3制御信号、例えば制御信号392430に従い、I信号392262の位相をシフトすることにより、第2シフトI信号を提供するよう構成されてよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えば第4制御信号、例えば制御信号392440に従い、Q信号392272の位相をシフトすることにより、第2シフトQ信号を提供するよう構成されてよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えば第2のシフトI信号を第2のシフトQ信号と混合することにより、位相シフトQ信号392290を提供するよう構成されてよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、第1制御信号をI制御電圧に変換するよう構成されてよい、例えば第1VDAC(図392に図示しない)を含んでよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えばI制御電圧に従い、I信号392262の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、制御信号392420をQ制御電圧に変換するよう構成されてよい、例えば第2VDAC(図392に図示しない)を含んでよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えばQ制御電圧に従い、Q信号392272の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えばI制御電圧に従い第1のシフトI信号を生成するよう構成されてよい、例えばカスコードゲート配置(図392に図示しない)にある第1の複数のトランジスタを含んでよい。
幾つかの例証的態様では、I位相シフト回路392242は、例えば後述のように、例えばQ制御電圧に従い第1のシフトQ信号を生成するよう構成されてよい、例えばカスコードゲート配置(図392に図示しない)にある第2の複数のトランジスタを含んでよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、制御信号392430をI制御電圧に変換するよう構成されてよい、例えば第1VDAC(図392に図示しない)を含んでよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えばI制御電圧に従い、I信号392262の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、制御信号392440をQ制御電圧に変換するよう構成されてよい、例えば第2VDAC(図392に図示しない)を含んでよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えばQ制御電圧に従い、Q信号392272の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えばI制御電圧に従い第2のシフトI信号を生成するよう構成されてよい、例えばカスコードゲート配置(図392に図示しない)にある第1の複数のトランジスタを含んでよい。
幾つかの例証的態様では、Q位相シフト回路392244は、例えば後述のように、例えばQ制御電圧に従い第2のシフトQ信号を生成するよう構成されてよい、例えばカスコードゲート配置(図392に図示しない)にある第2の複数のトランジスタを含んでよい。
幾つかの例証的態様では、第1制御信号、例えば制御信号392410は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第1データをI位相シフト回路392242に適用する例えば第1デジタル信号を含んでよい。
幾つかの例証的態様では、第2制御信号、例えば制御信号392420は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第2データをI位相シフト回路392242に適用する例えば第2デジタル信号を含んでよい。
幾つかの例証的態様では、第3制御信号、例えば制御信号392430は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第3データをQ位相シフト回路392244に適用する例えば第3デジタル信号を含んでよい。
幾つかの例証的態様では、第4制御信号、例えば制御信号392440は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第1データをQ位相シフト回路392244に適用する例えば第4デジタル信号を含んでよい。
幾つかの例証的態様では、トランシーバ392000は、例えばベースバンド392500、制御可能な位相シフタ392240、及び/又は制御可能な位相シフタ392340を含む、トランシーバ392000の1つ以上の要素に動作可能に結合されてよい、例えばキャリブレーション及び制御サブシステム392400を含んでよい。例えば、キャリブレーション及び制御サブシステム392400は、例えば後述のように、例えば1つ以上の制御信号、例えば制御信号392410、制御信号392420、制御信号392430、及び/又は制御信号392440を用いて制御可能な位相シフタ392240及び/又は制御可能な位相シフタ392340を制御し及び/又は較正するよう構成されてよい。
幾つかの例証的態様では、キャリブレーション及び制御サブシステム392400は、例えば後述のように、制御可能な位相シフタ392240及び/又は制御可能な位相シフタ392340の1つ以上のパラメータを較正するよう構成されてよい。
幾つかの例証的態様では、キャリブレーション及び制御サブシステム392400は、例えば後述のように、複数の制御可能な位相シフタ392240及び/又は複数の制御可能な位相シフタ392340の線形性及び/又は分解能を例えば予め定義されたコンステレーションポイントマップに従い較正するよう構成されてよい。
幾つかの例証的態様では、キャリブレーション及び制御サブシステム392400は、例えば後述のように、複数の制御可能な位相シフタ392240及び/又は複数の制御可能な位相シフタ392340を例えばルックアップテーブル(LUT)392450に従い制御し及び/又は較正するよう構成されてよい。
幾つかの例証的態様では、LUT392450は、キャリブレーション及び制御サブシステム392400により生成され及び/又は更新されてよい。他の態様では、LUT392450は、キャリブレーション及び制御サブシステム392400により生成されなくてよい。例えば、LUT392450は、例えば後述のように、例えばトランシーバ392000において予め構成されてよい例えば予め定義されたLUTを含んでよい。
幾つかの例証的態様では、LUT392450は、例えば後述のように、例えば予め決定されたコンステレーションポイントマップに従い、各々の複数のコンステレーションポイントに対応する例えば複数の電圧値の対を含んでよい。
幾つかの例証的態様では、例えば、複数の電圧値の対のうちの電圧値の対は、例えば後述のように、例えば第1制御信号、例えば制御信号392410に適用されるべき第1I電圧値、第2制御信号、例えば制御信号392420に適用されるべき第1Q電圧値、第3制御信号、例えば制御信号392430に適用されるべき第2I電圧値、及び第4制御信号、例えば制御信号392440に適用されるべき第2Q電圧値を含んでよい。
図393を参照すると、幾つかの例証的態様による、位相シフト回路393000の電子回路面を概略的に示す。例えば、位相シフト回路393000の1つ以上の要素及び/又はコンポーネントは、例えば図392を参照して上述したような制御可能な位相シフタ392240の部分及び/又は制御可能な位相シフタ392340の部分として実装されてよい。ここに記載される位相シフト回路は、図3Aに示されるmmWave通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれ得る。しかしながら、位相シフト回路はこれに限定されない。
一例では、位相シフト回路33000の1つ以上の要素及び/又はコンポーネントは、例えば図392を参照して上述したようなQ位相シフト回路392244の部分及び/又はI位相シフト回路392242の部分として実装されてよい。
幾つかの例証的態様では、位相シフト回路393000は、例えば後述のように、I信号393070、例えば差動I信号、及びQ信号393080、例えば差動Q信号に基づき、正位相シフト信号393015及び負位相シフト信号393020を含む、例えば位相シフト信号、例えば差動位相シフト信号393010を提供するよう構成されてよい。例えば、位相シフト信号393010は、位相シフトI信号、例えば位相シフトI信号392280(図392)を含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、例えば後述のように、I信号393070、例えば差動I信号、及びQ信号393080、例えば差動Q信号に基づき、正位相シフト信号(図393に図示されない)及び負位相シフト信号(図393に図示されない)を含む、例えば位相シフト信号、例えば差動位相シフト信号393090提供するよう構成されてよい。例えば、位相シフト信号393090は、位相シフトQ信号、例えば位相シフトQ信号392290(図392)を含んでよい。
幾つかの例証的態様では、図393に示すように、位相シフト回路393000は、例えば後述のように、例えばI制御電圧393510に従いシフトI信号393050を生成するよう構成されてよい、例えばカスコードゲート配置にある例えば第1の複数のトランジスタ393600を含んでよい。
幾つかの例証的態様では、第1の複数のトランジスタ393600は、例えば、1つ以上の電界効果トランジスタ(FET)、1つ以上のバイポーラ接合トランジスタ(BJT)、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、例えば後述のように、第1の複数のトランジスタ393600に結合されてよい、例えば第1VDAC393500を含んでよい。例えば、第1VDAC393500は、例えば後述のように、第1制御信号393300、例えばI制御信号をI制御電圧393510に変換し、及びI制御電圧393510を第1の複数のトランジスタ393600に提供するよう構成されてよい。
幾つかの例証的態様では、第1制御信号393300は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第1データを位相シフト回路393000に適用するよう構成されてよい例えば第1デジタル信号、例えば制御信号392410(図392)を含んでよい。
幾つかの例証的態様では、第1VDAC393500は、例えば5ビットVDAC、6ビットVDAC、及び/又は任意の他の分解能のVDACを含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、第1の複数のトランジスタ393600に動作可能に結合されてよい、例えば第1I符号スイッチ393610及び/又は第2I符号スイッチ393620を含んでよい。例えば、第1I符号スイッチ393610及び/又は第2I符号スイッチ393620は、正I信号又は負I信号を第1の複数のトランジスタ393600に適用するよう構成されてよい。例えば、第1I符号スイッチ393610及び/又は第2I符号スイッチ393620は、例えば第1I符号制御信号393030が第1I符号スイッチ393610及び/又は第2I符号スイッチ393620に適用されるとき正I信号を第1の複数のトランジスタ393600に適用することと、例えば第2I符号制御信号393040が第1I符号スイッチ393610及び/又は第2I符号スイッチ393620に適用されるとき負I信号を第1の複数のトランジスタ393600に適用することとの間で切り替えるよう構成されてよい。
幾つかの例証的態様では、第1I符号スイッチ393610及び/又は第2I符号スイッチ393620は、例えば、1つ以上のFET、1つ以上のBJT、及び/又は任意の他の種類のトランジスタ及び/又は切り替え回路を含んでよい。
幾つかの例証的態様では、図393に示すように、位相シフト回路393000は、例えば後述のように、例えばQ制御電圧393520に従いシフトQ信号393060を生成するよう構成されてよい、例えばカスコードゲート配置にある例えば第2の複数のトランジスタ393650を含んでよい。
幾つかの例証的態様では、第2の複数のトランジスタ393650は、例えば、1つ以上のFET、1つ以上のBJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、第2の複数のトランジスタ393650に結合されてよい、例えば第2VDAC393550を含んでよい。例えば、第2VDAC393350は、例えば後述のように、第2制御信号393350、例えばQ制御信号をQ制御電圧393520に変換し、及びQ制御電圧393520を第2の複数のトランジスタ393650に提供するよう構成されてよい。
幾つかの例証的態様では、第2制御信号393350は、例えば後述のように、例えば予め定義されたコンステレーションポイントマップに基づき、第2データを位相シフト回路393000に適用するよう構成されてよい例えば第2デジタル信号、例えば制御信号392420(図392)を含んでよい。
幾つかの例証的態様では、第2VDAC393550は、例えば5ビットVDAC、6ビットVDAC、及び/又は任意の他の分解能のVDACを含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、第2の複数のトランジスタ393650に動作可能に結合されてよい、例えば第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640を含んでよい。例えば、第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640は、正Q信号又は負Q信号を例えば第2の複数のトランジスタ393650に適用することを切り替えるよう構成されてよい。例えば、第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640は、正Q信号又は負Q信号を第2の複数のトランジスタ393650に適用するよう構成されてよい。例えば、第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640は、例えば第1Q符号制御信号393035が第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640に適用されるとき正Q信号を第2の複数のトランジスタ393650に適用することと、例えば第2Q符号制御信号393045が第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640に適用されるとき負Q信号を第2の複数のトランジスタ393650に適用することとの間で切り替えるよう構成されてよい。
幾つかの例証的態様では、第1Q符号スイッチ393630及び/又は第2Q符号スイッチ393640は、例えば、1つ以上のFET、1つ以上のBJT、及び/又は任意の他の種類のトランジスタ及び/又は切り替え回路を含んでよい。
幾つかの例証的態様では、位相シフト回路393000は、第1の複数のトランジスタ393600及び第2の複数のトランジスタ393650に動作可能に結合されてよい、例えばコンバイナ393400を含んでよい。例えば、コンバイナ393400は、例えば後述のように、例えばシフトI信号393050及びシフトQ信号393060を混合するよう構成されてよい。例えば、コンバイナ393400は、正シフトI信号393100を正シフトQ信号393200と混合してよく、例えば負シフトI信号393110を負シフトQ信号393210と混合してよい。
幾つかの例証的態様では、位相シフト回路393000は、例えば後述のように、例えば第1制御信号393300に従い、I信号393070の位相をシフトすることにより、シフトI信号、例えば正シフトI信号393100及び負シフトI信号393110を提供するよう構成されてよい。
幾つかの例証的態様では、位相シフト回路393000は、例えば後述のように、例えば第2制御信号393350に従い、Q信号393040の位相をシフトすることにより、シフトQ信号、例えば正シフトQ信号393200及び負シフトQ信号393210を提供するよう構成されてよい。
幾つかの例証的態様では、位相シフト回路393000は、例えばシフトI信号393050をシフトQ信号393060と混合することにより、位相シフト信号393010を提供するよう構成されてよい。
図394を参照すると、幾つかの例証的態様による、コンステレーションポイントマップの第1象限394000を概略的に示す。
幾つかの例証的態様では、制御可能な位相シフタ、例えば制御可能な位相シフタ392240(図392)及び/又は制御可能な位相シフタ392340(図392)は、図394のコンステレーションポイントマップ内のポイントに従い、I信号の位相及び/又はQ信号の位相をシフトするよう構成されてよい。
幾つかの例証的態様では、図394に示すように、コンステレーションポイントマップの第1象限394000は、例えば、例えば第1軸(「I軸」)に沿う複数のI値及び例えば第2軸(「Q軸」)に沿う複数のQ値により定められる複数のコンステレーションポイントを含んでよい。例えば、図394に示すように、I軸及びQ軸は、コンステレーションポイントマップの第1象限を表し得る0~1の間の範囲の値を含んでよい。
幾つかの例証的態様では、例えば、コンステレーションポイントマップの第2象限内で、I軸は0~-1の間の範囲にある値を含んでよく及びQ軸は0~1の間の範囲にある値を含んでよく、コンステレーションポイントマップの第3象限内で、I軸は0~-1の間の範囲にある値を含んでよく及びQ軸は0~-1の間の範囲にある値を含んでよく、コンステレーションポイントマップの第4象限内で、I軸は0~1の間の範囲にある値を含んでよく及びQ軸は0~-1の間の範囲にある値を含んでよい。
図395を参照すると、幾つかの例証的態様による、コンステレーションポイントの利得変化に対する理想位相シフトコンステレーションポイントを示すグラフ395000を概略的に示す。
幾つかの例証的態様では、制御可能な位相シフタ、例えば制御可能な位相シフタ392240(図392)及び/又は制御可能な位相シフタ392340(図392)は、コンステレーションポイントマップ、例えば図394のコンステレーションポイントマップに従い、I/Q利得及び/又は位相インバランスを例えば高精度で正すために較正されてよい。
幾つかの例証的態様では、グラフ395000は、例えば理想コンステレーションマップの理想ポイント395100に対する、較正された制御可能な位相シフタ、例えば制御可能な位相シフタ392240(図392)及び/又は制御可能な位相シフタ392340の較正位相シフトコンステレーションポイント395200を示す。
幾つかの例証的態様では、図395に示すように、較正された制御可能な位相シフタの較正位相シフトコンステレーションポイント395200は、理想コンステレーションマップの理想ポイントから395100+/-0.5dB又は同様の不一致の範囲内であってよい。
図4に戻ると、幾つかの例証的態様では、RF回路425は、無線アーキテクチャに従い構成されてよく、例えば、後述のように、PAからのTx信号の漏れを相殺することにより信号アンテナからPA又はLNAへの間をインターフェイス接続するよう構成されてよい少なくとも1つのPA-LNAインターフェイスを含んでもよい。
幾つかの例証的態様では、後述のように、無線アーキテクチャはPA-LNAインターフェイスを含んでよい。
幾つかの例証的態様では、後述のように、PA-LNAインターフェイスは、少なくとも1つのアンテナとPA及びLNAとの間の信号を、例えばアンテナからのRx信号をLNAへ及び/又はPAからのTx信号をアンテナへ、インターフェイスしてよい。
幾つかの例証的態様では、望ましい場合には、PA-LNAインターフェイスは、例えばサブシステム435(図4)及び/又は任意の他のサブシステム及び/又は要素の部分のような無線チェーン回路の部分として含まれてよく、及び/又はその1つ以上の動作及び/又は機能を実行してよい。
幾つかの例証的態様では、PA-LNAインターフェイスを無線アーキテクチャに実装することは、例えばPAからLNAへのTx信号の漏れを緩和し、低減し、及び/又は相殺し、及び/又は任意の他の追加又は代替の技術的利益及び/又は利点を提供することにより、1つ以上の利益を提供し及び/又は1つ以上の技術的問題を解決し得る。
ここで漏れに関して使用される用語「相殺」は、漏れ及び/又は1つ以上の信号、入力、出力、要素、及び/又はコンポーネントに与える漏れの影響の、部分的又は全体的な相殺、低減、軽減、減衰、及び/又は緩和を含んでよい。
幾つかの例証的態様では、PA-LNAインターフェイスは、例えば後述のように、例えばTxモードにおけるLNA信頼性を保証するために、TxパスとRxパスとの間の所望レベルの分離、例えば高い分離を提供するよう構成されてよい。
幾つかの例証的態様では、PA-LNAインターフェイスは、例えば後述のように、例えばRxモードで例えば雑音図(NF)電力の低下を低減する、例えば最小化すること、及び/又はTxモードで例えば出力電力の低下を低減する、例えば最小化することを可能にするために、を低減されたレベルの挿入損失、例えば低挿入損失を維持するよう構成されてよい。
幾つかの例証的態様では、PA-LNAインターフェイスは、例えば後述のように、Tx信号の漏れをLNAの入力で相殺信号に加算することによりTx信号の漏れを相殺するよう構成されてよい。
図396を参照すると、幾つかの例証的態様による、トランシーバ396000のブロック図を概略的に示す。例えば、トランシーバ396000の1つ以上の要素及び/又はコンポーネントは、例えば図371を参照して上述したようなトランシーバ371100の部分として実装されてよい。
幾つかの例証的態様では、例えば後述するように、トランシーバ396000は、例えばアンテナ端子396150に動作可能に結合されてよい1つ以上のアンテナ396400を含んでよく又は動作可能に結合されてよい。
幾つかの例証的態様では、1つ以上のアンテナ396400は、例えば、フェーズドアレイアンテナ、ダイポールアンテナ、内部アンテナ、及び/又は任意の他の追加又は代替種類のアンテナを含んでよい。
幾つかの例証的態様では、トランシーバ396000は、例えば後述のように、アンテナ端子396150をPA396310及びLNA396310とインターフェイス接続するよう構成されるPA-LNAインターフェイス396100を含んでよい。
幾つかの例証的態様では、トランシーバ396000は、例えば後述のように、例えば、LNA396210を含む例えばRx回路を含む受信器396200、及び/又はPA396310を含む例えばTx回路を含む送信機396300を含んでよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、Tx信号36010をPA396310からアンテナ端子396150へ例えばTxモードで供給し、及びRx信号396050をアンテナ端子396150からLNA396140へ例えばRxモードで供給するよう構成されてよい。
幾つかの例証的態様では、トランシーバ396000は、例えば後述するように、Rx信号396050の受信及びTx信号398010の送信を別個に及び/又は重なり合わない時間期間中に扱うよう構成されてよい半二重トランシーバを含んでよい。
幾つかの例証的態様では、トランシーバ396000は、例えば後述するように、Rx信号396050の受信及びTx信号396010の送信を同時に及び/又は重なり合う時間期間中に扱うよう構成されてよい全二重トランシーバを含んでよい。
幾つかの例証的態様では、送信器396300は、例えばアウトフェージング送信器、ドハティ送信器、デジタル送信器、等のうちの1つ以上の要素及び/又はコンポーネントを含んでよく、及び/又はその1つ以上の機能を実行してよい。
幾つかの例証的態様では、送信器396300は、例えば、LO信号396020をデータ信号396030、例えば要求される位相のデータと混合して位相変調信号396040を生成するミキサを含んでよい。
幾つかの例証的態様では、送信器396300は、例えば後述のように、位相変調信号396040を増幅してTx信号396010生成するよう構成されてよいPA396310を含んでよい。
幾つかの例証的態様では、送信器396300は、図396に示される一部又は全部の要素を含んでよく、及び/又は1つ以上の追加又は代替機能を実行する1つ以上の追加又は代替要素を含んでよい。例えば、送信器396300は、送信器380100(図38)の1つ以上の要素を含み、及び/又は1つ以上の機能を実行してよい。
幾つかの例証的態様では、受信器396200は、例えば後述のように、例えばRxモードでPA-LNAインターフェイス396100によりアンテナポート396150において受信したRx信号396050に基づき供給されてよいLNA入力信号396055をダウンコンバートするよう構成されてよい。
幾つかの例証的態様では、受信器396200は、例えばLNA入力信号396055を増幅し及び増幅Rx信号396057をスプリッタ396220に供給するよう構成されてよいLNA396210を含んでよい。例えば、スプリッタ396220は、増幅Rx信号396057をI Rx信号396058及びQ Rx信号396059に分けてよい。
幾つかの例証的態様では、スプリッタ396220は、ウィルキンソンスプリッタ、1対2スプリッタ、及び/又は任意の他の種類のスプリッタを含んでよい。
幾つかの例証的態様では、受信器396200は、例えば直交ハイブリッド回路396250に動作可能に結合されてよい、例えばI信号平衡ミキサ396240及び/又はQ信号平衡ミキサ396230を含んでよい。例えば、I信号平衡ミキサ396240はI Rx信号396058をスプリッタ396220から、及び第1位相、例えば0度又は任意の他の位相の位相を有するLO信号を直交ハイブリッド回路396250から受信してよく、正I信号及び負I信号を生成してよい。
幾つかの例証的態様では、Q信号平衡ミキサ396230はQ Rx信号396059をスプリッタ396220から、及び第2位相、例えば90度又は任意の他の位相の位相を有するLO信号を直交ハイブリッド回路396250から受信してよく、正Q信号及び負Q信号を生成してよい。
幾つかの例証的態様では、受信器396200は、例えば、ドライバ増幅器396260及び/又はドライバ増幅器36250を含んでよい。例えば、ドライバ増幅器36250は、負Q信号及び正Q信号を、例えばベースバンドに出力するよう構成されてよい。例えば、ドライバ増幅器36260は、負I信号及び正I信号を、例えばベースバンドに出力するよう構成されてよい。
幾つかの例証的態様では、受信器396200は、図396に示される一部又は全部の要素を含んでよく、及び/又は1つ以上の追加又は代替機能を実行する1つ以上の追加又は代替要素を含んでよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば後述のように、例えばTxモードで、例えば高インピーダンスをLNA396210の入力に適用するよう構成されてよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えばRxモードで、例えば高インピーダンスをPA396310の出力に適用するよう構成されてよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば後述のように、例えばTx信号396010のLNAへの漏れを相殺し、緩和し、減衰し、及び/又は減少させることにより、Tx信号396010のLNA396210に与える影響を相殺し、緩和し、減衰し、及び/又は減少させるよう構成されてよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば後述のように、PA396319からのTx信号396010に基づいてよい検知信号396060を供給するよう構成されてよいセンサ396130を含んでよい。例えば、センサ396130は、容量性センサを有してよい。他の態様では、センサ396130は、誘導性センサ及び/又は任意の他の種類のセンサを含んでよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば検知信号396060の位相を回転することにより、位相回転信号396070を供給する位相回転器396110を含んでよい。
幾つかの例証的態様では、位相回転器396110は、検知信号396060の位相を例えば180度だけ回転するよう構成されてよい。他の態様では、任意の他の位相回転が使用されてよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば位相回転信号396070を例えばTx信号396010の振幅に基づき増幅することにより、Tx漏れ相殺信号396080を供給するよう構成される可変ゲイン増幅器(VGA)396120を含んでよい。
幾つかの例証的態様では、PA-LNAインターフェイス396100は、例えば後述のように、例えば第1コンバイナ入力信号396085を第2コンバイナ入力信号396095と結合するよう構成されてよいコンバイナ396140を含んでよい。
幾つかの例証的態様では、例えば後述のように、第1コンバイナ入力信号396085はTx漏れ相殺信号396080を含んでよく、第2コンバイナ入力信号396085は例えばTx信号396010からLNA396210へのTx漏れ396090を含んでよい。
幾つかの例証的態様では、コンバイナ396140は、ウィルキンソン(Wilkinson)コンバイナを有してよい。他の態様では、コンバイナ396140は、任意の他の種類の2対1コンバイナを含んでよい。
幾つかの例証的態様では、位相回転器396110及び/又はVGA396210は、Tx漏れ396090の影響を相殺し、緩和し、減衰し、及び/又は低下させるよう構成されてよい、位相及び振幅を有するTx漏れ相殺信号396080を供給するよう構成されてよい。
幾つかの例証的態様では、位相回転器396110は、例えば検知信号396060の位相を例えば180度だけ回転することにより、位相回転信号396070を供給して、例えばTx漏れ相殺信号396080の結果として生じる位相がTx漏れ396090の位相と実質的に反対になるよう、構成されてよい。
幾つかの例証的態様では、VGA396120は、例えば位相回転信号396070を増幅して、結果として生じるTx漏れ相殺信号396080の振幅がTx漏れ396090の振幅に実質的に等しくなるようにすることにより、Tx漏れ相殺信号396080を供給するよう構成されてよい。
幾つかの例証的態様では、Tx漏れ396090の振幅とTx信号396010の振幅及び/又は周波数レベルとの間の関係は、例えばシミュレーションに基づき決定され及び/又は先験的に知られていてよい。例えば、Tx漏れ396090は、シミュレーションを通じて、Tx信号396010の種々の振幅及び/又は周波数レベルについて第2コンバイナ入力信号396095を観察することにより、特徴付けられてよい。
幾つかの例証的態様では、VGA396120のゲインは、Tx漏れ396090を相殺するよう設定されてよい。例えば、VGA396120のゲインは、ベースバンドコントローラ(図396に図示しない)、例えばベースバンドサブシステム110(図1)により、例えばTx信号396010の振幅及び/又は周波数レベルに基づき設定されてよい。一例では、Tx信号396010の複数の振幅及び/又は周波数レベルに対応する複数のゲイン値は、例えばルックアップテーブル(LUT)に格納されてよく、VGA396120のゲインは、例えばベースバンドコントローラにより、例えばTx信号396010の振幅及び/又は周波数に対応する複数のゲインに基づき設定されてよい。
他の態様では、VGA396120のゲインは、例えばTx信号396010に対応する任意の追加又は代替パラメータに従い設定され及び/又は制御されてよい。
幾つかの例証的態様では、コンバイナ396140は、例えばRx信号396050がTx信号の送信396010のための時間期間と少なくとも部分的に重なり合う時間期間中に受信されるべき場合に、Rx信号396050をTx漏れ相殺信号396080と結合してよい。
幾つかの例証的態様では、Rxモードで、例えば第2コンバイナ入力信号396095は、アンテナ端子396150からのRx信号396050及びTx信号396010からLNA396130へのTx漏れ396090の結合を含んでよい。
幾つかの例証的態様では、Rxモードで、例えばコンバイナ396140は、第1コンバイナ入力信号396085及び第2コンバイナ入力信号396095の和に基づき、LNA396210にLNA入力信号396055を供給するよう構成されてよい。
図4に戻ると、幾つかの例証的態様では、RF回路425は、例えば後述のように、LO I及びQ信号をRF回路1000のコンポーネント及び/又はサブシステムに例えばLO信号に基づき分配するよう構成されてよい少なくとも1つの直交LO分配網回路を含んでよい無線アーキテクチャに従い構成されてよい。
幾つかの例証的態様では、無線アーキテクチャは、例えば後述のように、例えばI信号及び/又はQ信号をLO信号に基づき生成するよう構成されてよい直交LO発生器を含んでよい。
幾つかの例証的態様では、直交LO発生器は、例えば後述のように、I信号及び/又はQ信号を、送信器及び/又は受信器の1つ以上の要素、サブシステム、回路、及び/又はコンポーネントに分配するよう構成されてよい。
幾つかの例証的態様では、直交LO発生器は、例えば後述のように、例えばI及び/又はQ信号をLO信号に基づき生成し及び分配するよう構成されてよいLO分配網を含んでよい。
幾つかの例証的態様では、LO分配網は、例えば後述のように、例えばキャリア周波数とLO信号の周波数との間の比に基づいてよいXと表記される逓倍係数に基づき、I及び/又はQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、例えば、キャリア周波数は、送信及び/又は受信されるべき1つ以上の信号を伝達するキャリア信号の周波数を含んでよい。
幾つかの例証的態様では、LO分配網は、例えば後述のように、例えばLO信号がキャリア周波数の3分の1である周波数を有する場合、逓倍係数X=3に基づき、I及び/又はQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、例えば、キャリア周波数は、例えば60GHz周波数を含んでよく、LO信号は20GHz周波数を有してよい。これらの態様によると、例えば、LO分配網は、逓倍係数X=3に基づき、I及び/又はQ信号を生成するよう構成されてよい。他の態様では、LO分配網は、任意の他の逓倍係数、任意の他のキャリア周波数、任意の他のLO信号周波数、及び/又はそれらの任意の他の組み合わせに基づきI及び/又はQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、LO分配網は、例えば後述のように、例えばLO信号の位相をシフトして位相シフト信号を提供することにより、及び位相シフト信号の位相及び周波数を逓倍係数Xに従い逓倍することにより、I及び/又はQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、LO分配網は、例えば後述のように、LO信号に、例えば逓倍係数Xに従い構成されてよいφと表記される位相シフトを適用するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、例えば、逓倍係数X及び/又は位相シフトφは、例えば90度(°)の位相シフトを有するI及びQ信号を生成するために、例えばX*φ=90度のように構成されてよい。
幾つかの例証的態様では、例えば後述のように、例えば、LO分配網は、LO信号に、φ=30°の位相シフトを適用して、30°の位相シフトを有する第1及び第2シフト信号を生成し、及び例えば周波数トリプラを用いて第1及び第2シフト信号の周波数及び位相を3倍するよう構成されてよい。他の態様では、任意の他の位相シフト及び/又は乗算器が使用されてよい。
幾つかの例証的態様では、直交LO発生器を無線アーキテクチャに実装することは、例えば位相変動の低減、例えば48~72GHzの周波数帯域に渡り2度より小さい位相変動を達成し、周波数トリプラの出力においてI及びQ信号の殆ど等しい振幅を供給し、低電力しか消費せず、及び/又は1つ以上の他の追加又は代替の技術的利点及び/又は利益を提供することにより、1つ以上の利点を提供し及び/又は1つ以上の技術的問題を解決してよい。
幾つかの例証的態様では、直交LO発生器は、例えばアップコンバージョン及び/又はダウンコンバージョン回路、サブシステム、及び/又は要素の部分として、例えばサブシステム415(図4)、シンセサイザ回路、例えばサブシステム420(図4)の部分として、及び/又は望ましい場合には任意の他のサブシステム及び/又は要素の部分として含まれてよく、及び/又はその1つ以上の動作及び/又は機能を実行してよい。
図397を参照すると、幾つかの例証的態様による、トランシーバ397000のブロック図を概略的に示す。例えば、トランシーバ397000の1つ以上の要素及び/又はコンポーネントは、トランシーバ371100(図371)の部分として実装されてよい。
幾つかの例証的態様では、例えば後述するように、トランシーバ397000は、例えば、半二重通信トランシーバを含んでよい。
幾つかの例証的態様では、トランシーバ397000は、60GHz周波数帯に渡り動作するよう構成されてよいミリメートル波トランシーバを含んでよい。他の態様では、トランシーバ397000は、任意の他の追加又は代替周波数帯で動作するよう構成される任意の他の種類のトランシーバを含んでよい。
幾つかの例証的態様では、例えば後述するように、トランシーバ397000は、例えば、LO信号397080を生成するLO397600を含んでよい。
幾つかの例証的態様では、LO397600は、例えば、水晶発振器、位相ロックループ(PLL)、注入LO(ILO)、及び/又は任意の他の種類のLOを含んでよい。
幾つかの例証的態様では、LO397600は、例えば後述のように、例えば、例えばトランシーバ397000により実施されるべきキャリア周波数の例えば分数に基づく周波数を有するLO信号397080を生成するよう構成されてよい。
幾つかの例証的態様では、LO397600は、例えば後述のように、キャリア周波数の3分の1である周波数を有するLO信号397080を生成するよう構成されてよい。
幾つかの例証的態様では、LO397600は、例えば後述のように、例えば60GHzキャリア周波数帯域の3分の1である20GHz周波数帯域にある周波数を有するLO信号397080を生成するために、例えば20GHzLOを含んでよい。他の態様では、LO397600は、任意の他のキャリア周波数に基づいてよい任意の他の周波数を有するLO信号397080を生成するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ397000は、例えば、位相シフトLO信号を例えば1つ以上の送信器及び/又は受信器コンポーネント、回路及び/又はサブシステムに分配するよう構成されてよいLO分配網回路397500を含んでよい。
幾つかの例証的態様では、例えば後述のように、LO分配網回路397500は、例えば1つ以上のTx信号、例えばTx I信号397055及び/又はTx Q信号397070を、例えばIQ送信器397300に、及び/又は1つ以上のRx信号、例えばRx I信号397025及び/又はRx Q信号397040を例えばIQ受信器397100に分配するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、LO分配網回路397500は、I信号及びQ信号の少なくとも1つの各々のペアを、LO397600からのLO信号397080に基づき生成するために少なくとも1つのIQ発生器を含んでよい。
幾つかの例証的態様では、例えば後述のように、少なくとも1つのIQ発生器は、直交LO発生器を含んでよく、その部分として実装されてよく、及び/又はその1つ以上の機能を実行してよい。
幾つかの例証的態様では、LO分配網回路397500は、例えば少なくとも1つのIQ発生器へのLO信号を駆動するよう構成されてよい例えば複数のドライバ増幅器、例えばドライバ増幅器397530、ドライバ増幅器397540、ドライバ増幅器397550、及び/又はドライバ増幅器397560を含んでよい。他の態様では、任意の他の数及び/又は構成のドライバ増幅器及び/又は任意の他の追加又は代替回路又はコンポーネントが、少なくとも1つのIQ発生器にLO信号397080を分配するために実装されてよい。
幾つかの例証的態様では、LO分配網回路397500は、第1I信号、例えばTx I信号397055、及び第1Q信号、例えばTx Q信号397070を例えばLO信号397080に基づき生成するよう構成されてよい第1IQ発生器、例えばTx IQ発生器397510、及び/又は第2I信号、例えばRx I信号397025及び第2Q信号、例えばRx Q信号397040を例えばLO信号397080に基づき生成するよう構成されてよい第2IQ発生器、例えばRX IQ発生器397520、を含んでよい。
幾つかの例証的態様では、例えば後述のように、Tx IQ発生器397510及び/又はRx IQ発生器397520は、直交LO発生器の部分として実装されてよく、及び/又はその1つ以上の機能を実行してよい。
幾つかの例証的態様では、例えば図397に示すように、LO分配網回路397500は、2つのIQ発生器、例えばTx IQ発生器397510及びRx IQ発生器397520を含んでよい。他の態様では、LO分配網回路397500は、任意の他の数のIQ発生器、例えば1つのIQ発生器、例えば1つのTx IQ発生器又は1つのRx IQ発生器、又は2つ以上のIQ発生器を含んでよい。
幾つかの例証的態様では、Tx IQ発生器397510は、例えば後述のように、1つ以上のアンテナ397325を介して送信されてよいTx信号にアップコンバートされるべきTx I信号397055及びTx Q信号397070を生成するTx IQ発生器として構成されてよい。
幾つかの例証的態様では、Rx IQ発生器397520は、例えば後述のように、例えば1つ以上のアンテナにより受信されてよいRx信号に基づき1つ以上のIF信号にダウンコンバートされるべきRx I信号397025及びRx Q信号397040を生成するRx IQ発生器として構成されてよい。
幾つかの例証的態様では、LO分配網397500のIQ発生器、例えば後述のように、例えばIQ発生器397510及び/又はRx IQ発生器397520は、例えば、第1位相シフト信号及び第2位相シフト信号を例えば第1周波数を有してよいLO信号397080に基づき生成する位相シフト回路を含んでよく、第2位相シフト信号の位相が位相シフト、例えば30°だけ又は任意の他の位相シフトだけ第1位相シフト信号の位相からシフトされ得るようにする。
幾つかの例証的態様では、Tx IQ発生器397510は、例えば、第1位相シフト信号397052及び第2位相シフト信号397072を、第1周波数、例えば20GHz周波数を有してよい例えばLO信号397080に基づき生成する位相シフト回路397512を含んでよい。例えば、第2位相シフト信号397072の位相は、例えば後述のように、第1位相シフト信号397052の位相から30°だけシフトされてよい。例えば、第1位相シフト信号397052及び/又は第2位相シフト信号397072は、例えばLO信号397080が20GHzの周波数を有するとき、20GHzの周波数を有してよい。
幾つかの例証的態様では、第1位相シフト信号397052は、例えば複数の信号(図397に図示しない)を含む差動信号を含んでよい。例えば、差動信号は、例えば後述のように、例えば第1I位相シフト信号及び第2I位相シフト信号を含んでよい。
幾つかの例証的態様では、第2位相シフト信号397072は、例えば複数の信号(図397に図示しない)を含む差動信号を含んでよい。例えば、差動信号は、例えば後述のように、例えば第1Q位相シフト信号及び第2Q位相シフト信号を含んでよい。
幾つかの例証的態様では、Rx IQ発生器397520は、例えば、第3位相シフト信号397022及び第4位相シフト信号397042を、第1周波数を有してよい例えばLO信号397080に基づき生成する位相シフト回路397522を含んでよい。例えば、第4位相シフト信号397042の位相は、例えば後述のように、第3位相シフト信号397022の位相から30°又は任意の他の位相シフトだけシフトされてよい。例えば、第3位相シフト信号397022及び/又は第4位相シフト信号397042は、例えばLO信号397080が20GHzの周波数を有するとき、20GHzの周波数を有してよい。
幾つかの例証的態様では、第1周波数はキャリア周波数の3分の1であってよい。例えば、LO信号397080、第1位相シフト信号397052、第2位相シフト信号397072、第3位相シフト信号397022、及び/又は第4位相シフト信号397042は、例えばキャリア周波数が60GHz周波数を含むとき、20GHzの周波数を有してよい。他の態様では、LO信号397080、第1位相シフト信号397052、第2位相シフト信号397072、第3位相シフト信号397022、及び/又は第4位相シフト信号397042は、任意の他の周波数、及び/又はキャリア周波数の任意の他の比を有してよい。
幾つかの例証的態様では、第3位相シフト信号397022は、例えば複数の信号(図397に図示しない)を含む差動信号を含んでよい。例えば、差動信号は、例えば後述のように、例えば第1I位相シフト信号及び第2I位相シフト信号を含んでよい。
幾つかの例証的態様では、第4位相シフト信号397042は、例えば複数の信号(図397に図示しない)を含む差動信号を含んでよい。例えば、差動信号は、例えば後述のように、例えば第1Q位相シフト信号及び第2Q位相シフト信号を含んでよい。
幾つかの例証的態様では、例えば後述のように、LO分配網397500のIQ発生器、例えばTx IQ発生器397510及び/又はRx IQ発生器397520は、IQ発生器により生成された第1位相シフト信号の位相を3倍することにより及びIQ発生器により生成された第1位相シフト信号の周波数を3倍することにより、第2周波数を有するI信号を生成する例えば第1トリプラ回路を含んでよい。
幾つかの例証的態様では、Tx IQ発生器397510は、例えば、第1位相シフト信号397052の位相を3倍し及び第1位相シフト信号397052の周波数を3倍することにより、第2周波数を有するTx I信号397055を生成する第1トリプラ回路397514を含んでよい。
幾つかの例証的態様では、Tx IQ発生器397510は、キャリア周波数、例えば60GHzに等しい周波数を有してよいTx I信号397055を生成するよう構成されてよい。例えば、Tx I信号397055は、第1位相シフト信号397052が20GHzの周波数を有するとき、60GHzの周波数を有してよい。他の態様では、Tx I信号397055は、例えば第1位相シフト信号397052の周波数の倍数に基づく任意の他のキャリア周波数を有してよい。ここで第1位相シフト信号397052の周波数は任意の他のキャリア周波数の分数であってよい。
幾つかの例証的態様では、Rx IQ発生器397520は、例えば、第3位相シフト信号397022の位相を3倍し及び第3位相シフト信号397022の周波数を3倍することにより、第2周波数を有するRx I信号397025を生成する第1トリプラ回路397524を含んでよい。例えば、Rx I信号397025は、第1位相シフト信号397052が20GHzの周波数を有するとき、60GHzの周波数を有してよい。他の態様では、Rx I信号397025は、例えば第3位相シフト信号397022の周波数の倍数に基づく任意の他のキャリア周波数を有してよい。ここで第3位相シフト信号397022の周波数は任意の他のキャリア周波数の分数であってよい。
幾つかの例証的態様では、例えば後述のように、LO分配網397500のIQ発生器、例えばTx IQ発生器397510及び/又はRx IQ発生器397520は、第2位相シフト信号の位相を3倍することにより及び第2位相シフト信号の周波数を3倍することにより、第2周波数を有するQ信号を生成する例えば第2トリプラ回路を含んでよい。
幾つかの例証的態様では、Tx IQ発生器397510は、例えば、第2位相シフト信号397072の位相を3倍し及び第2位相シフト信号397072の周波数を3倍することにより、第2周波数を有するTx Q信号397070を生成する第2トリプラ回路397516を含んでよい。例えば、Tx Q信号397070は、第2位相シフト信号397072が20GHzの周波数を有するとき、60GHzの周波数を有してよい。他の態様では、Tx Q信号397070は、例えば第2位相シフト信号397072の周波数の倍数に基づく任意の他のキャリア周波数を有してよい。ここで第2位相シフト信号397072の周波数は任意の他のキャリア周波数の分数であってよい。
幾つかの例証的態様では、Rx IQ発生器397520は、例えば、第4位相シフト信号397042の位相を3倍し及び第4位相シフト信号397042の周波数を3倍することにより、第2周波数を有するRx Q信号397040を生成する第2トリプラ回路397526を含んでよい。例えば、Rx Q信号397040は、第4位相シフト信号397042が20GHzの周波数を有するとき、60GHzの周波数を有してよい。他の態様では、Rx Q信号397040は、例えば第4位相シフト信号397042の周波数の倍数に基づく任意の他のキャリア周波数を有してよい。ここで第4位相シフト信号397042の周波数は任意の他のキャリア周波数の分数であってよい。
幾つかの例証的態様では、例えば後述のように、IQ発生器の第1トリプラ回路、例えばTx IQ発生器397510の第1トリプラ回路397514及び/又はRx IQ発生器397520の第1トリプラ回路397524は、例えばIQ発生器の第2Q位相シフト信号、例えば負Q位相シフト信号に従い、IQ発生器の位相シフト回路により生成された第1I位相シフト信号、例えば正Iシフト信号の振幅の平衡を保つ、及び/又は例えば第1Q位相シフト信号、例えば正Q位相シフト信号に従い、第2I位相シフト信号、例えば負I位相シフト信号の振幅の平衡を保つ、第1インバランス及び振幅回路(図397に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、IQ発生器の第2トリプラ回路、例えばTx IQ発生器397510の第2トリプラ回路397516及び/又はRx IQ発生器397520の第2トリプラ回路397526は、例えばIQ発生器の第2I位相シフト信号、例えば負I位相シフト信号に従い、IQ発生器の位相シフト回路により生成された第1Q位相シフト信号、例えば正Q位相シフト信号の振幅の平衡を保つ、及び/又は例えば第1I位相シフト信号、例えば負I位相シフト信号に従い、第2Q位相シフト信号、例えば負Q位相シフト信号の振幅の平衡を保つ、第2インバランス及び振幅回路(図397に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、位相シフト回路397512及び/又は位相シフト回路397522は、受動型位相シフト回路(図397に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、IQ発生器の位相シフト回路、例えばTx IQ発生器397510の位相シフト回路397512及び/又はRx IQ発生器3957520の位相シフト回路397522は、IQ発生器の第1位相シフト信号を生成する第1注入LO(injection LO)(ILO)回路(図397に図示しない)、及び/又はIQ発生器の第2位相シフト信号を生成する第2ILO回路(図397に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、IQ受信器397100は、例えば1つ以上のアンテナからの1つ以上のRx信号に基づき例えばI IF信号及び/又はQ IF信号を生成するために、Rx I信号及び/又はRx Q信号を利用するよう構成されてよい。例えば、IQ発生器397100は、例えばアンテナ397130及び/又は397140を含む例えば1つ以上のアンテナを含んでよく及び/又はそれに動作可能に結合されてよい。
幾つかの例証的態様では、アンテナ397130及び/又は397140は、例えば、少なくとも1つのフェーズドアレイアンテナ、ダイポールアンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、IQ受信器397100は、例えばRx信号、例えばRx信号397010及び/又はRx信号397011に基づき少なくとも1つの増幅Rx信号、例えば増幅器Rx信号397015及び/又は増幅Rx信号397030を生成するよう構成されてよい、例えばLNA397110及び/又はLNA397120を含む1つ以上の低雑音増幅器(LNA)を含んでよい。
幾つかの例証的態様では、例えば後述のように、IQ受信器397100は、例えばRx I信号397025に基づき増幅Rx信号397015をダウンコンバートI信号397020へとダウンコンバートし、及び/又は例えばRx Q信号397040に基づき増幅Rx信号397030をダウンコンバートQ信号397035へとダウンコンバートするよう構成されてよいRFミキサ397200を含んでよい。
幾つかの例証的態様では、Rxミキサ397200は、例えばRx I信号397025に基づき増幅Rx信号397015をダウンコンバートI信号397020へとダウンコンバートするよう構成されてよい例えば第1ミキサ、例えばIミキサ397210を含んでよい。
幾つかの例証的態様では、Rxミキサ397200は、例えばRx Q信号397040に基づき増幅Rx信号397030をダウンコンバートQ信号397035へとダウンコンバートするよう構成されてよい例えば第2ミキサ、例えばQミキサ397220を含んでよい。
幾つかの例証的態様では、IQ送信器397300は、例えば後述のように、例えば1つ以上のアンテナ397310を介して送信されるべき増幅Tx Rx信号397325を生成するよう構成されてよい。
幾つかの例証的態様では、IQ送信器397300は、1つ以上のアンテナ397310を含んでよく、及び/又はそれに結合されてよい。
幾つかの例証的態様では、アンテナ397310は、例えば、少なくとも1つのフェーズドアレイアンテナ、ダイポールアンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、例えば後述のように、IQ送信器397300は、例えばTx I信号397055に基づきIF I信号397045をアップコンバートI信号397050へとアップコンバートするよう構成されてよいTxミキサ397400を含んでよい。
幾つかの例証的態様では、例えば後述のように、Txミキサ397400は、例えばTx Q信号397070に基づきIF Q信号397060をアップコンバートQ信号397065へとアップコンバートするよう構成されてよい。
幾つかの例証的態様では、Txミキサ397400は、例えばTx I信号397055に基づきIF I信号397045をアップコンバートI信号397050へとアップコンバートするよう構成されてよい例えば第1ミキサ、例えばIミキサ397420を含んでよい。
幾つかの例証的態様では、Txミキサ397400は、例えばTx Q信号397070に基づきIF Q信号397060をアップコンバートQ信号397065へとアップコンバートするよう構成されてよい例えば第2ミキサ、例えばQミキサ397410を含んでよい。
幾つかの例証的態様では、IQ送信器397300は、アップコンバートI信号397050及びアップコンバートQ信号397065をTx信号397075へと結合するよう構成されてよい例えばコンバイナ397330を含んでよい。
幾つかの例証的態様では、IQ送信器397300は、Tx信号397075を増幅Tx信号397325へと増幅するよう構成されてよいPA397320を含んでよい。例えば、増幅Tx信号397325は、1つ以上のアンテナ397310を介して送信されてよい。
図398を参照すると、幾つかの例証的実施形態による、直交LO発生器398000を概略的に示す。
幾つかの例証的態様では、直交LO発生器398000の1つ以上のコンポーネントは、例えばI及びQ信号を例えば送信器、例えばIQ送信器397300(図397)及び/又は受信器、例えばIQ受信器397100(図397)に供給する例えばLO分配網、例えばLO分配網397500(図397)の部分として実装されてよい。
幾つかの態様では、ここに記載される直交LO発生器は、図3Aに示されるmmWave通信回路300の送信回路315(図3B)内の1つ以上の回路(例えば、アップコンバージョン回路350)に組み込まれ得る。
幾つかの例証的態様では、直交LO発生器398000の1つ以上のコンポーネント、サブシステム、及び/又は回路は、例えばTx IQ発生器、例えばTx IQ発生器397510(図397)の部分として、及び/又はRx IQ発生器、例えばRx IQ発生器397520(図397)の部分として、実装されてよい。
幾つかの例証的態様では、直交LO発生器398000は、例えば後述のように、例えばLO398100により供給されてよいLO信号398010及び/又はLO信号398020に基づきI及びQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、直交LO発生器398000は、例えば後述のように、例えばLO信号398010及び/又はLO信号398020に基づき、第1Iシフト信号398030及び/又は第2Iシフト信号398040を生成するよう構成されてよいILO398200を含んでよい。
幾つかの例証的態様では、ILO398200は、例えばインダクタ(L)-キャパシタ(LC)ブロック及び例えばトランジスタ398230、398240、398250及び/又は398260を含む複数のトランジスタの形式で、例えば制御可能な共振サブシステム398205を含んでよい。例えば、LO398100は、第1LO信号398010をトランジスタ398250に、及び/又は第2LO信号398020をトランジスタ398260に供給してよい。
幾つかの例証的態様では、トランジスタ398230、398240、398250及び/又は398260は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、LO信号398020は、LO信号398010と位相がずれていてよい。例えば、LO信号398010は20GHz周波数及び+30°の位相を有してよく、及び/又はLO信号398020は20GHz周波数及び-30°の位相を有してよい。他の態様では、他の周波数及び/又は他の位相シフトが使用されてよい。
幾つかの例証的態様では、トランジスタ398230及びトランジスタ398240は、制御可能な共振サブシステム398205を所望の周波数、例えば20GHzで共振させるよう構成されてよい。例えば、制御可能な共振サブシステム398205は、それぞれLO信号398010及び/又はLO信号398020に基づき、第1Iシフト信号398030及び/又は第2Iシフト信号398040を生成してよい。例えば、第2Iシフト信号398040は、第1Iシフト信号398030と位相がずれていてよい。
幾つかの例証的態様では、例えば後述のように、制御可能な共振サブシステム398205は、例えば制御信号398050に従い、第1Iシフト信号398030及び/又は第2Iシフト信号398040を制御可能に生成してよい。
幾つかの例証的態様では、制御信号398050は、例えばコントローラ398800、例えばベースバンドコントローラ及び/又は任意の他のコントローラにより供給されてよい。
幾つかの例証的態様では、制御信号398050は、第1Iシフト信号398030及び/又は第2Iシフト信号398040の位相をシフトするよう例えば制御可能な共振サブシステム398205を制御してよい。例えば、制御信号398050は、例えば7ビットキャパシタデジタルアナログ変換器(Capacitors-Digital-to-Analog-Convertor)(CAPDAC)制御及び/又は任意の他の制御データを有してよい。
幾つかの例証的態様では、直交LO発生器398000は、例えば後述のように、例えばLO信号398010及び/又はLO信号398020に基づき、第1Qシフト信号398060及び/又は第2Qシフト信号398070を生成するよう構成されてよいILO398300を含んでよい。
幾つかの例証的態様では、ILO398300は、例えばLCブロック及び例えばトランジスタ398330、398340、398350及び/又は398360を含む複数のトランジスタの形式で、例えば制御可能な共振サブシステム398305を含んでよい。例えば、LO398100は、第1LO信号398010をトランジスタ398350に、及び/又は第2LO信号398020をトランジスタ398360に供給してよい。
幾つかの例証的態様では、トランジスタ398330、398340、398350及び/又は398360は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、トランジスタ398330及びトランジスタ398340は、制御可能な共振サブシステム398305を所望の周波数、例えば20GHzで共振させるよう構成されてよい。例えば、制御可能な共振サブシステム398305は、それぞれLO信号398010及び/又はLO信号398020に基づき、第1Qシフト信号398060及び/又は第2Qシフト信号398070を生成してよい。例えば、第2Qシフト信号398070は、第1Qシフト信号398060と位相がずれていてよい。
幾つかの例証的態様では、例えば後述のように、制御可能な共振サブシステム398305は、例えば制御信号398080に従い、第1Qシフト信号398060及び/又は第2Qシフト信号398070を制御可能に生成してよい。
幾つかの例証的態様では、制御信号398080は、例えばコントローラ398800、例えばベースバンドコントローラ及び/又は任意の他のコントローラにより供給されてよい。
幾つかの例証的態様では、制御信号398080は、第1Qシフト信号398060及び/又は第2Qシフト信号398070の位相をシフトするよう例えば制御可能な共振サブシステム398305を制御してよい。例えば、制御信号398080は、例えば7ビットCAPDAC制御及び/又は任意の他の制御データを有してよい。
幾つかの例証的態様では、例えば7ビットCAPDAC制御及び/又は任意の他の制御方式に従い制御されてよい制御可能な共振サブシステム、例えば共振サブシステム398205及び/又は共振サブシステム398305、例えばLCブロックに20GHz ILOを実装することは、例えば受動型位相シフタと比べて、位相シフト調整に対するさらなる及び/又は向上した制御を提供してよい。追加又は代替として、ILO398200及び/又は398300の動作中の特性は、例えば受動型位相シフタと比べて、より多くのゲインを保証し得る。
幾つかの例証的態様では、直交LO発生器398000は、例えば後述のように、第1Iシフト信号398030及び/又は第2Iシフト信号398040の位相及び/又は周波数を3倍するよう構成されてよいトリプラ398400を含んでよい。例えば、トリプラ398400は、例えば第1Iシフト信号398030を直列の負荷398270及びキャパシタ398280を通じて受信してよく、第1Iシフト信号398030の位相及び/又は周波数を3倍してよい。例えば、トリプラ398400は、例えば第2Iシフト信号398040を直列の負荷398275及びキャパシタ398285を通じて受信してよく、第2Iシフト信号398040の位相及び/又は周波数を3倍してよい。
幾つかの例証的態様では、トリプラ398400は、例えばコモンソース構成で電流源398470に結合されてよい例えばトランジスタ398430及びトランジスタ398450を含んでよい。例えば、電流源398470は、予め定義された電流、例えば1.2ミリアンペア(mA)又は任意の他の電流を、必要な場合にトランジスタ398430及びトランジスタ398450のソースに供給してよい。
幾つかの例証的態様では、トランジスタ398440は第1Iシフト信号398030をトランジスタ398430のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、トランジスタ398460は第2Iシフト信号398040をトランジスタ398450のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、トリプラ398400は、例えば、キャパシタ398420及び/又は変圧器398410を含んでよい。例えば、キャパシタ398420は、例えばトリプラ398400が例えばトランジスタ398430及びトランジスタ39845と組み合わされて、第1Iシフト信号398030及び/又は第2Iシフト信号398040の位相及び振幅を3倍するとき、変圧器398410と共振するよう構成されてよい。
幾つかの例証的態様では、トランジスタ398430、398440、398450及び398460は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、トリプラ398400は、3倍正I信号及び3倍負I信号をミキサ398700に供給してよい。
幾つかの例証的態様では、直交LO発生器398000は、例えば後述のように、第1Qシフト信号398060及び/又は第2Qシフト信号398070の位相及び/又は周波数を3倍するよう構成されてよいトリプラ398500を含んでよい。例えば、トリプラ398500は、例えば第1Qシフト信号398060を直列の負荷398375及びキャパシタ398385を通じて受信してよく、第1Qシフト信号398060の位相及び/又は周波数を3倍してよい。例えば、トリプラ398500は、例えば第2Qシフト信号398070を直列の負荷398375及びキャパシタ398385を通じて受信してよく、第2Qシフト信号398070の位相及び/又は周波数を3倍してよい。
幾つかの例証的態様では、トリプラ398500は、例えばコモンソース構成で電流源398570に結合されてよい例えばトランジスタ398530及びトランジスタ398550を含んでよい。例えば、電流源398470は、予め定義された電流、例えば1.2mA又は任意の他の電流を、必要な場合にトランジスタ398430及びトランジスタ398450のソースに供給してよい。
幾つかの例証的態様では、トランジスタ398540は第1Qシフト信号398060をトランジスタ398530のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、トランジスタ398560は第2Qシフト信号398070をトランジスタ398550のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、トリプラ398500は、例えば、キャパシタ398520及び/又は変圧器398510を含んでよい。例えば、キャパシタ398520は、変圧器398510と共振するよう構成されてよい。例えば、トリプラ398400は、例えばトランジスタ398430及びトランジスタ398450と組み合わされて、第1Qシフト信号398060及び/又は第2Qシフト信号398070の位相及び振幅を3倍してよい。
幾つかの例証的態様では、トランジスタ398530、398540、398550及び398560は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、トリプラ398500は、3倍正Q信号及び3倍負Q信号をミキサ398600に供給してよい。
幾つかの例証的態様では、直交LO発生器、例えば直交LO発生器398000は、周波数トリプラ、例えばトリプラ398400及びトリプラ398500の並列接続を実装しており、例えば直列の周波数トリプラと比べて、より広いロッキングレンジを提供してよい。
幾つかの例証的態様では、直交LO発生器、例えば直交LO発生器398000は、ILO398200及びILO398300を実装しており、例えば受動型LO発生器と比べて、位相調整に対するより多くの制御を提供してよく、少ない振幅インバランスしか有さず、及び/又は60GHz周辺のより広いロッキングレンジ有してよい。
図399を参照すると、幾つかの例証的態様による、受動型直交LO発生器399000を概略的に示す。
幾つかの例証的態様では、受動型直交LO発生器399000は、例えば後述のように、第1LO信号399010及び第2LO信号399020の位相を、予め定義された位相シフト、例えば90°位相シフト又は任意の他の位相シフトだけシフトするよう構成されてよい。
幾つかの例証的態様では、受動型直交LO発生器399000の1つ以上のコンポーネントは、例えばI及びQ信号を例えば送信器、例えば送信器397300及び/又は受信器、例えば受信器397100(図397)に供給する例えばLO分配網、例えばLO分配網397500(図397)の部分として実装されてよい。
幾つかの例証的態様では、受動型直交LO発生器399000の1つ以上のコンポーネント、サブシステム、及び/又は回路は、例えばTx IQ発生器、例えばTx IQ発生器397510(図397)の部分として、及び/又はRx IQ発生器、例えばRx IQ発生器397520(図397)の部分として、実装されてよい。
幾つかの例証的態様では、受動型直交LO発生器399000は、例えば後述のように、例えばLO399100により供給されてよいLO信号399010及び/又はLO信号399020に基づきI及びQ信号を生成するよう構成されてよい。
幾つかの例証的態様では、LO399100は、例えば後述のように、LO信号399010及び/又はLO信号399020を生成するよう構成されてよい。
幾つかの例証的態様では、LO信号399020は、LO信号399010と位相がずれていてよい。例えば、LO信号399010は20GHz周波数及び+0°の位相を有してよく、及び/又はLO信号399020は20GHz周波数及び90°の位相を有してよい。他の態様では、他の周波数及び/又は他の位相シフトが使用されてよい。
幾つかの例証的態様では、受動型直交LO発生器399000は、LO信号399010の位相及び/又はLO信号399020の位相を例えば30°だけシフトするよう構成されてよい位相シフタ399200を含んでよい。他の態様では、位相シフタ399200は、必要な場合にはLO信号399010の位相及び/又はLO信号399020の位相を任意の他の位相にシフトするよう構成されてよい。
幾つかの例証的態様では、位相シフタ399200は、例えば第1Iシフト信号399050、例えば正Iシフト信号、及び/又は第2Iシフト信号399060、例えば負Iシフト信号を、例えば第1LO信号399010に基づき生成するよう構成されてよい。
幾つかの例証的態様では、位相シフタ399200は、例えば後述のように、例えば第1Qシフト信号399040、例えば正Qシフト信号、及び/又は第2Qシフト信号399030、例えば負Qシフト信号を、例えば第2LO信号399020に基づき生成するよう構成されてよい。
幾つかの例証的態様では、位相シフタ399200は、例えば後述のように、例えば第1Iシフト信号399050、第2Iシフト信号398040、第1Qシフト信号399040、及び/又は第2Qシフト信号399030を生成するよう構成されてよい受動型インダクタ-抵抗器-キャパシタ(LRC)回路399205を含んでよい。
幾つかの例証的態様では、LRC回路399205は、例えばインダクタンスLを有するインダクタ399210、例えばインダクタンスLを有するインダクタ399220、例えばキャパシタンスCを有するキャパシタ399230、例えばキャパシタンスCを有するキャパシタ399240、抵抗値2Rを有する抵抗器399250、及び/又は抵抗値2Rを有する抵抗器399260の構成を含んでよい。例えば、LRC回路399205の構成は、例えば後述のように、例えば第1Iシフト信号399050、第2Iシフト信号398040、第1Qシフト信号399040、及び/又は第2Qシフト信号399030を、例えば予め定義された位相シフト、例えば30°位相シフト又は任意の他の位相シフトで生成するよう構成されてよい。
幾つかの例証的態様では、インダクタ399210、キャパシタ399240、及び/又は抵抗器399250は、例えば第1Iシフト信号399050及び/又は第2Qシフト信号399030を、例えばLO信号399010に基づき供給するよう構成されてよい。
幾つかの例証的態様では、インダクタ399220、キャパシタ399230、及び/又は抵抗器399260は、例えば第1Qシフト信号399040及び/又は第2Iシフト信号399060を、例えばLO信号399020に基づき供給するよう構成されてよい。
幾つかの例証的態様では、位相シフタにより適用される位相シフトは、例えばLRC回路399025の最大又はピークエネルギーに関連してよい例えばQと表記される品質(Q)係数に基づいてよい。例えば、Q係数がQ=1の場合、90°の位相シフトが適用されてよく、及び/又はQ係数がQ=0.25の場合、30°の位相シフトが適用されてよい。例えば、LO信号399010及び/又はLO信号399020の位相は、例えば以下の通り決定されてよい例えばQ係数に基づき構成されてよい。
Q=√(L/C)/R (8)
ω=1/√(LC) (9)
Q=√(L/C)/2R=0.25 (10)
ここで、Qは品質係数を表し、Lはインダクタンスを表し、Cはキャパシタンスを表し、Rは抵抗値を表し、ωは各振動数を表す。
幾つかの例証的態様では、直交LO生成器399000の入力インピーダンスは、抵抗値Rを例えば50オームのインピーダンスに設定することにより、例えば50オームのインピーダンス又は任意の他のインピーダンスに構成されてよい。例えば、位相シフタ399200の入力インピーダンスは、50オームのインピーダンス又はそれより高いインピーダンスのために設計されてよい。
幾つかの例証的態様では、位相シフタ399200は、例えば50オームのインピーダンスを有する60GHz直交生成器のための30°位相シフタを含んでよい。一例では、30°位相シフタは、48~72GHzの周波数帯域に渡り2°より少ない位相変動を達成してよい。例えば、位相シフタ399200のI及びQ信号の間の振幅インバランスは、例えば後述のように、トリプラの入力で例えば0.3dBmであってよい。
幾つかの例証的態様では、受動型直交LO発生器399000は、例えば後述のように、第2Qシフト信号399030及び/又は第1Qシフト信号399040の位相及び/又は周波数を3倍するよう構成されてよいトリプラ399300を含んでよい。例えば、トリプラ399300は、第1Qシフト信号399040をトランジスタ399370のゲートで、及び第2Qシフト信号399030をトランジスタ399360のゲートで受信してよい。
幾つかの例証的態様では、トランジスタ399360は、例えば第2Qシフト信号399030に従い発振するよう構成されてよい。
幾つかの例証的態様では、トランジスタ399370は、例えば第1Qシフト信号399040に従い発振するよう構成されてよい。
幾つかの例証的態様では、トリプラ399300は、トランジスタ399360から第2Qシフト信号399030を受信し及び第2Qシフト信号399030の位相及び周波数を3倍するよう構成されてよいトランジスタ399330を含んでよい。
幾つかの例証的態様では、トリプラ399300は、第1Qシフト信号399040を受信し及び第1Qシフト信号399040の位相及び周波数を3倍するよう構成されてよいトランジスタ399350を含んでよい。
幾つかの例証的態様では、トリプラ399300は、例えば60GHzの周波数及び例えば90°の位相でキャパシタ399320と共振するよう構成されてよい変圧器399310を含んでよい。他の態様では、共振周波数は任意の他の周波数に設定されてよい。例えば、トランジスタ399370及び/又はトランジスタ399350は、第1Qシフト信号の位相及び周波数を3倍してよく、及び/又はトランジスタ399360及び/又はトランジスタ399330は、共振周波数に従い、第2Qシフト信号399030の位相及び周波数を3倍してよい。
幾つかの例証的態様では、トリプラ399300は、例えば後述のように、第2Qシフト信号399030及び/又は第1Iシフト信号399050の振幅の間の平衡を保つよう構成されてよいインバランス及び振幅回路399390を含んでよい。
幾つかの例証的態様では、インバランス及び振幅回路399390は、第2Qシフト信号399030と第1Iシフト信号399050との間の位相及び振幅インバランスの平衡を保つよう構成されてよいトランジスタ399340、例えば結合トランジスタM5又は任意の他のトランジスタを含んでよい。
幾つかの例証的態様では、トリプラ回路399300は、例えば後述のように、第1Qシフト信号399040及び第2Iシフト信号399060の振幅の間の平衡を保つよう構成されてよいインバランス及び振幅回路399395を含んでよい。
幾つかの例証的態様では、インバランス及び振幅回路399395は、第1Qシフト信号399040と第2Iシフト信号399060との間の位相及び振幅インバランスの平衡を保つよう構成されてよいトランジスタ399380、例えば結合トランジスタM6又は任意の他のトランジスタを含んでよい。
幾つかの例証的態様では、トランジスタ399330、399340、399350、398360、399380及び/又は399390は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、IQ発生器399000は、第1の3倍Q信号399070、例えば正3倍Q信号を第2の3倍Q信号399075、例えば負3倍Q信号と混合して、例えばQシフト信号399510を供給するよう構成されてよいミキサ399500を含んでよい。
幾つかの例証的態様では、受動型直交LO発生器399000は、例えば後述のように、第1Iシフト信号399050及び/又は第2Iシフト信号399060の位相及び/又は周波数を3倍するよう構成されてよいトリプラ399400を含んでよい。例えば、トリプラ399400は、第1Iシフト信号399050をトランジスタ399460のゲートで、及び第2Iシフト信号399060をトランジスタ399470のゲートで受信してよい。
幾つかの例証的態様では、トランジスタ399460は、例えば第1Iシフト信号399050に従い発振するよう構成されてよい。
幾つかの例証的態様では、トランジスタ399470は、例えば第2Iシフト信号399060に従い発振するよう構成されてよい。
幾つかの例証的態様では、トリプラ399400は、トランジスタ399460から第1Iシフト信号399050を受信し及び第1Iシフト信号399050の位相及び周波数を3倍するよう構成されてよいトランジスタ399430を含んでよい。
幾つかの例証的態様では、トリプラ399400は、第2Iシフト信号399060を受信し及び第2Iシフト信号399060の位相及び周波数を3倍するよう構成されてよいトランジスタ399450を含んでよい。
幾つかの例証的態様では、例えば後述のように、トリプラ399400は、例えば60GHzの周波数及び例えば90°の位相でキャパシタ399420と共振するよう構成されてよい変圧器399410を含んでよい。幾つかの他の態様では、共振周波数は任意の他の周波数に設定されてよい。例えば、トランジスタ399460及び/又はトランジスタ399470は、共振周波数に従い、第1Iシフト信号399050及び/又は第2Iシフト信号399060の位相及び周波数を3倍してよい。
幾つかの例証的態様では、トリプラ399400は、例えば後述のように、第2Qシフト信号399030及び/又は第1Iシフト信号399050の振幅の間の平衡を保つよう構成されてよいインバランス及び振幅回路399490を含んでよい。
幾つかの例証的態様では、インバランス及び振幅回路399490は、第2Qシフト信号399030と第1Iシフト信号399050との間の位相及び振幅インバランスの平衡を保つよう構成されてよいトランジスタ399440、例えば結合トランジスタM5又は任意の他のトランジスタを含んでよい。
幾つかの例証的態様では、トリプラ回路399400は、例えば後述のように、第1Qシフト信号399040及び第2Iシフト信号399060の振幅の間の平衡を保つよう構成されてよいインバランス及び振幅回路399495を含んでよい。
幾つかの例証的態様では、インバランス及び振幅回路399495は、第1Qシフト信号399040と第2Iシフト信号399060との間の位相及び振幅インバランスの平衡を保つよう構成されてよいトランジスタ399480、例えば結合トランジスタM6又は任意の他のトランジスタを含んでよい。
幾つかの例証的態様では、トランジスタ399430、399440、399450、399460、399480及び/又は399490は、FET、BJT、及び/又は任意の他の種類のトランジスタを含んでよい。
幾つかの例証的態様では、IQ発生器399000は、第1の3倍I信号399085、例えば正3倍I信号を第2の3倍I信号399080、例えば負3倍I信号と混合して、例えばIシフト信号399610を供給するよう構成されてよいミキサ399600を含んでよい。
有利なことに、トリプラ399300及び399400は、トリプラ399300及び399400の出力においてI及びQ信号の実質的に等しい振幅を提供してよい。
図4に戻ると、幾つかの例証的態様では、例えば後述のように、RF回路425は、無線アーキテクチャに従い構成されてよく、第1チャネルからのRF信号を第2チャネルからのRF信号と共に広帯域RF信号へと増幅するよう構成されてよい少なくとも1つの二重チャネル広帯域増幅器を含んでよい。
幾つかの例証的態様では、例えば後述のように、無線アーキテクチャは、例えば1つ以上のチャネル結合及び/又はチャネルアグリゲーション技術に従い複数のチャネルをサポートするよう、例えば2つ以上のチャネルに渡る同時通信をサポートするよう構成されてよい例えば広帯域トランシーバを含んでよい。
幾つかの例証的態様では、広帯域トランシーバは、1つ以上の無線チャネルを介してRF信号を送信するよう構成されてよい。例えば、無線媒体は、周波数帯域、例えば60GHz帯域、2.4GHz帯域、5GHz帯域、等に関して定められてよい。
幾つかの例証的態様では、例えば後述のように、周波数帯域は、予め定義されたチャネル帯域幅、例えば2.4GHz又は5GHz帯域の中の例えば20メガヘルツ(MHz)又は40MHz、例えば60GHz帯域の中の2.16GHz、4.32GHz、6.48GHz又は8.64GHz、及び/又は任意の他の帯域幅を有する1つ以上のチャネルに分けられてよい。
幾つかの例証的態様では、1つ以上のチャネル結合及び/又はチャネルアグリゲーション技術は、例えばより広いチャネル帯域幅を提供するために使用されてよい。
幾つかの例証的態様では、例えば、2.4GHz又は5GHz周波数帯域では、チャネル結合は、例えば2つの20MHzチャネルを1つの50MHzチャネルに、2つの40MHzチャネルを1つの80MHzチャネルに、2つの80MHzチャネルを1つの160MHzチャネルに、及び/又は任意の他のチャネル帯域幅の任意の他の数のチャネルに結合し及び/又は組み合わせることにより、データ伝送を向上してよい。
幾つかの例証的態様では、例えば、45GHzのチャネル周波数より上の指向性マルチギガビット(Directional Multi Gigabit)(DMG)周波数帯域、例えば60GHz周波数帯域では、1つ以上のメカニズムが、2つ以上のチャネル、例えば2つ以上の2.16GHzチャネルを含む1つ以上のチャネル帯域幅(BW)(「広チャネル(wide channel)」、「EDMGチャネル」、又は「結合チャネル」としても表される)を介する通信をサポートするよう実装されてよい。
幾つかの例証的態様では、チャネル結合メカニズムは、例えば、2つ以上のチャネル、例えば2.16GHzチャネルが例えばより高い帯域幅のパケット送信のために結合されて、例えば単一チャネルを介する送信と比べてより高いデータレートを達成可能にするメカニズム及び/又は動作を含んでよい。
幾つかの例証的態様が2つ以上の2.16GHzチャネルを含むチャネルBWを介する通信に関してここに記載された。しかしならが、他の態様は、任意の他の数の2つ以上のチャネル、例えば2つ以上のチャネルのアグリゲーションを含む集約されたチャネルを含む又はそれらにより形成されるチャネル帯域幅、例えば「広」チャネルを介する通信に関して実装されてよい。
幾つかの例証的態様では、1つ以上のチャネル結合メカニズムは、例えばチャネル帯域幅の増大、例えば4.32GHzのチャネルBW、6.48GHzのチャネルBW、8.64GHzのチャネルBW、及び/又は任意の他の追加又は代替チャネルBWをサポートするよう実装されてよい。
幾つかの例証的態様では、広帯域トランシーバは、例えば2つ以上の異なるネットワークにより2つ以上の異なるチャネルを介する通信をサポートするよう構成されてよい。
一例では、幾つかの無線ネットワークは、第1チャネル帯域幅、例えば20MHzチャネル帯域幅を占有するよう構成されてよく、及び/又は幾つかの他の無線ネットワークは、第2チャネル帯域幅、例えば40MHzチャネル帯域幅、又は別のより広いチャネル帯域幅を占有するよう構成されてよい。
一例では、幾つかの無線ネットワークは、第1チャネル帯域幅、例えば2.16GHzチャネル帯域幅を占有するよう構成されてよく、及び/又は幾つかの他の無線ネットワークは、第2チャネル帯域幅、例えば4.32GHzチャネル帯域幅、又は別のより広いチャネル帯域幅を占有するよう構成されてよい。
幾つかの例証的態様では、例えば平坦な周波数応答を達成するよう構成され得る、したがって例えば電力損失を補償するためにより多くの電力を引き出し得る例えば低Q整合ネットワークを有する1つ以上の増幅器を含む広帯域送信器を実装することは効率的ではないことがある。例えば、単一チャネルで動作するとき、増幅器は、例えば帯域幅の一部が使用されないことがあるために、電力効率が悪いことがある。
幾つかの例証的態様では、広帯域帯域幅に渡る送信のために構成されてよい広帯域送信器は、例えば後述のように、広帯域帯域幅の中の2つ以上の異なる帯域幅に渡り送信されるべき信号を増幅するよう構成されてよい広帯域PAを含んでよい。
幾つかの例証的態様では、広帯域PAは、例えば後述のように、広帯域帯域幅内の2つ以上のそれぞれ異なる帯域幅で送信されるべき信号を増幅するよう構成されてよい2つ以上のPAを含んでよい。
幾つかの例証的態様では、広帯域PAは、例えば後述のように、2つ以上の帯域幅を含む広帯域帯域幅に渡り送信されるべき広帯域信号を増幅するために、2つ以上のPAを例えば組み合わせて利用するよう構成されてよい。例えば、2つ以上のPAは、例えば広帯域RF信号を送信するとき、平坦な高ゲイン広帯域応答を生成するよう構成されてよい。
幾つかの例証的態様では、広帯域PAは、例えば後述のように、広帯域帯域幅より狭い帯域幅に渡り送信されるべき信号を増幅するために、2つ以上のPAのうちの一部のみを選択的に利用するよう構成されてよい。
幾つかの例証的態様では、例えばここに記載されたような2つ以上のPAを含む広帯域PAを実装することは、例えばPAのうちの少なくとも1つのPAがオフにされ、且つ少なくとも1つの他のPAが広帯域周波数チャネルの一部を介してRF信号を送信するために使用されるとき、例えば広帯域PAの全体の電力消費を削減することを可能にし得る。
幾つかの例証的態様では、広帯域PAは、例えば後述のように、入力RF信号をPAのうちの1つ以上に選択的に結合する選択的ネットワーク電力コンバイナ、及び/又は出力RF信号をPAのうちの1つ以上に選択的に結合する選択的ネットワーク電力スプリッタを含んでよい。
幾つかの例証的態様では、例えば後述のように、選択的ネットワーク電力コンバイナは変圧器(「コンバイナ変圧器」)を含んでよく又はそれにより実装されてよく、選択的ネットワーク電力スプリッタは変圧器(「スプリッタ変圧器」)を含んでよく又はそれにより実装されてよい。他の態様では、選択的ネットワーク電力コンバイナは任意の他のコンバイナにより実装されてよく、及び/又は選択的ネットワーク電力スプリッタは任意の他のスプリッタにより実装されてよい。
幾つかの例証的態様では、例えば後述のように、スプリッタ変圧器は入力RF信号を2つ以上のPAに選択的にそれぞれ結合する2つ以上の部分を含んでよく、及び/又はコンバイナ変圧器は出力RF信号を2つ以上のPAから選択的にそれぞれ結合する2つ以上の部分を含んでよい。
幾つかの例証的態様では、例えば後述のように、コンバイナ変圧器の部分は、例えば後述のように、該部分に結合されるべきそれぞれのPAの動作周波数及び/又は帯域幅に基づいてよい物理的構造及び/又はサイズを有するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、スプリッタ変圧器の部分は、例えば後述のように、該部分に結合されるべきそれぞれのPAの動作周波数及び/又は帯域幅に基づいてよい物理的構造及び/又はサイズを有するよう構成されてよい。
幾つかの例証的態様では、例えば変圧器の部分、例えばコンバイナ変圧器の部分及び/又はスプリッタ変圧器の部分のサイズは、該部分に結合されるべきPAの周波数に比例するよう構成されてよい。例えば変圧器の第1部分、例えばコンバイナ変圧器の第1部分及び/又はスプリッタ変圧器の第1部分は、第1PAの第1周波数のために構成された第1サイズを有してよく、及び/又は変圧器の第2部分、例えばコンバイナ変圧器の第2部分及び/又はスプリッタ変圧器の第2部分は、第2PAの第2周波数のために構成された第2サイズを有してよい。例えば、例えば第2周波数が第1周波数より高いとき、第2サイズは第1サイズより大きくてよい。
幾つかの例証的態様では、例えば後述のように、スプリッタ変圧器及び/又はコンバイナ変圧器の異なる部分のために異なるサイズを実装することは、例えば広帯域PAのチップ面積を縮小することを可能にし得る。
幾つかの例証的態様では、例えば後述のように、例えばここに記載のように複数のPAを有する広帯域PAを実装することは、例えば利用される帯域幅に基づき例えば複数のPAのうちの1つ以上のPAの選択的切換を可能にすることにより、例えば電力消費の削減を達成し、線形性を向上し、及び/又は効率を向上することにより、1つ以上の利点を提供し及び/又は1つ以上の技術的問題を解決してよい。
幾つかの例証的態様では、望ましい場合には、広帯域PAは、例えばサブシステム1035(図10)及び/又は任意の他のサブシステム及び/又は要素の部分のような無線チェーン回路の部分として含まれてよく、及び/又はをの1つ以上の動作及び/又は機能を実行してよい。
図400を参照すると、幾つかの例証的態様による、送信器400000のブロック図を概略的に示す。
幾つかの例証的態様では、送信器400000は、例えば後述のように、広帯域RF信号及び/又は高周波数RF信号を、例えば60GHz周波数帯域及び/又は任意の他の周波数帯域で送信するよう構成されてよい。
幾つかの例証的態様では、送信器400000は、例えば1つ以上のアンテナ400100に動作可能に結合されてよく及び/又はそれを含んでよい。例えば、1つ以上のアンテナ400100は、フェーズドアレイアンテナ、ダイポールアンテナ、内部アンテナ、等を含んでよい。他の態様では、他の異なる種類のアンテナが使用されてよい。
幾つかの例証的態様では、送信器400000は、例えば後述のように、例えば1つ以上のアンテナ400100を介して送信されてよい広帯域RF信号を増幅するよう構成されてよい広帯域増幅器400200を含んでよい。
幾つかの例証的態様では、送信器400000は、IF入力信号400310を生成するよう構成されてよいベースバンド回路400300を含んでよい。
幾つかの例証的態様では、送信器400000は、例えばIF入力信号400310をRF入力信号400410へとアップコンバートすることにより例えばRF入力信号400410を生成するよう構成されてよいRF回路400400を含んでよい。例えば、RF入力信号400410は、送信のために使用されるべきチャネルの周波数帯域幅に従い構成されてよい。
幾つかの例証的態様では、ベースバンド回路400300は、例えばRFケーブル400500によりRF回路400400に動作可能に結合されてよい。例えば、RFケーブル400500は、同軸ケーブル等を含んでよい。他の態様では、他の種類のRFケーブル、コネクタ、及び/又はインターフェイスが使用されてよい。他の態様では、任意の他の追加又は代替要素及び/又はサブシステムが、ベースバンド回路400300とRF回路400400との間を結合するために実装されてよい。
幾つかの例証的態様では、例えば、送信器400000は、例えば図404を参照して後述するように、ベースバンド400300と複数のRF回路要素400400との間を動作可能に結合する1つ以上のスイッチを含んでよい。
幾つかの例証的態様では、広帯域増幅器400200は、例えば後述のように、RF信号400410を増幅するよう構成されてよい。
幾つかの例証的態様では、広帯域増幅器400200は、例えば後述のように、60GHz周波数帯域の中のRF信号400410を増幅するよう構成される60GHz増幅器を含んでよい。他の態様では、広帯域増幅器400200は、任意の他の追加又は代替周波数帯域のために構成されてよい。
幾つかの例証的態様では、広帯域増幅器400200は、例えば後述のように、RF入力信号400410を高帯域周波数及び低帯域周波数へと分け、高帯域周波数を高帯域増幅器により増幅し、低帯域周波数を低帯域増幅器により増幅し、及び高帯域増幅信号を低帯域増幅信号と共に広帯域増幅信号へと結合することにより、例えば広帯域周波数に渡り例えばRF入力信号400410を増幅するよう構成されてよい。
幾つかの例証的態様では、広帯域増幅器回路400200は、RF入力信号400410をそれぞれ複数の周波数帯域に渡る複数の信号へと分けるよう構成されてよいスプリッタ400210を含んでよい。例えば、複数の信号は、例えば後述のように、第1及び第2のそれぞれの周波数帯域に渡る少なくとも第1及び第2信号を含んでよい。例えば、スプリッタ400210は、RF入力信号400410を、例えば高周波数帯域に渡る高周波数帯域信号400220及び/又は低周波数帯域に渡る低周波数帯域信号400230に分けるよう構成されてよい。
幾つかの例証的態様では、高周波数帯域は、例えば、5G周波数帯域の1つ以上の第1チャネルを含む周波数帯域、及び/又は5G周波数帯域の1つ以上の第2チャネルを含む周波数帯域を含んでよい。一例では、1つ以上の第1チャネル及び/又は1つ以上の第2チャネルは、1つ以上の500MHzチャネル及び/又は任意の他の追加又は代替チャネルを含んでよい。一例では、5G周波数帯域は、例えば37~43.5GHzの周波数帯域、24.5~39.5GHzの周波数帯域、又は任意の他の周波数帯域を含んでよい。他の態様では、任意の他の追加又は代替周波数帯域が実装されてよい。
幾つかの例証的態様が、2つの周波数帯域、例えば高周波数帯域及び/又は低周波数帯域を実装する広帯域増幅器に関してここに記載された。他の態様では、広帯域増幅器は、任意の他の数の周波数帯域、例えば少なくとも3つの周波数帯域を実装してよい。
幾つかの例証的態様では、スプリッタ400210は、例えば抵抗性スプリッタ、ハイブリッドスプリッタ、トランジスタにより実装されるスプリッタ、ウィルキンソンスプリッタ、及び/又は任意の他の種類のスプリッタを含んでよい。
幾つかの例証的態様では、スプリッタ400210は、例えばRF入力信号400410を変圧器400215の第1部分400218で受信して、例えば変圧器400215の第2部分400212により低周波数帯域信号400230を低帯域増幅器400250に供給し及び変圧器400215の第2部分400212と低帯域増幅器400250との間のインピーダンスを整合するよう構成されてよい例えば変圧器400215を含んでよい。例えば、変圧器400215は、例えば50オームのインピーダンスを第2部分400212と低帯域増幅器400250との間で整合するよう構成されてよい。他の態様では、第2部分400212と低帯域増幅器400250との間のインピーダンスは、任意の他のインピーダンス値を有してよい。
幾つかの例証的態様では、変圧器400215は、例えば変圧器400215の第3部分400214により、高周波数帯域信号400220を高帯域増幅器40240に供給するよう、及び例えば変圧器400215の第3部分400214と高帯域増幅器400240との間のインピーダンスを整合するよう構成されてよい。例えば、変圧器400215は、第2部分400214と高帯域増幅器400240との間の例えば50オームのインピーダンスを整合するよう構成されてよい。他の態様では、第3部分400214と高帯域増幅器400240との間のインピーダンスは、任意の他のインピーダンス値を有してよい。
幾つかの例証的態様では、スプリッタ400210は、例えば後述のように、低周波数帯域信号400230をRF入力信号400410からフィルタリングする例えば第1回路400211、及び高周波数帯域信号400220をRF入力信号400410からフィルタリングする第2回路400213を含んでよい。
幾つかの例証的態様では、第1回路400211は、例えば変圧器400215の第2部分400212及び変圧器400215の第1部分400218の少なくとも一部により実装されてよく、及び/又は第2回路400213は、例えば変圧器400215の第3部分400214及び変圧器400215の第1部分400218の少なくとも一部により実装されてよい。他の態様では、第1回路400211及び/又は第2回路400213は、任意の他の追加又は代替要素により実装されてよい。例えば、第1回路400211及び/又は第2回路400213は、例えば複数のトランジスタ、集積回路、ハイブリッド回路、及び/又は任意の他のコンポーネントにより実装されてよい。
幾つかの例証的態様では、高帯域増幅器400240は、例えば後述のように、例えば高周波数帯域信号400220を増幅するよう構成されてよく、第1増幅信号、例えば高帯域増幅信号400245を供給してよい。
幾つかの例証的態様では、高帯域増幅器400240は、例えば図390を参照して上述したようなアウトフェージング増幅器、例えば図387を参照して上述したようなドハティ増幅器、例えば図380を参照して上述したようなデジタル電力増幅器、及び/又は任意の他の増幅器を含んでよい。
幾つかの例証的態様では、低帯域増幅器400250は、例えば後述のように、例えば低周波数帯域信号400230を増幅するよう構成されてよく、第2増幅信号、例えば低帯域増幅信号400255を供給してよい。
幾つかの例証的態様では、低帯域増幅器400250は、例えば図390を参照して上述したようなアウトフェージング増幅器、例えば図387を参照して上述したようなドハティ増幅器、例えば図380を参照して上述したようなデジタル電力増幅器、及び/又は任意の他の増幅器を含んでよい。
幾つかの例証的態様では、広帯域増幅器400200は、例えば後述のように、例えば第1増幅信号、例えば高帯域増幅信号400245及び第2増幅信号、例えば低帯域増幅信号400255を例えば増幅RF信号400270へと結合するよう構成されてよいコンバイナ400260を含んでよい。
幾つかの例証的態様では、コンバイナ400260は、抵抗性コンバイナ、ハイブリッドコンバイナ、トランジスタにより実装されるコンバイナ、ウィルキンソンコンバイナ、及び/又は任意の他の種類のコンバイナを含んでよい。
幾つかの例証的態様では、コンバイナ400260は、例えば後述のように、第1(「高帯域」)増幅信号400245を高帯域増幅器400240から変圧器400265の第1部分400262で受信するよう、及び変圧器400265の第1部分400262と高帯域増幅器400240との間の50オームのインピーダンスを整合するよう構成されてよい例えば変圧器400265を含んでよい。他の態様では、例えば第1部分400245と高帯域増幅器400240との間のインピーダンスは、任意の他のインピーダンス値を有してよい。
幾つかの例証的態様では、変圧器400265は、例えば後述のように、第2(「低帯域」)増幅信号400255を低帯域増幅器400250から変圧器400265の第2部分400264で受信するよう、及び変圧器400265の第2部分400264と低帯域増幅器400250との間の例えば50オームのインピーダンスを整合するよう構成されてよい。他の態様では、第2部分400264と低帯域増幅器400250との間のインピーダンスは、任意の他のインピーダンス値を有してよい。
幾つかの例証的態様では、変圧器400265は、変圧器400265の第1部分400262からの第1増幅信号400245を変圧器400265の第2部分400264からの第2増幅信号400255と共に増幅RF信号400270へと結合する例えば第3部分400267を含んでよい。
幾つかの例証的態様では、第3部分400267は、低帯域増幅器400250と例えば1つ以上のアンテナ400100との間の、及び高帯域増幅器400240と1つ以上のアンテナ400100との間の、例えば50オームのインピーダンスを整合するよう構成されてよい。他の態様では、第3部分400267と1つ以上のアンテナ400100との間のインピーダンスは、任意の他のインピーダンス値を有してよい。
幾つかの例証的態様では、第1部分400262及び第2部分400264は、例えば後述のように、例えば異なる物理的サイズを有してよい。幾つかの例証的態様では、変圧器400265の部分は、該部分に結合されるべきそれぞれのPAの動作周波数及び/又は帯域幅に基づいてよい物理的構造及び/又はサイズを有するよう構成されてよい。例えば、変圧器400265の第1部分400262の物理的サイズは、変圧器400265の第2部分400264の物理的サイズより大きくてよい。
幾つかの例証的態様では、例えば後述のように、広帯域増幅器回路400200は、例えばRF入力信号400410が例えば低帯域増幅器400250により増幅されるべき低周波数帯域を含む少なくとも第1周波数帯域に渡るとき、低帯域増幅器400250をアクティブにするよう構成されてよい第1スイッチ400270を含んでよい。
幾つかの例証的態様では、例えば後述のように、広帯域増幅器回路400200は、例えばRF入力信号400410が例えば高帯域増幅器400240により増幅されるべき高周波数帯域を含む少なくとも第2周波数帯域に渡るとき、高帯域増幅器400240をアクティブにするよう構成されてよい第2スイッチ400280を含んでよい。
幾つかの例証的態様では、例えば後述のように、スイッチ400270は、例えばRF入力信号400410が少なくとも部分的に第1周波数帯域に渡らないとき、低帯域増幅器400250を非アクティブにするよう構成されてよく、及び/又は、スイッチ400270は、例えばRF入力信号400410が少なくとも部分的に第2周波数帯域の外側にあるとき、低帯域増幅器400250を非アクティブにするよう構成されてよい。
幾つかの例証的態様では、スイッチ400270及び/又はスイッチ400280は、例えばベースバンド回路40310により、例えばRF信号400410の周波数帯域に基づき、制御可能にアクティブにされ及び/又は非アクティブにされてよい。
図401を参照すると、幾つかの例証的態様により実装されてよい、複数のチャネル帯域幅に対応する複数のチャネルの帯域プラン401000を概略的に示す。
幾つかの例証的態様では、広帯域増幅器、例えば広帯域増幅器400200(図400)は、図401の帯域プランに従い複数のチャネル帯域幅のうちの1つ以上のチャネル帯域幅に渡りRF信号を送信するよう構成されてよい。
幾つかの例証的態様では、帯域プラン401000は、例えばIEEE802.11-2016仕様に従い例えば複数の2.16GHzチャネル401400を例えば周波数範囲57.24GHz~65.88GHzにおいて含んでよい。例えば、図401に示すように、帯域プラン401000は、#1、#2、#3、及び#4として表記される4個の2.16GHzチャネルを含んでよい。
幾つかの例証的態様では、例えば後述のように、広帯域増幅器、例えば広帯域増幅器400200(図400)は、例えば2つ以上のチャネル401400により形成されてよい1つ以上の広チャネル帯域幅に渡りRF信号を送信するよう構成されてよい。
幾つかの例証的態様では、チャネル帯域幅401100は、例えば周波数範囲57.24GHz~65.88GHzにおいて、例えば8.64GHz周波数帯域幅を有してよい。例えば、チャネル帯域幅401100は、例えば上述のように、例えば低帯域増幅器400250(図400)と高帯域増幅器400240(図400)との間で分けられてよい。例えば、低帯域増幅器400250(図400)は、例えば第1の4.32GHzチャネル、例えば図401のチャネル#9のために構成されてよく、高帯域増幅器400240(図400)は、例えば第2の4.32GHzチャネル、例えば図401のチャネル#11のために構成されてよい。
幾つかの例証的態様では、広帯域増幅器400200(図400)は、4個の増幅器を含んでよく、例えばチャネル帯域幅401100を形成する4個のチャネル401400のうちの各チャネルは、チャネルの周波数帯域及び増幅器の周波数帯域に従い、4個の増幅器のうちの別のそれぞれの増幅器に供給されてよい。
幾つかの例証的態様では、例えば周波数範囲57.24GHz~63.72GHzにおいて、チャネル帯域幅401200は例えば帯域幅6.48GHzを有してよい。例えば、チャネル帯域幅401200は、例えば上述のように、例えば低帯域増幅器400250(図400)と高帯域増幅器400240(図400)との間で分けられてよい。一例では、低帯域増幅器400250(図400)は、例えば第1の2.16GHzチャネル、例えば図401のチャネル#1のために構成されてよく、高帯域増幅器400240(図400)は、例えば図401のチャネル#2及びチャネル#3を含む4.32GHz帯域幅のために構成されてよい。別の例では、低帯域増幅器400250(図400)は、例えば4.32GHzチャネル、例えば図401のチャネル#9のために構成されてよく、高帯域増幅器400240(図400)は、例えば2.16GHzチャネル、例えば図401のチャネル#4のために構成されてよい。
幾つかの例証的態様では、例えば、周波数範囲57.24GHz~65.88GHzにおいて、チャネル帯域幅401300は、例えば4.32GHz帯域幅を含んでよく、例えば2つのチャネル、例えば低チャネル帯域57.24GHz~61.56GHz、及び高チャネル帯域、例えば61.56GHz~65.88GHzを含んでよい。例えば、例えば上述のように、低チャネル帯域は低帯域増幅器400250(図400)に供給されてよく、高チャネル帯域は高帯域増幅器400240(図400)に供給されてよい。一例では、低帯域増幅器400250(図400)は、例えば第1の2.16GHzチャネル、例えば図401のチャネル#1のために構成されてよく、高帯域増幅器400240(図400)は、例えば第2の2.16GHzチャネル、例えば図401のチャネル#2のために構成されてよい。別の例では、低帯域増幅器400250(図400)は、例えば第3の2.16GHzチャネル、例えば図401のチャネル#3のために構成されてよく、高帯域増幅器400240(図400)は、例えば第4の2.16GHzチャネル、例えば図401のチャネル#4のために構成されてよい。
図402を参照すると、幾つかの例証的態様による、低帯域増幅器及び高帯域増幅器のゲイン応答を示すグラフ402000を概略的に示す。幾つかの態様では、ここに記載される増幅器回路は、図3Aに示されるmmWave通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれ得る。しかしながら、増幅器回路はこれに限定されない。
幾つかの例証的態様では、広帯域増幅器400200(図400)は、ゲイン応答402100を有する低帯域増幅器400250(図400)、及び/又はゲイン応答402200を有する高帯域増幅器400240(図400)を含んでよい。例えば、ゲイン応答402100を有する低帯域増幅器400250(図400)のゲイン応答402200を有する高帯域増幅器400240(図400)との結合は、結果として例えば広帯域増幅器において、例えば平坦なゲイン応答を有する広帯域増幅器400200(図400)をもたらし得る。
図403を参照すると、幾つかの例証的実施形態による、変圧器403000を概略的に示す。
幾つかの例証的態様では、変圧器403000は、望ましい場合には、例えば変圧器400265(図400)の部分のようなコンバイナ、及び/又は変圧器400215(図400)の部分のようなスプリッタ、及び/又は任意の他のサブシステム及び/又は要素の1つ以上の動作及び/又は機能の部分として含まれてよく及び/又はそれを実行してよい。幾つかの態様では、ここに記載される変圧器は、図3Aに示されるmmWave通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれ得る。しかしながら、変圧器はこれに限定されない。
幾つかの例証的態様では、変圧器403000は、例えば後述のように、入力/出力ポート403400により低帯域周波数を受信し及び/又は出力するよう構成されてよい例えば低帯域部分403100を含んでよい。
幾つかの例証的態様では、変圧器403000は、例えば後述のように、入力/出力ポート403300により高帯域周波数を受信し及び/又は出力するよう構成されてよい例えば高帯域部分403200を含んでよい。
幾つかの例証的態様では、変圧器403000は、例えば後述のように、入力/出力ポート403500においてRF信号を受信し及び結合RF信号を出力するよう構成されてよい例えば共通部分403600を含んでよい。
幾つかの例証的態様では、変圧器403000は、例えば後述のように、例えばコンバイナとして及び/又はスプリッタとして動作するよう構成されてよい。
幾つかの例証的態様では、例えばコンバイナとして動作するとき、変圧器403000は低帯域周波数信号を低帯域部分403100の入力ポート403400で受信してよく、例えば高帯域周波数信号を高帯域部分403200の入力ポート403300で受信してよく、例えば結合信号を共通部分403600の出力ポート403500で出力してよい。
幾つかの例証的態様では、例えばスプリッタとして動作するとき、変圧器403000は例えば入力RF信号を共通部分403600の入力ポート403500で受信してよく、例えば低帯域周波数信号を低帯域部分403100の出力ポート403400で出力してよく、例えば高帯域周波数信号を高帯域部分403200の出力ポート403300で出力してよい。
幾つかの例証的態様では、低帯域部分403100の物理的サイズは、例えば高帯域部分403200の物理的サイズより小さくてよい。
図1Aに戻ると、幾つかの例証的態様では、後述のように、ベースバンドサブシステム110及び/又はRFEM115は、無線アーキテクチャに従い構成されてよく、モデムコア、例えばベースバンドサブシステム、例えばベースバンドプロセッサ110と複数のラジオコア、例えばRFEM115との間のインピーダンスを複数のラジオコアの数に基づき整合するよう構成されてよい複数のインピーダンス整合スイッチを含んでよい。幾つかの例証的態様では、モデムコアは、例えば1つ以上のラジオコアを介する無線通信のために信号を生成し及び/又は処理するベースバンドプロセッサ及び/又は1つ以上の追加又は代替処理コンポーネントを含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバは、複数のラジオコア及び少なくとも1つのモデムコアを含んでよい。
幾つかの例証的態様では、例えば後述のように、5Gトランシーバは、例えば同軸及び/又は任意の他のRF互換接続要素及び/又はサブシステムにより接続された少なくとも2つの主コアを含んでよい。例えば、少なくとも2つの主コアは、モデム-ベースバンド(Mコア)及び2つ以上のラジオフロントエンド(Rコア)を含んでよい。
幾つかの例証的態様では、Rコアは、望ましい場合には例えばサブシステム435(図4)及び/又は任意の他のサブシステム及び/又は要素の部分のような1つ以上の無線チェーン回路及び/又はサブシステムの部分として含まれてよく、及び/又はその1つ以上の動作及び/又は機能を実行してよい。
幾つかの例証的態様では、複数のスイッチは、Mコアを複数のRコアに接続するよう構成されてよい。例えば、複数のスイッチは、例えば後述のように、無線デバイス要件に従い切り替えられてよい。例えば、例えば後述のように、Mコアは、一度に1つのRコアに、及び/又は例えば同時に動作する複数のRコアに、接続されてよい。
幾つかの例証的態様では、例えば後述のように、複数のスイッチのうちの少なくとも1つのスイッチ、例えばスイッチのうちの一部のみ、又はスイッチのうちの各々の1つは、複数のRコアのうちのRコアとMコアとの間のインピーダンスを、例えばMコアに接続されてよいRコアの数に基づき整合するよう構成されてよい。
幾つかの例証的態様では、複数のRコアのうちのRコアとMコアとの間のインピーダンスを例えばMコアに接続されてよいRコアの数に基づき整合することは、1つ以上の利点を提供し及び/又は1つ以上の技術的問題を解決してよい。例えば、RコアとMコアとの間のインピーダンスをMコアに接続されるRコアの数に基づき整合することは、MコアとRコアとの間で、例えばMコアとMコアに接続されるRコアのうちの各々との間で、例えば実質的に一定のインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを維持することを可能にし得る。
図404を参照すると、幾つかの例証的態様による、無線通信装置404000のブロック図を概略的に示す。幾つかの態様では、ここに記載される無線通信装置(例えば、送信器、受信器、トランシーバ、等)は、図3Aに示されるmmWave通信回路300内の1つ以上の回路に組み込まれ(又はそれとして実装され)得る。しかしながら、通信装置はこれに限定されない。
幾つかの例証的態様では、例えば後述のように、無線通信装置404000は、無線RF信号を、例えば2.4GHz周波数帯域、5GHz周波数帯域、60GHz周波数帯域、5G通信ネットワークの周波数帯域、及び/又は任意の他の周波数帯域で、送信し及び/又は受信するよう構成されてよい。
幾つかの例証的態様では、無線通信装置404000は、例えばベースバンドの部分として、例えばベースバンド回路及び/又はロジックの部分として、及び/又は任意の他の追加又は代替要素、サブシステム、及び/又は回路の部分として実装されてよいMコア404300を含んでよい。
幾つかの例証的態様では、無線通信装置404000は、Mコア404300に選択的に結合されるべき複数のRコア404100を含んでよい。例えば図404に示すように、例えば後述のように、複数のRコア404100は、Mコア404300に選択的に結合されるべき少なくとも2つのRコア、例えばRコア404130及びRコア404160を含んでよい。例えば、Rコア404130及び/又はRコア404160は無線フロントエンドを含んでよい。例えば、無線フロントエンドは、例えば電力増幅器(PA)、低雑音増幅器(LNA)、アンテナインターフェイス、等のような、RF信号を受信し及び/又は送信する1つ以上の回路、コンポーネント、及び/又はサブシステムを含んでよい。一例では、Rコア404130及び/又はRコア404160は、無線フロントエンドモジュール115(図1)の1つ以上の要素を含み及び/又はその1つ以上の機能を実行してよい。
幾つかの例証的態様では、例えば後述のように、Rコア404130及び/又はRコア404160は、半二重無線フロントエンド、半二重無線トランシーバ、等を含んでよい。幾つかの例証的態様では、Rコア404130及び/又はRコア404160は全二重無線機を含んでよい。
幾つかの例証的態様では、無線通信装置404000は、例えばアンテナ404400及び/又は404450を含む例えば1つ以上のアンテナを含んでよく及び/又はそれに動作可能に結合されてよい。例えば、Rコア404130は少なくとも1つのアンテナ404400に動作可能に結合されてよく、及び/又は無線コア404160は少なくとも1つのアンテナ404450に動作可能に結合されてよい。
幾つかの例証的態様では、アンテナ404400及び/又は404450は、例えば、1つ以上のフェーズドアレイアンテナ、1つ以上のダイポールアンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、複数のRコア404100は、複数のRFケーブル404500を介してMコア404300に結合されてよく、例えばMコア404300が少なくとも1つのRFケーブルを介してRコアに接続され得るようにする。例えば、例えば後述のように、Rコア404130は、RFケーブル404530を介してMコア404300に結合されてよく、及び/又はRコア404160は、RFケーブル404560を介してMコア404300に結合されてよい。
幾つかの例証的態様では、RFケーブル404530及び/又はRFケーブル404560は同軸ケーブルを含んでよい。他の態様では、RFケーブル404530及び/又はRFケーブル404560は任意の他のRF互換ケーブルを含んでよい。
幾つかの例証的態様は、Mコアを複数のRコアに結合する1つ以上のRFケーブルを実装するアーキテクチャに関してここに記載された。しかしながら、他の態様では、任意の他の追加又は代替コネクタ、ケーブル、及び/又は要素が、Mコアを複数のRコアに結合するために実装されてよい。
幾つかの例証的態様では、例えば後述のように、無線通信装置404000は、Mコア404300を複数のRコア404100のうちの1つ以上のRコアに切り替え可能に結合する複数のインピーダンス整合スイッチ404600を含んでよい。例えば図404に示すように、例えば後述のように、複数のインピーダンス整合スイッチ404600は、Mコア404300をRコア404130に切り替え可能に結合するインピーダンス整合スイッチ404630、及び/又はMコア404300をRコア404160に切り替え可能に結合するインピーダンス整合スイッチ404630を含んでよい。
幾つかの例証的態様では、図404に示すように、複数のインピーダンス整合スイッチ404600は、Mコア404300を2つの各々のRコア、例えばRコア404130及びRコア404160に切り替え可能に結合する2つのスイッチ、例えばスイッチ404630及び404660を含んでよい。他の態様では、複数のインピーダンス整合スイッチ404600は、Mコア404300を任意の他の数のRコア、例えば3つ以上の各々のRコアに切り替え可能に結合する任意の他の数のスイッチ、例えば3つ以上のスイッチを含んでよい。
幾つかの例証的態様では、例えば後述のように、複数のインピーダンス整合スイッチ404600のうちのインピーダンス整合スイッチ、例えばインピーダンス整合スイッチ404630及び/又はインピーダンス整合スイッチ404660は、Mコア404300に動作可能に結合される第1端子、及び複数のRコア404100のうちの各々のRコアに動作可能に結合される第2端子を含んでよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404630は、Mコア404300に動作可能に結合される第1端子404610、及びRコア404130に動作可能に結合される第2端子404620を含んでよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404660は、Mコア404300に動作可能に結合される第1端子404670、及びRコア404160に動作可能に結合される第2端子404680を含んでよい。
幾つかの例証的態様では、例えば後述のように、図404に示すように、複数のインピーダンス整合スイッチ404600のうちのインピーダンス整合スイッチ、例えばインピーダンス整合スイッチ404630及び/又はインピーダンス整合スイッチ404660は、複数のRコア404100のうちのRコアとMコア404300との間のインピーダンスを、例えば複数のインピーダンス整合スイッチ404600によりMコア404300に結合されてよい複数のRコアのうちの1つ以上のRコアの数に基づき制御可能に整合するインピーダンス整合回路を含んでよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404630は、複数のインピーダンス整合スイッチ404600によりMコア404300に結合されてよい複数のRコア404100のうちの1つ以上のRコアの数に基づき、Rコア404130とMコア404300との間のインピーダンスを制御可能に整合するよう構成されてよいインピーダンス整合回路を含んでよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404660は、複数のインピーダンス整合スイッチ404600によりMコア404300に結合されてよい複数のRコア404100のうちの1つ以上のRコアの数に基づき、Rコア404160とMコア404300との間のインピーダンスを制御可能に整合するよう構成されてよいインピーダンス整合回路を含んでよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチのインピーダンス整合回路、例えばインピーダンス整合スイッチ404660のインピーダンス整合回路及び/又はインピーダンス整合スイッチ404630のインピーダンス整合回路は、例えばMコア404300により供給されてよい制御信号に従い、複数のインピーダンス整合モードの間で切り替え可能であってよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404630のインピーダンス整合回路は、例えばMコア404300により供給されてよい第1制御信号404010に従い、複数のインピーダンス整合モードの間で切り替え可能であってよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404660のインピーダンス整合回路は、例えばMコア404020からの第2制御信号404020に従い、複数のインピーダンス整合モードの間で切り替え可能であってよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチのインピーダンス整合回路、例えばインピーダンス整合スイッチ404660のインピーダンス整合回路及び/又はインピーダンス整合スイッチ404630のインピーダンス整合回路は、例えば複数のインピーダンス整合モードのうちのインピーダンス整合モードで、例えばインピーダンス整合モードに対応するRコアに基づき、Mコア404300とインピーダンス整合スイッチに対応する複数のRコア404100のうちのRコアとの間のインピーダンスを整合するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404630のインピーダンス整合回路は、例えば複数のインピーダンス整合モードのうちのインピーダンス整合モードで、例えばインピーダンス整合モードに対応するRコア数に基づき、Mコア404300とRコア404130との間のインピーダンスを整合するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ404660のインピーダンス整合回路は、例えば複数のインピーダンス整合モードのうちのインピーダンス整合モードで、例えばインピーダンス整合モードに対応するRコア数に基づき、Mコア404300とRコア404160との間のインピーダンスを整合するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、複数のインピーダンス整合モードは、例えば、インピーダンス整合回路がMコア404300とRコア404100のうちの1つのRコア、例えばRコア404130又はRコア404160との間のインピーダンスを整合するよう構成されてよい少なくとも1つの第1インピーダンス整合モード、インピーダンス整合回路がMコア404300とRコア404100のうちの2つのRコア、例えばRコア404130及び44160の両方との間のインピーダンスを整合するよう構成されてよい第2インピーダンス整合モード、及び/又はインピーダンス整合回路がMコア404300と複数のRコア404100のうちの例えばRコア404130、Rコア404160、及び別のRコア(図404に図示されない)を含む3つのRコアとの間のインピーダンスを整合するよう構成されてよい第3インピーダンス整合モードを含んでよい。他の態様では、複数のインピーダンス整合モードは、Mコア404300と任意の他の数のRコア404100との間のインピーダンスを整合する1つ以上の追加又は代替インピーダンス整合モードを含んでよい。
幾つかの例証的態様では、例えば後述のように、例えば上述のようなインピーダンス整合スイッチのインピーダンス整合回路は、例えばMコア404300をインピーダンス整合スイッチに対応するRコアに結合するよう構成されてよい複数のトランジスタを含んでよい。
幾つかの例証的態様では、複数のインピーダンス整合スイッチ404600のうちのインピーダンス整合スイッチ、例えばインピーダンス整合スイッチ404630及び/又はインピーダンス整合スイッチ404660のインピーダンス整合回路は、Mコア404300とインピーダンス整合スイッチに対応するRコアとの間で、例えばMコア404300に接続される1つ以上のRコア404100の数に関係なく及び/又は独立に実質的に一定のインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを維持するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合スイッチ404630は、Mコア404300とRコア404130との間で、例えばMコア404300に接続されるべき1つ以上のRコア404100の数に関係なく及び/又は独立に例えば実質的に一定のインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを維持するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合スイッチ404660は、Mコア404300とRコア404160との間で、例えばMコア404300に接続されるべき1つ以上のRコア404100の数に関係なく及び/又は独立に例えば実質的に一定のインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを維持するよう構成されてよい。
図405を参照すると、幾つかの例証的実施形態による、インピーダンス整合スイッチ405000を概略的に示す。幾つかの態様では、ここに記載されるスイッチは、図3Aに示されるmmWave通信回路300のRF回路325(図3D)内の1つ以上の回路(例えば、無線チェーン回路372)に組み込まれ得る。しかしながら、スイッチはこれに限定されない。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合スイッチ405000は、例えばMコアに接続されるべきRコアの数に基づき、Mコア、例えばMコア404300(図404)と複数のRコア、例えば複数のRコア404100(図404)のうちのRコアとの間のインピーダンスを整合するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合スイッチ405000は、望ましい場合にはインピーダンス整合スイッチ404630及び/又はインピーダンス整合スイッチ404660(図404)、及び/又は任意の他のサブシステム及び/又は要素の部分として含まれてよく、及び/又はその1つ以上の動作及び/又は機能を実行してよい。
幾つかの例証的態様では、インピーダンス整合スイッチ405000は、Mコア405700、例えばMコア404300(図404)をインピーダンス整合回路405100に結合する第1端子405010及びRコア405800、例えばRコア404130又はRコア404160(図404)をインピーダンス整合回路405100に結合する第2端子405020を有するインピーダンス整合回路405100を含んでよい。
幾つかの例証的態様では、インピーダンス整合回路405100は、Mコア405700に結合されてよい例えば1つ以上のRコア、例えばRコア404100(図404)の数に基づき、Mコア405700とRコア405800との間のインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを制御可能に整合するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合回路405100は、例えば第1モード(モードA)、第2モード(モードB)及び第3モード(モードC)を含む複数のインピーダンス整合モードの間で、例えば制御信号405030に従い切り替え可能であってよい。例えば、制御信号405030は、Mコア405700により供給されてよい。他の態様では、インピーダンス整合回路405100は、例えばRコア404100(図404)の数に基づき、任意の他の数のインピーダンス整合モードの間で切り替えられるよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合モードAで、例えば、Mコア405700は、複数のRFコア、例えば複数のRFコア404110(図404)のうちの単一のRコア、例えばRコア405800に結合されてよい。例えば、インピーダンス整合モードAで、インピーダンス整合回路405100は、例えばMコア45700がRコア405800にのみ結合されるとき、Rコア405800とMコア405700との間のインピーダンス、例えば50オームのインピーダンス及び/又は任意の他の所望のインピーダンスを例えば整合するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合モードBで、例えば、Mコア405700は、複数のRFコア、例えば複数のRFコア404110(図404)のうちの2つのRコア、例えばRコア405800及び1つの他のRコアに結合されてよい。例えば、インピーダンス整合モードBで、インピーダンス整合回路405100は、例えばMコア405700がRコア405800及び1つの他のRコアに結合されるとき、Rコア405800とMコア405700との間のインピーダンス、例えば50オームのインピーダンス及び/又は任意の他の所望のインピーダンスを例えば整合するよう構成されてよい。
幾つかの例証的態様では、インピーダンス整合モードCで、例えば、Mコア405700は、複数のRFコア、例えば複数のRFコア404110(図404)のうちの4つのRコア、例えばRコア405800及び3つの他のRコアに結合されてよい。例えば、インピーダンス整合モードCで、インピーダンス整合回路405100は、例えばMコア405700がRコア405800及び2つの他のRコアに結合されるとき、Rコア405800とMコア405700との間のインピーダンス、例えば50オームのインピーダンス及び/又は任意の他の所望のインピーダンスを例えば整合するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、インピーダンス整合回路405100は、例えばインピーダンス整合モードに従い複数の負荷のうちの負荷、例えばRF負荷を介してMコア405700をRコア405800に選択的に結合してよい例えばトランジスタ405200、405300、及び/又は405400を含む複数のトランジスタを含んでよい。
幾つかの例証的態様では、例えば後述のように、第1負荷レベル、例えばゼロ負荷は、例えばインピーダンス整合モードAでMコア405700とRコア405800との間に適用されてよく、例えば第1負荷レベルより高い第2負荷レベルは、例えばインピーダンス整合モードBでMコア405700とRコア405800との間に適用されてよく、及び/又は例えば第2負荷レベルより高い第3負荷レベルは、例えばインピーダンス整合モードCでMコア405700とRコア405800との間に適用されてよい。
幾つかの例証的態様では、インピーダンス整合モードAで、例えば、トランジスタ45400は、例えば制御信号405060により、例えば負荷を有しないでMコア405700をRコア405800に選択的に結合するよう制御されてよい。
幾つかの例証的態様では、インピーダンス整合モードBで、例えば、トランジスタ405300は、例えば制御信号405040により、例えば負荷405600を介してMコア405700をRコア405800に選択的に結合するよう制御されてよい。
幾つかの例証的態様では、インピーダンス整合モードCで、例えば、トランジスタ405200は、例えば制御信号405030により、例えば負荷405500を介してMコア405700をRコア405800に選択的に結合するよう制御されてよい。
幾つかの例証的態様では、負荷405500は例えば負荷405600より高くてよい。
幾つかの例証的態様では、負荷405600は例えば50オームの負荷を有してよく、負荷405500は例えば100オームの負荷を有してよい。他の態様では、任意の他の負荷値が使用されてよい。
幾つかの例証的態様では、負荷405500及び/又は負荷405600は、例えば抵抗器-インダクタ-キャパシタ(RLC)網を含んでよい。他の態様では、負荷405500及び/又は負荷405600は、能動負荷、抵抗性負荷、容量性負荷、誘導性負荷、等を含んでよい。
幾つかの例証的態様では、RLC網は、例えばMコア404700に接続されるべきRコアの数に基づき、Mコア405700とRコア405800との間で予め定義されたインピーダンス、例えば50オームのインピーダンス又は任意の他のインピーダンスを維持するよう構成されてよい。
図4に戻ると、幾つかの例証的態様では、例えば後述のように、RF回路425は、無線アーキテクチャに従い構成されてよく、双方向ミキサのアップコンバージョンモードでIF信号をRF信号へとアップコンバートするよう、及び双方向ミキサのダウンコンバージョンモードでRF信号をIF信号へとダウンコンバートするよう構成されてよい少なくとも1つの双方向ミキサを含んでよい。
幾つかの例証的態様では、例えばセルラシステムの5G及び/又は訳60GHzの通信周波数を有するWLANのようなmmWaveアプリケーション、例えばWiGigで実装されてよいトランシーバアーキテクチャは、Tx IF信号を例えばトランシーバのTxモードで送信されるべきRF信号へとアップコンバートするよう構成されてよい第1ミキサ、及び/又は例えばトランシーバのRxモードでRx RF信号をRx IF信号へとダウンコンバートするよう構成されてよい第2ミキサ、を含んでよい。
幾つかの例証的態様では、幾つかの使用例、実装、及び/又はシナリオでは、別個のミキサ、例えばTxモード及びRxモードのために2つの別個のミキサを含むトランシーバアーキテクチャを実装することは有利でないことがある。
幾つかの例証的態様では、例えば後述のように、トランシーバアーキテクチャは、Rxモード及びTxモードの両方のために構成されてよい少なくとも1つの双方向ミキサを実装してよい。
幾つかの例証的態様では、トランシーバアーキテクチャにおいて双方向ミキサを実装することは、1つ以上の利点を提供し及び/又は1つ以上の技術的問題を解決してよい。例えば、双方向ミキサは、例えば1つ以上の双方向素子、例えば1つ以上の双方向増幅器、例えば双方向RF増幅器及び/又は双方向IF増幅器、及び/又は任意の他の追加又は代替双方向要素をトランシーバチップ内に実装することにより、トランシーバチップの面積を縮小することを可能にし得る。
幾つかの例証的態様では、双方向ミキサは、例えば十分なコンバージョンゲイン(C.G)を提供しつつ例えば低供給電圧及び/又は低電力消費にさえも良好に適し得る半受動型ミキサアーキテクチャを含んでよい。例えば、幾つかの双方向ミキサは、RF信号を処理するRF段を含んでよい。双方向ミキサのRF段を除去することは、例えば高い線形性を達成するために必要とされ得る温度依存性及び電流消費を低減することを可能にし得る。
幾つかの例証的態様では、双方向ミキサは、望ましい場合には、例えばサブシステム415(図4)及び/又は任意の他のサブシステム及び/又は要素の部分のような、アップコンバージョン及びダウンコンバージョン回路の部分のとして含まれてよく、及び/又はその1つ以上の動作及び/又は機能を実行してよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサは、アップコンバージョンモード及び/又はダウンコンバージョンモードで動作するよう構成されてよい。例えば、例えば後述のように、アップコンバージョンモードで、双方向ミキサは、IF信号をRF信号へとアップコンバートしてよく、及び/又はダウンコンバージョンモードで、双方向ミキサは、RF信号をIF信号へとダウンコンバートしてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサは、例えばアップコンバージョンモードからダウンコンバージョンモードへと切り替えるとき、双方向ミキサにより処理されるべき信号の方向を例えば第1方向の処理信号から第2方向の処理信号へと、及び/又は例えばダウンコンバージョンモードからアップコンバージョンモードへと切り替えるとき、第2方向の処理信号から第1方向の処理信号へと切り替える1つ以上のスイッチを含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサの1つ以上のスイッチは、ドレインチャネル及びソースチャネルを含んでよいパラメータ化セル(Parameterize Cell)(PCell)を有する例えば1つ以上の金属酸化膜半導体電界効果トランジスタ(MOSFET)を含んでよい。
幾つかの例証的態様では、MOSFETのドレインチャネル及びソースチャネルは対称的であってよい。例えば、例えば後述のように、ドレインチャネル及びソースチャネルの役割は切り替えられてよく、例えばドレインチャネルがソースチャネルとして使用されてよく、及び/又はソースチャネルがドレインチャネルとして使用されてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサは、ギルバート(Gilbert)セルミキサ、例えば半受動型ギルバートセルミキサを含んでよい。
幾つかの例証的態様では、例えば後述のように、ギルバートセルミキサは、例えばアップコンバージョンモードで、例えばIF信号をLO信号と混合することにより、IF信号をRF信号へとアップコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、ギルバートセルミキサは、例えばダウンコンバージョンモードで、例えばRF信号をLO信号と混合することにより、RF信号をIF信号へとダウンコンバートするよう構成されてよい。
図406を参照すると、幾つかの例証的態様による、トランシーバ406100のブロック図を概略的に示す。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、例えば、半二重通信トランシーバとして構成されてよい。
幾つかの例証的態様では、例えば後述のように、半二重トランシーバ、例えばトランシーバ406100は、例えばTx信号を送信するTxモードと例えばRx信号を受信するRxモードとの間で切り替えられてよい。
幾つかの例証的態様では、トランシーバ406100は、例えば少なくとも60GHz周波数帯域に渡りTx信号を送信し及びRx信号を受信するよう構成されてよい例えば60GHzトランシーバを含んでよい。
幾つかの例証的態様では、トランシーバ406100は、5Gセルラトランシーバを含んでよい。
他の態様では、トランシーバ406100は、任意の他の種類のトランシーバを含んでよく、及び/又は任意の他の追加又は代替周波数帯域に渡りTx信号及び/又はRx信号を通信するよう構成されてよい。
幾つかの例証的態様では、トランシーバ406100は、1つ以上のアンテナ406150を含んでよく、又はそれに動作可能に結合されてよい。例えば、アンテナ406150は1つ以上のRF信号を送信し及び/又は受信するよう構成されてよい。
幾つかの例証的態様では、アンテナ406150は、1つ以上のフェーズドアレイアンテナ、チップ内アンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、ベースバンド信号、例えばTxベースバンド信号406113及び/又はRxベースバンド信号406117を生成し及び/又は処理するよう構成されてよいベースバンド406110を含んでよい。例えば、Txベースバンド信号406113及び/又はRxベースバンド信号406117は、差動ベースバンド信号及び/又は任意の他の種類のベースバンド信号を含んでよい。
幾つかの例証的態様では、ベースバンド406110は、デジタルデータを処理するデジタルベースバンド及び/又は例えばデジタルデータをアナログデータに変換するアナログベースバンドを含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、例えばTxモードで、IF信号、例えばTx IF信号406123をTx RF信号、例えばTx RF信号406143へとアップコンバートするよう、及び/又は例えばRxモードで、Rx RF信号、例えばRx RF信号406147をRx IF信号、例えばRx IF信号406127へとダウンコンバートするよう構成されてよい双方向ミキサ406130を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130は、差動IF信号を差動RF信号へとアップコンバートするよう及び/又は差動RF信号を差動IF信号へとダウンコンバートするよう構成されてよい差動双方向ミキサを含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130は、例えばアップコンバージョンモードでTx IF信号406123を入力し及び例えばダウンコンバージョンモードでRx IF信号406127を出力するIF端子406133を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130は、例えばアップコンバージョンモードでTx RF信号406143を出力し及び例えばダウンコンバージョンモードでRx RF信号406147を入力するRF端子406139を含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、LO信号406137を生成するLO406135を含んでよく又はそれに動作可能に結合されてよい。例えば、LO信号406137は、60GHzの周波数及び/又は双方向ミキサ406130に適用されてよい任意の他の必要な信号を有してよい。例えば、例えば後述のように、LO信号406137は、アップコンバージョンモードで1つ以上のIF信号をアップコンバートし、及び/又はダウンコンバージョンモードで1つ以上のRF信号をダウンコンバートするために使用されてよい。一例では、LO信号406137は、差動信号を含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、Txベースバンド信号406113、Tx RF信号406143、Rx信号406155、及び/又はRx IF信号406127を増幅する1つ以上の増幅器を含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、Txベースバンド信号406113、Rx IF信号406127、Tx RF信号406143、及び/又はRx信号406155を増幅する1つ以上の双方向増幅器を含んでよい。他の態様では、双方向増幅器のうちの少なくとも1つは、複数の単方向増幅器により置き換えられてよい。
幾つかの例証的態様では、トランシーバ406100は、Rxモードでベースバンド406110からのRx信号を増幅し及び/又はTxモードで双方向ミキサ406130からのTx信号を増幅するよう構成されてよい双方向IF増幅器406120を含んでよい。例えば、例えば後述のように、双方向IF増幅器406120は、例えばRxモードでRx IF信号406127を増幅、及び/又は例えばTxモードでTxベースバンド信号406113を増幅するよう構成されてよい。
幾つかの例証的態様では、双方向IF増幅器406120は、例えばTxモードでTxベースバンド信号406113をTx IF信号406123へと増幅し、及び/又は例えばRxモードで例えば双方向ミキサ406130のIF端子406133からのRx IF信号406127をRxベースバンド信号406117へと増幅するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、双方向IF増幅器406120は、Txモードで信号を増幅する第1IF増幅器(図406に図示しない)、及びRxモードで信号を増幅する第2IF増幅器(図406に図示しない)を含んでよい。
一例では、双方向IF増幅器406120は、Txモードでベースバンド406110からのTxベースバンド信号406113をTx IF信号406123へと増幅するよう構成されてよいTx IF増幅器、及びRxモードでRx IF信号406127をベースバンド406110に供給されるべきRxベースバンド信号406117へと増幅するよう構成されてよいRx IF増幅器を含んでよい。
幾つかの例証的態様では、双方向IF増幅器406120は、例えば差動双方向IF増幅器を含んでよい。例えば、差動双方向IF増幅器は、差動IF信号を増幅してよい。例えば、Rx IF信号406127及び/又はTxベースバンド信号406113は、差動IF信号を含んでよい。
幾つかの例証的態様では、トランシーバ46100は、Rxモードでアンテナ406150からのRx信号を増幅し及び/又はTxモードで双方向ミキサ406130からのTx信号を増幅するよう構成されてよい双方向RF増幅器406140を含んでよい。例えば、例えば後述のように、双方向RF増幅器406140は、例えばRxモードでアンテナ406150からのRx信号406155を増幅し、及び/又は例えばTxモードでTx RF信号406143を増幅するよう構成されてよい。
幾つかの例証的態様では、双方向RF増幅器406140は、例えばTxモードでTx RF信号406143をTx信号406153へと増幅し、及び/又は例えばRxモードで例えば1つ以上のアンテナ406150からのRx RF信号406155をRx RF信号406147へと増幅するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、双方向RF増幅器406140は、Txモードで信号を増幅する第1RF増幅器(図406に図示しない)、及びRxモードで信号を増幅する第2RF増幅器(図406に図示しない)を含んでよい。
一例では、双方向RF増幅器406140は、Txモードで双方向ミキサ406130からのTx RF信号406143をTx信号406153へと増幅するよう構成されてよい第1RF増幅器(図406に図示しない)、例えば電力増幅器(PA)、及びRxモードでRx信号406155を第1RF信号、双方向ミキサ406130へ供給されるべき例えばRx RF信号406147へと増幅するよう構成されてよい第2RF増幅器(図406に図示しない)、例えば低雑音増幅器(LNA)を含んでよい。
一例では、例えば後述のように、双方向RF増幅器406140は、差動RF信号、例えば差動RF信号406155、及び/又は差動Tx RF信号、例えば差動Tx RF信号406143を増幅する差動双方向RF増幅器を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130は、1つ以上のバイアス電圧を双方向ミキサ406130に印加するよう構成されてよい第1電圧端子406131及び第2電圧端子406132を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130は、例えば第1バイアス電圧が第1電圧端子406131に印加されるべきであり且つ第2バイアス電圧が第2電圧端子406132に印加されるべきであるとき、アップコンバージョンモードで動作するよう構成されてよいミキシング回路(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、例えば第2バイアス電圧が第1電圧端子406131に印加されるべきであり且つ第1バイアス電圧が第2電圧端子406132に印加されるべきであるとき、ダウンコンバージョンモードで動作するよう構成されてよい。
幾つかの例証的態様では、第2バイアス電圧は、第1バイアス電圧より低くてよい。
幾つかの例証的態様では、第1バイアス電圧は、正電圧、例えば1~5ボルトの範囲の電圧又は任意の他の電圧であってよく、及び/又は第2バイアス電圧は、ゼロ電圧及び/又はゼロに近い電圧であってよい。
幾つかの例証的態様では、双方向ミキサ406130のミキシング回路は、例えばダウンコンバージョンモードでRF端子406139における第1RF信号、例えばRx RF信号406147をIF端子406133における第1IF信号、例えばRx IF信号406127へとダウンコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、例えばアップコンバージョンモードでIF端子406133における第2IF信号、例えばTx IF信号406123をRF端子406139における第2RF信号、例えばTx RF信号406143へとアップコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、例えばアップコンバージョンモードで、Tx IF信号406123をTx RF信号406143へとアップコンバートするよう、及び/又は例えばダウンコンバージョンモードで、Rx RF信号406147をRx IF信号406127へとダウンコンバートするよう構成されてよい、例えば複数のトランジスタを含んでよい例えばギルバートセル(図406に図示しない)を含んでよい。
幾つかの例証的態様では、ギルバートセルの複数のトランジスタは、1つ以上の電界効果トランジスタ(FET)を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、複数のトランジスタのドレインをRF端子406139に及び電圧端子406131に結合するよう構成されてよい例えば第1変圧器(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、複数のトランジスタのソースをIF端子406133に及び電圧端子406132に結合するよう構成されてよい例えば第2変圧器(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、LO406135からのLO信号406137をギルバートセルの複数のトランジスタのゲートに結合するよう構成されてよい例えばLO端子(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えばアップコンバージョンモードで、第2変圧器は、Tx IF信号406123及び第2バイアス電圧をギルバートセルの複数のトランジスタのソースに供給してよい。例えば、例えば後述のように、ギルバートセルは、Tx IF信号406123をLO信号406137と混合して、例えば混合RF信号をギルバートセルの複数のトランジスタのドレインに供給するよう構成されてよい。
幾つかの例証的態様では、例えば、例えば後述のように、アップコンバージョンモードで、第1変圧器は、複数のトランジスタのドレインにおける混合RF信号をTx RF信号406143へと結合してよい。
幾つかの例証的態様では、ダウンコンバージョンモードで、第1変圧器は、Rx RF信号406147及び第2バイアス電圧を複数のトランジスタのドレインに供給するよう構成されてよい。例えば、例えば後述のように、ギルバートセルは、Rx RF信号406147をLO信号406137と混合して、例えば混合IF信号を複数のトランジスタのソースに供給するよう構成されてよい。
幾つかの例証的態様では、例えば、例えば後述のように、ダウンコンバージョンモードで、第2変圧器は、複数のトランジスタのソースにおける混合IF信号をRx IF信号406127へと結合してよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、例えばアップコンバージョンモードで、第1バイアス電圧を電圧端子406131に結合するよう、例えば第1バイアス電圧をギルバートセルの複数のトランジスタのドレインに結合するよう、及び例えばダウンコンバージョンモードで、第2バイアス電圧を電圧端子406131に結合するよう、例えば第2バイアス電圧をギルバートセルの複数のトランジスタのドレインに結合するよう構成されてよい例えば第1スイッチ(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ406130のミキシング回路は、例えばアップコンバージョンモードで、第2バイアス電圧を電圧端子406132に結合するよう、例えば第2バイアス電圧をギルバートセルの複数のトランジスタのソースに結合するよう、及び例えばダウンコンバージョンモードで、第1バイアス電圧を電圧端子406132に結合するよう、例えば第1バイアス電圧をギルバートセルの複数のトランジスタのソースに結合するよう構成されてよい例えば第2スイッチ(図406に図示しない)を含んでよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ406100は、コントローラ、例えば双方向IF増幅器406120、双方向ミキサ406130、及び/又は双方向RF増幅器406140の動作方向を、例えばトランシーバ406100のTxモード及び/又はRxモードに基づき切り替えるよう構成されてよい例えばコントローラ回路406160を含んでよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路406160は、例えばアップコンバージョンモードで第1バイアス電圧を電圧端子406131に印加するよう、及び例えばダウンコンバージョンモードで第2バイアス電圧を電圧端子406131に印加するよう構成されてよい制御線406161に動作可能に結合されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路406160は、例えばアップコンバージョンモードで第2バイアス電圧を電圧端子406132に印加するよう、及び例えばダウンコンバージョンモードで第1バイアス電圧を電圧端子406132に印加するよう構成されてよい制御線406162に動作可能に結合されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路406160は、例えば第1バイアス電圧を電圧端子406131に印加することと第2バイアス電圧を電圧端子406131に印加することとの間で例えば制御線406161を通じて切り替えることにより、及び第2バイアス電圧を電圧端子406132に印加することと第1バイアス電圧を電圧端子406132に印加することとの間で例えば制御線406162を通じて切り替えることにより、双方向ミキサ406130の方向を切り替えるよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路406160は、例えば第1バイアス電圧を例えば電圧端子406131を通じて双方向ミキサ406130の複数のトランジスタのドレインに印加することにより、及び第2バイアス電圧を例えば電圧端子406132を通じて双方向ミキサ406130の複数のトランジスタのソースに印加することにより、双方向ミキサ406130をアップコンバージョンモードに切り替えるよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路406160は、例えば第1バイアス電圧を例えば電圧端子406132を通じて双方向ミキサ406130の複数のトランジスタのソースに印加することにより、及び第2バイアス電圧を例えば電圧端子406131を通じて双方向ミキサ406130の複数のトランジスタのドレインに印加することにより、双方向ミキサ406130をダウンコンバージョンモードに切り替えるよう構成されてよい。
幾つかの例証的態様では、Txモードで、ベースバンド406100は、ベースバンド信号、例えばベースバンド信号406113を双方向IF増幅器、例えば双方向IF増幅器406120に供給してよい。例えば、双方向IF増幅器406120は、ベースバンド信号406113をTx IF信号406123へと増幅してよい。
幾つかの例証的態様では、Txモードで、双方向ミキサ406130は、Tx IF信号406123をIF端子406133において受信してよく、Tx IF信号406123をTx RF信号406143へとアップコンバートしてよい。
幾つかの例証的態様では、Txモードで、双方向RF増幅器406140は、双方向ミキサ406130のRF端子406139からのTx RF信号406143を受信してよく、Tx RF信号406143を例えば1つ以上のアンテナ406150により送信されてよいTx信号406153へと増幅してよい。
幾つかの例証的態様では、Rxモードで、双方向RF増幅器406140は、Rx信号406155を1つ以上のアンテナ406150から受信してよく、例えばRx信号406155をRx RF信号406147へと増幅してよい。
幾つかの例証的態様では、Rxモードで、双方向ミキサ406130は、Rx RF信号406147をIF信号406127へとダウンコンバートしてよい。
幾つかの例証的態様では、Rxモードで、双方向IF増幅器406120は、双方向ミキサ406130のIF端子406133からのRx IF信号406127をRxベースバンド信号406117へと増幅してよい。
図407を参照すると、幾つかの例証的態様による、半二重トランシーバ407100のブロック図を概略的に示す。
幾つかの例証的態様では、例えば後述のように、半二重トランシーバ407100は、Txモード及び/又はRxモードで動作するよう構成されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、例えば60GHz周波数帯域に渡りTx信号を送信し及びRx信号を受信するよう構成される例えば60GHzトランシーバを含んでよい。他の態様では、他の周波数帯域が使用されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、5Gセルラトランシーバを含んでよい。他の態様では、トランシーバ407100は、任意の他の種類のトランシーバを含んでよく、及び/又は任意の他の周波数帯域に渡りTx及び/又はRx信号を通信するよう構成されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、1つ以上のアンテナ407150を含んでよく又はそれに動作可能に結合されてよい。例えば、1つ以上のアンテナ407150は1つ以上のRF信号を送信し及び/又は受信するよう構成されてよい。例えば、アンテナ407150は、1つ以上のフェーズドアレイアンテナ、チップ内アンテナ、及び/又は任意の他の種類のアンテナを含んでよい。
幾つかの例証的態様では、例えば後述のように、半二重トランシーバ407100は、ベースバンド信号407110及び407015を生成し及び/又は処理するよう構成されてよいベースバンド407110を含んでよい。例えば、ベースバンド407110は、デジタルデータを処理するデジタルベースバンド及び/又は例えばアナログ信号を処理するアナログベースバンドを含んでよい。例えば、ベースバンド407110は、差動ベースバンド信号を処理するよう構成されてよい差動ベースバンドを含んでよい。
幾つかの例証的態様では、半二重トランシーバ407100は、例えばTxベースバンド信号407010を第1Tx IF信号407020及/又は第2Tx IF信号407025へと増幅するよう構成されてよいTx IF増幅器407120を含んでよい。
幾つかの例証的態様では、Tx IF増幅器407120は、例えば差動出力及び差動入力を有する差動IF増幅器を含んでよい。他の態様では、任意の他の差動及び/又は非差動IF増幅器が使用されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、例えば第1Rx IF信号407030及び/又は第2Rx IF信号407035をRxベースバンド信号407015へと増幅するよう構成されてよいRx IF増幅器407125を含んでよい。例えば、Rx IF増幅器407125は、例えば差動入力及び差動出力を有する差動IF増幅器を含んでよい。他の態様では、任意の他の差動及び/又は非差動IF増幅器が使用されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、例えば第1Tx IF信号407020及び/又は第2Tx IF信号407025をTx IF増幅器407120から双方向ミキサ407130へと分配し、例えば第1Rx IF信号407030及び/又は第2Rx IF信号407035を双方向ミキサ407130からRx IF増幅器407125へと分配するよう構成されてよいスプリッタ407127を含んでよい。例えば、スプリッタ407127の使用は任意であってよく、他の態様ではスプリッタ407127は含まれなくてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ407130は、第1Tx IF信号407020及び/又は第2Tx IF信号407025を第1Tx RF信号407040及び/又は第2Tx RF信号407045へとアップコンバートするよう、及び/又は例えば第1Rx RF信号407050及び/又は第2Rx RF信号407055を第1Rx IF信号407030及び/又は第2Rx IF信号407035へとダウンコンバートするよう構成されてよい。
幾つかの例証的態様では、双方向ミキサ407130は、差動双方向ミキサを含んでよい。
幾つかの例証的態様では、双方向ミキサ407130は、IF信号を入力し及び/又は出力する、例えば第1Tx IF信号407020及び/又は第2Tx IF信号407025を入力し及び/又は第1Rx IF信号407030及び/又は第2Rx IF信号407035を出力する例えばIF端子407133を含んでよい。
幾つかの例証的態様では、双方向ミキサ407130は、RF信号を入力し及び/又は出力する、例えば第1Tx RF信号407040及び/又は第2Tx RF信号407045を出力し及び/又は第1Rx RF信号407050及び/又は第2Rx RF信号407055を入力する例えばRF端子407134を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ407130は、第1制御線407060を介して第1バイアス電圧及び/又は第2バイアス電圧を受信する例えば第1電圧端子407131、及び第2制御線407065を介して第1バイアス電圧及び/又は第2バイアス電圧を受信する第2電圧端子407132を含んでよい。
幾つかの例証的態様では、例えば後述するように、半二重トランシーバ407100は、第1LO信号407070及び/又は第2LO信号407075を生成するLO407135を含んでよく又はに動作可能に結合されてよい。例えば、第1LO信号407070及び/又は第2LO信号407075は、60GHzの周波数及び/又は任意の他の周波数を有してよい。
幾つかの例証的態様では、例えば後述するように、第1LO信号407070及び/又は第2LO信号407075は、例えば第1Tx IF信号407020及び第2Tx IF信号407025をアップコンバートし、及び/又は第1Rx RF信号407050及び第2Rx RF信号407055をダウンコンバートする双方向ミキサ407130に適用されてよい。一例では、第1LO信号407070及び/又は第2LO信号407075は、差動信号として実装されてよい。
幾つかの例証的態様では、例えば後述のように、トランシーバ407100は、コントローラ、例えば双方向ミキサ407130の動作方向を切り替えるよう構成されてよい例えば制御回路407160を含んでよい。
幾つかの例証的態様では、例えば後述のように、制御回路407160は、例えばアップコンバージョンモードで例えば第1制御線407060を介して第1バイアス電圧を電圧端子407131に印加するよう、及び例えばダウンコンバージョンモードで第2バイアス電圧を電圧端子407131に印加するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路407160は、例えばアップコンバージョンモードで例えば第2制御線407065を介して第2バイアス電圧を電圧端子407132に印加するよう、及び例えばダウンコンバージョンモードで第1バイアス電圧を電圧端子407132に印加するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路407160は、例えば電圧端子407131に第1バイアス電圧を印加することと第2バイアス電圧を印加することとの間で例えば第1制御線407060を通じて切り替えることにより、及び/又は電圧端子407132に第2バイアス電圧を印加することと第1バイアス電圧を印加することとの間で例えば第2制御線407065を通じて切り替えることにより、双方向ミキサ407130の方向を切り替えるよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ407130は、第1Tx IF信号407020及び第2Tx IF信号407025を第1Tx RF信号407040及び第2Tx RF信号407045へとアップコンバートするよう、及び/又は第1Rx RF信号407055及び第2Rx RF信号407050を第1Rx IF信号407030及び第2Rx IF信号407035へとダウンコンバートするよう構成されてよい、例えばギルバートセル構成の中の複数のトランジスタを含んでよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路407160は、例えば第1バイアス電圧を例えば電圧端子407131を通じて双方向ミキサ407130の複数のトランジスタのドレインに印加することにより、及び/又は第2バイアス電圧を例えば電圧端子407132を通じて双方向ミキサ407130の複数のトランジスタのソースに印加することにより、双方向ミキサ407130をアップコンバージョンモードに切り替えるよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、コントローラ回路407160は、例えば第1バイアス電圧を例えば電圧端子407132を通じて双方向ミキサ407130の複数のトランジスタのソースに印加することにより、及び/又は第2バイアス電圧を例えば電圧端子407131を通じて双方向ミキサ407130の複数のトランジスタのドレインに印加することにより、双方向ミキサ407130をダウンコンバージョンモードに切り替えるよう構成されてよい。
幾つかの例証的態様では、半二重トランシーバ407100は、例えば第1Rx RF信号407050及び/又は第2Rx RF信号407155をRxTx増幅器407145から双方向ミキサ407130へと分配し、例えば第1Tx RF信号407040及び/又は第2Tx RF信号407045を双方向ミキサ407130からTx RF増幅器407140へと分配するよう構成されてよいスプリッタ407137を含んでよい。例えば、スプリッタ407130の使用は任意であってよく、他の態様ではスプリッタ407130は含まれなくてよい。
幾つかの例証的態様では、Tx RF増幅器407140は、例えば第1Tx RF信号407040及び/又は第2Tx RF信号407045をRF信号407060へと増幅してRF信号407060を1つ以上のアンテナ407150に供給するよう構成されてよい。例えば、Tx RF増幅器407140は、例えば差動入力及び差動出力を有する差動PAを含んでよい。
幾つかの例証的態様では、Tx RF増幅器407140は、例えば図390を参照して上述したようなアウトフェージング増幅器、例えば図387を参照して上述したようなドハティ増幅器、例えば図380を参照して上述したようなデジタル電力増幅器、及び/又は任意の他の増幅器を含んでよい。
幾つかの例証的態様では、Rx RF増幅器407145は、1つ以上のアンテナ407150からのRF信号407070を第1Rx RF信号407050及び/又は第2Rx RF信号407055へと増幅するよう構成されてよい。幾つかの例証的態様では、Rx RF増幅器407145は、入力及び差動出力を有する差動LNAを含んでよい。他の態様では、Rx RF増幅器407145は、非差動LNA、広帯域LNA、及び/又は任意の他のsy類のLNAを含んでよい。
図408を参照すると、幾つかの例証的態様による、双方向ミキサ408000のブロック図を概略的に示す。例えば、双方向ミキサ408000の1つ以上の要素及び/又はコンポーネントは、例えば図406を参照して上述したような双方向ミキサ406130、及び/又は例えば図407を参照して上述したような双方向ミキサ407130の部分として実装されてよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ408000は、第1RF信号408106を例えばRx RF増幅器、例えばRx RF増幅器407145(図407)から受信するよう、及び/又は第2RF信号408103を例えばTx RF増幅器、例えばTx RF増幅器407140(図407)へ供給するよう構成されてよい例えばRF端子408105を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ408000は、第1IF信号408166を例えばTx IF増幅器、例えばTx IF増幅器407120(図407)から受信するよう、及び/又は第2IF信号408163を例えばRx IF増幅器、例えばRx IF増幅器407125(図407)へ供給するよう構成されてよい例えばIF端子408160を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ408000は、例えば第1バイアス電圧408175、例えばVDD、及び/又は第2バイアス電圧408185、例えばVSSを、例えば双方向ミキサ408000がアップコンバージョンモード又はダウンコンバージョンモードで動作するかに基づき印加するよう構成されてよい、例えば第1電圧端子408170を含んでよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ408000は、例えば第1バイアス電圧408175、例えばVDD、及び/又は第2バイアス電圧408185、例えばVSSを、例えば双方向ミキサ408000がアップコンバージョンモード又はダウンコンバージョンモードで動作するかに基づき印加するよう構成されてよい、例えば第2電圧端子408180を含んでよい。
幾つかの例証的態様では、第1バイアス電圧408175は、正電圧、例えば1~5ボルトの範囲の電圧又は任意の他の電圧であってよく、及び/又は第2バイアス電圧408185は、ゼロ電圧及び/又はゼロに近い電圧であってよい。
幾つかの例証的態様では、例えば後述のように、双方向ミキサ408000は、例えば第1バイアス電圧408175、例えばVDDが第1電圧端子408170に印加されるべきであり且つ第2バイアス電圧408185、例えばVSSが第2電圧端子408180に印加されるべきであるとき、アップコンバージョンモードで動作するよう構成されてよい例えばミキシング回路408100を含んでよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えば第2バイアス電圧408185、例えばVSSが第1電圧端子408170に印加されるべきであり、且つ第1バイアス電圧408175、例えばVDDが第2電圧端子408180に印加されるべきであるとき、例えばダウンコンバージョンモードで動作するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えばダウンコンバージョンモードで、RF端子408106における第1RF信号408106を例えばIF端子408160における第1IF信号408163へとダウンコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えばアップコンバージョンモードで、例えばIF端子408160における第2IF信号408166を例えばRF端子408105における第2RF信号408103へとアップコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、トランジスタ408122、408124、408126、及び/又は408128を含む複数のトランジスタを含む例えばギルバートセル408120を含んでよい。
幾つかの例証的態様では、ギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128は、1つ以上のFETを含んでよい。
幾つかの例証的態様では、ギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128は、例えばアップコンバージョンモードで例えば第2IF信号408166を第2RF信号408103へとアップコンバートするよう、及び/又は例えばダウンコンバージョンモードで例えば第1RF信号408106を第1IF信号408163へとダウンコンバートするよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えばギルバートセル408120の複数のトランジスタのドレイン、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインをRF端子408160に及び第1電圧端子408170に結合するよう構成されてよい例えば第1変圧器408110を含んでよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えばギルバートセル408120の複数のトランジスタのソース、例えばトランジスタ408122、408124、408126、及び/又は408128のソースをIF端子408160に及び第2電圧端子408180に結合するよう構成されてよい例えば第2変圧器408130を含んでよい。
幾つかの例証的態様では、例えば後述のように、ミキシング回路408100は、例えばLO信号408136を例えばギルバートセル408120の複数のトランジスタのゲート、例えばトランジスタ408122、408124、408126、及び/又は408128のゲートに結合するよう構成されてよい例えばLO端子408132を含んでよい。
幾つかの例証的態様では、LO端子408132は、ギルバートセル408120にLO信号408136の正LO信号(LO+)成分及び/又は負LO信号(LO-)成分を適用するよう構成されてよい。
幾つかの例証的態様では、第1変圧器408110は、例えばダウンコンバージョンモードで、例えば第1RF信号408106及び第2バイアス電圧408185、例えばVSSをギルバートセル408120の複数のトランジスタのドレイン、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、例えば、ギルバートセル408120は、例えばダウンコンバージョンモードで、第1RF信号408106をLO信号408136と混合して、混合RF信号をギルバートセル408120の複数のトランジスタのソース、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに供給するよう構成されてよい。
幾つかの例証的態様では、第2変圧器408130は、例えばダウンコンバージョンモードで、例えばギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のソースにおける混合RF信号を第2IF信号408163へと結合するよう構成されてよい。
幾つかの例証的態様では、例えば後述のように、第2変圧器408130は、例えばアップコンバージョンモードで、例えば第2IF信号408166及び第2バイアス電圧408185、例えばVDDをギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに供給するよう構成されてよい。
幾つかの例証的態様では、例えば、ギルバートセル408120は、例えばアップコンバージョンモードで、第2IF信号408166をLO信号408136と混合して、混合RF信号をギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに供給するよう構成されてよい。
幾つかの例証的態様では、第1変圧器408110は、例えばアップコンバージョンモードで、例えばギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインにおける混合IF信号を第1RF信号408103へと結合するよう構成されてよい。
幾つかの例証的態様では、ミキシング回路408100は、例えば第1電圧端子408170に動作可能に結合されてよい第1スイッチ408140を含んでよい。例えば、アップコンバージョンモードで、第1スイッチ408140は、第1バイアス電圧408175、例えばVDDを、ギルバートセル408120の複数のトランジスタのドレイン、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに結合してよい。例えば、例えば後述のように、ダウンコンバージョンモードで、第1スイッチ408140は、第2バイアス電圧408185、例えばVSSを、ギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに結合してよい。
幾つかの例証的態様では、制御信号408190は、第1バイアス電圧408175、例えばVDD、又は第2バイアス電圧48185、例えばVSSを、ギルバートセル408120に第1変圧器408110を介して選択的に印加するよう第1スイッチ408140を制御するよう構成されてよい。
幾つかの例証的態様では、第1スイッチ408140は、例えばアップコンバージョンモードで第1バイアス電圧408175、例えばVDDを例えば第1電圧端子408170からギルバートセル408120の複数のトランジスタのドレイン、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに結合するよう構成されてよい複数のトランジスタを含んでよい。
幾つかの例証的態様では、第1スイッチ408140は、例えばダウンコンバージョンモードで第2バイアス電圧408175、例えばVSSを例えば第1電圧端子408170からギルバートセル408120の複数のトランジスタのドレイン、例えばトランジスタ408122、408124、408126、及び/又は408128のドレインに結合するよう構成されてよい複数のトランジスタを含んでよい。
幾つかの例証的態様では、ミキシング回路408100は、例えば第2電圧端子408180に動作可能に結合されてよい第2スイッチ408150を含んでよい。例えば、アップコンバージョンモードで、第2スイッチ408150は、第2バイアス電圧408185、例えばVSSを、ギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに結合してよい。
幾つかの例証的態様では、ダウンコンバージョンモードで、第2スイッチ408150は、第1バイアス電圧408175、例えばVDDを、ギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに結合してよい。
幾つかの例証的態様では、制御信号408195は、例えば第1バイアス電圧408175、例えばVDD、又は第2バイアス電圧408185、例えばVSSを、ギルバートセル408120に第2変圧器408130を介して印加するよう第2スイッチ408150を制御するよう構成されてよい。
幾つかの例証的態様では、第2スイッチ408150は、例えばダウンコンバージョンモードで第1バイアス電圧408175、例えばVDDを例えば第2電圧端子408180からギルバートセル408120の複数のトランジスタのソース、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに結合するよう構成されてよい複数のトランジスタを含んでよい。
幾つかの例証的態様では、第2スイッチ408150は、例えばアップコンバージョンモードで第2バイアス電圧408175、例えばVSSを例えば第2電圧端子408180からギルバートセル408120の複数のトランジスタのソース、例えばトランジスタ408122、408124、408126、及び/又は408128のソースに結合するよう構成されてよい複数のトランジスタを含んでよい。
幾つかの例証的態様では、例えば後述のように、第1スイッチ408140及び/又は第2スイッチ480150は、例えばギルバートセル408120の複数のトランジスタに印加されるべき第1及び第2バイアス電圧の間で切り替えるよう構成されてよい例えば複数のトランジスタを含んでよい。
例えば、図408に示すように、スイッチ408150の複数のトランジスタは、トランジスタ408156及びトランジスタ408153を含んでよい。例えば、例えば後述のように、トランジスタ408156は第1バイアス電圧408175、例えばVDDを例えばギルバートセル408120の複数のトランジスタに印加するよう構成されてよい。例えば、例えば後述のように、トランジスタ408153は第2バイアス電圧408175、例えばVSSを例えばギルバートセル408120の複数のトランジスタに印加するよう構成されてよい。
例えば、トランジスタ408156は、トランジスタ408156のゲートにおける制御信号408195の電圧レベルが例えば1~5ボルトの範囲内にあり得るとき、例えば第1バイアス電圧408175、例えばVDDをギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128に印加するよう構成されてよい。例えば、トランジスタ408153は、トランジスタ408153のゲートにおける制御信号408195の電圧レベルが例えば1~5ボルトの範囲内にあり得るとき、例えば第2バイアス電圧408185、例えばVSSをギルバートセル408120の複数のトランジスタ、例えばトランジスタ408122、408124、408126、及び/又は408128に印加するよう構成されてよい。
幾つかの例証的態様では、トランジスタ408153及び/又は408156はFETを含んでよい。他の態様では、トランジスタ408153及び/又は408156は任意の他の種類のトランジスタを含んでよい。
mmWave RFEMの課題は、高アンテナゲインを有する完全な又はほぼ完全な指向性カバレッジを提供することである。通常、これは、ビームステアリングを提供するフェーズドアレイアンテナにより達成される。しかしながら、(平面パッチアンテナのアレイのような)フェーズドアレイアンテナの使用は、それ自体では限られた角度カバレッジしか提供しない。ビームステアリングはエネルギーを対象受信器へ直接向けるのに役立ち得るが、単純なアレイはビームステアリングのステアリング角度のカバレッジを制限してしまう。さらに、RF信号の極性も、少なくともモバイルデバイスへ送信するときに、モバイルデバイスの位置又は方向が保証できず、送信信号の極性がモバイルデバイスの位置又は方向に適切に一致しないことがあるために最適より悪い信号受信をもたらすという理由で、mmWave RFEMの課題である。
これらの課題は、ここに記載の態様において解決される。本開示の幾つかの態様では、パッチアンテナが使用される。パッチアンテナは、アンテナ素子パターンを印刷回路基板(PCB)のような絶縁誘導体基板に接合された金属トレースにエッチングすることにより製造される狭帯域幅広ビームアンテナを含む。幾つかの場合には、アンテナの接地面は、接地面を形成し得る基板の反対側に接合された連続的又はほぼ連続的な金属層を用いて形成できる。他の場合には、アンテナの接地面は、アンテナ素子パターンと同じ側に接合された連続的又はほぼ連続的な金属層を用いて形成できる。
本開示の幾つかの態様では、接地されてよい1つ以上の集積回路(IC)シールドカバーが、アンテナ接地面として使用されてよい。このようなシールドカバーの接地面としての使用は、パッチアンテナを制限せず、モノポールアンテナ、ダイポールアンテナ、及びこれらの全部又は一部の組み合わせにも適用可能である。
パッチアンテナの議論を続けると、パッチアンテナは、RF送信のための回路基板に印刷された金属ストリップであり得るマイクロストリップの使用により実装されてよい。一般的なマイクロストリップアンテナ形状は、正方形、長方形、円形、及び楕円形であるが、任意の連続的形状が可能である。本開示の幾つかの態様では、パッチアンテナは、誘電性基材を使用せず、代わりに誘電性スペーサを用いて接地面の上方に取り付けられた金属パッチで構成される。金属パッチは、一方で接地面として機能するシールドに出来る限り近く(他のシステム要件に相応して)取り付けられ得る。構造は、上記で示唆した狭帯域より広い帯域幅を提供するよう実装されてよい。このようなアンテナは非常に低いプロファイルを有し、機械的に頑丈であり、システムの必要に従うよう形状を定められるので、それらはモバイル無線通信デバイスに組み込むことができる。
本開示の幾つかの態様では、アンテナは厳しい空間的制限を前提とし得る。例えば、アンテナがUEのようなモバイルデバイスの一部として使用されるとき、アンテナは、電気ボードの間取図面積に対する制限及び/又は高さ制限を生じ得るモバイルデバイスの厚さに対する制限のような空間制限を受け得る。
空間制限を解決するために、本開示の幾つかの態様では、アンテナは、アンテナの幾つかの要件を満たすためにICシールドを接地面として使用し得る。例えば、ICシールドは、任意の事象におけるシステム要件であってよく、モバイルデバイス内への反射による放射電力損失が低い又は最小である、モバイルデバイスから放射を主に外へ向ける放射パターンを提供するために使用できる。これは、アンテナが所望の放射を提供すると同時に、幾つかの例ではGLONASS(Globalnaya Navigatsionnaya Sputnikovaya Sistema)の要件に起因する限られた空間の制限内で動作することを可能にする。
本開示の幾つかの態様では、アンテナは1つ以上のSMDとして統合できる。多くの場合、SMDアンテナは薄い厚さを有することができ、これはモバイルデバイスの厚さ要件に従うのに役立つ。SMD自体が、本特許の文脈では、SMD上に又はその内部にアンテナ又は給電線を印刷する又は他の方法で固定するのに適する材料を有してよい。SMDは、無線周波数集積回路(RFIC)を収容する主パッケージの材料と同様の高周波数材料であってよい。特定のソリューションに依存して、材料は、主パッケージと同じものに又は異なる誘電率を有するよう選択され得る。例えば図46の積層パッチソリューションを最適化し又は向上するために、誘電率は主パッケージの誘電率より低くなるよう選択できる。標準的に、良好なアンテナ性能のためには、低誘電率及び低誘電正接(loss tangent)が望ましい。本開示の幾つかの態様では、モバイルデバイスの厚さより小さい高さを有するSMDアンテナは、使用されていないオンボードの利用可能な高さを生かすことができる。例えば、アンテナは、モバイルデバイス内にあるSMD上に及び/又はその内部に実装されてよく、さもなければモバイルデバイス内にはアンテナのための十分な床面積がないだろう。本開示の幾つかの態様では、SMDアンテナは、印刷回路基板(PCB)にはんだ付けできる。
さらに、本開示の幾つかの態様では、所望の送信又は受信信号の給電の配置は、有利なことに、前述の及び他の目的のために使用できる。例えば、給電は、図52B及び52Cに関して後述するように、インピーダンス整合のために使用できる。
本開示の幾つかの態様では、アンテナ種類は、単一偏波を提供してよい。本開示の幾つかの態様では、アンテナ種類は、偏波共用を提供してよい。一般に、幾つかの偏波方向が達成のために試される。この問題は、以下に開示されるアンテナ構造及び組み合わせにより解決され又は少なくとも改善され得る。
本開示の幾つかの態様では、アンテナは、単一、二重、又は複数偏波能力を提供してよい。本開示の幾つかの態様では、異なる種類の複数のアンテナが、角度カバレッジ及び偏波カバレッジを提供するために使用される。本開示の幾つかの態様では、複数の異なるアンテナ種類が、単独で、又はアンテナのフェーズアレイの組み合わせにおけるように互いに関連して動作する。
本開示の幾つかの態様では、フェーズドアレイアンテナ内に実装される複数の異なるアンテナ種類が、互いに関連して動作しているとき、それらは、コントローラにより及び/又はコードブックにより制御されてよく、被制御アレイが、モバイルデバイスにおいて受信した偏波信号の強度に依存して必要に応じて垂直、水平、及び/又は多様な偏波を提供できるようにする。
本開示の幾つかの態様では、モバイルデバイスにおいて受信した偏波信号の強度は、アンテナ又はアンテナアレイコントローラにフィードバックされ、本開示の幾つかの態様ではUEであってよい受信器において適切な偏波を提供するアンテナアレイを順番に始動する又は給電するよう制御し、それにより全体的な角度カバレッジを向上する。したがって、本開示の幾つかの態様では、複数の異なるアンテナ種類が、1つ以上の方向で偏波カバレッジを提供するために一緒に動作されてよい。これらの利点は、本文書の説明において以下で一層明確にされる。
低コスト平面アレイの使用は、スキャニング制限のために縮小されたカバレッジ及び劣化したサービスをもたらすだろう。カバレッジは、追加コストで追加アンテナアレイを追加することにより復旧できる。ミリメートル波周波数における追加アンテナアレイは、少ない追加コストでカバレッジを拡張し、超高データレート通信のための低コストシステムを可能にできる。
幾つかの態様では、ここに記載されるアンテナ(又はアンテナ関連)回路は、図3Aに示すmmWave通信回路のアンテナアレイ30に組み込むことができる。しかしながら、アンテナ回路はこれに限定されない。
図409Aは、本開示の幾つかの態様による、アンテナ40905を含むフェーズアレイ40903に結合されるRFIC40901内にあってよいトランシーバを40900で示す。トランシーバは、本開示の幾つかの態様では60GHz無線で動作してよいRFIC40901を含む。フェーズアレイ構成は、60GHzにおける伝搬損失を克服するため、及び長距離に渡る数Gb/s通信を可能にするために使用される。RFIC40901は、基材40907上に位置するパッチ又は他の種類のアンテナであってよいアンテナ40905を含むフェーズアレイ40903に結合されてよい。しかしながら、このようなフェーズアレイトランシーバは、所要システム仕様を超えてローブ性能劣化を生じることなくビームがスキャンされ得る角度を含む、限られたカバレッジ角度に悩まされ得る。
図409Bは、本開示の幾つかの態様による、元のカバレッジ角度を有するアンテナアレイを示す。元のカバレッジ角度は、ビームAとBとの間の角度40911を含み得る。一般に、アンテナアレイのカバレッジ角度は、理想的な180度(半空間)より小さい。この限られたカバレッジ角度は、アンテナアレイを使用する通信システムのサービスを劣化させる傾向がある。
本開示の幾つかの態様では、アンテナアレイの通信パス内に配置されたマイクロ波要素は、カバレッジ角度を向上できる。図409Cは、本開示の幾つかの態様による、フェーズドアレイアンテナと関連して使用されるマイクロ波要素を示す。マイクロ波要素(例えば、レンズ40913)は、ビームを屈折させ、アンテナアレイのカバレッジ角度を角度40911(ビームAとBとの間)から角度40915(屈折ビームA1とB1との間)に拡張するよう構成される。これは、同じ数のアンテナアレイを有する通信システムについて良好な空間カバレッジをもたらす。
図409Cでは、単純なレンズ40913(例えば、プリズム)がアンテナアレイの上に配置される。レンズ40913のεr(誘電率)は空気より高いので、ビームAは、一直線(A2)に続くのではなく、レンズのより近くに屈折されてビームA1になる。アレイのカバレッジ角度の他方にあるビームBも同様である。レンズによるカバレッジ角度(A1とB1との間の角度40915)は、元のカバレッジ角度(A2とB2との間の角度40911、図409B及び409CのビームAとBとの間の角度でもある)より大きいことが分かる。この増大した角度は、無線システムのための増大したカバレッジ、及びより小さな停止確率に形を変える。
本開示の幾つかの態様では、マイクロ波要素は、所望の方向への電磁放射のフォーカスを可能にする任意のレンズアセンブリ又はレンズシステムを含み得る。レンズは安価であり得る。本開示の幾つかの態様では、レンズの変則性は、大部分の指向性ミリメートル波システムにおいて存在するビームフォーミングトレーニングにより対処される。本例におけるビームフォーミングトレーニングは、所望の方向を指す最適ビームの生成を可能にするアルゴリズム及び/又は手順を意味し得る。一例として、試験用デバイス(device under test)(DUT)が送信器(TX)として構成されるとき、DUTのビームを較正するために基準受信器が使用できる。DUT TXビームは、各DUTアンテナ素子におけるTX信号の重み(位相及び可能な振幅)を調整して、基準受信器における信号が最大化される又は高度に向上されるようにすることにより、調整できる。これは、RFICにおける並びにアンテナ及びレンズにおけるTXの非理想的性質を補償し得る。平面フェーズアレイは最も低コストなソリューションをもたらし得るので、議論において平面フェーズアレイが想定されている。しかしながら、当業者は他の種類のフェーズアレイが使用されてよいことを認識する。
本開示の幾つかの態様では、マイクロ波要素は反射体を含み得る。図409Dは、本開示の幾つかの態様による、フェーズドアレイアンテナと関連して使用されて、放射ビームを屈折させ、カバレッジ角度を拡張する、凸状反射体40923を示す。図409B及び409Cにおけるように、アンテナフェーズアレイは、ビームAとBとの間の角度40911を含む、元の縮小したカバレッジ角度を有する。角度40911は、スキャニングによりカバーされる限られたカバレッジ角度を表す。ビームA及びBは、凸状反射体40923に反射され、結果として、元のカバレッジ角度(ビームAとBとの間の角度40911)より広いカバレッジ角度(例えば、ビームA1とB1との間の角度40915)を生じる。
本開示の幾つかの態様では、マイクロ波要素はレンズ40913及び反射体40923の組み合わせを含み得る。非反射アレイの組み合わせ(例えば、非反射体を有するアレイ)から生じる比較的小さなビームステアリングは、反射体40923による反射後により大きなカバレッジ角度に対応する。例えば、図409Dの態様において反射体40923からの反射後に生じる角度40915は、反射体からの反射前の角度40911より大きい。したがって、フェーズアレイが約プラス又はマイナス45度のステアリングに制限される場合、本開示の幾つかの態様では、反射体は、この角度範囲をプラス又はマイナス90度にまで増大できる。
本開示の幾つかの態様では、凸状反射体40923は球形反射体を含む。凸状反射体40923はシステム要件に従うよう設計され得る。幾つかの例では、凸状反射体40923は、様々な種類の反射体曲率を使用でき、システム要件を満たすためにフェーズアレイからの様々な距離に配置できる。
本開示の幾つかの態様では、凸状反射体40923は、過度の実験を伴わずに非線形ビーム拡張を提供するよう構成され得る。ここで、反射後のカバレッジ角度はビームステアリングの増大と共に増大する。一例として、非反射の例と比べて1.5倍の初期増大反射カバレッジが達成される場合、フェーズアレイビームステアリング範囲の限度に近付くビームステアリングは、2倍以上の増大した反射カバレッジを達成し得る。したがって、ビームステアリングの増大に起因する増大したカバレッジを示す。この向上したカバレッジは、より小さなステアリング角度におけるステアリング角度分解能の過度な量の犠牲を伴わず、利点である。この非線形ビームカバレッジ拡張は、異なる種類の反射体曲率について、反射体からのフェーズアレイの様々な距離に対するビームステアリングの量の関数としてプロットできる。
60GHz又は28GHzのようなmmWave周波数を通信のために使用するとき、比較的高いアンテナゲインが使用される。一方で、高いアンテナゲインが単一ビームパラボラアンテナにより得られ、このようなアンテナは高価であり動作のために相当な電力を必要とする。
この問題を解決するために、RFEMは、アンテナ(例えば16個の素子)のフェーズアレイ、又は複数のこのようなフェーズアレイを、カセグレン(Cassegrain)又は一態様では印刷反射体アンテナのような他の種類の反射体アンテナの実質的に焦点において、使用するよう構成され得る。1つの効果は、焦点において、送信信号が反射体自体を用いて増幅され、結果としてより高いゲインを有するより焦点のあったビームを生じることである。さらに、一態様ではパッチアンテナの1つより多くのフェーズアレイが反射体アンテナの焦点に又はその近くに配置される場合、後に更に詳しく議論するように、同じアンテナ又は反射体からセクタ化された複数のスキャン領域が生じる。配置に関して、実装がmmWave周波数のためであるとき、RFEMは、本開示の幾つかの態様では、目的が焦点を照射することである現在の使用における大型アンテナの固定具と類似するがそれより遙かに小さな、アームのような固定具を通じて搭載されて、中央給電で複数のRFEMの位置を可能にする。本開示の幾つかの態様における代替の配置は、カセグレンディッシュ又は印刷反射体を囲む、少数の(場合によっては2個)小さな且つより短いアームを用いてよい。PAFの配置において許容範囲が考慮されるべきである。
幾つかの態様では、許容範囲は、所望の性能を得るために、正確な中心(又は本開示の幾つかの態様では下部)から約5%~10%の距離であると考えられる。位置が前述の許容範囲内にない場合でも、システムは、依然としてここに記載のように動作するが、性能において線形劣化が存在し得る。カセグレンアンテナ又は印刷反射体アンテナが使用されるかは、トレードオフであり得る。カセグレンアンテナは、印刷反射体アンテナより高いゲイン(及び範囲)を提供できるが、カセグレンアンテナは印刷反射体より遙かに大きく、重く、且つ高価である。多くはシステム要件に依存する。本開示の幾つかの態様では、媒体範囲だけが要求され、これらの態様では、印刷反射体がより良い選択であってよい。
5GmmWaveのようなフェーズアレイ通信システム及びこれらの技術において実装されるWiGigアクセスポイント及び基地局は、マルチセクタ及びマルチユーザカバレッジを提供するという目的を湯usル。ここで議論される態様は、マルチセクタ及びマルチユーザカバレッジのためのmmWaveフェーズドアレイアンテナの実装で低コスト、高実効輻射電力(Equivalent Isotropic Radiated Power)(EIRP)を可能にする。セクタは、mmWaveアレイのビーム走査が効果的であるアジマスにおける角度範囲(標準的にはプラス又はマイナス60度)を含む。さらに、開示の実装は、(セクタ毎に配置される)単一アレイのマルチ周波数能力を提供することを目的とする。これは、図410~415に示されるように、3個(以上)の別個のmmWaveフェーズアンテナアレイを反射体に基づくアンテナの給電領域内に物理的に搭載することにより達成できる。これらのフェーズアレイは、以下では「フェーズアレイフィーダ(Phased-Array-Feeders)」(「PAF」)として参照されることがある。各アンテナアレイはアンテナアレイの最適給電位置に対して異なる位置に配置されてよいので、各アンテナアレイのビーム走査パターンは、後述の図416のセクタ化で見られるように異なるように傾けられる。
しかしながら、アンテナアレイがカセグレン又は反射体アレイの中央に置かれる場合、mmWave周波数において、mmWaveアンテナアレイ自体、及び該mmWaveアンテナアレイの保持機構が反射体の放射を損なうので、問題が生じる。これは、mmWaveの高い周波数においては、例えば約5GHzの周波数を有する低周波数アレイと反対に、基本的にいかなる障害物も、木又はプラスチックのような非金属物体でさえも、通信を実際に遮り又はその他の場合には干渉するために生じ得る。したがって例えば、ディッシュの真ん中に適合する小さなアンテナアレイのための比較的大きな機械的ホルダーを設置することは、放射の減損を生じることがある。1つの解決策は、アンテナアレイを実質的に焦点に置くことである。この問題を改善する別の方法は、フェーズアレイを反射体の側面又は底面に傾けて置き、ビームが反射体の焦点に当たるよう、及び照射が反射体の焦点に位置するビームをエミュレートするようにすることである。
図410は、アンテナアレイがカセグレンアレイ又は反射体アレイの底面に配置されるときの、フェーズアレイ/反射体の組み合わせの動作を示す。ここで、図410は、小さなフェーズアンテナアレイを使用することにより、ビームが基本的に反射体又はカセグレンアンテナの焦点に当たるよう、ビームが方向付けられることを示す。
本開示の幾つかの態様では、高アンテナゲインを有するマルチセクタアンテナアレイは、大規模アンテナアレイ(Massive-Antenna-Arrays)を用いて実装できる。大規模アンテナアレイは、使用されることの多い8、16、32、又は64個の要素アレイより遙かに多くの番号付けされたアンテナ素子を有する1つのアレイのコヒーレントな組み合わせを含み、又は複数のアレイを含み、いずれの場合も高ゲインビームを生成する。このような素子の数は、本開示の幾つかの態様では、数百の範囲であり得る。次に、方向態様毎のこのようなマルチアレイの割り当て(例えば、互いに60度に物理的に位置付けられた3個のマルチアンテナアレイ)は、図410~415に示した3個のPAFの構成とそっくりに実装され得る。
反射体の焦点に又はその近くに配置される複数のフェーズアレイフィーダの更なる利点は、例えば以下を含む:
a.各セクタのアンテナの寸法を拡大することなく、単に追加PAFを追加することによって、簡単な形状因子でセクタを追加する;
b.スループット又は実効輻射電力(EIRP)の劣化を伴わずユーザを追加する(例えば、異なるセクタ内で2つの異なるフェーズアレイフィーダ(PAF)が作動し、大規模アンテナアレイのような他の方式では、各ユーザがアレイ要素の半分を得る);
c.反射体を変更することによりEIRPがより高くなる;
d.より多くのセクタを生成するためにフェーズアレイフィーダ(PAF)を追加することは、各PAFが「独立」であるために、熱問題を生じない。
アンテナアレイが反射体に基づくアンテナの給電部に配置される場合には、そのビーム走査能力の一部は依然として保存される。本開示の幾つかの態様では、アンテナアレイが(反射体アレイの給電部に搭載されずに)開放空気中で使用される場合、そのプラス又はマイナス3dBの標準的走査範囲は、約プラス又はマイナス60度である。このようなアレイが反射体に基づくアンテナの給電部に搭載されると、走査範囲は(約)プラス又はマイナス30度にまで縮小される。ゼロ度基準点に対する走査範囲は、反射体アンテナの中のアンテナの物理的位置に依存して変化する。
アレイが反射体の理想的焦点の近くに搭載されるほど、その走査範囲はより対称的になり、(ゼロアジマス付近の)-30~+30度の範囲になり得る。アンテナアレイが理想的焦点から遠く配置されると、その走査範囲は、(焦点からのアンテナの距離に比例する)異なる角度に中心を置く。各フェーズアレイフィーダは、1つの周波数で又は複数の周波数(例えば、それらは2の倍数なので、60GHz及び28GHz)で動作できる。
マルチ給電部は、本開示の幾つかの態様によると、図410、412、414に示すような印刷反射体アンテナアレイ、又は図411、413、415に示すようなパラボラ形状のカセグレンアンテナに搭載できる。
図410は、本開示の幾つかの態様による、第1構成の印刷反射体と関連して使用される複数のフェーズアレイを示す。図410では、参照符号は印刷反射体41010を示し、3つのフェーズアレイ41020、41030、41040がアンテナの下部に配置される。ここに記載の態様は、2つのノード又はエンドポイント間の通信のようなポイントツーポイント通信で使用され得るので、フェーズアレイは、送信モードで又は受信モードで使用されてよく、タイミングはシステム動作に従い制御される。フェーズアレイ41020、41030、41040は、送信モードで反射体41000に向けて送信していてよい。側面図に、受信モードが作動しているときの入射ビーム41050、41060、41070が示される。反射体41010は、正面図(反射体の中を見ている)、側面図(反射体の側面から見ている)、及び上面図(反射体の上から下方向に見ている)に示される。これらの図面は、記載を容易にするために機械製図の観点で、伝統的に正面図、側面図、及び上面図と命名される。しかしながら、モバイルデバイス内に組み合わせが存在する場合、図面は異なる方法で命名されてよい。例えば、図410で正面図と呼ばれるものがモバイルデバイスの中を下方向に見るものに対応し、図410で上面図と呼ばれるものが反射体の下部領域を称するものを見ていてよい。図410に示す図面は、図411~415にも該当する。
図411は、本開示の幾つかの態様による、第1構成41100の、つまりカセグレンアンテナの底面にあるカセグレンアンテナと関連して使用される複数のフェーズアレイを示す。
図412は、本開示の幾つかの態様による、第2構成41200の、つまり中央領域にある印刷反射体と関連して使用される複数のフェーズアレイを示す。上面図は、反射体の上から下を見ている図を示す。アレイセクタ1及びアレイセクタ3は、基本的に適切な大きさに描かれるが、アレイセクタ2はアレイセクタ2を図面内の他の2つのアレイと区別するために小さく描かれる。図413、414、415は、上面図におけるアレイセクタ1、アレイセクタ2、及びアレイセクタ3に関して同様である(又は同様に描かれる)。
図413は、本開示の幾つかの態様による、第2構成41300の、つまり中央領域にあるカセグレンアンテナと関連して使用される複数のフェーズアレイを示す。
図414は、本開示の幾つかの態様による、第3構成41400の、つまり反射体の周囲にある、印刷反射体と関連して使用される複数のフェーズアレイを示す。
図415は、本開示の幾つかの態様による、第3構成41500の、つまり反射体の周囲にある、カセグレンアンテナと関連して使用される複数のフェーズアレイを示す。
本開示の幾つかの態様において、3つのアレイ、アレイセクタ1、アレイセクタ2、及びアレイセクタ3は、RFEMを含み又はその部分である。RFEMは、実際には、図410~415に示すように反射体の底面に位置しない。代わりに、それらは、図中に示されない機械的アームにより反射体の中に又はその中心近くに保持される。
各フェーズアレイフィーダの異なる物理的位置は、各アンテナアレイから特定角度だけシフトされたセクタ化された走査パターンを生成し、高ゲインのセクタ化されたような配置を生成する。理想的には、このようなアレイの各走査パターンの中心の間のバイアスは、図416に示すように60~90度の程度であるべきである。図416は、本開示の幾つかの態様による、反射型アンテナと関連して使用される複数のフェーズアレイから生じるセクタ化41600の上面図を示す。mmWave周波数では、アンテナアレイからのビームは、概念的にはレーザビームのように非常に狭く、セクタに渡りスキャンされ得る。ナロービームは41601で示され、セクタに渡るビーム走査は両矢印により示される。
図417は、本開示の幾つかの態様による、セクタ化走査領域の各セクタにおける走査を示す。X軸は、反射体アンテナに焦点を当てるアンテナアレイからのビームの走査角度である。Y軸は、ビームの振幅である。ここでの議論は、セクタ1に関するが、セクタ2及びセクタ3(及びフェーズアレイフィーダの数に依存して、追加セクタ)についての動作も同様である。セクタ1では、走査ビームの振幅の変動がある。この議論で与えられる数値は、単なる例であり、実際にテストされる数値を表さない。
ビーム振幅41701は、ビームが例えばボアサイトに比べてマイナス50度まで調整されているとき、生じる。ビーム振幅41703は、ビームが例えばボアサイトに比べてマイナス20度近くに調整されるとき、生じる。ビーム振幅41705は、ビームが例えばボアサイトに比べてマイナス10度まで調整されているとき、生じる。ビーム振幅41707は、ビームがセクタ1のボアサイトに調整されるとき生じる。各ビーム調整角度の振幅変動について、ビームがスキャンされるとき、振幅減衰が存在する傾向がある理由は、フェーズアレイフィーダを含むパッチの様々な物理的特徴による。
ここで議論されるPAF設計はリンクの利点も提供する。(例えば、1つのフェーズアレイフィーダのみを有し、アレイ内に多くのアンテナ素子を備える態様において)1つのセクタのみが使用される例を考える。PAFがプラス又はマイナス90度の範囲で走査される場合、或いはプラス又はマイナス80度の縮約範囲を走査する場合、アレイビームの特定の減衰量が、多くの場合「両側において」(又は「ボアサイトから非常に大きな角度」)と言及される、大きな角度において生じる。ボアサイトにおける高ゲインのアンテナであっても、両側で非常に粗悪なゲインしか提供できない(又は高い劣化を示し得る)。しかしながら、ここに記載のPAFによると、両側の劣化は、マイナス3dB程度であり、多くの目的のために許容可能な劣化である。したがって、反射体アンテナへのフィーダとして使用されないフェーズアレイと比べて、記載のPAFアプローチによるとリンク割り当て(budget)の利益もある。
言い換えると、多くの場合、底面の中央にフェーズアレイを配置することは有利である。したがって、ビーム給電により、60GHzにおいて伝搬がレーザビームと非常に類似し、したがって良好に合焦され、ビーム供給の使用がビームの角度をシフトし、上述の現象が生じるようにするので、ビームは焦点に当たる。さらに、後述のように、図410~415のように複数のアレイが、異なる走査セクタを生成するために使用できる。
纏めると、フェーズドアレイアンテナは、高ゲインを生じるディッシュ又は反射体アンテナの焦点に置くことができる。フェーズドアレイアンテナを焦点に配置できるだけでなく、さらに、ディッシュにより又は反射体により生成される信号とあまり干渉しないために、反射体の底面に配置できる。さらに、複数のフェーズアレイは、ディッシュの中央に配置でき、ビームが焦点を狙うとき、セクタ化された放射が各フェーズアレイについて反射体の正面の特定領域において生成され、これはまた目標へのセクタ化された放射を生成する。上述のように、同じ現象は、フェーズアレイが反射体の底面又は周囲に配置されるときに生じる。
本開示の幾つかの態様では、PAF設計はマルチユーザをサポートできる。システムが複数のユーザをサポートするよう設計される場合、各ユーザへの送信を低下させる(結果として全体的な信号品質を低下させる)代わりに、追加フィーダが同じ反射体に追加され、1つの場所における過度の熱消散のような問題に直面することなく、システム容量及びシステムのサポート可能なユーザ数を増大できる。3つのPAFが図410~416に示されたが、追加ユーザが追加されるとき、PAFを介して送信中の送信器からの信号を受信するために、追加PAFが追加可能である。例えば、図415のように、3つのPAFが焦点のような点の周囲の正三角形配置で使用される場合、4個のPAFが該点の周囲の正方形配置で使用されてよい。5個のPAFが同様に(該点の周囲の星形五角形のような配置で)配置されてよい。
この種の反射体/フェーズアレイの1つの用途は、このようなシステムがアクセスポイントに実装されることであってよい。1つのアクセスポイントは、別個のフィーダを有して各セクタの容量を増大するために、別個の異なるセクタに又は各セクタ内でカバレッジを分けることにより、多くのユーザをサポートできる。
偏波に関して、ポイントツーポイントシステムでは、反射体/フェーズアレイが垂直偏波フィーダ(Vフィーダ)及び水へ偏波フィーダ(Hフィーダ)を備えることは極めて一般的である。本開示の幾つかの態様では、上述のVフィーダ及びHフィーダを備える反射体は、垂直偏波及び水平偏波の両方をカバーできる。システムは、垂直偏波又は水平偏波で、又は垂直偏波及び垂直偏波と直交する水平偏波の両方で送信できる。以下に更に詳細に議論するように、一般的にフェーズアレイ内部の各パッチについて2つの給電があり、それらの一方は垂直偏波のためであり、一方は水平偏波のためである。基地局又はアクセスポイントを介してユーザを接続するとき、2つの給電のいずれか(垂直偏波又は水平偏波)は、制御プログラムにより呼び出され、走査又は「セクタスイープ」のために使用され得る。例えば、垂直偏波のみを有する63個のセクタがある場合、水平偏波を有する128個のセクタが存在し得る。セクタは、実際には、フェーズアレイ、位相シフタ、及び偏波の組み合わせであり、偏波問題を軽減できる。
本開示の幾つかの態様では、WiGig実装において、偏波技術は単なる走査を含む。一組の位相シフタ及び一組の例えば垂直偏波におけるテスト送信パケットは、受信器へ送信され、受信器は受信信号強度を測定する。水平偏波を有する別のセットが受信器へ送信され、受信器は受信信号強度を測定する。続いて、受信器は、より良好な信号強度を有する偏波を送信し、送信器は次に該偏波で送信する。
本開示の幾つかの態様では、この処理は、最適偏波を連続的に送信するために継続する。これは、非常に高い処理レートを有し且つアンテナのゲインを必要としないように、非常に低い発生レートを有する制御メッセージを用いて達成できる。位相シフタの別の組み合わせを使用する代わりに、別の偏波の給電が使用される。フィーダにおいて垂直偏波及び水平偏波の間に良好な分離が存在するので、システム自体は制限されない。フェーズアレイのパッチアンテナ素子は、良好な分離を有し、反射体は該分離を有意に低下させない。基本的に、各パッチアンテナは、それぞれ同じ情報を有する1つの垂直偏波信号及び1つの水平偏波信号を二重給電し、適切な偏波が適時使用される。
他の態様では、この処理は、特定の基準が満たされると実行され得る。
ここに開示される態様は、本開示の幾つかの態様では、幾つかの小さなアレイが使用されるが、単一の反射体のみが使用されるので、比較的安価である。さらに、3つの反射体の通常のソリューションが使用される場合のように、比較的大きな領域が使用されない。記載のソリューションは、単一の反射体のみが使用されるので、電力効率も良い。記載のソリューションは、低コスト及び小容量で非常に軽量なソリューションを提供する。
順を追って受信器が簡単に議論される。図416で、ポイントツーポイント通信では、3つの異なるセクタにおいて送信があってよい。各セクタは、通常、受信器における対応するセクタ、別の反射体又は別の複数のセクタ、複数のフェーズアレイシステムにより見える。送信セクタは別個である。一態様では、セクタ1は第1受信器における一致するセクタ1へ送信し、セクタ2は第2受信器における一致するセクタ2へ送信し、セクタ3は第3受信器における一致するセクタ3へ送信する。上述のように、ビームは非常に狭く、セクタは実に良好に分離される。言い換えると、ビームは確かに非常に狭く、場合によっては3度であるが、図416の各三角形が走査を表す場合に走査される。各セクタ走査は、標準的にプラス又はマイナス30度である。
カセグレン反射体からカセグレン反射体へ、印刷反射体からカセグレン反射体へ、印刷反射体から印刷反射体へ、又は更にはカセグレン反射体若しくは印刷反射体からマルチアンテナアレイへの送信が存在してよい。受信器において、カセグレン又は印刷反射体を使用する代わりに、4又は8個の小さなアレイが一緒に結合されて、マルチアンテナアレイにおいて高ゲインを生成し得る。放射を放出し任意のオプションが保持される。(例えば、基地局から基地局への)ポイントツーポイント通信の代わりに、受信器はスマートフォンであり得る。
前述のコンポーネント/デバイスは、基地局又はスマートフォンのようなモバイルデバイス内に配置できる。基地局内に配置されるとき、コンポーネント/デバイスは、高ゲインで構成され得る。コンポーネント/デバイスがモバイルデバイス内に配置されるとき、コンポーネント/デバイスは、基地局の場合より低いゲインで構成され得る。システムは非常に容易にアップスケールできる。より多くのセクタ又はより多くのユーザを収容するために、システムは、追加フィーダを備える同じ反射体を使用でき、結果として反射体を有しないソリューションの場合と比べて低い熱消散をもたらす。さらに、各フィーダは、他のフィーダと異なる周波数で動作できる。
さらに、送信の種類は、送信器と受信器との間み見通し線が存在するか否かに依存する。見通し線が存在する場合、送信は、走査により、受信器の位置へ向かう。受信器への見通し線が存在しない場合、送信は反射に基づく。
開示の技術は、コンポーネント/デバイスが屋外で展開されるときにも有利であり得る。例えば、開示のコンポーネント/デバイスは通りに、振動又は他の環境要因を受け易い例えば信号機設置される基地局として展開できる。このような用途では、システムは、非常に良好な追跡を提供でき、基地局自体の振動の影響を改善し、基地局は、したがって、スマートフォンを持っている歩行中のユーザを一層効率的に追跡できる。追跡は、特に多くのセクタが反射体によりサポートされるとき、セクタ間のシフトを含み得る。振動の影響は、反射コンポーネント/デバイスがフェーズアレイを利用し及びフェーズアレイがビームを調整できるという事実により、容易に改善できる。したがって、振動が有意に大きい場合、又はスマートフォンが2つのセクタ間に存在することが検出された場合、一例として、セクタ間の切換は振動の影響を軽減するのを支援できる。さらに、振動を改善しなくても、走査ビームは、スマートフォンを持っている歩行中人物の後に従い、信号強度が異なるセクタへの切り替えの必要を示す場合、システムは異なるセクタに切り替え可能である。
図418は、本開示の幾つかの態様による、ユーザデバイスに内蔵されてよいアンテナを内部に有するパッケージを示す。個々のパッケージ41800及び41802が示される。アイテム41801、41801Aは、ダイパッケージから外表面への熱伝導機構を含む。
本開示の幾つかの態様では、アイテム41803、41803Aは、露出されたダイとその周囲のコンフォーマル(conformal)シールドとを有するフリップチップ・チップスケール・パッケージ(flip-chip chip-scale package)(FC-CSP)を含む。他の態様では、アイテム41803、41803Aは、モールド及び銅ヒートスプレッダを備えるダイを含む。
アイテム41805、41805Aは、ダイから別の基板へ信号を搬送する積層基材を含む。積層基材は、例えば、プラスチックピングリッドアレイ(plastic pin grid array)(PPGA)、プラスチックボールグリッドアレイ(plastic ball grid array)(PBGA)、及び/又は基板とダイとの間の通信を提供可能な他の基材を含得る。本開示の幾つかの態様では、露出されたダイを有するオーバモールドが全く存在しなくてよい。アイテム41807は、給電され又は励起されるパッチアンテナを含み得る。アイテム41809、41809Aは、アンテナ41811、41811A、及び41813、41813Aのような任意の側面に印刷されたアンテナを含むSMD素子である。本開示の幾つかの態様では、筐体への電気的接続が存在しない。信号は、ダイから基材41805へ、基板へ、伝達され得る。基板41806、41806Aは、以下に更に詳細に議論するように、印刷された又はSMD搭載された種々の形式のアンテナを有する。代替として、種々の形式のアンテナがSMD内に構成されてよい。印刷アンテナ及びSMDアンテナは、筐体内の41815、41815Aのようなディレクタに結合する。本開示の幾つかの態様では、アイテム41817接地面であり得る。本開示の幾つかの態様では、基材又はPCBの一方又は両方は、ヒートスラグ又は熱伝達要素を含み得る。
図419は、本開示の幾つかの態様による、図418のパッケージ41800又は41802において具現化された1×4ダイポールアンテナの実現されるゲインのグラフを示す。実現されるゲインのグラフ41901は、位置の固定された筺体内のディレクタを有する30度の角度におけるアンテナの実現されるゲインを示す。しかし、PCB内のダイポールはディレクタから離れた種々の高さにあり、高さはグラフのX軸においてミクロンの単位で与えられ、“hdipole[μm]”はダイポールの配置高さをミクロンで示す。実現されるゲインのグラフ41903は、位置の固定された筺体内のディレクタを有する60度の角度におけるアンテナの実現されるゲインを示す。しかし、PCB内のダイポールは、筐体から離れた種々の高さにある。実現されるゲインのグラフ41905は、位置の固定された筺体内のディレクタを有する90度の角度におけるアンテナの実現されるゲインを示す。しかし、PCB内のダイポールは、離れた種々の高さにある。グラフは、理想的位置が、筐体内のディレクタから妥当に離してダイポールを配置することであると示す。ここで、41901、41903、41905の実現されるゲインは、グラフの左側において通常高く(つまり、X軸に沿って高さが高くなる)、実現されるゲインのグラフの大部分(つまり、41901、41903)は位置がグラフの右へ移動するにつれて減少する(つまり、X軸に沿って高さが減少する)。
図420は、本開示の幾つかの態様による、図419のグラフに関連する放射パターンを示す。図420で、ダイポールは、PCB42003内に実装され又は代替としてSMDコンポーネントとして実装される。ディレクタ42001は、筐体の中又は上にあり、筐体内の異なる高さ及び深さに実装され得る。PCB42003内の接地及び給電構造は42005で示される。アンテナパターンチャート42007は、42009で、アンテナパターンを(アンテナパターンチャート42007の外周に沿う)放射角の関数として及びディレクタの位置の関数として示す。ディレクタが42001に示されるように実装される場合、放射パターンは回転し、PCB42003の方向に対して上方向により多くを放射できる。
垂直偏波を有する一部のWiGig製品のエンドファイアゲインは、それらに非常に小さな形状因子のために、ブロード再度方向と比べて非常に低い。垂直偏波を有するエンドファイアは、ラップトップユーザにとって、タブレットユーザにとって、及びスマートフォンユーザにシナリオにとって、カバレッジにおいて非常に重要である。幾つかの場合には、エンドファイア方向に小さなゲイン及び大きな因子を有するスロット要素が使用された。良好な形状因子を有するがエンドファイア方向に弱いゲイン(ブロードサイドより9dB低い)を有するモノポールパッチも使用された。
ここに開示の態様は、良好な形状因子を維持しながら、エンドファイア放射を向上できる。本態様は、集積及び個別回路をシールドする製品において使用されるICシールド構造を活用する。シールドは、モノポール積層パッチ及びプラスチック要素の組み合わせに、アンテナアレイの部分として組み込まれる。そうすることにより、アンテナのゲインは、エンドファイア方向に2dB~3dBだけ向上されることが示された。要素のサイズは、また、同じ帯域幅を保ちながら、最大40%まで削減された。単一のプラスチック要素が記載されたが、当業者は、本開示の幾つかの態様において、複数のプラスチック要素を使用することが適切であってよいことを理解する。
この組み合わせの利点は、少なくとも部分的に、垂直偏波が現代の通信において広く使用されるという事実から引き出される。これは、垂直偏波による伝搬が、地球の接地面に沿って伝搬するとき、水平偏波より小さな減衰損失を被ることに起因する。開示の組み合わせを使用する受信器及び送信器は、エンドファイア方向に送信するとき、垂直偏波に沿って使用されてよい。このようなモノポールアンテナは、簡易な構造を有しながら所望の垂直偏波を提供するので、良好なソリューションである。幾つかのモノポールアンテナは、無線通信製品においてよく見られる形状因子システム制限において許容できない4分の1波長の高いプロファイルを使用する。従来のモノポールパッチアンテナのエンドファイアゲインは、ICシールド構造と結合された記載のモノポールパッチアンテナにより与えられる3dB~3dBエンドファイアゲインと比べると、小さい。
図421は、本開示の幾つかの態様による、アンテナ接地面としてのICシールドの使用、及び積層パッチアンテナのための反射体を示す。図示の態様では、PCB基板42108は、基板の内部構造を示すために透過的に示され、一部又は全部が金属層であり得る複数の平行な層を含む。
モノポールアンテナ42100は、金属積層パッチアンテナ素子42104、42106を含み、ICシールド構造42102と結合され、それによりICシールド構造を反射体として及び接地面として使用する。組み合わせは、アンテナの必要とは独立にユーザデバイス内にあるICシールドを活用する。例えば、図5A及び5Bに示されるようなRFEMは、図421の42102のような接地シールドを含み、IC及びRFEMの個別コンポーネントをシールド目的で覆う。このシールドの存在は、アンテナの放射パターン及びインピーダンスに影響する。むしろ、RFEMのこの領域、それにより、その他の場合にはアンテナのために使用され得る無駄な空間を避け、シールドは、以上に示したように、アンテナをより小さく且つ正しく整合させるために、及び放射パターンを好ましい方向に向けるために、反射体及び接地面として使用されてよい。
具体的に、4分の1波モノポールアンテナは、シールドの直ぐ近傍の基板に印刷され得る。4分の1波モノポールが記載されたが、当業者は、所与の態様に適切であり得る場合には、他の波長モノポールが使用されてよいことを理解する。モノポールへの給電点は、図452B及び452Cに関して後述する方法と同様にインピーダンスを調整するために使用できる。無給電積層デュアルパッチ42104、42106は、本開示の幾つかの態様では、WiGigの4つのチャネル帯域幅要件のような帯域幅要件を満たすよう広帯域を達成するために使用される。一態様では、底面パッチ42106は、駆動素子であり、後述のように図424B及び414Cに示すものととても似ている給電線を介して励起される。本開示の幾つかの態様では、パッチ42104は無給電素子である。寸法はシミュレーションにより決定される。議論中の態様では、図422中のZ方向の、駆動素子42106と無給電素子42104との間の間隔は、186μmである。本体用では、パッチアンテナとシールド42102との間の寸法は、図422Aに示すように165μmである。シミュレーションは、パッチアンテナとシールド42102との間の寸法がパッチアンテナの整合及びゲイン特性に影響することを示す。図422Bは、議論中の態様では、パッチアンテナにより使用されるPCBの長さが1.25mmであることを示す。図422Cは、議論中の態様では、パッチ素子42104がパッチ素子42106より60μmだけ僅かに小さいことを示す。別の態様では、アンテナ要素42104、42016は同じサイズであり、パッチアンテナとシールドとの間の寸法は40μmである。シールド42102は、図421及び422の励起されたパッチ42106に対して接地層として及び反射体としても動作し、エンドファイア方向にパッチアンテナに約2dBのゲインを与える。したがってパッチアンテナは、エンドファイア方向で動作するとき、モノポールアンテナのように動作する。
モバイルデバイス内の面積空間の密閉が与えられると、パッチアンテナをシールドの近くに位置付けることは困難な場合がある。しかし、目的は、エンドファイア方向のゲインを増大するために、パッチアンテナをシールドの出来る限り近くに配置することであり、シールドとパッチアンテナとの間の距離はシミュレーションにより決定できる。
本開示の幾つかの態様では、エンドファイア動作では、偏波は垂直であり得る。本開示の幾つかの態様では、ブロードサイド動作で、偏波は水平であり得る。エンドファイア方向の垂直偏波は、アンテナのブロードサイド動作での水平偏波より約7dB~8dB低いので、記載の組み合わせにより与えられる約2dB~3dBのゲインは、エンドファイアゲインを向上する重要な態様であり得る。パッチに対するシールドの近さは、アンテナの整合、及びパッチを帯域幅に渡り50オームに調整することに影響し、アンテナサイズを縮小することに貢献するパッチの幅を狭める。
図422は、本開示の幾つかの態様による、給電機構を介して非対称性を示す図421に示すモノポールアンテナの側面図である。図422のコンポーネントは、図421のコンポーネントと同じであり、明確さのために図421と同じ参照符号を与えられる。
IC回路42202は、シールド42102の下に組み込まれるとして示される。これは、図424A~424E及び以下に詳細に議論するICシールドを示す他の図に示したシールドと同様又は同じである。図422の図面は、縮尺通りではなく、シールド42102は部分図で描かれる。しかし、記載の態様におけるシールドはICを覆い、ICをRF干渉及び電磁干渉からシールドする。RFシールドのより完全な説明は図454A及び461Aに示される。駆動素子42106は、ビア42201及び給電ストリップ42203を含むビア給電により給電される。PCB42108内のビア42207は、放射素子42106に非常に近い。ビアとパッチとの間の距離は、エンドファイアゲインを最大化するために最適化され又は改善され得る。
図423は、本開示の幾つかの態様による、モバイルプラットフォームによるアンテナアレイ構成内にある、直線的であってよいシールドパッチ素子を示す。図423は、このようなモノポールパッチアンテナのアレイ内のシールド及びモノポールパッチアンテナの関係を、概して42300に示されるシールドの側面に沿って更に明確に示す。アレイの無給電パッチ素子42104のみが示される。
モノポールアンテナを有するダイポールアンテナに遷移する表面実装デバイスを有するダイポールアンテナ
図424Aは、本開示の幾つかの態様による、ダイポールをモノポールを有するダイポールへ遷移するSMDアンテナを備えるダイポールアンテナを示す。概して、図421と関連して示されるように、垂直及び水平偏波の両者によりエンドファイアアンテナ放射パターンを生成することは、困難であることが分かっている。ダイポールアンテナは、所要のエンドファイア放射パターンを生成するが、両方の偏波を生成できない。垂直偏波は、壁反射特性にとって、及びドッキングステーションの設置されたベースに適合するために望ましいが、ハンドヘルドデバイスの物理的方向は保証できない。したがって、両方の偏波を提供することが望ましい。
本開示の幾つかの態様では、両方の偏波を提供するために、アンテナはSMD上に印刷される。表面実装デバイスは、表面実装技術(surface mounted technology)(SMT)として参照される場合が多い。SMDは、組立を容易にするために、標準的なコンポーネント寸法を有し得る。ここで結合されるアンテナは、水平及び垂直偏波放射の両方を有する変形されたダイポール42400を含む。
本開示の幾つかの態様では、次の幾つかの段落で分かるように、アンテナは、回路基板上に印刷された2つの水平アームを有するフルダイポールで開始する。したがって、この時点でダイポールであるアンテナは、主に水平偏波を有する。本開示の幾つかの態様では、水平偏波を有意に低減させることなく垂直偏波を追加する垂直アームが、SMDにより追加される。
図424Aを参照すると、モノポールを有するダイポールに変換するよう構成されるSMDを有するダイポールは、概して42400で示される。これは、例えばGLONASSの空間要件を満たすことにより、空間が非常に高価であるモバイルデバイス又は他のデバイス内に実装されてよい。この限られた空間は、適正に機能するアンテナを設計することを困難にする。PCB基板又は他の回路基板は、回路基板内部のコンポーネントを示すために透過的に描かれる42403で示される。
本開示の幾つかの態様では、アンテナはダイポール42405、42407を含む。図424D及び424Eに関して以下に更に詳細に示されるSMD42409も示される。メタルトレース42411は、後述されるモノポールの一部を含む。空間要件のために、SMDサイズ「0402」が使用されてよい。概して、記載のSMDは特定量の垂直偏波を提供する。
図424Bは、本開示の幾つかの態様による、図424Aのアンテナのダイポール部分の斜視図である。図424Bは、42402に、図424Aのダイポールアーム42405及び42407、及び図424Aのビア42413、42413Aの開始部分を示し、SMD素子は未だ追加されてない。ビア42413、42413Aは、後述の図424D及び424Eで更に明確に分かる。図424Cは、本開示の幾つかの態様による、結合ダイポール及びモノポールアンテナを示す。図424Cの42404に概ね見えるアンテナでは、SMD42409は、本開示の幾つかの態様では、ビア42413、42413Aの長さが例えばアンテナの使用されるユーザデバイス内の高さ制限のために不十分な高さである場合に、上側トレースを含むモノポールを追加している。言い換えると、シミュレーションがアンテナアームが、ユーザデバイスの厚さにより収容できない特定高さであるべきであると示した場合、トレース42411は、本開示の幾つかの態様では、ビア42413、42413Aに追加され、SMD42409の上部に「折り返し」可能である。
図424Dは、本開示の幾つかの態様による、図424Aのアンテナのモノポール部分の斜視図を示す。アンテナは概して42406で示される。ここでもシールドが42401に見える。金属ビア42413、は42413A、アンテナアームとして示され、メタルトレース42411は必要な場合にはアーム42413、42413Aを延長するよう機能する。ダイポールの1つの水平アーム42405が示される。回路基板42403の内部の、ストリップラインであってよい給電線42415も示される。図424Aで元々見えるシールド42401は、スマートフォン又は他のユーザデバイスの部分として、任意のイベントで、集積回路をシールドするために使用され、シールドはアンテナの反射型として及びインピーダンス整合を向上する方法としても使用される。一態様では、遷移アンテナは、シールドの端からビアの中心へ約1.2ミリメートルに配置されてモノポールを形成し、及びシールドの端からSMDの端へ約0.38ミリメートルに配置された。実際には、距離は、距離を最大化しようとする目的の下で、主にどれだけ多くの空間がユーザデバイスの基板内で利用可能かにより与えられる。
図424Eは、本開示の幾つかの態様による、図424A及び424Dのアンテナの側面図である。図424Eは、パッケージ全体、及び図424Bと同じコンポーネントを更に詳細に示す。例えば、給電線42415は、(空間制限のために)部分的に示されるシールドにより覆われるRFIC(空間制限のために図示されない)に取り付けられる回路基板42403の内側に存在するように見える。給電線42415は、メタルトレース42411を含むように図示されるSMDの上部へ向かう垂直アーム42413、42413Aに給電する。42417はアンテナの接地面を示す。
シミュレートされた放射パターンは、SMDコンポーネントをダイポール素子上に配置するとき、結合が垂直偏波を上昇させ、アンテナの水平偏波コンポーネントの性能に対していかなる有意な悪影響を伴わないことを示した。図425は、本開示の幾つかの態様による、図424Aのアンテナの放射パターンを示す。x、y、及びz座標は、図424Aに示した座標に対応する。図426Aは、本開示の幾つかの態様による、図424Aのアンテナの放射パターンの上昇(elevation)カット42600を示す。放射パターン42601は垂直偏波におけるゲインを示し、放射パターン42603は水平偏波におけるゲインを示す。放射パターン42605は、全体ゲインを示す。図426Bは、本開示の幾つかの態様による、図424Bのアンテナの放射パターン42602を示す。図424Bのアンテナは、モノポールのSMD部分を有さず、放射パターンは、基本的に図426Bの42605に示される図424Bのダイポール42405、42407のものである。
シールドされた反射体を備えるSMD L形ダイポール
裏打ち(backed)シールドを備えるL形ダイポールが以下に記載される。図427Aは、本開示の幾つかの態様による、反射体として使用されるICシールドを有するSMD L形ダイポールの側面図を示す。ダイポールは概して42700で示される。ICシールド42703によりシールドされるIC回路(図示しない)からのシングルエンド給電線42701は、ダイポールの垂直部分42705に給電する。垂直部分42705は(SMD42708内にある)垂直部分42705Aとして続き、垂直部分42705、42705Aは、はんだにより接続され、垂直部分42705、42705A及びはんだ接合はダイポールの垂直アームを形成する。図427Aの接地は、ダイポール42707の第2アームとして動作するよう延長され、したがってL形ダイポールを形成する(以下に詳述するように図427Bで更に明確に見える)。ICシールドは、モバイルデバイスの基板上にあるICに渡るコンフォーマルカバーである。シールドは、通常、電磁干渉からのシールドとして基板に接地される。上述のような裏打ちシールドと結合されるL形ダイポールは、標準的なパッチ素子よりもエンドファイア方向(図427Bに図式的に見える)に大きなゲインを有し放射できる。
本開示の幾つかの態様では、L形素子42705、42705A、42707(42505Aは図427Aの断面側面図においてSMD42708の内部にあるように見える)は、シールドの近くの領域及び基板高さを利用する。通常のダイポールは2つの水平アームを有する。しかしながら、図427Bに示すダイポールは、1つの水平アーム42707及び1つの垂直アーム42705、42705Aを有する。ダイポールの垂直アーム42705の部分は、(励起が起こる)基板内にあり、部分42705AはSMD42708内にあり、必要に応じて、より低い基板高さを可能にする。SMD42708内の金属ビア42705Aは、折り返しダイポールを形成するためにSMD42708の中へと折り曲げられている第2ダイポールアームとして動作する。言い換えると、ダイポールの2つのアームはそれぞれ異なる面に存在する。
例えば、ダイポールの2つのアームはそれぞれ直交する面に存在する。これは、垂直アームから垂直及び水平アームから水平の、2つの異なる偏波を生じさせる。本開示の幾つかの態様では、垂直アーム42705、42705Aは、SMD42708を完全に通過し、SMD42708の上部42709へ延びてよい。起こり得る場合には、SMD42708の高さは、アームの必要な長さに対して電気的に不十分な場合、所与の態様では、銅のような水平メタルトレースが、アーム42705Aの水平折り返し延長として42705A(SMDの最上層42709へと延びている)に追加されてよい。この水平折り返し延長又はトレースは、ビアからSMD42708の上部42709に延び、図424Aの42411に示されるメタルトレースと良く似ている。このメタルトレースは、垂直アーム42705、42705Aを延長するために使用できる。
本開示の幾つかの態様では、SMDは、図427Aに見られるランドグリッドアレイパッド(land grid array pad)(LGA Pad)を用いてPCBに搭載されてよい。ここで、SMD42709は、はんだ42711により取り付けられるように見える。言い換えると、ダイポールは、2つのアームを含み、1つは水平アーム42707を形成する銅のような水平トレースであってPCB内にあり、1つは垂直アーム42705AでありSMD42709内にある。図示のように、ダイポールの1つの金属水平アームは接地42704を形成し、1つの金属垂直アーム又は金属ビアは本例ではSMD内にある。
本開示の幾つかの態様では、メタルトレースとして機能してよい金属ビア42705Aの幅/直径は、実質的に、ダイポールの水平アームを形成する水平トレース42707の幅と同じ幅を有する。したがって、垂直金属ビアは、電気的に、ダイポールの他方のアームであるかのように見える。纏めると、シールドは、図427A及び図427Bにおいて42703として見える。シールドは、図427Bに見える接地からの金属水平アーム42707及び図427Aのビア42705、42705Aとして見える金属垂直(又は折り返し)アームを含むダイポールに対して反射体として機能する。垂直アームは、図427Aの給電線42701により給電される。言い換えると、水平アームは接地され、垂直アームはICから給電線により信号を供給される。
PCBは一般的に複数の層を含む。本開示の幾つかの態様では、水平アーム42707は、水平アーム42707を形成する金属を除いて、ダイポール周辺の領域にある全ての層から金属を除去し、水平アーム42707をダイポールの1つのアームとして残すことにより形成でき、垂直アーム42705、42705Aはダイポールの第2のアームを形成する。SMD42709は、はんだ42711によりPCBに固定され得る。はんだ42711は垂直アーム内で不連続を示すが、はんだが任意の実質的な方法で意図した動作を妨げないことが分かる。図427Bは、SMD L形ダイポール42702の斜視図を示し、アーム42705、42705Aが部分的にSMD42709内にあること、及びアーム42705、42705Aが水平アーム42707に対して上方に折り返されることを一層明確に示す。
SMD L形ダイポール対称アレイ
本開示の幾つかの態様では、L形ダイポールアレイは、偏波ダイバーシティによりエンドファイア方向に高いゲインを提供するよう構成され得る。図428は、一態様による、4個のこれらのSMD L形ダイポールのアレイの斜視図を示す。あれいは4個のL形ダイポールを含むとして記載されるが、このようなアレイは、4個のL形ダイポールに限定されず、任意の適切な数のL形ダイポールを含み得る。アレイは概して42800で示される。各々個々のL形ダイポール素子42801、42803、42805、42807は、先に図427A及び427Bに関して議論された種類のものであってよい。各々のこのようなL形ダイポールは、図427A及び427Bに関して議論したように、SMD内部に水平アーム42707及び垂直アーム42705、42705Aを有する。各々はシールド壁に関して位置付けられ、これも図427A及び427Bに関して議論された。
アレイのX、Y、及びZ座標系は図428に示される通りである。シールド42802は、本特許において後述されるシールド拡張を有する。アレイの各ダイポール素子は、本開示の幾つかの態様では、RFチェーン入力を有し、ダイポール42801はRFチェーン1を入力として有し、ダイポール42803はRFチェーン2を入力として有し、ダイポール42805はRFチェーン3を入力として有し、ダイポール42807はRFチェーン4を入力として有する。シールドは、ここで種々の図に示される他のシールドのように、図面空間を考慮して部分的にのみ示される。実際には、シールドは、IC、本例ではRFチェーン1、RFチェーン2、RFチェーン3、及びRFチェーン4を提供するICを覆うよう延長してよい。4個のL形ダイポール素子は、記載の態様では線形アレイを形成する。
本開示の幾つかの態様では、それぞれのRFチェーンの所与の入力位相について素子間の特定の電界相殺/追加を達成するために、L形ダイポールの水平アームの方向は、L形ダイポールアンテナ素子の隣接ペアと反対になるよう意図的に構成される。本態様の説明に従う図面では、議論中のダイポールアレイ素子は、図428のダイポール素子42801、42803、42805、及び42807であり、それらそれぞれの水平アームは、図429A及び429Bのそれぞれ水平アーム1、2、3、及び4として参照される。
図429Aは、本開示の幾つかの態様による、垂直偏波についての図428のアレイを示し、水平偏波された電界は相殺されている。図429Aでは、水平アーム1、2、3、及び4について、RFチェーン1、2、3、及び4からそれぞれ生じる電界は相殺される。これは、水平アーム1、2、3、及び4について、それぞれ矢印により示されるように、それらが反対(左/右)方向に配置され、電流が反対方向に流れ、したがって生成される放射電界が互いに相殺されるからである。
図429Bは、本開示の幾つかの態様による、垂直偏波についての図428のアレイを示し、垂直偏波された電界は加算されている。図429Bでは、(垂直の隠された線により示される)ダイポールアンテナ素子42801、42803、42805、及び42807の垂直アームについて、それらは同じ方向(垂直矢印により示されるように上向き)に配置されるので、電流が同じ方向に流れ、したがって生成される放射電界は加算される。したがって、垂直偏波が達成される。別の言い方をすると、垂直偏波を得るために、水平アームにより放射される電界が互いに相殺し且つ垂直アームにより放射される電界が加算される垂直モード(0°、0°、0°、0°)が使用されている。
図430Aは、本開示の幾つかの態様による、水平偏波についての図428のアレイを示し、水平偏波された電界は加算されている。水平アーム1、2、3、及び4について、それらは反対方向(左/右)に配置されていても、それぞれのRFチェーン1、2、3、及び4からの信号の反対の位相は、電流を同じ方向に流し、したがって放射電界が加算される。
図430Bは、本開示の幾つかの態様による、水平偏波についての図428のアレイを示し、水平偏波された電界は相殺されている。ダイポールアンテナ素子42801、42803、42805、及び42807の垂直アームについて、それらは同じ方向(垂直矢印により示されるように上向き)に配置されていても、それぞれのRFチェーン1、2、3、及び4からの信号の反対の位相は電流を反対方向に流し、したがって垂直偏波された放射電界は相殺される。したがって、水平偏波が達成される。別の言い方をすると、水平偏波を得るために、垂直アームからの放射電界が相殺され且つ水平アームからの放射電界が加算される水平モード(0°、180°、0°、180°)が使用されている。
図431は、本開示の幾つかの態様による、垂直(θ)偏波の3次元放射パターンを示す。垂直偏波モード(位相は0°、0°、0°、0°)のときの、L形ダイポールアレイにより放射されるエネルギーの3次元放射パターンが図示される。電界の垂直成分(E-θ)について実現されるゲインがシミュレートされ、最大値7.43dBを有する。
図432は、本開示の幾つかの態様による、水平(φ)偏波の放射パターンを示す。水平偏波モード(位相は0°、180°、0°、180°)のときの、L形ダイポールアレイにより放射されるエネルギーの3次元パターンが図示される。電界の水平成分(E-φ)について実現されるゲインがシミュレートされ、最大値7.14dBを有する。
図428の開示の態様は、モバイルデバイス内の限られた空間を利用するだけでなく、利用可能なRFチェーンの使用も拡張する。例えば、(図示のように)利用可能な4個のRFチェーンのみが存在し、理想的には、システムが4個の垂直偏波RFチェーン及び4個の水平RFチェーンを送信できるように、システムが8個の利用可能なRFチェーンを有する場合、分解能は望ましい。記載のL形ダイポールを使用することにより、1つのRFチェーンが2つのRFチェーンに効率的に変換される。したがって、限られた空間が、4個のアンテナアレイだけを許容し、回路から利用可能なチェーンも4個のRFチェーンのみである場合、4個のL形ダイポールアンテナ素子のアレイは、4個の垂直偏波された放射素子、及び4個の水平偏波された放射素子を提供し、したがって所望の8個の素子をもたらす。4アンテナアレイが一例として使用されたが、当業者は、所与の態様に適切である場合、追加の数のアンテナ素子がアンテナアレイ内で使用可能であることを理解する。
さらに、複数の4個のRFチェーンが、ICシールドにより覆われる回路から利用可能である場合、合計で2倍の数の有効なRFチェーンが達成できる。例えば、複数の4個のRFチェーンが四角形又は正方形サブシステムにおいて利用可能な場合、多数のL形ダイポールアレイが回路サブシステムの周囲に、該サブシステムの上部に、望ましい場合にはサブシステムの底面に、それぞれのアンテナに個々のRFチェーンを供給するために配置される。したがって、複数の4個の放射素子が達成される。
本開示の幾つかの態様では、SMDモノポールは、それ自体によりアンテナとして使用可能であり、したがって単一素子により完全に(又は実質的に完全に)垂直(θ)偏波を達成する。SMDモノポールアンテナが完全な垂直偏波を達成することを可能にする幾つかの条件は、モノポールが垂直偏波を有することである。この理由は、その位置が、RFEMを有するユーザデバイス内で使用されるときRFEMの表面に対して直交するからである(又はRFEMと等価な特徴のためである)。さらに、反射体として機能するICシールドに対するモノポールの給電の配置は、重要である。シールドの機能は、所望の方向、本例ではエンドファイアに、放射エネルギーを反射することである。シールドは、放射電界の極性に対して影響を与えることを意図しない。
図433は、本開示の幾つかの態様による、単一SMDモノポールアンテナ43303及びICシールド43301を示す。IC自体は、空間を考慮して図示されないが、部分図に示されるICシールド43301の左にありそれにより覆われ得る。SMDモノポール43300は2つの部分を含んでよい:(1)RFEMパッケージの端に構築されるビア要素43307、及び(2)SMDコンポーネント43303内に構築される銅ビアであってよいビア要素43307A、である。ビア43307は、モノポールの下部を実現し、ビア要素43307Aはモノポールの上部を実現する。SMDは、1つは信号用のビア43307の位置にあり、1つは機械的安定性のためのダミーパッド(図示しない)である、2つのパッドを用いて、RFEMにはんだ付けされてよい。銅(又は他の金属)トレース43309は、SMDの最上層に印刷されてよく、必要に応じてモノポールの全長を延長する。2つのパッドを用いる上述の記載、及び引用された他の詳細は、単に一例として使用され、当業者は、これらの詳細が特定の態様にとって適切な場合には変更されてよいことを理解する。
例えば、トレース43309は、特にモノポールの位置するユーザデバイス内の高さ制限のために、ビア43307、43307Aが十分に長くない場合、調整目的で使用されてよい。別の言い方では、ビア43307、43307Aの高さが所望の送信周波数に暗転を調整する要件を満たすのに十分でない場合、トレース43309は、トレースがSMD43303の上部に水平に折り返されたとしても、ビア43307、43307Aに必要な高さを追加するために適切な長さであってよい。本開示の幾つかの態様では、ビア43307、43307Aが十分な高さである場合、トレース43309は必要なくてよい。本開示の幾つかの態様では、SMDモノポール43300は、RFEMパッケージからのストリップライン又は他の伝送線路43311により給電されてよい。
図434は、本開示の幾つかの態様による、3次元放射パターンを示す。図434は、60GHzにおける単一モノポールの放射パターンを示す。図435は、本開示の幾つかの態様による、単一モノポールのインピーダンスプロットを示す。インピーダンスプロットは、スミスチャートで表され、60GHzにおいて、プロット43501は中心点に近く、アンテナが良好に整合されていることを意味する。
関心周波数における誘電性材料内の波長に基づきモノポールの長さを計算し、同様に、ストリップライン又は他の伝送線路給電線の寸法を計算した後に、製造制約及び利用可能な限られた空間及びシールドの距離を考慮する反復3Dシミュレーションが実行され、アンテナインピーダンス整合を達成する。
図436は、本開示の幾つかの態様による、周波数に渡る単一モノポールの反射減衰量を示す。プロットは、アンテナが60GHzにおいて良好に整合されていること、及び56.56GHz~66GHzのインピーダンス帯域幅を有することを示す。図437は、本開示の幾つかの態様による、単一モノポールからのX-Z平面内の実現された垂直偏波ゲイン(θ)を示す。
図437は、60GHzにおける2次元プロットであり、電界の垂直成分(E-θ)が優勢であることを示す。エンドファイア方向の実現されるゲインは3.33dBである。トレースは、E電界の異なる偏波について、X-Z平面上で実現されるゲインを表す。エンドファイア方向は、本プロット上で90°である(これは、図433の座標系の正のx軸を表す)。
図438は、本開示の幾つかの態様による、単一モノポールからのエンドファイアの上方15°における、周波数に渡る実現される垂直偏波(θ)ゲインを示す。電界のE-θ成分の実現されるゲインは、43803に示される。
送信システム及び受信システムのアンテナ偏波が実質的に良好な接続のために整合される場合、偏波共用を有するという目的は、送信器から別のデバイス(例えば、ドック、周辺機器、又はスマートフォン、等)への送信を最大化できる。
上述のものと同様のRFEMを有するスマートフォンのようなユーザデバイスは、移動し、送信器に対する自身の方向を変化していることがある。したがって、いずれかの偏波のオプションが、送信器及び受信器の相対位置に関係なく、良好な接続を提供するための努力において使用される。
本開示の幾つかの態様では、送信された偏波、したがってどの種類のアンテナが所与の時間に始動しているかは、最大強度で受信される信号の偏波の指示に基づきアルゴリズムにより制御されてよい。この指示は、ユーザデバイスから送信器へ絶えずフィードバックされ得る。この動作は、受信器における偏波と整合する送信される偏波を達成するために実施される。
本開示の幾つかの態様では、異なるアレイ結合が、ユーザデバイス内の利用可能な領域に依存して実施され得る。本開示の幾つかの態様では、垂直(θ)偏波用の2つのモノポールのアレイ及び水平(φ)偏波用の2つのモノポールのアレイを用いて、上述の図428に示されるような4つのRFチェーンからのような合計4本の給電線により、エンドファイア方向で偏波ダイバーシティが達成できる。各アレイは、所与の時間に動作するよう構成され得る。以下の図439に記載のパラメータは、垂直偏波用の2つのモノポール及び水平偏波用の2つのダイポールのように、単に例として与えられ、当業者は、特定の実装について適切な場合には、異なる数の又は複数のこのようなアンテナが使用されてよいことを理解する。
図439は、本開示の幾つかの態様による、2素子モノポール及び2素子ダイポールを示す。図439は、2つのアレイの上面図43900を示す。上述のように、上部の部分が上面図に示されるICシールド43901は、所望の方向の追加ゲインを提供する反射体として使用される。IC自体は、シールドにより覆われ、図439を超えて図面の上に向けて位置付けられ、シールドにより覆われ得るが、図面の空間制限のために図示されない。第1アレイは、モノポール43903及びモノポール43905を含む。モノポール43903及び43905は、図433に関して議論した同じ種類のモノポールであり得る。
アレイは上面図に示されるので、図433のモノポール垂直アーム43307、43307Aの信号接続は、図439の43903Aに示され、図433に関して上述したダミーパッドはサポートを目的として図439の43903Bに示される。当業者は、サポートが図示のように配置されたダミーパッドによる以外に設けられ得ることを理解する。2つのモノポールは、それぞれ、給電線43907及び給電線43909により給電される。
本開示の幾つかの態様では、ダイポール43911及び43913は、RFEMパッケージ層に印刷される。ダイポールアームのコーナー(corner)は、本開示の幾つかの態様では、それらの長さを増大するために、しかしアンテナアレイのための非常に限られた空間を引き起こす同軸コネクタ43915を含むそれらの周囲の他の金属との干渉を回避するために、折り返される。4個の上方に折り返されたダイポールアームのうちの1つのみが43911Aとしてエミュレートされるが、上方への折り返しは、標準的に議論中の態様において4個全部のダイポールアームについて標準的である。一態様では、アレイは、図439に示される寸法を有する。接地面(GND)は基材43902の層のうちの1つの層にある。基材43902は、部分図に示されるが、実際には図439に示すの境界を越えて延在する。モノポールは、シールドから特定距離にあり、ダイポールは、動作の向上のためにGND面から特定距離にある必要がある。また、アレイの素子間(ダイポールからダイポール、及びモノポールからモノポール)の距離は、所与の利用可能な限られた領域で性能を向上するために設計される。上述の寸法は、シミュレーションアプリケーションを用いて、該アプリケーションにユーザデバイス内で利用可能な寸法を入力し、及びシミュレーション結果から所望の放射指向性及び他のパラメータであってよい所望の結果を得るための適切な寸法を判定することにより、決定されてよい。
図440は、本開示の幾つかの態様による、2ダイポールアレイの3次元放射パターンを示す。本態様では、全体の実現されるゲインが測定され、約4.16dBの最大ゲインを有する。パターンの方向+Zは、図439に示されるように基板の下部へ向かっている。
図441は、本開示の幾つかの態様による、図439の2ダイポールアレイからのエンドファイア方向の、周波数に渡る実現される水平偏波(φ)ゲインを示す。電界のE-φ成分の実現されるゲインは、44101に示される。
図442は、本開示の幾つかの態様による、60GHzにおける図439の2モノポールアレイの3次元放射パターンを示す。図440と同様に、+Z方向は基板の下部へ向かっている。図443は、本開示の幾つかの態様による、実現される垂直偏波(θ)を示す。電界のE-θ成分の実現されるゲインは、44301に示される。
複数のSMDアンテナ態様
幾つかの一般的な情報が図444~447に適用され、これは以下に更に詳細に議論される。議論されるパッチアンテナの長さは、標準的にλg/2である。ここで、λgは誘電体内の波長である。私達の使用している誘電性材料上の60GHzアンテナでは(例えば、誘電率が約(~)3である)、その長さは約1.2mmである。パッチアンテナの幅は、長さより僅かに長いが、二重給電/偏波共用アンテナでは、幅及び長さは両方とも同じ(~1.2mm)であるべきである。
パッチアンテナの別の重要な寸法は、パッチと基準接地との間の誘電体の厚さ、(無給電パッチが存在する場合には)主及び無給電パッチとの間の誘電体の厚さである。以下は関連する因子である。誘電体の厚さは(材料特性と組み合わせて)、アンテナのインピーダンス帯域幅に直接関連する。例えば、WiGig(60GHz)の基準点として、~8GHzの帯域幅が望ましい。単一パッチ(例えば、主パッチ)を有するソリューションでは、誘電体の厚さは~λg/10であるべきである。WiGigのように広帯域幅が望ましい場合、厚さは~300μmであるべきである。無給電パッチを有するソリューションでは(単一+無給電の場合)、合計の厚さは~λg/10であるべきである(言い換えると、接地と主パッチとの間の誘電体の厚さと、主と無給電パッチとの間の誘電体の厚さとを加算する)。どれがより厚いかは、各誘電体材料の誘電率に依存する。基本的考え方は、主パッチが接地により強固に結合される。概して更に以下に議論される図445の場合には、シールドは接地基準として動作するので、接地と主パッチとの間の誘電体は単なる空気である。
以下は、概して以下に更に詳細に議論される図444~447のSMDからのシールドの距離について関連する因子である。図444及び447に開示の態様について、SMDからのシールドの距離は製造の許容する限り近くであり得る。図445の態様では、SMDからのシールドの距離は、接地基準と主パッチとの間の誘電体の厚さについての上述のルールに従うべきである。図446の態様では、距離は意図される放射方向に依存する。距離は、ブロードサイド放射では可能な限り遠く、エンドファイア放射では可能な限り近いべきである。通常、距離はこれら2つの極端な場合の間のどこかである。図448の態様では、利用可能な空間が与えられると(利用可能な空間は基本的にここに記載の全ての態様で限定的因子である)、距離は可能な限り遠いべきである。これは、単一及び偏波共用の両方に適用される。
図444は、本開示の幾つかの態様による、単一パッチ、二重給電、偏波共用垂直SMDパッチアンテナを示す。図444では、PCBは44401に示される。RFICシールド44405は、RFIC44403を覆い、パッチアンテナ44409の反射体として動作するよう構成され得る。
本開示の幾つかの態様では、パッチアンテナ44409は、エッチングされ又は他の方法で図示のようにディレクタ44417に隣接するSMD44407の表面上に構成される。パッチアンテナ44409は、折り返され又は折り返されなくてよい。言い換えると、44409におけるようなパッチアンテナは、DMCの側面から下部へと巻き付き、必要な場合には上述の態様で説明したように追加の長さを提供する。SMD上にエッチングされ又は他の方法でSMD上に位置する接地は、44411で示される。別の言い方をすると、本開示の幾つかの態様における接地44411は、図示のように側面にあり、必要に応じて図示のように巻き付き得る。
本開示の幾つかの態様では、パッチアンテナ44409は、基板上の二重給電線44413及び基板からの適切な給電を適切なSMD層に接続するSMD多層コンポーネント内の44415により給電される。マイクロビア44416は、SMDの下部又はその近くから、SMDコンポーネント内の中間高さまで延び、デバイス内の線(つまり上側の線44415)により辿られる。この線は、パッチアンテナ内の位置へ給電し及び接続し、(下側の線44415と組み合わせて)偏波共用アンテナとしてのアンテナ機能を生成する。
本開示の幾つかの態様では、ディレクタ44417は、電話機、ラップトップ、等のようなユーザデバイスの筐体にエッチングされ又はその上に形成され、方向44419の放射を受信器へ向ける。上述の図418は、ディレクタの配置と共に筐体を示す。アンテナが二重且つ直交給電されるので、アンテナは、2つの直交方向で偏波共用を提供し、方向は二重給電のうちのどちらが選択されるかに依存する。
本開示の幾つかの態様では、所与の時間にどの給電を使用するかの選択は、受信器において受信した偏波信号の強度に依存して、アンテナが必要に応じて一方又は他方の偏波を提供できるように、コントローラにより制御されてよい。本開示の幾つかの態様では、ユーザデバイスにおいて受信した偏波信号の強度は、コントローラによる給電選択のためにフィードバックされる。これは、コントローラが、より強い受信信号を提供可能な偏波を提供する給電を選択することを可能にし、それにより全体的な性能を向上する。
図445は、本開示の幾つかの態様による、積層パッチ、単一給電、単一偏波垂直SMDパッチアンテナを示す。図445では、PCBは44501に示される。RFICシールド44505は、RFIC44503を覆い、パッチアンテナ44509の反射体及び接地基準として動作する。パッチアンテナ44509は、SMD22507の図示の表面にエッチングされ又は他の方法でその上に構成される。パッチアンテナは、折り返され又は折り返されなくてよい。44511に図示されるパッチアンテナは無給電素子である。図445では及び他の態様に適切であるとき後述の追加SMD説明図では追加無給電素子が使用されてよい。パッチアンテナ44509は、基板上の単一の給電線44513により給電される。ディレクタ44515は、ユーザデバイスの筐体にエッチングされ又はその上に形成されて、放射を方向44517に向ける。単一の給電のみが存在するので、単一の偏波のみが存在する。
図446は、本開示の幾つかの態様による、水平SMDパッチアンテナを示す。図446では、PCBは44601に示される。RFICシールド44605は、RFIC44603を覆い、駆動容量型パッチアンテナ44609及び無給電パッチアンテナ44615を含むパッチアンテナの反射体として機能する。PCB内には、1次容量型パッチ44609の接地基準として機能する接地層44611もある。接地44611は縮尺通りに描かれない。接地はパッチ自体より遙かに大きい。本開示の幾つかの態様では、接地はPCBの領域全体であてよい。
本開示の幾つかの態様では、容量型パッチアンテナ44609は、ディレクタ44607に隣接するSMD44407の図示の表面上にエッチングされ又は他の方法でその上に構成される。パッチアンテナは、折り返され又は折り返されなくてよい。パッチアンテナ44609は、基板上の二重給電線44613により給電される。二重給電があるので、上述のようにアルゴリズムにより制御されてよい垂直及び水平偏波の両方の偏波共用があってよい。
図447は、本開示の幾つかの態様による、クロスハッチパターンを用いる垂直SMDパッチアンテナ44708を示す。図447では、PCBは44701に示される。RFICシールド44705は、RFIC44703を覆い、パッチアンテナ44708の反射体として動作する。設置基準は、本開示の幾つかの態様では、SMD44707の反対側にあるクロスハッチパターンであり、PCBの最下層へと下方向へ延びる。パッチアンテナ44708は、高密度クロスハッチ銅トレース及びマイクロビアを用いて生成される容量型パッチであてよい。このようなパターンは、SMD44707コンポーネントの本体内に及び主ホストPCB44701内に実装可能である。クロスハッチSMDコンポーネントは、複数のはんだ点44709A及び44709Bを用いて接続できる。パッチアンテナ44708は、折り返され又は折り返されなくてよい。パッチアンテナ44708は、偏波共用のための2つの直交する給電である、基板上の二重給電線44713により給電される。ディレクタ44711は、筐体にエッチングされ又はその上に形成されて、放射を方向44719に向ける。
図448は、本開示の幾つかの態様による、円偏波を有するSMDスパイラルアンテナを示す。図448では、RFIC44803はPCB44801に接続される。RFICシールド44805は、RFIC44803を覆い、スパイラルアンテナ44809の反射体及び接地基準として動作する。スパイラルアンテナ44809は、SMD44807の最上層及び最下層上のビア及びトレースを用いて形成されてよい。SMD44807が多層である場合、スパイラルアンテナは、SMDの内部層のビア及びトレースを用いて実装され得る。スパイラルアンテナ44809は、基板上の単一の給電線44813により給電される。ディレクタ44813は、筐体にエッチングされ又はその上に形成されて、放射を方向44815に向ける。
図449は、本開示の幾つかの態様による、SMD内のスパイラルアンテナの実装を示す。RFICは44903に示され、又は本開示の幾つかの態様では、44903はRFICを保持するPCBを示してよい。トレース44907は、SMD44905の上部及び下部に印刷されてよい。また、ビアは、SMDの上部と下部との間に配置されてよく、図示のようにトレースを接続する。円形ではなく、スパイラルとして図示される場合が多いが、図示のトレース-ビア結合は、スパイラルの1つの円又は楕円ループとして機能してよい。複数のこのようなループは、一緒に接続されてよく、円形ループとして機能する。下部トレース44907は左側が開放され(ビア44910に接続されない)、第2ループに接続されてよく、第2ループは同様に第3ループに接続されてよく、以下同様にして、スパイラルを形成することに留意する。例えば、SMDコンポーネントが多層である場合、トレースループ及び接続ビアは、内部層に構成されてよく、スパイラルのより多くの旋回を可能にする。ビア44911は、RFIC44903内の単一のストリップライン給電44915に接続される。ビア44909は、ストリップライン給電44915を基準とするGND層を縫い合わせる接地ビアである。
図450は、本開示の幾つかの態様による、RFICから筐体上の複数のディレクタへの結合放射を図示する。RFIC45003の取り付けられたPCB45001が示される。4個のSMDコンポーネント45005は、それぞれ、図444~447に図示したようなアンテナ素子を含み、ゲイン対サイズのために互いに適切な距離だけ間隔を開けられ、RFIC45003から給電機構45007により給電される。給電機構45007は、それぞれ上述したような単一給電単一偏波給電機構、又は二重給電偏波共用給電機構であてよい。また、本特許で上述したように、距離及び他のパラメータは、アンテナの使用できるデバイス内で利用可能な空間に関連して変化する。距離及び他のパラメータは、したがって多くの場合には、シミュレーションにより、利用可能な距離又は距離範囲、角度、及び他のパラメータをシミュレーションソフトウェアに入力し、距離、角度、ゲイン、放射パターン、及び他のパラメータのどのセットが所望の結果を提供するかを決定することによって、決定され得る。また、デバイス筐体45009上にディレクタのような4個の目標特徴45011が示される。SMDコンポーネント45005は、28GHzアンテナアレイを表してよく、各アンテナ素子は、同じ偏波のRF信号により給電される。波線により45013に示されるのは、SMDコンポーネントと目標特徴との間の放射の指示である。SMDコンポーネントと筐体特徴との間の間隔は、28GHzにおいて0.5mm~1.0mmの程度であり得る。本態様では、アンテナ素子はSMDコンポーネントであるが、それらはPCB上にも実現され得る。
上述のように、RFEM、RFIC、等のようなRFサブシステムは、シールドを用いて無線周波数干渉(radio frequency interference)(RFI)及び電磁干渉(electromagnetic interference)(EMI)から保護する。シールドは、金属であり、通常はシールド内に置かれるアクティブダイを覆うようボックスを形成する。以下に、シールドのカットアウトを種々の形式及びパターンで記載する。これらは、スロットラインとして又は金属トレースを通じて若しくは別の適切な種類の結合機構を通じてシールド内にあるRFICに接続され得るアクティブ金属ラインアンテナのようなアンテナ構造を生成する。
図451Aは、本開示の幾つかの態様による、アンテナを形成するICシールド壁カットアウトの透視図である。ICシールド45100は、図示されないシールドカバーを備える透視図で示される。ICシールドの固定されるPCBの上部は45113で示される。図451Aでは、アイテム45113はPCBの上部から離れて見える。しかしながら、これは単に描画空間の不足によるものである。アイテム45113は、RFICダイの配置されるPCBの上部である。シールドは、はんだによりPCBに固定されてよい。点45115はギャップ又は開口を示す。これらは、本開示の幾つかの態様では、標準的にPCBの上部の4つのコーナーの周辺にある。RFICダイ45101も、本開示の幾つかの態様では、RFICダイの下部にあるはんだボールにより示されるように、はんだによりPCB45113に固定される。シールド壁のうちの2つが見え、それぞれ「シールド壁」と記され、第3シールド壁は破線で見える。したがって、ICシールドの内側が見える。シールド壁45103内のカットアウトは、45105に見え、PCBにあるシールド壁の下部へと続き、アンテナとして機能する。このカットアウトは、本態様では平面逆Fアンテナ(planar inverted F antenna)(PIFA)であるアンテナとして壁要素を形成する。以下に示すように、PIFAは、シールドのカットアウトであり得るアンテナの単なる一例であり、態様はPIFAの使用に限定されない。議論される態様では、PIFAアンテナは図451Aのクロスハッチされた部分45107である。これは金属化される(metalized)。カットアウトは、PIFA45107の周囲にあり、クロスハッチされていない2つの部分である45105である。したがって、PIFA45107を形成する金属は、図中の斜め線の部分に示される。
図451Bには、図示の明確さのために、GND面の端の線より上にPIFAアンテナの周囲に取り囲む金属が示されない。しかし、図451Aでは、PIFA45107はカットアウト45105内のシールドの側面内に見えるように示される。本開示の幾つかの態様では、壁要素45107は、PCBにおいて、RFICダイ45101の適切なトランシーバ回路に接続し及びカットアウト45105により形成されるアンテナに給電する給電電送線路45111で終了してよい。図示のコプレーナー(coplanar、同一平面)導波路、又はマイクロストリップ、等のような様々な種類の給電機構が使用されてよい。電送線路45111は、金属を除去して、電送線路45111を接地GNDから分離するセグメント45112を露出させることにより、PCBの底45113に形成されてよい。図451Aに示すように、給電線45111は、一部がPCB上にあり、一部がシールド壁45103のPIFA45107に導かれる金属上にある。
図451Aでは、本開示の幾つかの態様では、電送線路45111の大部分は、RFICダイの位置するPCB上にある。クロスハッチされた線で満たされた領域45111は、PCB上の金属化された電送線路であり、一方で、電送線路の小さな部分のみがシールド壁に位置する。言い換えると、クロスハッチされた線で満たされた領域45107及びシールド壁45103の(45105以外の)残り部分は、シールド金属上の金属化である。PIFAアンテナは、本例ではシールド壁内の金属化されていないカットアウト内に形成される。電送線路45111の片側では、領域45112は、45111をPIFAに給電する伝送線路にするために給電線45111の片側45112においてPCB金属化の除去された(積層除去された(delaminated))領域である。この積層除去は、通常、PCB上のエッチングにより行われる。エッチングの代わりに削り取り(scraping)が可能であるが、正確であると考えられない。積層除去は、シールド金属に対する機械加工又は他の機械的カットアウト機構によって達成されてもよい。給電線は、複数の技術を用いて実装でき、(例えばPCB上の)1つの技術に限定されない。
カットアウト45105に隣接する壁の要素45109は、PCBの接地GNDに接続し、カットアウトアンテナ45107の接地への短絡線として機能する。本開示の幾つかの態様では、GNDは、カットアウトにより形成されるアンテナの接地面として機能する。図415Bは、本開示の幾つかの態様による、図415Aに示したアンテナを含む壁カットアウトの側面図である。図451Bは、図451Aと同様に番号を付された要素と同じ、要素45105及び壁要素45107、45109、並びに給電電送線路45111を有する平面逆Fアンテナ(PIFA)を示す。本態様では、PIFAは壁要素45109を用いて接地GNDに接続する比較的簡単な方法を提示するので、さらに、ユーザデバイス内で必要な所要空間を削減する、4分の1波長におけるPIFA自体の知られている共振により、さらに、PIFAは良好な信号吸収レート特性を有するので、PIFAが主として使用される。動作中、給電伝送線路45111は、アンテナとして機能してシールド壁から外側へとRFエネルギーを放射する、カットアウト45105内に存在するアンテナ素子に給電するよう構成される。本開示の幾つかの態様では、45107に示されたようなPIFAでは、放射は実質的に全方向性であってよい。カットアウト及びアンテナ素子は、ノッチ又はスロット、又は適切な接地を有するパッチのような他の構成の形態であってよい。
図451Cは、本開示の幾つかの態様による、アンテナアレイのアンテナ素子を含む壁カットアウト及び上部カットアウトを有するICシールドの透視図である。図451Cでは、壁は標準的に45103に示され、上部は45106に示される。したがって、図451Cの透視図は、ICシールドのカバー45106の下にあるように隠し図で示されるRFIC45101を覆うICシールドを示す。上部45106にあるカットアウトは、45105Aに示され、PCBによる接地への経路を提供する壁要素45109Aを備える。図451Cのカットアウト45105Aは、アンテナとして機能し、実質的に、図451Cの隠し図に示される図451Aのカットアウト45105と同じ種類のアンテナである。隠し線で示される給電伝送線路45111Aは、RFIC45101からアンテナ45109Aに給電し、隠し図中の給電伝送線路と同じ又は同様である。
本開示の幾つかの態様では、2つ以上のアンテナは互いに直交して方向付けられ得る。例えば、実質的に互いに物理的に直交して方向付けられている2つのアンテナ45105及び45105Aは、2つの異なる偏波及び/又は空間カバレッジをサポートする。各アンテナは、同じ信号を供給されて、新しいベクトル和を生成する、又は2つの異なる信号若しくは空間ストリームを供給されて、多入力多出力(Multiple in Multiple Out)(MIMO)動作モードを可能にし得る。異なる時間に供給されると、放射は、上述のように制御構成に依存して異なる時間に2つの異なる偏波で生じ得る。
本開示の幾つかの態様では、所与の時間にどの給電を使用するかの選択は、信号の送信される受信器において受信した偏波信号の強度に依存して、アンテナが必要に応じて一方又は他方の偏波を提供できるように、コントローラにより制御されてよい。本開示の幾つかの態様では、受信器において受信した偏波信号の強度は、所与の時間により強い受信信号を提供する偏波、つまり垂直又は水平を提供する給電を選択するために、制御部による給電選択のためにフィードバックされる。フィードバックは連続的に提供でき、それにより、適切な偏波を連続的に提供し及び全体的性能を向上する。本開示の幾つかの態様では、空間的直交性を有するMIMO信号を判読するために両方の給電が使用される。
図451Dは、本開示の幾つかの態様による、アンテナアレイのアンテナ素子を含む第1壁カットアウト及び第2壁カットアウトを有するICシールドの透視図である。図451DのICシールド45106は、図451Aの45100に示されるものと同じである。しかしながら、シールドは、ダイに対してカットアウト45105と同じ方法で位置付けられる給電伝送線路45111Aを含む第2カットアウト45105A、及び給電伝送線路45111を有する。シールドは四角形であるので、2つのカットアウト45105及び45105Aは互いに直交し、図451Cに関して議論した同じ方法で動作する。記載したものと同様の給電機構を有するシールドの上部にある2つの直交カットアウトアンテナのような他の実装、及び他の実装が可能である。
RFシステムでは、アンテナは、送信/受信(T/R)スイッチに接続され、次にTX及びRXチェーンの中でそれぞれ電力増幅器(PA)及び低雑音増幅器(LNA)に接続される。mmWave周波数では、T/Rスイッチに関連する損失は高く、RF性能の観点からは痛みを伴う。(単一及び偏波共用のための)RF製品群及びアンテナ給電網が、図452A及び452Bの正方形パッチアンテナについて示される。しかしながら、これは、他の種類及び形状のアンテナ実装に適用可能である。
図452Aは、本開示の幾つかの態様による、単一偏波設計の送信/受信(T/R)スイッチを含むパッチアンテナ及びRF給電線接続を示す。図452Aでは、以下に更に詳細に議論するように、パッチアンテナ45201は、整合点(match point)45205で接続される給電線45203を有する。アンテナ45207はパッチアンテナ45201と同じであり、トランシーバ内の給電線45203はT/Rスイッチ45209に取り付けられる。PA45211及びLNA45213は、図示のようにそれぞれT/Rスイッチ45209に接続され、T/Rスイッチは送信及び受信モードで切り替えられる。
図452Bは、本開示の幾つかの態様による、偏波共用設計の送信/受信(T/R)スイッチを含むパッチアンテナ及びRF給電線接続を示す。図452Bでは、パッチアンテナ45215は、整合点45219において接続される水平偏波給電線45217を有する。垂直偏波給電線45224は、整合点45223において接続される。アンテナ45225は、パッチアンテナ45215と同じである。水平偏波では、トランシーバ内の水平偏波給電線45217は、T/Rスイッチ45227に取り付けられる。PA45229及びLNA45231は、図示のようにそれぞれT/Rスイッチ45227に接続され、T/Rスイッチは水平偏波信号のために送信及び受信モードで切り替えられる。垂直偏波では、トランシーバ内の垂直偏波給電線45224は、T/Rスイッチ45235に取り付けられる。PA45237及びLNA45239は、図示のようにそれぞれT/Rスイッチ45235に接続され、T/Rスイッチは垂直偏波信号のために送信及び受信モードで切り替えられる。
しかしながら、本開示の幾つかの態様では、パッチアンテナの給電線特性のために、T/Rスイッチは除去できる。
パッチアンテナにより、第2アンテナ給電線整合点と比べて僅かにずれている1つのアンテナ給電線整合点が存在し得る。これは、図452Cに示される。図452Cは、本開示の幾つかの態様による、TX給電線整合点と比べて片側に僅かにずれているRX給電線整合点のアンテナ給電線を有する、単一偏波設計のパッチアンテナ45204を示す。言い換えると、図452Cでは、RX整合給電点は、TX給電点よりもアンテナの端に近い。この理由は、給電線の接続点のインピーダンスが、接続の行われるパッチアンテナ上の点により決定され、接続インピーダンスが低いほどパッチアンテナの中心に近く、接続インピーダンスが高いほどパッチアンテナの端に近いからである。送信及び受信動作のために、TX給電線及びRX給電線の両方が、パッチアンテナに取り付けられる。
本開示の幾つかの態様では、PAはTX給電線の送信器側に取り付けられる。PAは非常に低いインピーダンスで動作するので、TX給電線整合点は、PAの低インピーダンス整合要件を満たすために、図452Cに示すようにパッチアンテナの中心に比較的近くなる。LNAは、RX給電線のRX側に取り付けられる。LNAは高いインピーダンスで動作するので、TX給電線整合点は、パッチアンテナの端に比較的近くなり、これも図452Cに示される。これら2つの整合点は、1つがパッチアンテナの中心に近く、1つがパッチアンテナの端に近く、結果として2つの整合点の間のオフセットを生じる。
この整合点のオフセットも、図452Dに示されるように偏波共用設計について示される。図452Dは、本開示の幾つかの態様による、両方の偏波のための、TX給電線整合点と比べて片側に僅かにずれているRX給電線整合点のアンテナ給電線を有する、偏波共用設計のパッチアンテナ45206を示す。言い換えると、図452Dには、オフセット整合点の2つのセットがあり、1つは水平偏波動作のためであり、1つは垂直偏波動作のためである。
パッチアンテナの上述の給電線整合点特性は、TXチェーンがパッチアンテナのTX給電線整合点に特設接続されること、及びRXチェーンがパッチアンテナのRX給電線整合点に直接接続されることを可能にする。したがって、RF製品群に含まれるT/Rスイッチ及び関連する挿入損失が低減される。これは、一方で、TX出力電力/効率及びRX雑音指数(noise figure)(NF)の観点から、RF性能を有意に向上できる。以上は図453A及び453Bに示される。反対方向から来る給電線に関連するTX及びRXの間の180度位相反転は、システムレベルで克服できる。
図453Aは、本開示の幾つかの態様による、パッチアンテナ給電線整合点に直接接続されるTX給電線及びRX給電線の単一偏波実装を示す。図453Aでは、パッチアンテナ45301は、RX給電線整合点45309に接続されるRX給電線45307、及びTX給電線整合点45305に直接接続されるTX給電線45303を有する。パッチアンテナ45311は、パッチアンテナ45301と同じ又は同様であり、TX給電線を介してPA45313に直接接続され、RX給電線45307を介してLNA45315に直接接続され、T/Rスイッチが必要ない。
図453Bは、本開示の幾つかの態様による、T/Rスイッチを有しないでパッチアンテナ給電線整合点に直接接続される水平偏波TX給電線及び水平RX給電線並びに垂直偏波TX給電線及び垂直RX給電線を用いる、偏波共用実装を示す。図453Bは、図453Aと同様であるが、水平偏波TX給電線45327及び水平偏波RX給電線45331並びに垂直偏波TX給電線45319及び垂直偏波RX給電線45323の両方がそれらそれぞれの給電線整合点45329、45333、及び45321、45325に接続される点が異なる。本態様では、パッチアンテナ45335は、水平偏波TX給電線45327によりPA45337に直接接続され、水平偏波RX給電線45331によりLNA45339に直接接続され、T/Rスイッチを有しない。本態様では、パッチアンテナ45335は、垂直偏波TX給電線45319によりPA45343に直接接続され、垂直偏波RX給電線45323によりLNA45345に直接接続され、T/Rスイッチを有しない。
図453A及び453Bに示される直接接続は、T/Rスイッチを有しないで、TX及びRXが異なる時間に動作する半二重モードでの動作を可能にする。
図454Aは、本開示の幾つかの態様による、ICシールドを示す。ICシールド45400は、2つの金属部分、PCBにはんだ付けされ又は他の方法で固定され及び内部に、例えばフェンス45401内に図示されたカットアウト内に集積回路及び個別回路が位置付けられてよい所謂「フェンス」45401、並びに、本開示の幾つかの態様ではフェンス45401の上部に押し付けることによりフェンスに取り付けられるふた45403を含む。2つのICシールド技術は、後述のようにシールドに隣接して位置付けられるアンテナ又はアンテナアレイに対して反射体としての役目を果たすことにより、アンテナゲインを向上する選択肢を可能にする。
本開示の幾つかの態様では、ゲインは、ふた45403内の空間を通じてフェンスの部分を突出させ又は拡張させることにより更に向上できる。図454Bは、本開示の幾つかの態様による、アンテナゲイン及び指向性を向上するために、ふた45403内の図示の空間を通じて、45405においてフェンスの突出又は拡張を有するICシールドを示す。本開示の幾つかの態様では、ふた自体が拡張の中に作成されてよいが、はんだ付けされていないふたが使用される場合、ふたは例えば落下し床に衝突する態様により又は手により扱われるとき変形し得る。
図454Bの議論に戻ると、突出は折り返され又は折り返されなくてよい。突出又は拡張における折り返しは、主に機械的安定性を示す。図454Bは、ユーザデバイスの間取図の部分を示し、上述のようなアンテナアレイのための限られた空間のうちの多くを占める図439に最初に示した同軸コネクタ43915を含む。図454Bのシールドに隣接して且つ非常に近くに、積層パッチアンテナ45407A及び45409A並びにダイポールアンテナ素子45407B及び45409Bを含み且つディレクタ45407C、45409C及び45407D、45409Dを含んでよいアンテナアレイがある。
本開示の幾つかの態様では、ダイポール45407Bとディレクタ45407Cとの間の距離は、340ミクロンである。ダイポールから銅層45410の端までの距離は780ミクロンであってよい。ダイポールからふた45403までの距離は2ミリメートルであってよい。本開示の幾つかの態様では、ディレクタ45407Cと45407Dとの間の距離は同様に340ミクロンである。アレイは、パッチ及びダイポールの態様について上述したように給電されてよい。突出によるエンドファイア方向(ディレクタに対して法線方向)のゲインは、約1dBiで測定された。
図454Cは、本開示の幾つかの態様による、ダイポールアンテナ素子45411、45413、45415、45417のアレイのゲインを向上するために、ICシールドカバー45403を通じた、フェンスの折り返し拡張45405の使用を示す。図示のアレイは、上述のようなPCB内に構成される1×4ダイポールアレイである。本開示の幾つかの態様では、PCBはビスマレイミド-トリアジン(Bismaleimide-Triazine)(BT)エポキシで構成されてよい。図454Cの態様では、突出によるエンドファイア方向(ダイポールアームに対して法線方向)のゲインは、約0.5dBiで測定された。
図454Dは、本開示の幾つかの態様による、突出によりシールド構造内に形成されるホール45419を示す。ふた45403の内部にあるフェンス45421の一部も示される。本開示の幾つかの態様では、突出は密閉されない。したがって、45419におけるようなホールは構造45406内に形成でき、したがってRF漏れが存在し得る。したがって、金属を折り返し又は他の方法で位置付けるために突出を実装するとき、このような漏れを最小化するようホール45419を可能な限り小さく作成するよう、注意を要することがある。
図454Eは、本開示の幾つかの態様による、図454Dの突出及びホールの拡大透視図である。ふた45403及びフェンス45421の結合は、突出5405及びホール45419を一層明確に示す。
図455は、本開示の幾つかの態様による、シールド反射体を有する結合パッチアンテナ及びダイポールアンテナアレイの上面図である。45500には、パッチアンテナ45503、45505、45507並びにダイポールアンテナ45509及び45511を含むアレイが示され、該アレイはエンドファイア方向(ダイポールアームに対して法線方向)に偏波共用ダイバーシティをサポートする。
本開示の幾つかの態様では、パッチアンテナ45503、45505、45507は、図456に関して後述するような二重パッチであり得る。ICシールドふた45501及びICシールドフェンス突出45501Aは、アンテナアレイに反射体及び接地を提供する。図455の45513に示される複数のふたは、パッチの間に置かれ、標準的にアレイの両方のダイポールアンテナの各々の側にある。
本開示の幾つかの態様では、接地がダイポールに非常に近くにあるかのように、インピーダンス整合が低下し、ダイポールの有効性に悪影響を与えるという理由で、ホールは、シールド45501により提供される接地とダイポール45509、45511との間の結合を取り除く(clear)。金属がアンテナの近くにある場合、ダイポール放射効率は低下し、放射を適切に反射できない。アンテナ性能を実質的に低下することなく反射を達成するために、本開示の幾つかの態様では約4分の1波長だけ、金属は放射体から離れているべきである。パッチモードは、パッチとパッチの下の接地との間にあり、広い接地が有効であることを必要としない。接地は有限なので回折が存在するが、損失は軽微である。接地45513に対するダイポールの接地の隙間(clearance)は、ホールがダイポールをパッチに一層近付けることを可能にし、それにより構造を一層小型にする。エンドファイア方向を見ると、ダイポールは水平偏波を有し、パッチアンテナは垂直偏波を有し、各パッチアンテナはモノポール素子として機能する。
図456は、本開示の幾つかの態様による、図455のアンテナの側面図である。アレイの座標系は、実際には紙面の外部へと延びるY座標を有する隣接シールド45501に示される。パッチ及びダイポールは図示のようにPCB内にある。3つのパッチアンテナのうちの1つ45503、45503Aは側面図に示され、他は標準的に存在し二重パッチアンテナを含む。ここで、パッチ45503Aは無給電アンテナであり、パッチ45503Bは一態様ではビアホールの使用により給電線45601を介してエネルギーを与えられる駆動パッチである。ダイポールアンテナ45509は、側面図に示され、接地層でありダイポールの部分でもあってよい給電線45603により給電される。ダイポールは2つの層で構成され、1つ目のアームは接地45603の部分であり、2つ目は層45509から励起される層である。当業者は、各種類のアンテナの数が単に例として記載されること、及び異なる数の又は複数のこのようなアンテナが追加的態様では適切であってよいことを理解する。同様に、記載の寸法以外の他の寸法が、アンテナアレイの使用されるデバイス内の利用可能な空間に依存して、シミュレーション又は他の方法により示され得るように、他の態様において使用されてよい。
図457は、本開示の幾つかの態様による、ユーザデバイス内の大きな障害物を迂回するために、パッチアレイと共に使用されるインターポーザの透視図を示す。インターポーザを有する材料は、PCB積層又は他の絶縁材料であってよい。パッチは既にRFサブシステム内の接地を有するので、インターポーザ材料はアンテナに大きな影響を与えない。インターポーザは、LGAパッドのようなパッドを有するはんだにより、PCBに固定されてよい。本開示の幾つかの態様では、IFは、処理及び送信のためのパッチアンテナアレイへの最終的な給電のために、マザーボードからRFサブシステムへルーティングされ得る。
図457で、本開示の幾つかの態様では、ユーザデバイスの部分間取図54700は、低温同時焼成セラミック(low temperature co-fired ceramic)(LTCC)であってよいPCBマザーボードを含み得る。アイテム45703は、ラップトップ又は他のデバイス筐体の部分であってよく、本開示の幾つかの態様ではマグネシウムで構成されてよい。USBコネクタは45705に示され、有効なアンテナ動作を妨害する。
障害物を迂回するために、インターポーザの上部に位置付けられて反射体シールド45710を備えるパッチアンテナアレイ45709を有するインターポーザ45707が使用されてよい。反射体シールドは、本特許で上述したもののようなICシールドの部分であってよい。ICシールド全体及びICシールド自体は、空間制約のために図示されないが、上述のように又は図461Aに関して後述するように位置付けられ得る。インターポーザ45707は、高さを提供し、RFEM全体を上昇させることを目的とするので、接地への接続のために及びアンテナアレイに給電するために必要に応じてGNDビア及びIF信号ビアも含む。
図458Aは、本開示の幾つかの態様による、ICシールドふた45801を示すインターポーザの透視図である。図458Aでは、ダイポールアンテナ45809のアレイ及び反射体45810は、図457のパッチアンテナアレイ45709及び反射体45710と同様に、インターポーザ上に位置付けられる。アイテム45809Aは、本開示の幾つかの態様では、反射体45812を有するパッチアンテナであってよい。アレイ及び反射体のエンドファイア方向が図示される。
図458Bは、本開示の幾つかの態様による、図458Aのダイポールアンテナアレイの放射パターンの垂直図であり、エンドファイア方向がマイナス90(-90)度に示される。ブロードサイド方向はゼロ(0)度に示される。図示のように、カバレッジは、図458Bのブロードサイド方向で強い。しかしながら、インターポーザは、図458Bに示すエンドファイア方向に特定量のダイポールアレイ放射を可能にする。図458Bに示される幾つかのパターンが存在し、各パターンはインターポーザの異なる高さのものである。図458Bから分かるように、ダイポールアレイがインターポーザ上に置かれるとき、エンドファイアへの放射は低下し、全ての図示されるインターポーザ高さにおいて低いゲイン及び狭いビーム幅を有する。この理由から、パッチアレイをインターポーザ上に置くことが好ましい。
図459は、本開示の幾つかの態様による、種々の方向の、インターポーザの高さに対する図458Aのパッチアンテナアレイの実現されるゲインを示す。3つの曲線45901、45903、45905は、それぞれエンドファイア方向、エンドファイアの上方5度、及びエンドファイアの上方10度のインターポーザの高さに対する、実現されるゲインを示す。
図460Aは、本開示の幾つかの態様による、二重帯域偏波共用動作のための結合パッチ及びスロットアンテナを示す。図460Aでは、アンテナ46000は、第1アンテナを形成する二重パッチアンテナ46001、46002、及び第2アンテナを形成する方形スロットアンテナ46003を示す。各アンテナは、2つの給電機構により給電される。該給電機構の各々は、偏波共用のために他方と直交する。
例えば、スロットアンテナは、それぞれが他方と直交する給電線46005及び46007により給電される。アイテム46005A及び46005Bは、給電線46007を基準とする接地ビアと同様に、給電線46005を基準とする接地ビアである。パッチアンテナ46001、46002は、無給電アンテナ素子46001及び駆動アンテナ素子46002を含む。駆動アンテナ素子46002は、図示の態様では、図460Bの46013、46015におけるようにビアにより給電される。ビア46013は、図460Bにも示される46011のような給電線に結合されてよい。線46011は、ユーザデバイスの集積回路(IC)(図示されないIC)により給電されてよい。ビア46015は、同様に、給電線46011に直交し同様にICにより給電されてよい給電線に結合されてよい。スロットアンテナ46003は、図示の態様では、マイクロストリップラインによるような、近接結合により又は任意の適切な給電機構により、給電されてよい。
近接結合は、図460Bに示され、後述される。図426Bは、本開示の幾つかの態様による、図460Aの結合パッチアンテナ及びスロットアンテナの側面図である。側面から、図460Bは、スロットアンテナ46003及びスロットアンテナ46003の2つの給電機構のうちの1つ、例えば本開示の幾つかの態様ではマイクロストリップ給電線、メタルトレース、又は他の種類の伝送線路であってよい給電線46007を示す。マイクロストリップ給電線46007は、PCB内にスロットアンテナ46003の下部から特定距離に存在するよう図示され、近接結合によりスロットアンテナ46003を駆動して、エネルギーが給電線46005、46007からスロットアンテナ46003に結合されるようにする。線46005、46007は、ユーザデバイス(図示しない)の集積回路への信号供給の目的でスロットアンテナ46003に結合されてよい。マイクロストリップ給電線46005は、給電線46007と直交して位置付けられる。給電線はマイクロストリップとして記載されたが、ストリップライン、トレース、等のような任意の適切な伝送線路であり得る。
本開示の幾つかの態様では、給電線は、30GHzを含む帯域の給電線46005及び60GHzを含む帯域の給電線46007のような二重帯域給電線を含む。給電線は、39GHz帯域又は73GHz帯域、又は他の適切な帯域にあってよく、給電線46005は帯域内のある周波数にあり給電線46007は該周波数の2倍にある。
本開示の幾つかの態様では、パッチアンテナ46001、46002は1つの周波数で動作し、スロットアンテナ46003は第2周波数で動作し、各アンテナの周波数はアンテナのサイズに依存する。言い換えると、パッチアンテナ及びスロットアンテナは、所望の周波数で動作するようアンテナ寸法を設計することにより、異なる周波数で動作するように作成できる。本開示の幾つかの態様では、各アンテナは異なる時間に動作し、どの偏波が所与の時間においてより良好な偏波であるかを示す受信デバイスからのフィードバックに依存して、適切な偏波の信号が適切な時間に送信できるようにする。
上述のように、本開示の幾つかの態様では、パッチアンテナ46001は無給電アンテナ素子であり、46002は駆動アンテナ素子である。図460Bに示すように、駆動アンテナ46002の接地は、ビアホール46013及び46015(図460Aではビア46015のみが見える)により給電されるという理由で「浮遊」しており、各ビアは上述の所与の帯域にあり、各々は駆動素子46002に給電するために近接結合のためにそれぞれ給電線46007及び46005に関連付けられる。このような給電線は、駆動素子に接続されるビアを含んでよい。スロット素子の内側部分は、接地目的のために十分大きく作成されアンテナが異なる時間に動作するならば、パッチアンテナに対して接地GNDとして動作してよい長方形金属である。
パッチアンテナの及びスロットアンテナの直交する給電は、空間ダイバーシティによる偏波をサポートする。例えば、X方向の放射では、励起は第1給電線を用いてよく、Y方向の放射では、励起は第1給電線に直交する第2給電線を用いてよい。ブロードサイド放射では、各アンテナはブロードサイド(図460BのZ)方向の偏波共用により動作できる。各アンテナは、アルゴリズムにより制御でき、所与の時間に所与の偏波で動作する。偏波は、どの給電線が所与の時間にアクティブにされるかに依存し、このアクティブ化は受信デバイスの方向に依存する。受信デバイスは、どの偏波が所与の時間においてより良好な受信を提供するかを指定する情報を送信器にフィードバックしている。エンドファイア方向では、各アンテナは、1つの偏波のみにより動作してよい。偏波は、励起がX方向(図460Bの46013)又はY方向(図460Bの46015)からであるかに依存して、通常ブロードサイド放射より低いゲインを有する。さらに、エンドファイア放射では、各アンテナは、各アンテナ素子が2つの直交する給電により励起される場合には、偏波共用でも動作してよいが、単一偏波動作の場合より遙かに低いゲインを有する。単一無給電素子が記載されたが、当業者は、複数のこのような無給電素子が、又は本開示の幾つかの態様では1つ以上のディレクタが、所与の態様で適切な場合には使用されてよいことを理解する。同様に、正方形スロットアンテナが記載されたが、他の構成のスロットアンテナも種々の態様において使用されてよい。
アンテナ又はアンテナアレイは、本開示の幾つかの態様では超厚金属(ultra-thick metal)(UTM)である回路基板の層の中のシリコン回路又はチップ内で励起されてよい。UTMは、回路基板材料では最も低い損失の1つを有するとして知られている。図461Aは、本開示の幾つかの態様による、アンテナ・オン・チップ(antenna-on-a-chip)(AOC)の分解図である。AOC46100は、BT積層基板であってもよいPCB46111、及び無線周波数(RF)信号を提供するトランシーバを含んでよいシリコン回路46103を含む。AOCは、本開示の幾つかの態様では2×2パッチアンテナアレイ(パッチアンテナのうちの1つは46105として示されるが、他の3つは標準的に図示される)を含み得るアンテナ46105を含み、並びにIC金属シールド46101を含んでよい議論中の態様は4個のパッチアンテナ素子を含むアレイを含むが、態様はパッチアンテナに限定されない。当業者は、スロットアンテナ又はノッチアンテナのような他のアンテナ素子、アンテナアレイの動作周波数がmmWave帯域でありWiGig周波数帯域の一部又は全部をサポートする周波数範囲内にあってよいことを理解する。PCB基板46111は、アンテナアレイの下の金属の隙間(clearance)46113を有する。隙間46113はアンテナアレイの短絡を防ぐ。アンテナ放射は回路基板を通じ又はそれを経由するので、隙間46113もアンテナアレイ放射が基板の外側へ送信されるように機能する。
図461Bは、本開示の幾つかの態様による、図461AのAOCを含むアンテナ46105の底面図である。変圧器46107は、共役整合及び他の電子的機能のようなもののために、シリコン回路内で使用される変圧器を含む。トレースは、46109に置かれてよく、パッチのための給電を含む、パッチ46105の間及びそれらの間のルーティングのために使用されてよい。給電は、シリコン回路内のトランシーバに結合されてよく、電力増幅器(PA)及び低雑音増幅器(LNA)スイッチに結合される小さなマイクロストリップラインを含んでよい。
図461Cは、図461AのAOCの側面図であり、ICシールド46101、シリコン回路46103、及びPCB基板46111を示す。パッチはシリコン46103の下部に実装でき、ICシールド46101は接地として使用できる。図462は、4個のパッチアンテナを含むパッチアレイの寸法を示す。4個のパッチアンテナのうちの1つが図462の46105として描かれる。パッチ自体は、1平方ミリメートルであってよい。図463は、本開示の幾つかの態様による、図461A~461C及び462のAOCのシミュレートされた放射パターンである。パターン46301はE平面を示し、パターン46303はH平面を示す。
図464Aは、本開示の幾つかの態様による、パッケージ・オン・パッケージ実装で実装されたダイのAOCの別の側面図を示す。PCB46401は、シリコン46405及び接地46403を含む。接地46403が設けられるとき、接地として使用される図461Cの46103のようなICシールドは必要ない。シリコン46405内のアンテナと接地46403との間の接続は、50オーム接続46407により形成され、バンプとして参照される場合が多い。接続46407は、シリコンからGNDに達するビアを含んでよく、ここでは給電機構の部分として使用されている。実際には、ICをGNDに接続する多くのビアが存在してよい。
図464Bは、本開示の幾つかの態様による、パッチの高さにより除算されたシリコンの高さに対する、放射効率の図である。別の言い方では、ICの高さはシリコンの厚さであり、パッチの高さはGNDから放射パッチまでのアンテナサイズの厚さである。図464Aの、接地がダイの上方60ミクロンである一態様では、実現されるゲインは0.46dBiであった。図464Cは、本開示の幾つかの態様による、パッチの高さにより除算されたシリコンの高さに対する、dBiで表される実現されるゲインの図である。
図465は、本開示の幾つかの態様による、チップ概観を図式的に示すAOCの別の図であり、チップ上のアンテナと回路との関係を含む。チップ概観46500は、4個のAOCを備えるシリコンチップを示し、4個のAOCのうちの1つが46501で示される。オンチップ回路は、一連の三角形により示され、三角形のうちの1つが46503で示される。この回路は、RFEM(又はRFIC)を含んでよく、通常、トランシーバを含む無線回路を含み、限定ではないが電力増幅器及び低雑音増幅器を含む。オンチップ接続は、46505のように直線により示され、通常、回路接続及びAOCへの接続を含んでよい。
単純な基板が実装のために使用できるので、AOCは有意なコスト削減を提供する。一態様では、AOCを含む製品はAOCを含むシールドされたシリコン回路として販売でき、基板を有さず、該製品を購入するOEMは該製品をマザーボードに直接はんだ付けできる。代替として、AOCは、それ自体のPCBに、IF又はRFケーブルと一緒に設置できるので、プラットフォーム内のどこにでも配置され得る。この場合、AOCの利点は、習慣的なアンテナ・オン・ボードと比べてPCBを簡略化することである。代替として、AOC製品は、マザーボードに既に設置されて販売できる。これは、AOCの一がマザーボード領域に限定されないという理由で、パッケージの必要がないので、コスト効率が良い。言い換えると、パッケージが存在せず、これは実質的な節約であり得る。
AOCは、基板ルーティングに対する要件及びはんだボール変化の劣化がないので、電力の伝導及び雑音指数が向上される。概して、パッチサイズは、基板パッチと比べて50パーセント(50%)だけ縮小できる。AOCは、広帯域整合、場合によっては304GHz帯域幅をサポートし、これは4個より多くのチャネルのサポートを可能にできる。記載のAOCは、埋込型ダイ/パッケージ・オン・パッケージ(package-on-package)(POP)ソリューションにより実装できる。POPは、2つのPCBを結合する技術である。主PCBはダイを含み(時々「シンプルPCB」と呼ばれ得る。)、、別のPCBは、シールドとして振る舞う金属で満たされた凹部を有し、信号及びアンテナアレイがシールドの上部に配置されることを可能にする。さらに、AOCは外部アンテナへのトレースを必要としないので、アンテナはこのようなトレースに起因する損失を全く有しない又は非常に少ない。
図466は、本開示の幾つかの態様による、ここで議論される技術又は方法のうちの任意の1つ以上が実行されてよい例示的な機械46600のブロック図を示す。代替の態様では、機械46600は、独立型デバイスとして動作し、又は他の機械に接続(ネットワーク接続)されてよい。ネットワーク接続される展開では、機械46600は、サーバ-クライアントネットワーク環境におけるサーバ機械、クライアント機械、又は両者の容量内で動作してよい。一例では、機械46600は、ピアツーピア(P2P)(又は他の分散型)ネットワーク環境におけるピアマシンとして動作してよい。機械46600は、UE、eNodeB、AP、STA、パーソナルコンピュータ(PC)、タブレットPC、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話機、スマートフォン、ウェブ機器、ネットワークルータ、スイッチ若しくはブリッジ、又は該機械により行われるべき動作を指定する命令を(シーケンシャルに又は他の方法で)実行可能な機械であってよい。さらに、単一の機械のみを図示するが、用語「機械」は、個々に又は共同して、本願明細書で議論した1又は複数の方法を実行するために命令セット(又は複数のセット)を実行する機械の集合、例えばクラウドコンピューティング、サービスとしてのソフトウェア(software as a service)(SaaS)、他のコンピュータクラスタ構成を含むと考えられるべきである。
例は、ここに記載のように、ロジック又は多数のコンポーネント、サブシステム、又はメカニズムを含んでよく、又はその上で動作してよい。サブシステムは、指定動作を実行可能な有形エンティティ(例えばハードウェア)であり、特定方法で構成され又は配置されてよい。一例では、回路は(例えば、内部に、又は他の回路のような外部エンティティに関して)サブシステムとして指定方法で構成されてよい。一例では、1つ以上のコンピュータシステム(例えば、独立型、クライアント又はサーバコンピュータシステム)又は1つ以上のハードウェアプロセッサの全体又は部分は、ファームウェア又はソフトウェア(例えば、命令、アプリケーション部分、又はアプリケーション)により、指定動作を実行するよう動作するサブシステムとして構成されてよい。一例では、ソフトウェアは、機械可読媒体上に存在してよい。一例では、ソフトウェアは、サブシステムの基本ハードウェアにより実行されると、該ハードウェアに指定動作を実行させる。
したがって、用語「サブシステム」は、指定方法で動作し又はここに記載の任意の動作のうちの一部又は全部を実行するよう、物理的に構成される、具体的には構成される(例えば結線される)、又は時間的に(例えば一時的に)構成される(例えばプログラムされる)エンティティである実現可能なエンティティを包含すると理解される。サブシステムが時間的に構成される例を考えると、サブシステムの各々は任意の1つの時点にインスタンス化される必要がない。例えば、サブシステムがソフトウェアを用いて構成される汎用ハードウェアプロセッサを含む場合、汎用ハードウェアプロセッサは、異なる時間にそれぞれ異なるサブシステムとして構成されてよい。ソフトウェアは、したがって、例えばある時点で特定サブシステムを構成するよう、及び異なる時点で異なるサブシステムを構成するよう、ハードウェアプロセッサを構成してよい。
機械(例えば、コンピュータシステム)は、ハードウェアプロセッサ46602(例えば中央処理ユニット(CPU)、グラフィック処理ユニット(GPU)、ハードウェアプロセッサコア、又はそれらの任意の組み合わせ)、メインメモリ46604、及びスタティックメモリ46606、を含んでよく、それらの一部又は全部は、インターリンク(例えばバス)46608を介して互いに通信してよい。機械46600は、ビデオディスプレイユニット46610、文字入力デバイス46612(例えば、キーボード)、及びユーザインタフェース(UI)ナビゲーションデバイス46614(例えば、マウス)を更に含んでよい。一例では、ディスプレイユニット46610、入力デバイス46612、及びUIナビゲーションデバイス46614は、タッチスクリーンディスプレイであってよい。機械46600は、ストレージデバイス(例えば、ドライブユニット)46616、信号生成デバイス46618(例えば、スピーカ)、ネットワークインターフェイスデバイス46620、全地球測位システム(global positioning system)(GPS)センサ、コンパス、加速度計、又は他のセンサのような1つ以上のセンサ、を更に含んでよい。機械46600は、1つ以上の周辺デバイス(例えば、プリンタ、カードリーダ、等)と通信し又は制御するためにシリアル(例えばユニバーサルシリアルバス(USB)、パラレル、又は他の有線又は無線(例えば赤外線(IR)、近距離通信(NFC)、等))接続のような出力コントローラ46628を含んでよい。
ストレージデバイス46616は、機械可読媒体46622を含んでよい。機械可読媒体46622には、本願明細書に記載の技術又は機能のうちの任意の1つ以上を実施する又はそれにより利用される1つ以上のデータ構造又は命令セット46624(例えば、ソフトウェア)が格納される。命令46624は、機械による命令の実行中に、メインメモリ46604内に、スタティックメモリ46606内に又はハードウェアプロセッサ46602内に完全に又は少なくとも部分的に存在してよい。一例では、ハードウェアプロセッサ46602、メインメモリ46604、スタティックメモリ46606、又はストレージデバイス46616の1つ又は任意の組み合わせは、機械可読媒体を構成してよい。
機械可読媒体46622は単一媒体として図示されたが、用語「機械可読媒体」は、1つ以上の命令46624を格納するよう構成される単一の媒体又は複数の媒体(例えば、中央又は分散型データベース、及び/又は関連キャッシュ及びサーバ)を含んでよい。
用語「機械可読媒体」は、機械による実行のために命令を格納し、符号化し又は運ぶことができ、及び機械に本開示の技術のうちの1つ以上を実行させ、又は該命令により利用される若しくはそれに関連するデータ構造を格納し、符号化し又は運ぶことができる任意の媒体を含んでよい。非限定的機械可読媒体の例は、固体メモリ、及び光及び磁気媒体を含んでよい。機械可読媒体の特定の例は、半導体メモリデバイス(例えば、EPROM(Electrically Programmable Read-Only Memory)、EEPROM(Electrically Erasable Programmable Read-Only Memory))、不揮発性メモリ、フラッシュメモリデバイス、内部ハードディスク及び取り外し可能ディスクのような磁気ディスク、光磁気ディスク、RAM(Random Access Memory)、及びCD-ROM、DVD-ROMディスクを含む。幾つかの例では、機械可読媒体は、非一時的機械可読媒体を含んでよい。幾つかの例では、機械可読媒体は、一時的伝搬信号ではない機械可読媒体を含んでよい。
命令46624は、さらに、多数のよく知られた転送プロトコルのうちの1つ(例えば、フレームリレー、IP(internet protocol)、TCP(transmission control protocol)、UDP(user datagram protocol)、HTTP(hypertext transfer protocol)等)を用いて、ネットワークインターフェイスデバイス46620を介して、伝送媒体を用いて、通信ネットワーク46626を介して送信又は受信されてよい。例示的な通信ネットワークは、特に、LAN(local area network)、WAN(wide area network)、パケットデータネットワーク(例えば、インターネット)、モバイル電話ネットワーク(例えば、セルラネットワーク)、POTS(Plain Old Telephone)ネットワーク、及び無線データネットワーク(例えば、Wi-Fi(登録商標)として知られるIEEE(Institute of Electrical and Electronics Engineers)802.11標準ファミリ、WiMax(登録商標)として知られるIEEE802.16標準ファミリ)、IEEE802.15.4標準ファミリ、LTE(Long Term Evolution)標準ファミリ、UMTS(Universal Mobile Telecommunications System)標準ファミリ、P2P(peer-to-peer)ネットワークを含んでよい。一例では、ネットワークインターフェイスデバイス46620は、1つ以上の物理的ジャック(例えば、イーサネット(登録商標)、同軸、又は電話ジャック)又は通信ネットワーク46626に接続する1つ以上のアンテナを含んでよい。一例では、ネットワークインターフェイスデバイス46620は、SIMO(single-input multiple-output)、MIMO(multiple-input multiple-output)、又はMISO(multiple-input single-output)技術のうちの少なくとも1つを用いて無線通信する複数のアンテナを含んでよい。幾つかの例では、ネットワークインターフェイスデバイス46620は、複数ユーザMIMO技術を用いて無線通信してよい。用語「伝送媒体」は、機械による実行のために命令を格納し、符号化し又は運ぶことができる無形媒体を含み、デジタル又はアナログ通信信号又はソフトウェアの通信を実現する他の無形媒体を含む。
図467は、本開示の幾つかの態様による、無線通信デバイス内に実装されてよいプロトコル機能を示す。幾つかの態様では、プロトコルレイヤは、PHY(physical layer、物理レイヤ)46710、MAC(medium access control)レイヤ46720、RLC(radio link control)レイヤ46730、PDCP(packet data convergence protocol)レイヤ46740、SDAP(service data adaptation protocol)レイヤ46747、RRC(radio resource control)レイヤ46755、NAS(non-access stratum)レイヤ46757、のうちの1つ以上を、図示されない他の上位レイヤ機能に加えて含んでよい。
幾つかの態様によると、プロトコルレイヤは、2以上のプロトコルレイヤ間の通信を提供してよい1つ以上のサービスアクセスポイントを含んでよい。
幾つかの態様によると、PHY46710は、1つ以上の他の通信デバイスによりそれぞれ受信又は送信されてよい物理レイヤ信号46705を送信し及び受信してよい。幾つかの態様によると、物理レイヤ信号46705は、1つ以上の物理チャネルを含んでよい。
幾つかの態様によると、PHY46710のインスタンスは、1つ以上のPHY-SAP(physical layer service access points)46715を介してMAC46720のインスタンスからの要求を処理し及びそれに指示を提供してよい。幾つかの態様によると、PHY-SAP46715を介して通信される要求及び指示は、1つ以上のトランスポートチャネルを含んでよい。
幾つかの態様によると、MAC46710のインスタンスは、1つ以上のMAC-SAP(medium access control service access points)46725を介してRLC46730のインスタンスからの要求を処理し及びそれに指示を提供してよい。幾つかの態様によると、MAC-SAP46725を介して通信される要求及び指示は、1つ以上の論理チャネルを含んでよい。
幾つかの態様によると、RLC46730のインスタンスは、1つ以上のRLC-SAP(radio link control service access points)46735を介してPDCP46740のインスタンスからの要求を処理し及びそれに指示を提供してよい。幾つかの態様によると、RLC-SAP46735を介して通信される要求及び指示は、1つ以上のRLCチャネルを含んでよい。
幾つかの態様によると、PDCP46740のインスタンスは、1つ以上のPDCP-SAP(packet data convergence protocol service access points)46745を介して、RRC46755の1つ以上のインスタンス及びSDAP46747の1つ以上のインスタンスからの要求を処理し及びそれらに指示を提供してよい。幾つかの態様によると、PDCP-SAP46745を介して通信される要求及び指示は、1つ以上の無線ベアラを含んでよい。
幾つかの態様によると、SDAP46747のインスタンスは、1つ以上のSDAP-SAP(service data adaptation protocol service access points)46749を介して、1つ以上の上位レイヤプロトコルエンティティからの要求を処理し及びそれに指示を提供してよい。幾つかの態様によると、SDAP-SAP46749を介して通信される要求及び指示は、1つ以上のQoS(quality of service)フローを含んでよい。
幾つかの態様によると、RRCエンティティ46755は、1つ以上のM-SAP(management service access points)を介して、PHY46710、MAC46720、RLC46730、PDCP46740、及びSDAP46747のうちの1つ以上を含んでよい1つ以上のプロトコルレイヤの態様を構成してよい。幾つかの態様によると、RRC46755のインスタンスは、1つ以上のRRC-SAP(RRC service access points)46756を介して、1つ以上のNASエンティティからの要求を処理し及びそれに指示を提供してよい。
図468は、本開示の幾つかの態様による、無線通信デバイス又は無線通信システムに接続されて実装されてよい種々のプロトコルエンティティを示す。さらに具体的には、図468は、幾つかの態様による、ユーザ機器(UE)46860、eNB(evolved node B)又はgNB(new radio node B)46880と称されてよい基地局、及びMME(mobility management entity)又はAMF(access and mobility management function)46894と称されてよいネットワーク機能、のうちの1つ以上を含む無線通信デバイスに実装されてよいプロトコルエンティティの図である。
幾つかの態様によると、gNB46880は、マクロセル、フェムトセル、又は他の適切なデバイスのような専用物理デバイスのうちの1つ以上として実装されてよく、又は代替の態様では、CRAN(cloud radio access network)と称される仮想ネットワークの部分としてサーバコンピュータ上で実行する1つ以上のソフトウェアエンティティとして実装されてよい。
幾つかの態様によると、UE46860、gNB46880、及びAMF46894のうちの1つ以上に実装されてよい1つ以上のプロトコルエンティティは、レイヤがPHY、MAC、RLC、PDCP、RRC、及びNASの順序で最下位から最上位へと順位付けられると考えられるプロトコルスタックの全部又は一部を実装するとして記載されてよい。幾つかの態様によると、UE46860、gNB46880、及びAMF46894のうちの1つ以上に実装されてよい1つ以上のプロトコルエンティティは、それぞれ、それぞれ通信を実行するために下位レイヤプロトコルエンティティのサービスを用いて、別のデバイスに実装されてよいピアプロトコルエンティティと通信してよい。
幾つかの態様によると、UE PHY46872及びピアエンティティgNB PHY46890は、無線媒体を介して送信され及び受信される信号を用いて通信してよい。幾つかの態様によると、UE MAC46870及びピアエンティティgNB MAC46888は、それぞれUE PHY46872及びピアエンティティgNB PHY46890により提供されるサービスを用いて通信してよい。幾つかの態様によると、UE RLC46868及びピアエンティティgNB RLC46886は、それぞれUE MAC46870及びgNB MAC46888により提供されるサービスを用いて通信してよい。幾つかの態様によると、UE PDCP46866及びピアエンティティgNB PDCP46884は、それぞれUE RLC46868及び5GNB RLC46886により提供されるサービスを用いて通信してよい。幾つかの態様によると、UE RRC46864及びgNB RRC46882は、それぞれUE PDCP46866及びgNB PDCP46884により提供されるサービスを用いて通信してよい。幾つかの態様によると、UE NAS46862及びAMF NAS46892は、それぞれUE RRC46864及びgNB RRC46882により提供されるサービスを用いて通信してよい。
一態様による媒体アクセス制御レイヤ機能を実装するために使用されてよいMACエンティティ46900が、図469に示される。
幾つかの態様によると、MACエンティティ46900は、コントローラ46905、論理チャネル優先順位付けユニット46910、チャネルマルチプレクサ及びデマルチプレクサ46915、PDUフィルタユニット46915、ランダムアクセスプロトコルエンティティ46920、データハイブリッド自動再送要求プロトコル(HARQ)エンティティ46925、及びブロードキャストHARQエンティティ46930、のうちの1つ以上を含んでよい。
幾つかの態様によると、上位レイヤは、管理サービスアクセスポイント46940を介してコントローラ46905と制御及び状態メッセージ46935を交換してよい。幾つかの態様によると、1つ以上の論理チャネル46945、46955、46965、46975に対応するMACサービスデータユニット(service data units)(SDU)は、1つ以上のサービスアクセスポイント(service access points)(SAP)46950、46960、46970、46980を介してMACエンティティ46900と交換されてよい。幾つかの態様によると、1つ以上のトランスポートチャネル46985、46995、469105、469115に対応するPHYサービスデータユニット(SDU)は、1つ以上のサービスアクセスポイント(SAP)46990、469100、469110、469120を介して物理レイヤエンティティと交換されてよい。
幾つかの態様によると、論理チャネル優先順位付けユニット46910は、1つ以上の論理チャネルの各々に対応する格納パラメータ及び状態情報を含んでよい1つ以上の論理チャネル46945及び46955の間で優先順位付けを実行してよい。ここで、該格納パラメータ及び状態情報は、論理チャネルが確立されるときに初期化されてよい。幾つかの態様によると、論理チャネル優先順位付けユニット46910は、1つ以上の論理チャネル46945及び46955の各々のパラメータセットにより構成され、各セットは、優先ビットレート(prioritized bit rate)(PBR)及びバケットサイズ存続期間(bucket size duration)(BSD)のうちの1つ以上を含んでよいパラメータを含む。
幾つかの態様によると、マルチプレクサ及びデマルチプレクサ46915は、1つ以上の論理チャネルに対応するMAC-SDU又は部分MAC-SDUを含んでよいMAC PDU、1つ以上のMACサブヘッダを含んでよいMACヘッダ、1つ以上のMAC制御要素、及びパディングデータを生成してよい。幾つかの態様によると、マルチプレクサ及びデマルチプレクサ46915は、1つ以上の論理チャネル46945及び46955に対応する受信したMAC-PDUに含まれる1つ以上のMAC-SDU又は部分MAC-SDUを分離してよく、1つ以上のMAC-SDU又は部分MAC-SDUを1つ以上のサービスアクセスポイント46950及び46960を介して上位レイヤに示してよい。
幾つかの態様によると、HARQエンティティ46925及びブロードキャストHARQエンティティ46930は、1つ以上の並列HARQプロセスを含んでよい。該HARQプロセスの各々は、HARQ識別子に関連付けられてよく、受信又は送信HARQプロセスのうちの1つであってよい。
幾つかの態様によると、送信HARQプロセスは、送信のためにMAC-PDUを選択することにより、指定反復バージョン(redundancy version)(RV)に従いPHYにより符号化されるべきトランスポートブロック(transport block)(TB)を生成してよい。幾つかの態様によると、ブロードキャストHARQエンティティ46930に含まれる送信HARQプロセスは、連続する送信間隔で所定回数だけ同じTBを再送してよい。幾つかの態様によると、HARQエンティティ46925に含まれる送信HARQプロセスは、前の送信に対して肯定的な肯定応答又は否定応答が受信されたかに基づき、送信時間に前の送信TBを再送すべきか又は新しいTBを送信すべきかを決定してよい。
幾つかの態様によると、受信HARQプロセスは、1つ以上の受信TBに対応し且つ新規データ指示(new data indication)(NDI)及び反復バージョン(RV)のうちの1つ以上に関連付けられてよい符号化データを提供されてよく、並びに、受信HARQプロセスは、各々のこのような受信符号かデータブロックが前の受信TBの再送に対応するか又は前に受信されていないTBかを決定してよい。幾つかの態様によると、受信HARQプロセスは、メモリ又は他の適切なストレージデバイスとして実装されてよく且つTBの前に受信されたデータに基づきデータを格納するために使用されてよいバッファを含んでよい。幾つかの態様によると、受信HARQプロセスは、TBを復号することを試みてよい。ここで、復号は。TBの受信データに基づき、並びに、TBの前に受信したデータに基づく格納データに更に基づいてよい。
幾つかの態様に従いMACエンティティ46900により符号化され及び復号されてよいPDUのフォーマットは、図470Aに示される。
幾つかの態様にによると、MAC PDU47000は、MACヘッダ47005及びMACペイロード47010で構成されてよい。MACペイロードは0以上のMAC制御要素47030、0以上のMAC SDU部分47035、及び0以上のパディング部分47040で構成される。幾つかの態様にによると、MACヘッダ47005は、1つ以上のMACサブヘッダで構成されてよい。各MACサブヘッダは、MACペイロード部分に対応し且つ対応する順序で現れてよい。幾つかの態様によると、MACペイロード47010に含まれる0以上のMAC制御要素47030は、MACヘッダ47005に含まれる固定長サブヘッダ47015に対応してよい。幾つかの態様によると、MACペイロード47010に含まれる0以上のMAC SDU部分47035は、MACヘッダ47005に含まれる可変長サブヘッダ47020に対応してよい。幾つかの態様によると、MACペイロード47010に含まれるパディング部分47040は、MACヘッダ47005に含まれるパディングサブヘッダ47025に対応してよい。
幾つかの代替的態様に従いMACエンティティ469100により符号化され及び復号されてよいPDUのフォーマットは、図470Bに示される。
幾つかの態様によると、MAC PDU47000は、パディングが後に続いてよい1つ以上の連結MAC Sub-PDU47045で構成されてよい。幾つかの態様によると、各MAC Sub-PDU47045は、サブヘッダと、固定長制御要素、可変長制御要素、及びMAC SDUのうちの1つと、を含んでよい。幾つかの態様によると、固定長制御要素を含むMAC Sub-PDU47045も、固定長サブヘッダ47015を含んでよい。幾つかの態様によると、可変長制御要素を含むMAC Sub-PDU47045も、可変長サブヘッダ47020を含んでよい。幾つかの態様によると、MAC SDUを含むMAC Sub-PDU47045も、可変長サブヘッダ47020を含んでよい。
MACヘッダ47005に含まれてよい固定長MACサブヘッダ47015の態様は、図470Cに示される。
MACヘッダ47005に含まれてよい可変長MACサブヘッダ47020の態様は、図470Dに示される。
MACヘッダ47005に含まれてよいパディングサブヘッダ47025の態様は、図470Fに示される。
幾つかの態様によると、固定長サブヘッダ47015は、予約ビット47065、拡張ビット47070、及び論理チャネル識別子(logical channel identifier)(LCID)フィールド47075、のうちの1つ以上を含んでよい。
幾つかの態様によると、可変長サブヘッダ47020は、予約ビット47065、拡張ビット47070、LCIDフィールド47075、フォーマットフィールド47085、及び長さフィールド47090のうちの1つ以上を含んでよい。
幾つかの態様によると、パディングサブヘッダ47025は、予約ビット47065、拡張ビット47070、及び論理チャネル識別子(logical channel identifier)(LCID)フィールド47075、のうちの1つ以上を含んでよい。
幾つかの態様によると、予約ビット47065はゼロに設定されてよい。幾つかの態様によると、拡張ビット47070は、MACサブヘッダの後に1つ以上の追加MACサブヘッダが続くか否かを示す値に設定されてよい。幾つかの態様によると、LCID47075は、対応するMAC制御要素47030の種類、対応するMAC SDU部分47035の論理チャネル識別子、又はパディング種類、のうちの1つを示す値を含んでよい。幾つかの態様によると、フォーマットフィールド47085は、長さフィールド47090のビット数を示してよい。幾つかの態様によると、長さフィールド47090は、対応するMAC SDU部分47035の長さを示す値を含んでよい。
無線リンク制御(radio link control)(RLC)レイヤエンティティ内に含まれる機能の態様は図471に示される。
幾つかの態様によると、RLCレイヤエンティティ47100は、透過モード(transparent mode)(TM)送信エンティティ47110、TM受信エンティティ47115、非認証モード(unacknowledged mode)(UM)送信エンティティ47120、UM受信エンティティ47125、及び認証モード(acknowledged mode)(AM)エンティティ47130の各々のうちの0以上を含んでよい。
幾つかの態様によると、上位レイヤエンティティは、制御、状態及びデータメッセージ47162、47164、47168、47172、47174を、1つ以上のサービスアクセスポイント47140、47142、47144、47146、47148、47150を介してRLCレイヤエンティティ47100と交換してよい。幾つかの態様によると、RLCレイヤエンティティ47100は、制御、状態及びデータメッセージ47178、47180、47182、47184、47186を、サービスアクセスポイント47152、47154、47156、47158、47160を介して下位レイヤプロトコルエンティティと交換してよい。
透過モード
幾つかの態様によると、TM送信エンティティ47110及びTM受信エンティティ47115の各々のうちの0以上は、それぞれ異なる論理チャネル識別子(LCID)に対応してよく、無線リソース制御(radio resource control)(RRC)エンティティであってよい上位レイヤ制御エンティティからの要求に従い動的に生成され、構成され、及び破棄されてよい。
幾つかの態様によると、TM送信エンティティ47110は、SDUをセグメント化若しくは連結し又は任意のヘッダデータを含めることなく、TM SAP47140を介して受信されたRLC SDUから透過モードデータ(transparent mode data)(TMD)PDUを生成してよく、TMD PDUをSAP47152を介して下位レイヤに渡してよい。
幾つかの態様によると、TM受信エンティティは、下位レイヤからSAP47154を介してTMD PDUを受け取ってよく、TMD PDUを変更を有しないでSAP47142を介してRLC SDUとして上位レイヤに分配してよい。
非認証モード
幾つかの態様によると、UM送信エンティティ47120及びUM受信エンティティ47125の各々のうちの0以上は、それぞれ異なる論理チャネル識別子(LCID)に対応してよく、無線リソース制御(RRC)エンティティであってよい上位レイヤ制御エンティティからの要求に従い動的に生成され、構成され、及び破棄されてよい。
幾つかの態様によると、UM送信エンティティ47120は、RLCヘッダを各RLC SDUに追加することにより、RLC SDUから非認証モードデータ(unacknowledged mode data)(UMD)PDUを生成してよく、RLC SDUをセグメントに分割し及びRLCヘッダを各セグメントに付加することにより、UMD PDUを生成してよい。幾つかの態様によると、UM送信エンティティ47120は、UMD PDU及びUMD PDUセグメントをSAP47156を介して下位レイヤに渡してよい。
幾つかの態様によると、UM受信エンティティ47125は、SAP47158を介して受信したUMD PDUを処理してよい。幾つかの態様によると、UM受信エンティティ47125により受信したUMD PDUの処理は、重複して受信されたUMD PDUを検出し及び廃棄するステップと、受信したUMD PDU及びUMD PDUセグメントをUMD PDU及びUMD PDUセグメントに含まれるシリアル番号に従い並べ替えるステップと、受信したUMD PDUセグメントからRLC SDUを再組立するステップと、RLC SDUをSAPを介し番号の昇順に上位レイヤに分配するステップと、のうちの1つ以上を含んでよい。
認証モード
幾つかの態様によると、AMエンティティ47130の各々のうちの0以上は、それぞれ異なる論理チャネル識別子(LCID)に対応してよく、無線リソース制御(RRC)エンティティであってよい上位レイヤ制御エンティティからの要求に従い動的に生成され、構成され、及び破棄されてよい。
幾つかの態様によると、AMエンティティ47130は、RLCヘッダを各RLC SDUに追加することにより、RLC SDUから認証モードデータ(acknowledged mode data)(AMD)PDUを生成してよく、RLC SDUをセグメントに分割し及びRLCヘッダを各セグメントに付加することにより、AMD PDUを生成してよい。幾つかの態様によると、AMエンティティ47130は、AMD PDU及びAMD PDUセグメントをSAP47160を介して下位レイヤに渡してよい。
幾つかの態様によると、AMエンティティ47130は、AMD PDUのヘッダにポーリングビットを含めてよい。ポーリングビットは、PDUを受信するピアAMエンティティが、どのAMD PDU及びAMD PDUセグメントが正しく受信されたかに関する情報を含んでよいAM STATUS PDUに応答する必要があることを示す。
幾つかの態様によると、AMエンティティ47130は、1つ以上の送信AMD PDU及びAMD PDUセグメントを再送バッファに格納してよく、1つ以上のこのようなPDUがピア受信AMエンティティにより正しく受信されていないと決定された場合、該PDUを再送してよい。
幾つかの態様によると、AMD PDU又はAMD PDUセグメントの再送において、時間間隔の中で送信のために利用可能な容量のビット数がPDU全体を再送するのに不十分であると決定された場合、AMエンティティは、PDUを2つ以上のより小さなセグメントに再セグメント化してよい。
幾つかの態様による、TM送信エンティティ47110により送信され及びTM受信エンティティ47115により受信されてよいTMD PDU47200は、図472Aに示される。
幾つかの態様によると、TMD PDU47200は、データフィールド47205の1つ以上のオクテットを含んでよい。
幾つかの態様による、UM送信エンティティ47120により送信され及びUM受信エンティティ47125により受信されてよいUMD PDU47220は、図472Bに示される。
幾つかの態様によると、UMD PDU47220はUMD PDUヘッダ及びデータフィールド47205で構成されてよい。
幾つかの態様によると、UMD PDU47220は、予約1(R1)ビット47225、セグメント化フラグ(SF)ビット47230、最終セグメントフラグ(LSF)ビット47235、シーケンス番号(SN)フィールド47240、データ47205の1つ以上のオクテット、の各々のうちの1つ以上を含んでよい。
幾つかの態様による、UM送信エンティティ47120により送信され及びUM受信エンティティ47125により受信されてよいUMD PDU47250は、図472Cに示される。
幾つかの態様によると、UMD PDUセグメント47250は、予約1(R1)ビット47225、セグメント化フラグ(SF)ビット47230、最終セグメントフラグ(LSF)ビット47235、シーケンス番号(SN)フィールド47240、セグメントオフセット(SO)フィールド47245、データ47205の1つ以上のオクテット、の各々のうちの1つ以上を含んでよい。
幾つかの態様による、AMエンティティ47130により送信され及び受信されてよいAMD PDU47260は、図472Dに示される。
幾つかの態様によると、AMD PDU47260はAMD PDUヘッダ及びデータフィールド47205で構成されてよい。
幾つかの態様によると、AMD PDU47260は、データ/制御(D/C)ビット47265、セグメント化フラグ(SF)ビット47230、パリティ(P)ビット47270、予約1(R1)ビット47225、最終セグメントフラグ(LSF)ビット47235、シーケンス番号(SN)フィールド47240、データ47205の1つ以上のオクテット、の各々のうちの1つ以上を含んでよい。
幾つかの態様による、AMエンティティ47130により送信され及び受信されてよいAMD PDUセグメント47280は、図472Eに示される。
幾つかの態様によると、AMD PDUセグメント47280は、データ/制御(D/C)ビット47265、セグメント化フラグ(SF)ビット47230、ポーリング(P)ビット47270、予約1(R1)ビット47225、最終セグメントフラグ(LSF)ビット47235、シーケンス番号(SN)フィールド47240、セグメントオフセット(SO)フィールド47245、データ47205の1つ以上のオクテット、の各々のうちの1つ以上を含んでよい。
幾つかの態様によると、UMD PDU47220、UMD PDUセグメント47250、AMD PDU47260、又はAMD PDUセグメント47280に含まれるSFビット47230の値は、PDUがUMD PDU又はAMD PDUのうちの1つ、又はUMD PDUセグメント又はAMD PDUセグメントのうちの1つであるか、を示してよい。ここで、0の値は、PDUがUMD PDU又はAMD PDUのうちの1つであることを示してよく、1の値は、PDUがUMD PDUセグメント又はAMD PDUセグメントのうちの1つであることを示してよい。
幾つかの態様によると、AMD PDU47260又はAMD PDUセグメント47280に含まれるPビットは、送信AMD PDUエンティティ47230がピア受信AMD PDUエンティティ47230がSTATUS PDU47290を送信することにより応答することを要求しているか否かを示す値に設定されてよい。
幾つかの態様によると、UMD PDUセグメント47250又はAMD PDUセグメント47280に含まれるLSFビット47235の値は、UMD PDUセグメント又はAMD PDUセグメントがそれぞれUMD PDU又はAMD PDUの最後のセグメントを含むか否かを示すよう設定されてよい。
幾つかの態様によると、UMD PDU47220又はAMD PDU47260に含まれるSNフィールド47240の値は、PDUのシーケンス番号を示してよい。幾つかの態様によると、UMD PDUセグメント47250又はAMD PDUセグメント47280に含まれるSNフィールドの値は、UMD PDUセグメント又はAMD PDUセグメントがセグメントであるUMD PDUのシーケンス番号を示してよい。
幾つかの態様による、AMエンティティ47130により送信され及び受信されてよいSTATUS PDU47290は、図472Fに示される。
幾つかの態様によると、STATUS PDU47290は、D/Cビット及び制御プロトコル種別(control protocol type)(CPT)フィールドの各々のうちの1つを含んでよい。幾つかの態様によると、STATUS PDU47290に含まれるCPTフィールドは、PDUがSTATUS PDUであることを示す値に設定されてよい。
幾つかの態様によると、STATUS PDU47290は肯定応答フィールドグループ及び0以上の否定応答フィールドグループを含んでよい。
幾つかの態様によると、肯定応答フィールドグループは、18ビット長であってよい肯定応答シーケンス番号(ACK_SN)フィールド、及び肯定応答フィールドグループの後に1つ以上の否定応答フィールドグループが続くか否かを示す値に設定されてよい拡張1(E1)ビットを含んでよい。
幾つかの態様によると、否定応答フィールドグループは、18ビット長であってよい否定応答シーケンス番号(NACK_SN)フィールド、その後に続くE1ビット、拡張2(E2)ビット、拡張3(E3)ビット、及び0、1又は2個の任意的フィールドを含んでよい。E1ビットは、否定応答フィールドグループの後に追加否定応答フィールドグループが続くか否かを示す値に設定され、E2ビットは、任意的フィールドがセグメントオフセット開始(SOstart)フィールドを含むか否かを示す値に設定され、E3ビットは、任意的フィールドがセグメントオフセット終了(SOend)フィールドを含むか否かを示す値に設定される。
パケットデータコンバージェンスプロトコル(packet data convergence protocol)(PDCP)レイヤエンティティ47300内に含まれてよい機能の態様は図473に示される。
幾つかの態様によると、PDCPレイヤエンティティ47300は、シーケンス番号付け、重複検出、及び並べ替え回路47325、ヘッダ圧縮及び伸長回路47330、完全性保護及び検証回路47335、暗号化及び解読回路47340、及びカプセル化及びカプセル化解除回路47345、のうちの1つ以上を含んでよい。
幾つかの態様によると、上位レイヤエンティティは、PDCPサービスデータユニット(service data units)(SDU)47305をSAP47310を介してPDCPレイヤエンティティ47300と交換してよい。幾つかの態様によると、PDCPレイヤエンティティは47300、PDCPプロトコルデータユニット(protocol data units)(PDU)47315をSAP47320を介して下位レイヤプロトコルエンティティと交換してよい。
幾つかの態様によると、PDCPレイヤエンティティ47300は、制御ユニット47350を含んでよい。制御ユニット47350は、シーケンス番号付け、重複検出、及び並べ替え回路47325、ヘッダ圧縮及び伸長回路47330、完全性保護及び検証回路47335、暗号化及び解読回路47340、及びカプセル化及びカプセル化解除回路47345、のうちの1つ以上に構成及び制御入力を提供し及びそれから受信してよい。幾つかの態様によると、PDCPレイヤエンティティ47300は、1つ以上の構成パラメータ及び状態情報を格納するために使用されてよいメモリ47355、を含んでよい。
幾つかの態様によると、上位レイヤは、インターフェイス47365を介して制御ユニット47350と制御及び状態メッセージ47360を交換してよい。
幾つかの態様による、PDCPエンティティ47300により送信され及び受信されてよいPDCP PDU47400は、図474に示される。
幾つかの態様によると、PDCP PDU47400は、予約(R)ビット47405、PDCPシーケンス番号(SN)フィールド47410、データ47420の1つ以上のオクテット、及びデータ完全性(MAC-I)フィールド47420の4オクテットメッセージ認証コード、の各々のうちの1つ以上を含んでよい。
無線リソース制御(radio resource control)(RRC)レイヤ47500のインスタンス間の通信の態様は図475に示される。一態様によると、ユーザ機器(UE)47505に含まれるRRC47500のインスタンスは、それぞれ、eNodeB(evolved node B)、gNodeB又は他の基地局インスタンスであってよい基地局47510に含まれるピアRRCインスタンス47500へ送信され及びそれから受信されたメッセージを符号化し及び復号してよい。
一態様によると、RRC47500インスタンスは、システム情報、セル選択及び選択解除パラメータ、近隣セル情報、共通チャネル構成パラメータ、及び他のブロードキャスト管理情報、のうちの1つ以上を含んでよいブロードキャストメッセージを符号化し又は復号してよい。
一態様によると、RRC47500インスタンスは、ページング情報、RRC接続を確立し、変更し、一時停止し、再開し又は解放するためのメッセージ、C-RNTI(cell radio network temporary identifier)を含んでよいUEアイデンティティを割り当て又は変更するためのメッセージ、シグナリング無線ベアラ(signaling radio bearer)(SRB)、データ無線ベアラ(data radio bearer)(DRB)又はQoSフローを確立し、変更し、又は解放するためのメッセージ、完全性保護及び暗号化情報を含むセキュリティ関連付けを確立し、変更し、又は解放するためのメッセージ、周波数間、周波数間及び無線アクセス技術(radio access technology)(RAT)間ハンドオーバーを制御するためのメッセージ、無線リンク障害から回復するためのメッセージ、測定情報を構成し及び報告するためのメッセージ、及び他の管理制御及び情報機能、のうちの1つ以上を含んでよいRRC接続制御メッセージを符号化し又は復号してよい。
幾つかの態様における、ユーザ機器(UE)内に実装されてよいRRC47500の状態は、図476に示される。
幾つかの態様によると、RRCエンティティ47500は、5G新無線(new radio)(NR)ネットワークに属するセルに接続され又はそれに留まるとき、状態NR RRC接続47605、NR RRCインアクティブ47628、又はNR RRCアイドル47625のうちの1つであってよい。
幾つかの態様によると、RRCエンティティ47500は、LTE(long term evolution)ネットワークに属するセルに接続され又はそれに留まるとき、状態E-UTRA RRC接続47610又はE-UTRA RRCアイドル47630のうちの1つであってよい。
幾つかの態様によると、RRCエンティティ47500は、UMTS(universal mobile telecommunication system)ネットワークに属するセルに接続され又はそれに留まるとき、状態CELL_DCH47615、CELL_FACH47645、CELL_PCH/URA_PCH47645又はUTRA_Idle47635のうちの1つであってよい。
幾つかの態様によると、RRCエンティティ47500は、GSM(global system for mobile telecommunication)ネットワークに属するセルに接続され又はそれに留まるとき、状態GSM_Connected/GPRS_Packet_Transfer_mode47620又はGSM_Idle/GPRS_Packet_Idle47640のうちの1つであってよい。
幾つかの態様によると、RRCエンティティ47500は、接続状態として称されてよいNR RRC接続47605、E-UTRA RRC接続47610、CELL_DCH47615、CELL_FACH47645、及びGSM_Connected/GPRS_Packet_Transfer_Mode47640、を含むセットの中の状態の1つから、ハンドオーバー遷移47660を介して同じセット内の別の状態へ遷移してよい。
幾つかの態様によると、RRCエンティティ47500は、アイドル状態として称されてよいNR RRCアイドル47625、E-UTRA RRCアイドル47630、UTRA_Idle47635、及びGSM_Idle/GPRS_Packet_Idle47640、を含むセットの中の状態の1つから、セル再選択遷移47680を介して同じセット内の別の状態へ遷移してよい。
幾つかの態様によると、RRCエンティティ47500は、RRC接続/切断遷移47670を介して、状態NR RRC接続47605及びNR RRCアイドル47625の間で遷移してよい。幾つかの態様によると、RRCエンティティ47500は、RRC接続/切断遷移47670を介して、状態E-UTRA RRC接続47610及びE-UTRA RRCアイドル47630の間で遷移してよい。幾つかの態様によると、RRCエンティティ47500は、RRC接続/切断遷移47670を介して、状態CELL_PCH/URA_PCH47645及びUTRA_Idle47635の間で遷移してよい。幾つかの態様によると、RRCエンティティ47500は、RRC接続/切断遷移47670を介して、状態GSM_Connected/GPRS_Packet_Transfer_Mode47620とGSM_Packet_Idle47640との間で遷移してよい。
上述の詳細な説明は、詳細な説明の一部を形成する添付の図面の参照を含む。図面は、例として、本開示の態様が実施され得る特定の態様を示す。これらの実施形態は、ここで「例」としても参照される。本文書と参照により組み込まれるこれらの文書との間の矛盾した使用がある場合、組み込まれた参考文献の中の使用は、本文書の使用を補完するものと考えられるべきである。和解しがたい矛盾については、本文書における使用が支配する。
本文書において、用語「1つの(「a」又は「an」)」は、特許文書で慣例であるように、「少なくとも1つ」又は「1つ以上」の任意の他の例若しくは使用例とは独立に、1つ又は1より多くを含むために使用される。本文書では、用語「又は(or)」は、非排他的な「又は」を表すために使用される。したがって、「A又はB」は、特に断りのない限り、「AであるがBではない」、「BであるがAではない」、及び「A及びB」を含む。添付の請求項では、用語「含む(including)」及び「(in which)」は、それぞれ用語「comprising」及び「wherein」の英語の明白な等化語として用いられる。また、以下の請求の範囲では、用語「含む、有する(including、comprising)」は、制約ではない。つまり、その後に列挙される要素を含むシステム、装置、物品、又は処理は、依然としてその請求項の範囲に含まれると考えられる。さらに、以下の請求の範囲では、用語「第1の」、「第2の」、「第3の」等は、単にラベルとして用いられ、それらの目的語に数値的要件を課すことを意図しない。
上記の説明は、説明となるよう意図され、限定ではない。例えば、上記の例(又はそれらの1つ以上の態様)は、互いに組み合わせて使用されてよい。他の態様は、例えば、上記の説明を検討する当業者によって、使用され得る。また、上記の詳細な説明において、様々な特徴は、本開示を簡素化するためにグループ化されてもよい。これは、請求されない開示された特徴が任意の請求項にとって必須であることを意図するものと解釈されるべきではない。むしろ、本発明の主題は、特定の開示の態様の全ての特徴より少ないところにあってよい。したがって、ここで、添付の請求項は、各請求項が別個の態様として存在するとして、詳細な説明に組み込まれる。本明細書で開示される種々の態様の範囲は、添付の特許請求の範囲が権利を与えられる均等の全範囲とともに、添付の特許請求の範囲を参照して決定されるべきである。
読者が技術的開示の特性及び主旨を解明できるように、要約を要求する37C.F.R Section1.72(b)に従い、要約が提供される。要旨は請求項の範囲又は意味を解釈又は限定するために用いられるべきでないことが理解される。ここで、添付の請求項は、各請求項が別個の態様として存在するとして、詳細な説明に組み込まれる。
例1は、印刷回路基板(PCB)基材と;半導体ダイであり、該半導体ダイの表面に取り付けられ該半導体ダイを前記PCB基材へ結合する複数の金属柱を含み、該複数の金属柱の夫々が複数のオーバーレイされた金属層を含む、前記半導体ダイと;前記複数の金属柱のうちの少なくとも2つの間の金属インターコネクトを含み、該金属インターコネクトが前記複数の金属層のうちの1つである、誘導構造体とを含む装置である。
例2で、例1の対象において、任意に、前記金属柱は銅ピラーであり、前記複数のオーバーレイされた金属層は複数のオーバーレイされた銅層を含む。
例3で、例1乃至2のうちのいずれか1つ以上の例の対象は、任意に、前記複数の金属柱と前記半導体ダイとの間に配置される第1の複数の金属パッド接点と;前記PCB基材と前記複数の金属柱との間に配置される第2複数の金属パッドとを含む。
例4で、例1乃至3のうちのいずれか1つ以上の例の対象において、任意に、前記誘導構造体は、所望のインダクタンスを実現するよう固有自己インダクタンス及びオンダイの誘導部品を有する。
本明細書で使用されるように、回路の「固有自己インダクタンス」との語は、自己誘導によって回路内で生成される起電力の、起電力を生成する電流の変化の速度に対する比として、表され得る。自己インダクタンスは、単位ヘンリー(H)で表され得る。
例5で、例1乃至4のうちのいずれか1つ以上の例の対象において、任意に、前記誘導構造体は高い品質(Q)係数を有し、該Q係数は、前記誘導構造体の抵抗に対する前記誘導構造体のインダクタンスの比である。
例6で、例1乃至5のうちのいずれか1つ以上の例の対象において、任意に、前記金属インターコネクトは、前記複数の金属層の最上層の部分であり、該最上層は、前記PCB基材と接している。
例7で、例1乃至6のうちのいずれか1つ以上の例の対象において、任意に、前記誘導構造体は、前記PCB基材から独立している。
例8で、例1乃至7のうちのいずれか1つ以上の例の対象は、任意に、前記複数の金属柱のうちの少なくとも他の2つの間の第2金属インターコネクトによって形成される第2誘導構造体を含み、前記第2金属インターコネクトは、前記複数の金属層のうちの第2の1つの部分であり、前記金属インターコネクトからガルバニック分離されている。
例9で、例8の対象において、任意に、前記第2金属インターコネクトは、前記第2金属インターコネクトと前記金属インターコネクトとの間に結合区間を形成するよう、前記金属インターコネクトと少なくとも部分的に重なり合っている。
例10で、例9の対象において、任意に、前記第2金属インターコネクト及び前記金属インターコネクトは、クロスオーバー構成において配置される。
例11で、例9乃至10のうちのいずれか1つ以上の例の対象において、任意に、前記第2金属インターコネクト及び前記金属インターコネクトは、平行構成において配置される。
例12で、例8乃至11のうちのいずれか1つ以上の例の対象において、任意に、前記誘導構造体及び前記第2誘導構造体は、変圧器を形成する。
例13で、例12の対象において、任意に、前記誘導構造体は、前記変圧器の1次巻線を形成し、前記第2誘導構造体は、前記変圧器の2次巻線を形成する。
例14で、例1乃至13のうちのいずれか1つ以上の例の対象において、任意に、前記複数の金属層は、対応する複数のエッチング処理に関連する。
例15は、無線トランシーバと;印刷回路基板(PCB)基材と;複数の金属柱を介して前記PCB基材へ結合され、前記複数の金属柱の夫々が複数のオーバーレイされた金属層を含む、半導体ダイと;前記複数の金属柱のうちの少なくとも2つの間に形成され、前記複数の金属層のうちの1つの部分であり、固有自己インダクタンスを有する金属インターコネクトとを含む無線通信デバイスである。
例16で、例15の対象は、任意に、前記金属インターコネクトを用いて局所発振器信号を生成するよう構成される、前記PCB基材に形成された電圧制御発振器(VCO)を含み、該VCOは前記無線トランシーバへ結合される。
例17で、例16の対象において、任意に、前記金属インターコネクトは、前記電圧制御発振器の構成要素である。
例18は、バスを介して通信されるデータを無線により送信又は受信するよう構成される無線トランシーバと;該無線トランシーバに関連する半導体パッケージとを含み、前記半導体パッケージは、PCB基材と、該PCB基材に形成される電圧制御発振器(VCO)と、複数の金属柱を介して前記PCB基材へ結合される半導体ダイであり、前記複数の金属柱の夫々が同じ複数のオーバーレイされた金属層を含む、前記半導体ダイと;前記複数の金属柱のうちの少なくとも2つの間に形成され、該少なくとも2つの支柱に関連した前記複数の金属層のうちの1つの部分であり、固有自己インダクタンスを有する金属インターコネクトとを含む、無線通信デバイスである。
例19で、例18の対象において、任意に、前記金属インターコネクトは前記VCOの構成要素である。
例20で、例18乃至19のうちのいずれか1つ以上の例の対象において、任意に、前記半導体パッケージは、前記複数の金属柱のうちの少なくとも他の2つの間にある第2金属インターコネクトを更に含み、該第2金属インターコネクトは、前記複数の金属層のうちの第2の1つの部分であり、前記金属インターコネクトからガルバニック分離されている。
例21は、複数の平行な層を含む積層回路基板と;該積層回路基板内の凹部(cavity);該凹部内に配置される無線周波数集積回路(RFIC)と;該RFICのためのシールドであり、前記凹部の少なくとも1つのメタライズ層を含む前記シールドと;前記凹部の外で前記回路基板の少なくとも1つの層に配置され、前記RFICからのRF信号の放射のために前記RFICへ結合される複数のアンテナ素子とを含むアンテナ構造体である。
例22で、例21の対象において、任意に、前記凹部は、前記RFICが配置される底を含み、前記シールドは、前記積層回路基板のメタライズ層と、該メタライズ層のうちの少なくとも一部へ前記底を接続するビアとを含む。
例23で、例21乃至22のうちのいずれか1つ以上の例の対象において、任意に、前記シールドは、ファラデーケージを含む。
例24で、例21乃至23のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの第1グループは、前記シールドの外で前記回路基板の第1層に配置され、前記複数のアンテナ素子のうちの第2グループは、前記シールドの外で前記回路基板の第2層に配置される。
例25で、例23乃至24のうちのいずれか1つ以上の例の対象において、任意に、前記第1グループの中の少なくとも一部及び前記第2グループの中の少なくとも一部は、互いに対して実質的に180度の角度で逆方向にRF信号を放射する。
例26で、例23乃至25のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの第3グループは、エッジファイア動作又はエンドファイア動作のために前記シールドの外で前記回路基板の端に配置される。
例27で、例24乃至26のうちのいずれか1つ以上の例の対象において、任意に、前記第1グループの中の少なくとも一部のアンテナ素子、前記第2グループの中の少なくとも一部のアンテナ素子、及び前記第3グループの中の少なくとも一部のアンテナ素子は、アンテナ素子のフェーズドアレイを含む。
例28で、例21乃至27のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの少なくとも一部は、前記シールド内の前記RFICから、前記回路基板の1つ以上の層を通って横に走る給電線によって給電される。
例29で、例21乃至28のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの少なくとも一部は、前記シールド内のRFICから、横方向に、又は横方向に垂直に走り、その後に、前記アンテナ素子のうちの少なくとも1つに達するよう接地層における又は前記シールドにおける開口を経る給電線によって給電される。
例30で、例21乃至29のうちのいずれか1つ以上の例の対象において、任意に、前記凹部の底の上にある前記積層回路基板の層は、前記凹部の底の下にある層よりもサイズ及び面積が小さく、台(pedestal)が前記凹部に対して形成される。
例31で、例28乃至30のうちのいずれか1つ以上の例の対象において、任意に、前記台は、電気デバイスのソケットへ接続する電気接点を備えた面を含み、前記電気接点は、前記積層回路基板から前記電気デバイスへ熱を伝える熱伝導路を更に含む。
例32は、複数の平行な層を含む積層回路基板と;該積層回路基板内の凹部(cavity);該凹部内に配置される無線周波数集積回路(RFIC)と;該RFICのためのシールドであり、前記凹部の少なくとも1つのメタライズ層を含む前記シールドと;前記凹部の外で前記回路基板の少なくとも1つの層に配置され、前記RFICからのRF信号の放射のために前記RFICへ結合される複数のアンテナ素子とを含むモバイルデバイスである。
例33で、例32の対象において、任意に、前記凹部は、前記RFICが配置される底を含み、前記シールドは、前記積層回路基板の少なくとも1つのメタライズ層と、該少なくとも1つのメタライズ層へ前記底を接続するビアとを含む。
例34で、例32乃至33のうちのいずれか1つ以上の例の対象において、任意に、前記シールドは、ファラデーケージを含む。
例35で、例32乃至34のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの第1グループは、前記シールドの外で前記回路基板の第1層に配置され、前記複数のアンテナ素子のうちの第2グループは、前記シールドの外で前記回路基板の第2層に配置される。
例36で、例33乃至35のうちのいずれか1つ以上の例の対象において、任意に、前記第1グループの中の少なくとも一部及び前記第2グループの中の少なくとも一部は、互いに対して実質的に180度の角度で逆方向にRF信号を放射する。
例37で、例33乃至36のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの第3グループは、エッジファイア動作又はエンドファイア動作のために前記シールドの外で前記回路基板の端に配置される。
例38で、例35乃至37のうちのいずれか1つ以上の例の対象において、任意に、前記第1グループの中の少なくとも一部のアンテナ素子、前記第2グループの中の少なくとも一部のアンテナ素子、及び前記第3グループの中の少なくとも一部のアンテナ素子は、アンテナ素子のフェーズドアレイを含む。
例39で、例32乃至38のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの少なくとも一部は、前記シールド内の前記RFICから、前記回路基板の1つ以上の層を通って横に走る給電線によって給電される。
例40で、例32乃至39のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子のうちの少なくとも一部は、前記シールド内のRFICから、横方向に、又は横方向に垂直に走り、その後に、前記アンテナ素子のうちの少なくとも1つに達するよう接地層における又は前記シールドにおける開口を貫通する給電線によって給電される。
例41で、例32乃至40のうちのいずれか1つ以上の例の対象において、任意に、前記凹部の底の上にある前記積層回路基板の層は、前記凹部の底の下にある層よりもサイズ及び面積が小さく、台(pedestal)が形成される。
例42で、例41の対象において、任意に、前記台は、電気デバイスのソケットへ接続する電気接点を備えた面を含み、前記電気接点は、前記積層回路基板から前記電気デバイスへ熱を伝える熱伝導路を更に含む。
例43で、対象は、例21乃至42の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例21乃至42の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例21乃至42のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例44は、複数のアンテナを有するフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信されたRF信号を処理するよう構成されるRF受信器サブシステムと;中間周波数(IF)信号を用いてRF信号を生成するよう構成され、該生成されたRF信号が前記フェーズドアンテナアレイにより送信される、RF送信器サブシステムとを含み、前記複数のアンテナの中の第1アンテナサブセットは、PCB基材の印刷面(printed side)に配置され、前記複数のアンテナの中の第2アンテナサブセットは、前記PCB基材の実装面(component side)に配置され、前記第1アンテナサブセットは、前記PCB基材の前記印刷面において近距離通信(NFC)アンテナと共同設置される、RFフロントエンドモジュール(RFEM)である。
例45で、例44の対象において、任意に、前記RF受信器サブシステム及び前記RF送信器サブシステムは、前記PCB基材の前記実装面において前記第2アンテナサブセットと共同設置される。他の例では、前記第2アンテナサブセットは、前記PCB基材の前記実装面において前記NFCアンテナと共同設置される。
例46で、例44乃至45のうちのいずれか1つ以上の例の対象において、任意に、前記フェーズドアンテナアレイは、1つ以上のミリメートル波帯域において信号を受信及び送信するよう構成される。
例47で、例44乃至46のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナは、パッチアンテナを含む。
例48で、例44乃至47のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナは、ダイポールアンテナを含む。
例49で、例44乃至48のうちのいずれか1つ以上の例の対象において、任意に、前記第2アンテナサブセットに含まれる複数のアンテナは、前記PCB基材の周囲に配置される。
例50で、例44乃至49のうちのいずれか1つ以上の例の対象において、任意に、前記フェーズドアンテナアレイに含まれる前記複数のアンテナは、垂直偏波及び水平偏波のために配置される。
例51で、例44乃至50のうちのいずれか1つ以上の例の対象において、任意に、前記NFCアンテナは、前記第1アンテナサブセットの周りに配置されたインダクタを含む。
例52で、例44乃至51のうちのいずれか1つ以上の例の対象において、任意に、前記NFCアンテナは、多層インダクタを含み、該多層インダクタの各層は、前記第1アンテナサブセットの周りに配置される。
例53で、例44乃至52のうちのいずれか1つ以上の例の対象は、任意に、前記フェーズドアンテナアレイの接地面を含み、前記NFCアンテナは、前記接地面の部分である。
例54で、例44乃至53のうちのいずれか1つ以上の例の対象は、任意に、遮蔽構造体を含み、前記NFCアンテナは、前記遮蔽構造体の部分である。
例55で、例44乃至54のうちのいずれか1つ以上の例の対象において、任意に、前記NFCアンテナは、該NFCアンテナにより受信されたNFC信号を処理するよう構成されるNFC処理サブシステムへ結合される。
例56で、例53乃至55のうちのいずれか1つ以上の例の対象は、任意に、前記受信されたRF信号のダウンコンバージョン又は前記IF信号のアップコンバージョンのためのRF LO信号と;前記NFC処理サブシステムのためのNFC LO信号であり、前記NFCアンテナを介して前記NFC処理サブシステムによって受信された信号のダウンコンバージョンのための、又は前記NFCアンテナを介して送信するよう前記NFC処理サブシステムによって生成された信号のアップコンバージョンのための前記NFC LO信号とを生成するよう構成される局所発振器(LO)発生器を含む。
例57は、メモリと;通信バスを介して前記メモリへ結合されるプロセッサと;前記バスを介して通信されるRFデータを無線により送信又は受信するよう構成されるRFフロントエンドモジュール(RFEM)と;NFCアンテナを用いて、前記バスを介して通信されるNFCデータを無線により送信又は受信するよう構成される近距離通信(NFC)サブシステム(NFCS)と;前記RFEM及び前記NFCSに関連する半導体パッケージとを含み、前記半導体パッケージは、PCB基材と;該基材へ接続される半導体ダイと;前記RFEMへ結合され、前記RFデータを無線により送信又は受信するよう構成される、基板上の、オフダイのフェーズドアンテナアレイと;前記NFCサブシステムへ結合されるNFCアンテナであり、前記フェーズドアンテナアレイの周りに形成されたインダクタを含む前記NFCアンテナとを含む、無線通信デバイスである。
例58で、例57の対象は、任意に、前記RFデータをダウンコンバートするためのRF LO信号を生成するよう構成される局所発振器(LO)発生器を含み、該LO発生器は、前記NFCSと前記RFEMとの間で共有される。
例59で、例58の対象において、任意に、前記LO発生器は更に、前記NFCSのために、前記NFCデータをダウンコンバート又はアップコンバートするためのNFC LO信号を生成するよう構成される。
例60で、例57乃至59のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは、前記NFCSと前記RFEMとの間で共有される1つ以上の制御信号を生成するよう構成され、該制御信号は、前記RFデータ又は前記NFCデータの無線送信又は受信を制御する。
例61で、例57乃至60のうちのいずれか1つ以上の例の対象は、任意に、前記NFCSと前記RFEMとの間で共有される電力管理ユニット(PMU)を含む。
例62で、例57乃至61のうちのいずれか1つ以上の例の対象において、任意に、前記フェーズドアンテナアレイは、前記PCB基材において前記NFCアンテナと共同設置された複数のミリメートル波パッチアンテナを含む。
例63は、フェーズドアンテナアレイと;前記フェーズドアンテナアレイにより受信されたRF信号を処理するよう構成されるRF受信器サブシステム、及び中間周波数(IF)信号を用いてRF信号を生成するよう構成され、該生成されたRF信号が前記フェーズドアンテナアレイにより送信される、RF送信器サブシステムを含むRFフロントエンドモジュール(RFEM)と;前記受信されたRF信号を用いて前記IF信号又はベースバンド信号を生成するよう構成されるベースバンドサブシステム(BBS)と;NFCアンテナを用いて、バスを介して通信されるNFCデータを無線により送信又は受信されるよう構成される近距離通信(NFC)サブシステム(NFCS)とを含み、前記NFCアンテナと、前記フェーズドアンテナアレイのサブセットを含む複数のアンテナとは、PCB基材の同じ面に共同設置される、無線通信デバイスである。
例64は、フェーズドアンテナアレイを有するPCB基材と;該PCB基材へ結合される半導体ダイとを含み、前記半導体ダイは、トランシーバアレイ内に配置された複数の同一のトランシーバセルを含み、該複数のトランシーバセルのトランシーバセルは、前記フェーズドアンテナアレイにより無線信号を受信するよう構成される受信器回路と;局所発振器(LO)信号を生成するよう構成される局所発振器回路と;前記LO信号を用いてベースバンド信号をアップコンバートし、該アップコンバートされた信号を前記フェーズドアンテナアレイにより無線送信するよう構成される送信器回路と;前記フェーズドアンテナアレイの所望のアンテナゲインに関連した位相調整信号を用いて前記受信された無線信号又は前記アップコンバートされた信号の位相を調整するよう構成される位相調整回路とを含む、無線通信デバイスである。
例65で、例64の対象において、任意に、前記トランシーバアレイは、前記半導体ダイの単一の切り残し部を含む。
例66で、例64乃至65のうちのいずれか1つ以上の例の対象において、任意に、前記トランシーバアレイは、前記複数のトランシーバセルを結合する通信バスを更に含み、該通信バスは、デジタルバスと平行な少なくともアナログバスを含む。
例67で、例66の対象において、任意に、前記トランシーバセルは、前記LO信号を生成するための水晶発振子信号をバッファリングするよう構成されるバッファリング回路を更に含み、前記水晶発振子信号は、前記複数のトランシーバセルへ供給され、前記通信バスを介して受信される。
例68で、例64乃至67のうちのいずれか1つ以上の例の対象において、任意に、前記トランシーバセルは、入力デジタル信号を用いて前記ベースバンド信号を生成し、且つ、前記受信された無線信号のベースバンド処理を実行して出力デジタル信号を生成するよう構成されるデジタル回路を更に含む。
例69で、例64乃至68のうちのいずれか1つ以上の例の対象において、任意に、前記フェーズドアンテナアレイ内の複数のアンテナのピッチが、前記トランシーバアレイ内の前記複数のトランシーバセルのピッチに等しい。
例70で、例64乃至69のうちのいずれか1つ以上の例の対象において、任意に、前記複数のトランシーバセルの当該トランシーバセルは、プロセッサを更に含み、該プロセッサは、前記受信器回路又は前記送信器回路の一方又は両方をアクティブにする電力オン信号を受信し、且つ、前記複数のトランシーバセルの中の1つ以上の他のトランシーバセルを検出するよう構成され、前記1つ以上の他のトランシーバセルは、前記トランシーバアレイ内の当該トランシーバセルのノースエッジ、サウスエッジ、ウェストエッジ、及びイーストエッジのうちの1つ以上に沿って隣接するセルである。
例71で、例70の対象において、任意に、前記プロセッサは、当該トランシーバセルの前記ノースエッジ、前記サウスエッジ、前記ウェストエッジ、及び前記イーストエッジに対する前記1つ以上の他のトランシーバセルの位置に基づき当該トランシーバセルにセル識別番号を割り当てるよう構成される。
例72で、例71の対象において、任意に、前記プロセッサは、前記割り当てられたセル識別番号に基づき制御信号を受信するよう構成され、該制御信号は、前記受信器回路又は前記送信器回路を始動する。
例73で、例71乃至72のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは、隣接するトランシーバが当該トランシーバセルの前記ノースエッジ及び前記ウェストエッジに沿って検出されない場合に、初期セル識別番号を当該トランシーバセルに割り当て、該初期セル識別番号を当該トランシーバセルの前記イーストエッジ沿いの隣接するトランシーバセルへ送って、前記イーストウェッジ沿いの隣接するトランシーバセル内にセル識別番号を割り当てるよう構成される。
例74で、例70乃至73のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは、前記1つ以上の他のトランシーバセルが当該トランシーバセルの前記ウェストエッジ及び前記イーストエッジに沿っていることを検出し、当該トランシーバセルの前記ウェストエッジ沿いの隣接するトランシーバセルである前記1つ以上の他のトランシーバセルの中のトランシーバセルからセル識別番号を受け取るよう構成される。
例75で、例74の対象において、任意に、前記プロセッサは、前記ウェストエッジ沿いの隣接するトランシーバセルのセル識別番号をインクリメントし、該インクリメントされたセル識別番号をセル識別番号として当該トランシーバセルに割り当てるよう構成される。
例76で、例75の対象において、任意に、前記プロセッサは、当該トランシーバセルの前記イーストエッジ沿いの隣接するトランシーバセルである前記1つ以上の他のトランシーバセルの中のトランシーバセルへ前記割り当てられたセル識別番号を送り、前記イーストエッジ沿いの隣接するトランシーバセル内にセル識別番号を割り当てるよう構成される。
例77は、単一の半導体ダイにタイル状構成において配置される複数のトランシーバセルであり、各トランシーバセルが、フェーズドアンテナアレイにより無線信号を受信するよう構成される受信器回路と、局所発振器(LO)信号を生成するよう構成される局所発振器回路と、位相調整回路と、出力デジタル信号を生成するように前記受信された無線信号のベースバンド処理を実行するよう構成されるデジタル回路とを含む、前記複数のトランシーバセルと;該複数のトランシーバセルを結合する通信バスと;前記複数のトランシーバセルの夫々のための位相調整信号を生成するよう構成される制御回路とを含み、LOビームフォーミング動作モード中に、前記複数のトランシーバセル内の前記位相調整回路は、前記位相調整信号を用いて前記LO信号の位相を調整し、前記複数のトランシーバセルの前記受信器回路は、前記複数のトランシーバセルに対応する複数のダウンコンバートされた信号を生成するよう、前記位相調整されたLO信号を用いて前記受信された無線信号をダウンコンバートする、フェーズドアレイ無線トランシーバ装置である。
例78で、例77の対象において、任意に、前記通信バスは、前記複数のダウンコンバートされた信号の夫々が隣接するトランシーバセルの間で交わされる場合に、複数のダウンコンバートされた信号を結合ダウンコンバート信号へと結合するよう前記複数のトランシーバセルの間をインターフェイス接続するアナログバスラインを含む。
例79で、例78の対象において、任意に、前記アナログバスラインは、サンプル・アンド・ホールド・ベクトル・パイプラインバスラインを含む。
例80で、例78乃至79のうちのいずれか1つ以上の例の対象において、任意に、前記アナログバスラインは、隣接するトランシーバセルの間でやり取りされる前記複数のダウンコンバートされた信号の中のダウンコンバートされた信号を加算するよう構成されるスイッチドキャパシタアナログ積分器を含む。
例81で、例78乃至80のうちのいずれか1つ以上の例の対象において、任意に、前記複数のトランシーバセルの中の1つは、前記結合ダウンコンバート信号を受信し、該結合ダウンコンバート信号をデジタル信号に変換するよう構成される。
例82で、例77乃至81のうちのいずれか1つ以上の例の対象において、任意に、前記複数のトランシーバセルは、対応する複数のデジタル信号を生成するよう構成されるトランシーバセルの複数の重なり合わないサブセットを含む。
例83で、例82の対象において、任意に、前記トランシーバセルの複数の重なり合わないサブセットの中のサブセットは、当該サブセットのトランシーバセルに対応する前記複数のダウンコンバートされた信号のうちの一部に基づき結合ダウンコンバート信号を生成するよう構成される。
例84で、例83の対象において、任意に、前記サブセット内の単一のトランシーバセルは、前記結合ダウンコンバート信号を前記複数のデジタル信号の中の第1デジタル信号に変換するよう構成される。
例85で、例82乃至86のうちのいずれか1つ以上の例の対象において、任意に、ハイブリッドビームフォーミング動作モード中に、前記制御回路は更に、前記複数のデジタル信号の夫々のために、前記受信された無線信号に対応する所望の出力信号ビームに関連する複数のビームフォーミング重み値を生成し、該複数のビームフォーミング重み値を前記複数のデジタル信号の夫々に適用して複数の重み付けされた信号を生成するよう構成される。
例86で、例85の対象は、任意に、前記所望の出力信号ビームを生成するように前記複数の重み付けされた信号を足し合わせるよう構成される加算器を含む。
例87で、例82乃至86のうちのいずれか1つ以上の例の対象において、任意に、前記トランシーバセルの複数の重なり合わないサブセットの中の各サブセットは、前記タイル状構成の行に配置される。
例88は、単一の半導体ダイにタイル状構成において配置される複数のトランシーバセルであり、各トランシーバセルが、フェーズドアンテナアレイにより無線信号を受信するよう構成される受信器回路と、局所発振器(LO)信号を生成するよう構成される局所発振器回路と、位相調整回路とを含む、前記複数のトランシーバセルと;前記複数のトランシーバセルを結合する通信バスと;前記複数のトランシーバセルの夫々のための位相調整信号を生成するよう構成される制御回路とを含み、アナログビームフォーミング動作モード中に、前記複数のトランシーバセル内の前記位相調整回路は、前記位相調整回路を用いて前記受信された無線信号の位相を調整し、前記複数のトランシーバセルの前記受信器回路は、前記複数のトランシーバセルに対応する複数のダウンコンバートされた信号を生成するよう、前記位相調整された受信された無線信号をダウンコンバートする、フェーズドアレイ無線トランシーバ装置である。
例89で、例88の対象において、任意に、前記通信バスは、前記複数のダウンコンバートされた信号の夫々が隣接するトランシーバセルの間で交わされる場合に、複数のダウンコンバートされた信号を結合ダウンコンバート信号へと結合するよう前記複数のトランシーバセルの間をインターフェイス接続するアナログバスラインを含む。
例90で、例89の対象において、任意に、前記複数のトランシーバセルの中の1つは、前記結合ダウンコンバート信号を受信し、該結合ダウンコンバート信号を、アナログデジタル変換器を用いてデジタル信号に変換するよう構成される。
例91は、タイル状構成において配置される複数の同一のトランシーバセルを含み、各セルが、フェーズドアンテナアレイにより無線信号を受信するよう構成される受信器回路と;前記フェーズドアンテナアレイにより第2無線信号を送信するよう構成される送信器回路と;前記受信器回路と前記送信器回路とを結合する通信バスとを含み、各トランシーバセルは、前記タイル状構成を形成するよう前記通信バスを介して複数の隣接するトランシーバセルへ結合される、半導体ウェハである。
例92で、例91の対象において、任意に、トランシーバセルの前記タイル状構成のサイズは、当該ウェハを所定のパターンにカットすることによって選択可能である。
本明細書において参照されている出版物、特許、及び特許文献は、あたかも参照により個々に援用されているかのように、それらの全文を本明細書中で参照により援用される。本明細書と参照によりそのように援用された文献との間の矛盾した使用がある場合に、援用されている参考文献中の使用は、本明細書のそれの補足である。相容れない不一致の場合に、本明細書中の使用が支配する。
例93は、複数の平行な層を含む第1基板を有し、第1層が前記第1基板の第1面を有し、第2層が前記第1基板の第2面を有する、第1電子パッケージと;前記第1基板の前記第1面に構成される第1の複数のアンテナと;前記第1電子パッケージに物理的に積み重ねられ且つ物理的に接続される第2電子パッケージであり、複数の層を含む第2基板を有し、第1層が前記第2基板の第1面を有し、第2層が前記第2基板の第2面を有する、前記第2電子パッケージと;前記第2基板の前記第1面に構成される第2の複数のアンテナと;前記第1基板の前記第2面に又は前記第2基板の前記第2面に構成され、前記第1の複数のアンテナへ及び前記第2の複数のアンテナへ結合される少なくとも1つの半導体ダイと;前記第1基板の前記第2面及び前記第2基板の第2面と電気的に接する複数の密集した接点(density packed contacts)であり、前記少なくとも1つの半導体ダイのための無線周波数干渉及び電磁干渉(RFI/EMI)シールドとして機能するよう構成される前記複数の密集した接点とを含むマルチパッケージアンテナアレイである。
例94で、例93の対象において、任意に、前記少なくとも1つの半導体ダイは、第1周波数帯域で動作するよう構成されるトランシーバを含む。
例95で、例93乃至94のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの半導体ダイは、第1周波数帯域で動作するよう構成される第1トランシーバと、第2周波数帯域で動作するよう構成される第2トランシーバとを含む。
例96で、例93乃至95のうちのいずれか1つ以上の例の対象において、任意に、前記第1電子パッケージは、前記第1の複数のアンテナから横方向にオフセットされた複数のディスクリート部品を更に含む。
例97で、例96の対象において、任意に、前記ディスクリート部品は、モールドによって前記第1電子パッケージに固定される。
例98で、例93乃至97のうちのいずれか1つ以上の例の対象において、任意に、前記第1電子パッケージは、前記少なくとも1つの半導体ダイから横方向にオフセットされた複数のディスクリート部品を更に含む。
例99で、例98の対象において、任意に、前記ディスクリート部品は、モールドによって前記第1電子パッケージに固定される。
例100で、例93乃至99のうちのいずれか1つ以上の例の対象において、任意に、前記第1の複数のアンテナは、第1アレイにおいて配置され、前記第2の複数のアンテナは、第2アレイにおいて配置され、前記第1アレイ及び前記第2アレイは、異なる方向において放射するよう制御される。
例101で、例93乃至110のうちのいずれか1つ以上の例の対象において、任意に、前記第1の複数のアンテナは、前記第1電子パッケージ及び前記第2電子パッケージに対してエッジファイア放射で動作するよう前記第1基板の端に配置されたアンテナを更に含む。
例102は、第1アンテナアレイを含む第1電子パッケージと;第2アンテナアレイを含む第2電子パッケージであり、前記第1電子パッケージに積み重ねられて物理的に接触し且つそれに接続されている前記第2電子パッケージと;前記第1電子パッケージ及び前記第2電子パッケージと物理的に関連し且つそれらへ結合されている少なくとも1つの半導体ダイとを含み、前記少なくとも1つの半導体ダイは、前記第1アンテナアレイ及び前記第2アンテナアレイへ結合され、前記少なくとも1つの半導体ダイは、第1周波数帯域において及び第2周波数帯域において動作するよう構成される少なくとも1つの無線トランシーバを含む、マルチパッケージアンテナアレイである。
例103で、例102の対象において、任意に、前記第1電子パッケージは、前記第1アンテナアレイが配置される第1基板と;前記第2アンテナアレイが配置される第2基板とを含み、前記少なくとも1つの半導体ダイは、前記第1基板及び前記第2基板と物理的に関連する。
例104で、例102乃至103のうちのいずれか1つ以上の例の対象は、任意に、前記第1基板及び前記第2基板の間にあってそれと電気的に接触している複数の密集した接点を含み、該複数の密集した接点は、前記少なくとも1つの半導体ダイのためのRFI/EMIシールドとして機能するよう構成される。
例105で、例102乃至104のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの半導体ダイは、WiGig周波数で動作するよう構成されるトランシーバを含む。
例106で、例102乃至105のうちのいずれか1つ以上の例の対象において、任意に、前記第1周波数帯域はWiGig周波数帯域であり、前記第2周波数帯域はmmWave帯域である。
例107で、例102乃至106のうちのいずれか1つ以上の例の対象において、任意に、前記第1アンテナアレイ及び前記第2アンテナアレイは、異なる方向において放射するよう制御される。
例108で、例107の対象において、任意に、前記異なる方向は、前記第1電子パッケージに垂直且つ前記前記第2電子パッケージに垂直な反対方向である。
例109で、例102乃至108のうちのいずれか1つ以上の例の対象において、任意に、前記第1アンテナアレイ及び前記第2アンテナアレイは、前記第1電子パッケージ及び前記第2電子パッケージと平行な同じ方向において放射するよう制御される。
例110で、例102乃至109のうちのいずれか1つ以上の例の対象において、任意に、前記第1電子パッケージは、複数のディスクリート部品を更に含み、前記少なくとも1つの半導体ダイ及び前記複数のディスクリート部品は、カプセル化によって固定される。
例111で、例102乃至110のうちのいずれか1つ以上の例の対象において、任意に、前記第2アンテナアレイは、前記第1アンテナアレイから横方向にオフセットされている。
例112で、例102乃至111のうちのいずれか1つ以上の例の対象において、任意に、前記第1電子パッケージは、前記第1電子パッケージ及び前記第2電子パッケージに対してエッジファイア放射で動作するよう前記第1基板の端に配置された複数のアンテナを更に含む。
例113で、例102乃至112のうちのいずれか1つ以上の例の対象は、任意に、前記少なくとも1つの半導体ダイへの電気接続を設けるフレキシブルケーブルを含み、該フレキシブルケーブルは、カプセル化によって前記第2電子パッケージに固定される。
例114で、例113の対象において、任意に、前記フレキシブルケーブルは、前記第2電子パッケージにはんだ付けされる。
例115で、例102乃至114のうちのいずれか1つ以上の例の対象は、任意に、前記少なくとも1つの半導体ダイへの電気接続を設けるフレキシブルケーブルを含み、該フレキシブルケーブルは、カプセル化によって前記第1電子パッケージに固定される。
例116で、例115の対象において、任意に、前記フレキシブルケーブルは、前記第1電子パッケージにはんだ付けされる。
例117で、例102乃至116のうちのいずれか1つ以上の例の対象は、任意に、第3アンテナアレイ及び第4アンテナアレイを含む第3電子パッケージと;該第3電子パッケージと物理的に関連し且つ物理的に接続される少なくとも1つの第2半導体ダイとを含み、前記第3電子パッケージは、前記第1電子パッケージ若しくは前記第2電子パッケージへ、又は前記第1電子パッケージ及び前記第2電子パッケージの両方に積み重ねられて物理的に接触し且つ接続され、前記少なくとも1つの第2半導体ダイは、前記第3アンテナアレイ及び前記第4アンテナアレイへ結合され、前記少なくとも1つの第2半導体ダイは、第1周波数帯域において及び第2周波数帯域において動作するよう構成される少なくとも1つの無線トランシーバを含む。
例118で、例117のマルチパッケージアンテナアレイにおいて、前記第1アンテナアレイ、前記第2アンテナアレイ、前記第3アンテナアレイ、及び前記第4アンテナアレイは、異なる方向において又は同じ方向において放射するよう制御される。
例119で、例117の対象は、任意に、第3アンテナアレイ及び第4アンテナアレイを含む第3電子パッケージを含み、前記第3電子パッケージは、前記第1電子パッケージ若しくは前記第2電子パッケージへ、又は前記第1電子パッケージ及び前記第2電子パッケージの両方に積み重ねられて物理的に接触し且つ接続され、前記第3アンテナアレイ及び前記第4アンテナアレイは、前記少なくとも1つの半導体ダイへ電気的に結合される。
例120で、例119の対象において、任意に、前記第1アンテナアレイ、前記第2アンテナアレイ、前記第3アンテナアレイ、及び前記第4アンテナアレイは、異なる方向において又は同じ方向において、あるいは、異なる方向において及び同じ方向において放射するよう制御される。
例121で、例102の対象において、任意に、モールドが前記少なくとも1つの半導体ダイを覆い、アンテナアレイは、前記少なくとも1つの半導体ダイへ結合されたスルー・モールド・ビアによって給電される。
例122で、対象は、例93乃至121の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例93乃至121の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例93乃至121のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例123は、内部部分を備え、該内部部分の第1部分がメタライズされておらず、前記内部部分の第2部分がメタライズされた電気接続を備える、プラグインカードと;前記内部部分において固定された基板上にある集積回路と;前記第1部分に構成され、RF波の放射のために前記集積回路へ結合される少なくとも1つのアンテナとを含むアンテナカードである。
例124で、例123の対象において、任意に、前記少なくとも1つのアンテナは、アンテナアレイを含む。
例125で、例123乃至124のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、エンドファイア動作のために構成される。
例126で、例124乃至125のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、複数の垂直偏波モノポールアンテナ素子を含む。
例127で、例124乃至126のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、複数の折り返しダイポールアンテナ(folded-back dipole antennas)を含む。
例128で、例123乃至127のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、少なくとも2つのアンテナを含み、該少なくとも2つのアンテナのうちの1つは、第1RFストリームの情報を送信及び受信するよう構成され、前記少なくとも2つのアンテナのうちの他は、前記第1RFストリームの情報から独立した第2RFストリームの情報を送信及び受信するよう構成され、多入力多出力(MIMO)動作を提供する。
例129で、例123乃至128のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、少なくとも2つのアンテナを含み、該少なくとも2つのアンテナのうちの1つは、第1偏波において第1RFストリームの情報を送信及び受信するよう構成され、前記少なくとも2つのアンテナのうちの他は、第2偏波において第2RFストリームの情報を送信及び受信するよう構成される。
例130で、例123乃至129のうちのいずれか1つ以上の例の対象において、任意に、前記第1部分及び前記第2部分は、互いに向かい合って位置している。
例131は、マザーボードに配置される第1プラグインカードと;該第1プラグインカードから間隔をあけて前記マザーボードに配置される少なくとも1つの第2プラプラグインカードであり、前記第1プラグインカード及び前記少なくとも1つの第2プラグインカードのうちの少なくとも1つが、内部部分を備えるプラグインカードを含み、前記内部部分の第1部分がメタライズされておらず、前記内部部分の第2部分がメタライズされた電気接続を備える、前記少なくとも1つの第2プラグインカードと;前記内部部分において固定された基板上にある集積回路と;前記第1部分に構成され、RF波の放射のために前記集積回路へ結合される少なくとも1つのアンテナとを有含むアンテナアレイである。
例132で、例131の対象は、任意に、前記第1プラグインカード及び前記少なくとも1つの第2プラグインカードに実質的に垂直に配置される少なくとも1つの第3プラグインカードを含む。
例133は、内部部分を備え、該内部部分の第1部分がメタライズされておらず、前記内部部分の第2部分がメタライズされた電気接続を備える、プラグインカードと;前記内部部分において固定された基板上にある集積回路と;前記第1部分に構成され、RF波の放射のために前記集積回路へ結合される少なくとも1つのアンテナとを含むモバイルデバイスである。
例134で、例133の対象において、任意に、前記少なくとも1つのアンテナは、アンテナアレイを含む。
例135で、例133乃至134のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、エンドファイア動作のために構成される。
例136で、例134乃至135のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、複数の垂直偏波モノポールアンテナ素子を含む。
例137で、例134乃至136のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、複数の折り返しダイポールアンテナ(folded-back dipole antennas)を含む。
例138で、例133乃至137のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、少なくとも2つのアンテナを含み、該少なくとも2つのアンテナのうちの1つは、第1RFストリームの情報を送信及び受信するよう構成され、前記少なくとも2つのアンテナのうちの他は、前記第1RFストリームの情報から独立した第2RFストリームの情報を送信及び受信するよう構成され、多入力多出力(MIMO)動作を提供する。
例139で、例133乃至138のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナは、少なくとも2つのアンテナを含み、該少なくとも2つのアンテナのうちの1つは、第1偏波において第1RFストリームの情報を送信及び受信するよう構成され、前記少なくとも2つのアンテナのうちの他は、第2偏波において第2RFストリームの情報を送信及び受信するよう構成される。
例140で、例133乃至139のうちのいずれか1つ以上の例の対象において、任意に、前記第1部分及び前記第2部分は、互いに向かい合って位置している。
例141で、例133乃至140のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのプラグインカードは、マザーボードに配置される第1プラグインカードと、該第1プラグインカードから間隔をあけて前記マザーボードに配置される少なくとも1つの第2プラプラグインカードとを含む。
例142で、例131乃至141のうちのいずれか1つ以上の例の対象は、任意に、前記第1プラグインカード及び前記少なくとも1つの第2プラグインカードに実質的に垂直に配置される少なくとも1つの第3プラグインカードを含む。
例143で、対象は、例123乃至142の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例123乃至142の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例123乃至142のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例144は、第1面及び第2面を含み、前記第2面が接点の格子を含み、該格子の特別に作られた領域には接点がない、PCBと;該PCBの前記第1面に取り付けられる遮蔽された無線周波数集積回路(RFIC)と;前記接点がない格子の前記領域において前記第2面に構成され、RF波の放射のために前記RFICへ結合される少なくとも1つの第1アンテナ素子と;前記接点の格子の個々の接点を介して前記PCBへ接続され、前記接点がない格子の前記領域に実質的にわたって切り欠き(cutout)を有するマザーボードとを含み、前記少なくとも1つの第1アンテナ素子は、前記切り欠きを通じて放射状に広がることを可能にされる、アンテナ構造体である。
例145で、例144の対象は、任意に、前記第1面に配置され、RF波の放射のために前記RFICへ結合される少なくとも1つの第2アンテナ素子を含む。
例146で、例145の対象において、任意に、前記第2面に配置される前記少なくとも1つの第1アンテナ素子及び前記第1面に配置される前記少なくとも1つの第2アンテナ素子は夫々が、互いに異なる方向においてRF信号を放射する。
例147で、例146の対象において、任意に、前記異なる方向は、互いに実質的に180度の角度をなす。
例148で、例144乃至147のうちのいずれか1つ以上の例の対象において、任意に、前記切り欠きは、U字形の切り欠きである。
例149で、例144乃至148のうちのいずれか1つ以上の例の対象において、任意に、前記マザーボード及び前記PCBは、コーナー(corner)構成において接続される。
例150で、例145乃至149のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第1アンテナ素子又は前記少なくとも1つの第2アンテナ素子のうちの1つは、ブロードサイド(broadside)動作のために構成される。
例151で、例145乃至150のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第1アンテナ素子又は前記少なくとも1つの第2アンテナ素子のうちの1つは、エンドファイア又はエッジファイア動作のために構成される。
例152で、例145乃至151のうちのいずれか1つ以上の例の対象において、任意に、前記PCBは、ボール・グリッド・アレイ(BGA)又はランドグリッドアレイ(LGA)を含み、前記接点の格子は、はんだボールの格子又はLGAパッドの格子を夫々含む。
例153は、第1面及び第2面を含み、前記第2面が接点の格子を含み、該格子の特別に作られた領域には接点がない、PCBと;該PCBの前記第1面に取り付けられる遮蔽された無線周波数集積回路(RFIC)と;前記接点がない格子の前記領域において前記第2面に構成され、RF波の放射のために前記RFICへ結合される少なくとも1つのアンテナ素子と;前記接点の格子の個々の接点を介して前記PCBへ接続され、前記接点がない格子の前記領域に実質的にわたって切り欠き(cutout)を有するマザーボードとを含み、前記少なくとも1つのアンテナ素子は、前記切り欠きを通じて放射状に広がることを可能にされ、前記PCB、前記遮蔽されたRFIC、前記少なくとも1つのアンテナ素子、及び前記マザーボードはアンテナサブシステムを含む、基地局である。
例154で、例153の対象において、任意に、前記少なくとも1つのアンテナ素子は、ブロードサイド動作のために構成される複数のアンテナ素子を含む。
例155で、例154の対象において、任意に、当該基地局は、実質的に全ての方向における放射のためにポールの周りに環状に配置される複数のアンテナサブシステムを含む。
例156は、第1面及び第2面を含み、前記第2面が接点の格子を含み、該格子の特別に作られた領域には接点がない、PCBと;該PCBの前記第1面に取り付けられる遮蔽された無線周波数集積回路(RFIC)と;前記接点がない格子の前記領域において前記第2面に構成され、RF波の放射のために前記RFICへ結合される少なくとも1つの第1アンテナ素子と;前記接点の格子の個々の接点を介して前記PCBへ接続され、前記接点がない格子の前記領域に実質的にわたって切り欠き(cutout)を有するマザーボードとを含み、前記少なくとも1つの第1アンテナ素子は、前記切り欠きを通じて放射状に広がることを可能にされる、モバイルデバイスである。
例157で、例156の対象は、任意に、前記第1面に配置され、RF波の放射のために前記RFICへ結合される少なくとも1つの第2アンテナ素子を含む。
例158で、例157の対象において、任意に、前記第2面に配置される前記少なくとも1つの第1アンテナ素子及び前記第1面に配置される前記少なくとも1つの第2アンテナ素子は夫々が、互いに異なる方向においてRF信号を放射する。
例159で、例158の対象において、任意に、前記異なる方向は、互いに実質的に180度の角度をなす。
例160で、例156乃至159のうちのいずれか1つ以上の例の対象において、任意に、前記切り欠きは、U字形の切り欠きである。
例161で、例156乃至160のうちのいずれか1つ以上の例の対象において、任意に、前記マザーボード及び前記PCBは、コーナー構成において接続される。
例162で、例157乃至161のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第1アンテナ素子又は前記少なくとも1つの第2アンテナ素子のうちの1つは、ブロードサイド動作のために構成される。
例163で、例156乃至162のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第1アンテナ素子又は前記少なくとも1つの第2アンテナ素子のうちの1つは、エンドファイア又はエッジファイア動作のために構成される。
例164で、例157乃至162のうちのいずれか1つ以上の例の対象において、任意に、前記PCBは、ボール・グリッド・アレイ(BGA)又はランドグリッドアレイ(LGA)を含み、前記接点の格子は、はんだボールの格子又はLGAパッドの格子を夫々含む。
例165は、第1面及び第2面を含み、前記第2面が接点の格子を含み、該格子の特別に作られた領域には接点がない、PCBと;前記接点の格子の個々の接点を介して前記PCBへ接続され、前記接点がない格子の前記領域に実質的にわたって切り欠きを有するマザーボードと;前記切り欠き内で前記接点がない格子の前記領域上に取り付けられる無線周波数集積回路とを含むアンテナ構造体である。
例166で、例165の対象において、任意に、少なくとも1つのディスクリート電子部品が、前記切り欠き内で前記接点がない格子の前記領域上に取り付けられる。
例167で、対象は、例144乃至166の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例144乃至166の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例144乃至166のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例168は、送信器、受信器、前記送信器へ結合されるよう構成される複数の送信(TX)アンテナ、及び前記受信器へ結合されるよう構成される複数の受信(RX)アンテナを含む複数の電子部品を含む試験対象のシステムを実装するよう構成されるテストベッドと;該テストベッドに実装され、前記送信器からTXアンテナを介してRF信号を受信し、該RF信号をRXアンテナを介して前記受信器へ反射するよう構成される反射体と;コンピュータ命令を記憶しているコンピュータ読出可能なハードウェアストレージとを含み、前記コンピュータ命令は、前記コンピュータによって実行される場合に、前記試験対象のシステムのループバック試験を含む所定の試験に従って前記試験対象のシステムを試験し、前記ループバック試験の結果から前記試験対象のシステムの特性を決定し、前記ループバック試験は、前記送信器及びTXアンテナを含むTX要素から、前記受信器及びRXアンテナを含むRX要素へのRF信号の送信を含み、該RF信号は前記反射体による反射を介して受信される、自己試験システムである。
例169で、例168の対象において、任意に、前記TX要素及び前記RX要素は、1つずつ始動され、前記RF信号は、夫々のTX要素を介して送信され、夫々のRX要素によって受信される。
例170で、例169の対象において、任意に、前記RF信号は、ミリメートル波(mmWave)信号である。
例171で、例169乃至170のうちのいずれか1つ以上の例の対象において、任意に、前記特性は、1つずつの受信されたRF信号の測定と、他の測定の夫々よりも低い1の測定の検出とによって決定される不良TXパスである。
例172で、例169乃至171のうちのいずれか1つ以上の例の対象において、任意に、前記特性は、前記受信されたRF信号と該受信されたRF信号の期待値との比較によって決定される、前記試験対象のシステムのアクセプタビリティである。
例173で、例169乃至172のうちのいずれか1つ以上の例の対象において、任意に、前記TX要素はTX位相シフタを更に含み、前記RX要素はRX位相シフタを更に含み、前記特性は、前記TX位相シフタによる前記TX信号の位相の変化と、前記受信されたRF信号の位相の測定とによって決定される、前記TX位相シフタの機能性である。
例174で、例169乃至173のうちのいずれか1つ以上の例の対象において、任意に、1つずつ始動される前記TX要素の夫々はTXパスを含み、前記特性は、1つのTXパスの振幅又は位相と、該1つのTXパス以外の他のTXパスの夫々の振幅又は位相との差の検出によって決定される、TXパスの夫々の間の振幅及び位相の不一致である。
例175で、例173乃至174のうちのいずれか1つ以上の例の対象において、任意に、前記送信されるRF信号はベースバンド信号であり、前記特性は、他の受信されたベースバンド信号が比較される基準としての第1の受信されたベースバンド信号の使用によって決定される前記ベースバンド信号の振幅及び位相である。
例176で、例168乃至175のうちのいずれか1つ以上の例の対象において、任意に、前記特性は、同時の全てのTX要素の始動と、前記受信されたベースバンド信号の測定とによって決定される、推定されるアンテナアレイゲインである。
例177で、例168乃至176のうちのいずれか1つ以上の例の対象において、任意に、前記テストベッドは、該テストベッドから前記反射体を取り外されており、前記コンピュータ命令は、前記コンピュータによって実行される場合に、前記テストベッドに、前記複数の電子部品のうちの1つに対してループバック試験を実行させる命令を含み、前記ループバック試験は第1の結果をもたらす。
例178で、例176乃至177のうちのいずれか1つ以上の例の対象において、任意に、前記テストベッドは、該テストベッドから前記反射体を取り外されており、前記コンピュータ命令は、前記コンピュータによって実行される場合に、前記テストベッドに、前記複数の電子部品のうちの前記1つに対して前記ループバック試験を実行させる命令を含み、前記ループバック試験は第2の結果をもたらし、前記特性は、前記第2の結果からの前記第1の結果の減算によって決定されるクロストークである。
例179は、試験対象のシステムを試験する方法であって:送信器、受信器、前記送信器へ結合されるよう構成される複数の送信(TX)アンテナ、及び前記受信器へ結合されるよう構成される複数の受信(RX)アンテナを含む複数の電子部品を含む前記試験対象のシステムを電子試験器に実装することと;前記送信器からTXアンテナを介してRF信号を受信し、該RF信号をRXアンテナを介して前記受信器へ反射するよう構成される反射体を前記試験器に実装することと;前記送信器及びTXアンテナを含むTX要素から前記反射体へRF信号を送信し、前記反射体からの反射を介して前記受信器及びRXアンテナを含むRX要素によって前記RF信号を受信することを含む、前記試験対象のシステムのループバック試験を実行することと;前記ループバック試験の結果から前記試験対象のシステムの特性を決定することとを含む方法である。
例180で、例179の対象において、任意に、前記TX要素及び前記RX要素は、1つずつ始動され、前記RF信号は、夫々のTX要素を介して送信され、夫々のRX要素によって受信される。
例181で、例180の対象において、任意に、前記RF信号は、ミリメートル波(mmWave)信号である。
例182で、例180乃至181のうちのいずれか1つ以上の例の対象において、任意に、前記特性は、1つずつの受信されたRF信号の測定と、他の測定の夫々よりも低い1の測定の検出とによって決定される不良TXパスである。
例183で、例180乃至182のうちのいずれか1つ以上の例の対象において、任意に、前記特性は、前記受信されたRF信号と該受信されたRF信号の期待値との比較によって決定される、前記試験対象のシステムのアクセプタビリティである。
例184で、例180乃至183のうちのいずれか1つ以上の例の対象において、任意に、前記TX要素はTX位相シフタを更に含み、前記RX要素はRX位相シフタを更に含み、前記特性は、前記TX位相シフタによる前記TX信号の位相の変化と、前記受信されたRF信号の位相の測定とによって決定される、前記TX位相シフタの機能性である。
例185で、例180乃至184のうちのいずれか1つ以上の例の対象において、任意に、1つずつ始動される前記TX要素の夫々はTXパスを含み、前記特性は、1つのTXパスの振幅又は位相と、該1つのTXパス以外の他のTXパスの夫々の振幅又は位相との差の検出によって決定される、TXパスの夫々の間の振幅及び位相の不一致である。
例186で、例185の対象において、任意に、前記送信されるRF信号はベースバンド信号であり、前記特性は、第1の受信されたベースバンド信号以外の受信されたベースバンド信号が比較される基準としての前記第1の受信されたベースバンド信号の使用によって決定される、夫々の受信されたベースバンド信号の振幅及び位相である。
例187で、例179乃至186のうちのいずれか1つ以上の例の対象において、任意に、全てのTX要素が同時に始動され、前記特性は、前記受信されたベースバンド信号の測定によって決定されるアレイゲインの推定である。
例188で、例179乃至186のうちのいずれか1つ以上の例の対象において、任意に、前記試験器は、該試験器から取り外された前記反射体を有し、コンピュータ命令は、コンピュータによって実行される場合に、前記試験器に、前記複数の電子部品のうちの1つに対してループバック試験を実行させる命令を含み、前記ループバック試験は第1の結果をもたらす。
例189で、例188の対象において、任意に、前記試験器は、該試験器から前記反射体を取り外されており、前記コンピュータ命令は、前記コンピュータによって実行される場合に、前記試験器テストベッドに、前記複数の電子部品のうちの前記1つに対して前記ループバック試験を実行させる命令を含み、前記ループバック試験は第2の結果をもたらし、前記特性は、前記第2の結果からの前記第1の結果の減算によって決定されるクロストークである。
例190で、対象は、例168乃至189の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例168乃至189の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例168乃至189のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例191は、ベースバンドサブシステム(BBS)と;単一同軸ケーブルを介して前記BBSと結合される無線周波数(RF)フロントエンドモジュール(RFEM)とを含み、前記BBSは、第1周波数での第1データ信号、及び前記第1周波数と重なり合わない第2周波数での第2データ信号を生成するよう構成される第1トランシーバ回路と;第3周波数での局所発振器(LO)信号を生成するよう構成され、前記第1周波数、前記第2周波数、及び前記第3周波数が重なり合わない周波数である、LO発生器とを含み、前記RFEMは、複数のアンテナを含むフェーズドアンテナアレイと;前記LO信号に基づき前記第1データ信号及び前記第2データ信号を所望の周波数へ変換し、該変換された第1及び第2データ信号を前記フェーズドアンテナアレイにより送信するよう構成される第2トランシーバ回路とを含み、前記変換された第1データ信号は、第1タイプのアンテナ偏波を用いて前記フェーズドアンテナアレイの第1サブアレイにより送信され、前記変換された第2データ信号は、第2タイプのアンテナ偏波を用いて前記フェーズドアンテナアレイの第2サブアレイにより送信される、無線通信デバイスである。
例192で、例191の対象において、任意に、前記アンテナ偏波は、垂直アンテナ偏波又は水平アンテナ偏波のうちの1つである。
例193で、例191乃至192のうちのいずれか1つ以上の例の対象において、任意に、前記第3周波数は、前記第1周波数及び前記第2周波数の差である。
例194で、例191乃至193のうちのいずれか1つ以上の例の対象において、任意に、前記LO発生器は、第2LO信号及び第3LO信号を生成するよう構成され、前記第2LO信号及び前記第3LO信号は、前記LO信号とともに重なり合わない信号である。
例195で、例194の対象において、任意に、前記第1トランシーバ回路は、前記第2LO信号に基づき前記第1データ信号を生成し、前記第3LO信号に基づき前記第2データ信号を生成するよう構成される。
例196で、例195の対象において、任意に、前記第1LO信号、前記第2LO信号、及び前記第3LO信号は、同じ周波数源から生成され、相関された位相雑音を有している。
例197で、例191乃至196のうちのいずれか1つ以上の例の対象は、任意に、前記BBS内にあり、前記第1データ信号、前記第2データ信号及び前記LO信号を前記単一同軸ケーブル上に多重化するよう構成される第1トリプレクサと;前記RFEM内にあり、前記第1データ信号、前記第2データ信号及び前記LO信号を前記単一同軸ケーブルを介して受信するよう構成される第2トリプレクサとを含む。
例198で、例191乃至197のうちのいずれか1つ以上の例の対象において、任意に、前記第2トランシーバ回路は、垂直偏波を使用する前記フェーズドアンテナアレイの中の前記第1サブアレイへ結合される垂直偏波処理チェーンと;水平偏波を使用する前記フェーズドアンテナアレイの中の前記第2サブアレイへ結合される水平偏波処理チェーンとを含む。
例199で、例198の対象は、任意に、前記RFEM内にある第2LO発生器を含み、該第2LO発生器は、前記単一同軸ケーブルを介して前記LO信号を受信し、該LO信号に対して1つ以上の周波数操作を行って、前記第1データ信号及び前記第2データ信号を前記所望の周波数へ変換するための少なくとも1つの第2LO信号を生成するよう構成される。
例200で、例199の対象において、任意に、前記第2LO発生器は、前記1つ以上の周波数操作を実行するよう分周器及び周波数逓倍器を更に含む。
例201は、ベースバンドサブシステム(BBS)と;単一同軸ケーブルを介して前記BBSと結合される無線周波数(RF)フロントエンドモジュール(RFEM)とを含み、前記BBSは、第1局所発振器(LO)信号を用いて、所望の送信周波数を含む第1周波数で第1データ信号を生成するよう構成される第1トランシーバ回路と;第2LO信号を用いて第2周波数で第2データ信号を生成するよう構成される第2トランシーバ回路と;前記第1LO信号、前記第2LO信号、及び第3LO信号を生成するよう構成されるLO発生器とを含み、前記RFEMは、複数のアンテナを含むフェーズドアンテナアレイと;前記複数のアンテナの中の垂直偏波アンテナサブセットを介して前記所望の送信周波数で前記第1データ信号を送信するよう構成される第3トランシーバ回路と;前記第2データ信号を前記所望の送信周波数に変換し、該変換された第2データ信号を、前記複数のアンテナの中の水平偏波アンテナサブセットを介して送信するよう構成される第4トランシーバ回路とを含む、無線通信デバイスである。
例202で、例201の対象において、任意に、前記第3LO信号は、前記所望の送信周波数と前記第2周波数との間の差である周波数を含む。
例203で、例201乃至202のうちのいずれか1つ以上の例の対象において、任意に、前記第3LO信号は、前記第1周波数及び前記第2周波数と重なり合わない周波数を含む。
例204で、例201乃至203のうちのいずれか1つ以上の例の対象において、任意に、前記第3トランシーバ回路は、前記複数のアンテナの中の前記水平偏波アンテナサブセットを介して前記所望の送信周波数で前記第1データ信号を送信するよう構成され、前記第4トランシーバ回路は、前記複数のアンテナの中の前記垂直偏波アンテナサブセットを介して前記変換された第2データ信号を送信するよう構成される。
例205で、例201乃至204のうちのいずれか1つ以上の例の対象は、任意に、前記BBS内にあり、前記第1データ信号、前記第2データ信号及び前記第3LO信号を前記単一同軸ケーブル上に多重化するよう構成される第1トリプレクサと;前記RFEM内にあり、前記第1データ信号、前記第2データ信号及び前記第3LO信号を前記単一同軸ケーブルを介して受信するよう構成される第2トリプレクサとを含む。
例206で、例201乃至205のうちのいずれか1つ以上の例の対象において、任意に、前記第4トランシーバ回路は、前記第3LO信号を用いて前記第2データ信号を前記所望の送信周波数へアップコンバートするアップコンバージョンミキサを更に含む。
例207で、例201乃至206のうちのいずれか1つ以上の例の対象において、任意に、前記第3トランシーバ回路は、前記複数のアンテナの中の前記垂直偏波アンテナサブセットを介して第3データ信号を受信するよう構成され、前記第4トランシーバ回路は、前記複数のアンテナの中の前記水平偏波アンテナサブセットを介して第4データ信号を受信するよう構成され、前記第3データ信号及び前記第4データ信号は、所望の受信周波数にある。
例208で、例207の対象において、任意に、前記RFEMは、前記第4データ信号を中間周波数(IF)信号にダウンコンバートするための第4LO信号を生成するよう構成される第2LO発生を更に含む。
例209で、例208の対象において、任意に、前記RFEMは、前記所望の受信周波数での前記第3データ信号、前記中間周波数での前記第4データ信号、及び前記第4LO信号を前記BBSへ前記単一同軸ケーブルを介して送るよう構成される。
例210は、ベースバンドサブシステム(BBS)と;単一同軸ケーブルを介して前記BBSと結合される無線周波数(RF)フロントエンドモジュール(RFEM)とを含み、前記BBSは、第1無線周波数で第1データ信号を生成するよう構成される第1トランシーバ回路と;前記第1無線周波数とは異なる第2無線周波数で第2データ信号を生成するよう構成される第2トランシーバ回路とを含み、前記RFEMは、複数のアンテナを含むフェーズドアンテナアレイと;所望の送信周波数に基づき第1局所発振器(LO)信号及び第2LO信号を生成するよう構成されるLO発生器と;前記第1LO信号を用いて前記第1データ信号を前記所望の送信周波数に変換し、該変換された第1データ信号を、前記複数のアンテナの中の垂直偏波アンテナサブセットを介して送信するよう構成される第3トランシーバ回路と;前記第2LO信号を用いて前記第2データ信号を前記所望の送信周波数に変換し、該変換された第2データ信号を、前記複数のアンテナの中の垂直偏波アンテナサブセットを介して送信するよう構成される第4トランシーバ回路とを含む、無線通信デバイスである。
例211で、例210の対象は、任意に、前記BBS内にあり、前記第1データ信号及び前記第2データ信号を、前記RFEMへの通信のために、前記単一同軸ケーブル上に多重化するよう構成される第1トリプレクサと;前記RFEM内にあり、前記第1データ信号及び前記第2データ信号を前記単一同軸ケーブルを介して受信するよう構成される第2トリプレクサとを含む。
例212で、例210乃至211のうちのいずれか1つ以上の例の対象において、任意に、前記LO発生器は、前記第1LO信号及び前記第2LO信号を合成するシンセサイザを含む。
例213は、複数のアンテナを含むフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信された複数のRF信号を処理して単一のRF信号を生成するよう構成される無線周波数(RF)受信器サブシステムと;単一同軸ケーブルを介して前記RF受信器サブシステムへ結合されるベースバンドサブシステム(BBS)を含み、前記BBSは、前記単一のRF信号に基づき、ダウンコンバートされた信号を生成し、該ダウンコンバートされた信号を、無線モデムによる処理のためのデジタルデータ信号に変換するよう構成され、前記BBSは、前記RF受信器サブシステムから前記同軸ケーブルを介して前記RF信号を受信し、前記RF受信器サブシステムは、前記BBSから前記同軸ケーブルを介してDC電力信号を受信する、無線通信デバイスである。
例214で、例213の対象において、任意に、前記RF受信器サブシステムは、前記複数の受信されたRF信号を増幅して複数の増幅された信号を生成するよう構成される複数の増幅器を含む。
例215で、例214の対象において、任意に、前記RF受信器サブシステムは、前記複数の増幅された信号に関連する位相をシフトして複数の位相シフトされた信号を生成する複数の位相シフタと、前記複数の位相シフトされた信号を足し合わせて結合RF信号を生成するよう構成される加算器と、前記結合RF信号を増幅して前記単一のRF信号を生成するよう構成される増幅器とを含む。
例216で、例215の対象において、任意に、前記RF受信器サブシステムは、前記単一同軸ケーブルを介して前記BBSから制御信号を受信するよう構成され、該制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定する。
例217で、例213乃至216のうちのいずれか1つ以上の例の対象において、任意に、前記BBSは、前記単一同軸ケーブルを介して前記RF受信器サブシステムから受信された前記RF信号を増幅して、増幅されたRF信号を生成するよう構成される増幅器と、前記増幅されたRF信号をダウンコンバートして、前記ダウンコンバートされた信号を生成する少なくとも1つのダウンコンバージョンミキサと、前記ダウンコンバートされた信号を、前記無線モデムによる処理のための前記デジタルデータに変換する少なくとも1つのアナログデジタル変換器(ADC)とを含む。
例218で、例213乃至217のうちのいずれか1つ以上の例の対象は、任意に、単一のRF出力信号に基づき複数のRF出力信号を生成するよう構成されるRF送信器サブシステムを含み、前記生成された複数のRF出力信号は、前記フェーズドアンテナアレイにより送信される。
例219で、例218の対象において、任意に、前記RF送信器サブシステムは、前記単一のRF出力信号を増幅して、増幅された出力信号を生成するよう構成される増幅器と;前記単一のRF出力信号の複数の信号レプリカを生成するよう構成される加算器と;該複数の信号レプリカに関連する位相をシフトして、複数の位相シフトされた出力信号を生成するよう構成される複数の位相シフタと;前記複数の位相シフトされた信号を増幅して、前記フェーズドアンテナアレイによる送信のために前記複数のRF出力信号を生成する複数の増幅器とを更に含む。
例220で、例219の対象において、任意に、前記RF受信器サブシステムは、前記単一同軸ケーブルを介して前記BBSから制御信号を受信するよう構成され、該制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定する。
例221で、例218乃至220のうちのいずれか1つ以上の例の対象において、任意に、前記RF送信器サブシステムは、前記単一同軸ケーブルを介して前記BBSから前記単一のRF出力信号を受信する。
例222で、例221の対象において、任意に、前記BBSは無線モデムを含み、該無線モデムは、出力データ信号を生成するよう構成される。
例223で、例222の対象において、任意に、前記BBSは、前記データ信号を出力信号に変換するデジタルアナログ変換器(DAC)と;前記出力信号にフィルタをかけ、フィルタ処理された出力信号を生成するフィルタと;前記フィルタ処理された出力信号を前記単一のRF信号にアップコンバートするアップコンバージョンミキサとを更に含む。
例224で、例213乃至223のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステムは、第1の適応インピーダンス整合回路を含み、前記BBSは、第2のインピーダンス整合回路を含み、前記第1のインピーダンス整合回路及び前記第2のインピーダンス整合回路は、前記単一同軸ケーブルに関連するインピーダンスを整合させる。
例225は、第1PCB基材、及び該第1PCB基材へ単一同軸ケーブルを介して結合される第2PCB基材とを含み、前記第1PCB基材は、複数のアンテナを含むフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信された複数のRF信号を処理してRF入力信号を生成するよう構成されるRF受信器サブシステムと;RF出力信号に基づき、前記フェーズドアンテナアレイにより送信される複数のRF出力信号を生成するよう構成されるRF送信器サブシステムとを含み、前記第2PCB基材は、ベースバンドサブシステム(BBS)を含み、該BBSは、前記RF入力信号を用いて、無線モデムによる処理のためのデジタルデータ信号を生成し、前記無線モデムによって生成された少なくとももう1つのデジタルデータ信号に基づき前記RF出力信号を生成するよう構成され、前記BBSは、前記RF受信器サブシステムから前記単一同軸ケーブルを介して前記RF入力信号を受信する、無線通信デバイスである。
例226で、例225の対象において、任意に、前記RF受信器サブシステム及び前記RF送信器サブシステムは、前記BBSから前記単一同軸ケーブルを介してDC電力信号を受けるよう構成される。
例227で、例225乃至226のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステム及び前記RF送信器サブシステムは、前記BBSから前記単一同軸ケーブルを介してDC電力信号を受けるよう構成される。
例228で、例225乃至227のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステムは、前記複数の受信されたRF信号を増幅して、複数の増幅された信号を生成する複数の増幅器と;位相調整を行って、前記複数の増幅された信号に関連する位相をシフトし、複数の位相シフトされた信号を生成する複数の位相シフタと;前記複数の位相シフトされた信号を足し合わせて結合RF信号を生成するよう構成される加算器と;前記結合RF信号を増幅して、BBSへの送信のために前記単一のRF入力信号を生成するよう構成される増幅器とを含む。
例229で、例228の対象において、任意に、前記RF受信器サブシステムは、前記BBSから前記単一同軸ケーブルを介して制御信号を受信するよう構成され、該制御信号は、前記複数の位相シフタによって実行される前記位相調整のための信号位相を特定する。
例230で、例225乃至229のうちのいずれか1つ以上の例の対象において、任意に、前記RF入力信号及び前記RF出力信号は、ミリメートル波帯域周波数内の信号を含む。
例231で、例225乃至230のうちのいずれか1つ以上の例の対象は、任意に、Gワイヤレス仕様を含む。
例232は、第1無線周波数フロントエンドモジュール(RFEM)及び第2RFEMと;ベースバンドサブシステム(BBS)とを含み、前記第1RFEM及び前記第2RFEMの夫々は、複数のアンテナのフェーズドアンテナアレイと、該フェーズドアンテナアレイにより受信された複数のRF信号を処理してRF入力信号を生成するよう構成されるRF受信器サブシステムと;RF出力信号に基づき、前記フェーズドアンテナアレイにより送信される複数のRF出力信号を生成するよう構成されるRF送信器サブシステムとを含み、前記BBSは、前記第1RFEM及び前記第2RFEMの夫々からの前記RF入力信号を用いて、無線モデムによる処理のためのデジタルデータ信号を生成し、局所発振器信号及び前記無線モデムによって生成された少なくとももう1つのデジタルデータ信号に基づき前記RF出力信号を生成するよう構成され、前記BBSは、前記第1RFEM及び前記第2RFEMから夫々第1同軸ケーブル及び第2同軸ケーブルを介して前記RF入力信号を受信する、無線通信デバイスである。
例233で、例232の対象において、任意に、前記BBSは、前記局所発振器信号を生成するよう構成される局所発振器発生器を含み、該局所発振器発生器は、前記第1RFEMと前記第2RFEMとの間で共有される。
例234は、ヒンジで連結された(hinged)ふた(lid)を備えるユーザデバイス内のマザーボードに取り付けられる少なくとも1つの無線周波数集積回路(RFIC)と;少なくとも1つの導波路、又は光ファイバを有する伝送線路であり、前記少なくとも1つのRFICへ結合される第1端部と、前記ふたにおける長さと、前記ふたにある1つ以上のアンテナへ結合される第2端部とを備える前記伝送線路とを含む伝送線路回路である。
例235で、例234の対象において、任意に、前記1つ以上のアンテナは、受信デバイスからのフィードバック情報に基づき、中央演算処理装置(central processing unit)によって実行されるコンピュータ命令の組によって制御されるよう構成される複数のアンテナを含む。
例236で、例234乃至235のうちのいずれか1つ以上の例の対象において、任意に、当該伝送線路は、前記ふたにおいて中空の導電性チューブを含む少なくとも1つの導波路を含み、前記導電性チューブは、RF信号を前記1つ以上のアンテナへ、前記RF信号を増幅させるよう配置された各々の無線フロントエンドモジュール(RFEM)を介して伝送するよう構成される。
例237で、例236の対象において、任意に、前記少なくとも1つのRFICは、複数の周波数帯域においてRF信号を生成するよう構成され、前記少なくとも1つの導波路は、周波数帯域ごとに別個の導波路を含み、夫々の導波路は、各々のRFEMへ接続される。
例238で、例236乃至237のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのRFICは、単一の周波数帯域においてRF信号を生成するよう構成され、前記少なくとも1つの導波路は、前記RFICから、受信デバイスからのフィードバック情報に基づき、中央演算処理装置によって実行されるコンピュータ命令の組によって制御されるよう構成される複数のアンテナの夫々への別個の導波路を含み、夫々の導波路は、各々のRFEMへ接続される。
例239で、例236乃至238のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの導波路の前記第1端部は、前記ヒンジを貫通するか、又は前記ヒンジの部分であり、前記少なくとも1つの導波路の前記第2端部は、前記1つ以上のアンテナへ各々のRFEMを介して結合される。
例240で、例234乃至239のうちのいずれか1つ以上の例の対象において、任意に、当該伝送線路は、RF信号を前記1つ以上のアンテナへ送信するよう構成される半導体集積導波路(semiconductor integrated waveguide)(SIW)を含む。
例241で、例240の対象において、任意に、前記SIWは、コプレーナー(coplanar)伝送線路によって又はストリップラインによって給電され、前記SIWは、フレキシブルPCB又はリジッド(rigid)PCB内に実装される。
例242で、例240乃至241のうちのいずれか1つ以上の例の対象において、任意に、前記ユーザデバイスはラップトップコンピュータであり、前記SIWは、前記ラップトップコンピュータのキーボードに関連する電子回路を含むPCBの部分を含む。
例243で、例240乃至241のうちのいずれか1つ以上の例の対象において、任意に、前記ユーザデバイスはラップトップコンピュータであり、前記SIWは、前記SIWの実装に専用のPCBの部分である。
例244で、例243の対象において、任意に、前記専用のPCBは、前記ふた筐体に接着される。
例245で、例243乃至244のうちのいずれか1つ以上の例の対象において、任意に、前記専用のPCBは、前記ふた筐体において実装される溝(trench)で接着される。
例246で、例243乃至254のうちのいずれか1つ以上の例の対象において、任意に、前記SIWは、ラップトップコンピュータの筐体にあるスクリーンの側部に固定される。
例247で、例234乃至246のうちのいずれか1つ以上の例の対象において、当該伝送線路は光ファイバを含む。
例248で、例247の対象において、任意に、前記光ファイバの第1端部は、RF信号光信号変換器を介して前記RFICへ結合される。
例249で、例248の対象において、任意に、前記RF信号光信号変換器は、pinダイオード、アバランシェpinダイオード、又はRFオーバー・ファイバ・インターフェイスを含む。
例250で、例249の対象において、任意に、前記pinダイオード又はアバランシェpinダイオードは、前記1つ以上のアンテナによる放射のためにRF信号をデジタルビットとして前記RFEMへ伝送するよう構成される。
例251で、例249乃至250のうちのいずれか1つ以上の例の対象において、任意に、RFオーバー・ファイバ変換器は、前記RFICの前記RF信号により光信号を変調するよう構成される。
例252で、例252の対象において、任意に、変換デバイスは、前記変調された光信号を、前記1つ以上のアンテナによる放射のために前記RFEMへ送信されるRF信号に変換するよう構成される。
例253で、例251乃至252のうちのいずれか1つ以上の例の対象において、任意に、前記RFICは、複数の周波数帯域においてRF信号を生成するよう構成され、前記光ファイバは、前記周波数帯域の夫々において、前記RF信号により変調された光信号を伝送するよう構成される。
例254で、例252乃至253のうちのいずれか1つ以上の例の対象において、任意に、前記RFICは、単一の周波数帯域においてRF信号を生成するよう構成され、前記光ファイバは、前記RFICから複数のアンテナの夫々へ各々のRFEMを介して結合され、前記複数のアンテナは、受信デバイスからのフィードバック情報に基づき、中央演算処理装置によって実行されるコンピュータ命令の組によって制御されるよう構成される。
例255で、対象は、例234乃至254の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例234乃至254の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例234乃至254のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例256は、ベースバンドサブシステム(BBS)と;変調信号及びデータ信号を受信するよう単一接続を介して前記BBSと結合される無線周波数(RF)フロントエンドモジュール(RFEM)とを含み、前記BBSは、局所発振器(LO)信号を生成するよう構成されるLO発生器と;前記LO信号を用いて制御信号を変調して前記変調信号を生成するよう構成されるクロックスプレッディング回路とを含み、前記RFEMは、前記変調信号を復調して前記LO信号及び前記制御信号を回復するよう構成されるクロックダイスプレッディング回路と;複数のアンテナを有するフェーズドアンテナアレイと;前記回復されたLO信号に基づき前記データ信号を所望の無線周波数(RF)へアップコンバートしてRF信号を生成し、前記制御信号に基づき送信モードをアクティブにして前記RF信号を前記フェーズドアンテナアレイにより送信するよう構成されるトランシーバ回路とを含む、無線通信デバイスである。
例257で、例256の対象において、任意に、前記クロックスプレッディング回路は、制御信号を受信し、帯域制限された制御信号を生成するよう構成されるパルス整形器を含む。
例258で、例257の対象において、任意に、前記クロックスプレッディング回路は、前記帯域制限された制御信号及び前記LO信号を受信して前記変調信号を生成するよう構成される変調器回路を含む。
例259で、例258の対象において、任意に、前記変調器回路は、2位相偏移変調(binary phase-shift keying)(BPSK)変調器、差動位相偏移変調(differential phase-shift keying)(DPSK)変調器、4位相偏移変調(quadrature phase-shift keying)(QPSK)変調器、及びガウス周波数偏移変調(Gaussian frequency shift keying)(GFSK)変調器の中の1つである。
例260で、例256乃至259のうちのいずれか1つ以上の例の対象において、任意に、前記クロックダイスプレッディング回路は、乗算器回路及び除算器回路を含むクロックリカバリ回路を含み、該クロックリカバリ回路は、前記変調信号を受信し、該変調信号に基づき前記LO信号を回復するよう構成される。
例261で、例260の対象において、任意に、前記クロックダイスプレッディング回路は、前記変調信号及び前記LO信号を受信し、前記変調信号及び前記LO信号に基づき前記制御信号を回復するよう構成される復調器回路を含む。
例262で、例256乃至261のうちのいずれか1つ以上の例の対象において、任意に、前記トランシーバ回路は、前記複数の受信されたRF信号を増幅して複数の増幅された信号を生成する複数の増幅器を含む。
例263で、例262の対象において、任意に、前記トランシーバ回路は、前記複数の増幅された信号に関連する位相をシフトして複数の位相シフトされた信号を生成する複数の位相シフタと、前記複数の位相シフトされた信号を結合して結合RF信号を生成するよう構成されるコンバイナとを含む。
例264で、例263の対象において、任意に、前記制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定するか、あるいは、前記複数の増幅器のための異なるゲイン調整をセットする。
例265で、例263乃至264のうちのいずれか1つ以上の例の対象において、任意に、前記RFEMは、前記LO信号を基準クロック信号として受信し、前記結合RF信号のダウンコンバージョンのために第2LO信号を生成するよう構成される周波数源を含む。
例266で、例265の対象において、任意に、前記RFEMは、前記第2LO信号に基づき中間周波数(IF)信号を生成するよう前記結合RF信号をダウンコンバートするダウンコンバージョンミキサと、前記IF信号を増幅して、増幅されたIF信号を生成するよう構成される増幅器とを含む。
例267で、例266の対象において、任意に、前記BBSは、前記LO信号に基づき前記増幅されたIF信号をダウンコンバートして、ダウンコンバートされた信号を生成するダウンコンバージョンミキサと、前記ダウンコンバートされた信号を、無線モデムによる処理のためのデジタルデータ信号に変換するアナログデジタル変換器(ADC)とを含む。
例268は、ベースバンドサブシステム(BBS)を含む第1PCB基材であり、前記BBSは、局所発振器(LO)基準信号上でフロントエンド制御信号を変調して変調信号を生成するよう構成される、前記第1PCB基材と;前記変調信号及び中間周波数(IF)データ信号を受信するよう単一接続を介して前記第1PCB基材と結合されるRFフロントエンドモジュール(RFEM)を含む第2PCB基材であり、前記RFEMは、前記変調信号を復調して前記LO基準信号及び前記フロントエンド制御信号を回復するよう構成されるクロックダイスプレッディング回路と;複数のアンテナを含むフェーズドアンテナアレイと;前記回復されたLO基準信号に基づき前記IFデータ信号を所望のRFへアップコンバートしてRF信号を生成し、前記フロントエンド制御信号に基づき送信モードをアクティブにして前記RF信号を前記フェーズドアンテナアレイにより送信するよう構成されるトランシーバ回路とを含む、前記第2PCB基材とを含む無線通信デバイスである。
例269で、例268の対象において、任意に、前記BBSは、前記LO基準信号を生成するよう構成されるLO発生器と、前記LO基準信号を用いて前記フロントエンド制御信号を変調して前記変調信号を生成するよう構成されるクロックスプレッディング回路とを含む。
例270で、例269の対象において、任意に、前記クロックスプレッディング回路は、前記フロントエンド制御信号を受信し、帯域制限された制御信号を生成するよう構成されるパルス整形器と、前記帯域制限された制御信号及び前記LO基準信号を受信して前記変調信号を生成するよう構成される変調器回路を含む。
例271で、例269乃至270のうちのいずれか1つ以上の例の対象において、任意に、前記クロックスプレッディング回路は、疑似ランダムシーケンスを用いて前記LO基準信号を広げて前記変調信号を得るよう構成される。
例272で、例270乃至271のうちのいずれか1つ以上の例の対象において、任意に、前記変調器回路は、2位相偏移変調(BPSK)変調器、差動位相偏移変調(DPSK)変調器、4位相偏移変調(QPSK)変調器、及びガウス周波数偏移変調(GFSK)変調器の中の1つである。
例273で、例269乃至272のうちのいずれか1つ以上の例の対象において、任意に、前記クロックダイスプレッディング回路は、乗算器回路及び除算器回路を含むクロックリカバリ回路を含み、該クロックリカバリ回路は、前記変調信号を受信し、該変調信号に基づき前記LO基準信号を回復するよう構成される。
例274で、例273の対象において、任意に、前記クロックダイスプレッディング回路は、前記変調信号及び前記回復されたLO基準信号を受信し、前記変調信号及び前記LO基準信号に基づき前記フロントエンド制御信号を回復するよう構成される復調器回路を含む。
例275で、例269乃至275のうちのいずれか1つ以上の例の対象において、任意に、前記トランシーバ回路は、前記フェーズドアンテナアレイにより受信された前記複数のRF信号を増幅して複数の増幅された信号を生成する複数の増幅器と;前記複数の増幅された信号に関連する位相をシフトして複数の位相シフトされた信号を生成する複数の位相シフタと;前記複数の位相シフトされた信号を結合して結合RF信号を生成するよう構成されるコンバイナとを含む。
例276で、例275の対象において、任意に、前記制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定するか、あるいは、前記複数の増幅器のための異なるゲイン調整をセットする。
例277で、例275乃至276のうちのいずれか1つ以上の例の対象において、任意に、前記RFEMは、前記フロントエンド制御信号に基づき、前記複数のRF信号を受信する前記トランシーバ回路の受信モードをアクティブにするよう構成される。
例278は、複数のアンテナを含むフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信された複数のRF信号を処理して単一のRF信号を生成するよう構成されるRF受信器サブシステムと;第1接続を介して前記RF受信器サブシステムへ結合され、前記単一のRF信号に基づきIF信号を生成するよう構成される補助中間周波数サブシステム(SIFS)と;第2接続を介して前記SIFSへ結合されるベースバンドサブシステム(BBS)とを含み、前記BBSは、前記IFに基づき、ダウンコンバートされた信号を生成し、該ダウンコンバートされた信号を、無線モデムによる処理のためのデジタルデータ信号に変換するよう構成され、前記SIFSは、前記RF受信器サブシステムから前記第1接続を介して前記単一のRF信号を受信し、前記SIFSは、前記単一のRF信号を前記第2接続を介して前記BBSへ送る、無線通信デバイスである。
例279で、例278の対象において、任意に、前記第1接続は、同軸ケーブルであり、前記第2接続は、PCBトレース接続である。
例280で、例278乃至279のうちのいずれか1つ以上の例の対象において、任意に、前記SIFS及び前記BBSは、マルチチップ・モジュール(MCM)又はシステム・イン・パッケージ・モジュール(SiPM)において一緒にパッケージ化され、前記第2接続は、前記MCM又は前記SiPMのパッケージ基材内の信号を介する。
例281で、例278乃至280のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステムは、前記複数の受信されたRF信号を増幅して複数の増幅された信号を生成する複数の増幅器を含む。
例282で、例281の対象において、任意に、前記RF受信器サブシステムは、前記複数の増幅された信号に関連する位相をシフトして、複数の位相シフトされた信号を生成する複数の位相シフタと;前記複数の位相シフトされた信号を結合して結合RF信号を生成するよう構成されるコンバイナとを含む。
例283で、例282の対象において、任意に、前記RF受信器サブシステムは、前記第1接続及び前記第2接続を介して前記無線モデムから制御信号を受信するよう構成され、前記制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定するか、又は前記複数の増幅器のための異なるゲイン調整をセットする。
例284で、例278乃至283のうちのいずれか1つ以上の例の対象において、任意に、前記SIFSは、前記BBSから基準クロック信号を受信し、前記単一のRF信号のダウンコンバージョンのためのLO信号を生成するよう構成される局所発振器(LO)信号発生器を含む。
例285で、例284の対象において、任意に、前記SIFSは、前記LO信号に基づき前記IF信号を生成するよう前記単一のRF信号をダウンコンバートするダウンコンバージョンミキサと、前記RF信号を増幅して、増幅されたRF信号を生成するよう構成される増幅器とを含む。
例286で、例285の対象において、任意に、前記BBSは、BBS LO信号を生成するLO発生器と;前記BBS LO信号を用いて前記増幅されたRF信号をダウンコンバートして、前記ダウンコンバートされた信号を生成するダウンコンバージョンミキサと;前記ダウンコンバートされた信号を、前記無線モデムによる処理のための前記デジタルデータ信号に変換するアナログデジタル変換器(ADC)とを含む。
例287で、例278乃至286のうちのいずれか1つ以上の例の対象は、任意に、単一のRF出力信号に基づき複数のRF出力信号を生成するよう構成されるRF送信器サブシステムを含み、前記生成された複数のRF出力信号は、前記フェーズドアンテナアレイにより送信される。
例288で、例287の対象において、任意に、前記RF送信器サブシステムは、前記単一のRF出力信号を増幅して、増幅された出力信号を生成するよう構成される増幅器と;前記単一のRF出力信号の複数の信号レプリカを生成するよう構成されるスプリッタと;前記信号レプリカに関連する位相をシフトして、複数の位相シフトされた出力信号を生成するよう構成される複数の位相シフタと;前記複数の位相シフトされた出力信号を増幅して、前記フェーズドアンテナアレイによる送信のために前記複数のRF出力信号を生成する複数の増幅器とを更に含む。
例289で、例288の対象において、任意に、前記RF送信器サブシステムは、前記BBSから前記SIFSを介して制御信号を受信するよう構成され、前記制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定する。
例290で、例287乃至289のうちのいずれか1つ以上の例の対象において、任意に、前記RF送信器サブシステムは、前記SIFSから前記第1接続を介して前記単一のRF出力信号を受信する。
例291で、例290の対象において、任意に、前記BBSは、前記無線モデムを含み、前記無線モデムは、出力データ信号を生成するよう構成される。
例292で、例219の対象において、任意に、前記BBSは、前記データ信号を出力信号に変換するデジタルアナログ変換器(DAC)と;前記出力信号にフィルタをかけて、フィルタ処理された出力信号を生成するフィルタと;前記フィルタ処理された出力信号を中間周波数(IF)出力信号にアップコンバートするアップコンバージョンミキサとを更に含む。
例293で、例292の対象において、任意に、前記SIFSは、前記IF出力信号をアップコンバートして、前記単一のRF出力信号を生成するアップコンバージョンミキサを更に含む。
例294で、例287乃至293のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステム及び前記RF送信器サブシステムは、第1の適応インピーダンス整合回路を含み、前記SIFSは、第2のインピーダンス整合回路を含み、前記第1のインピーダンス整合回路及び前記第2のインピーダンス整合回路は、前記第1接続に関連するインピーダンスを整合する。
例295は、第1PCB基材、第1接続を介して前記第1PCB基材へ結合される第2PCB基材、及び第2接続を介して前記第2PCB基材へ結合される第3PCB基材を含み、前記第1PCB基材は、複数のアンテナのフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信された複数のRF信号を処理してRF入力信号を生成するよう構成されるRF受信器サブシステムと;RF出力信号に基づき、前記フェーズドアンテナアレイにより送信される複数のRF出力信号を生成するよう構成されるRF送信器サブシステムとを含み、前記第2PCB基材は、IF出力信号を用いて前記RF出力信号を生成し、且つ、前記RF入力信号を用いてIF入力信号を生成するよう構成される補助中間周波数サブシステム(SIFS)を含み、前記第3PCB基材は、前記IF入力信号を用いて、無線モデムによる処理のためのデジタルデータ信号を生成し、且つ、前記無線モデムによって生成された少なくとももう1つのデジタルデータ信号に基づき前記IF出力信号を生成するよう構成されるベースバンドサブシステム(BBS)を含み、前記SIFSは、前記RF受信器サブシステムから前記第1接続を介して前記RF入力信号を受信する、無線通信デバイスである。
例296で、例295の対象において、任意に、前記SIFSは、前記BBSは:前記データ信号から前記第2接続を介して前記IF出力信号を受信するよう構成される。
例297で、例295乃至296のうちのいずれか1つ以上の例の対象において、任意に、前記第1接続は、単一同軸ケーブルであり、前記第2接続は、PCBトレース接続である。
例298で、例295乃至297のうちのいずれか1つ以上の例の対象において、任意に、前記SIFSは、前記BBSから基準クロック信号を受信し、前記RF入力信号のダウンコンバージョン及び前記IF出力信号のアップコンバージョンのためのLO信号を生成するよう構成される局所発振器(LO)信号発生器を含む。
例299で、例298の対象において、任意に、前記SIFSは、前記LO信号に基づき前記IF入力信号を生成するよう前記RF入力信号をダウンコンバートするダウンコンバージョンミキサと;前記LO信号に基づき前記RF出力信号を生成するよう前記BBSからの前記IF出力信号をアップコンバートするアップコンバージョンミキサとを含む。
例300で、例295乃至299のうちのいずれか1つ以上の例の対象において、任意に、前記RF受信器サブシステムは、前記複数の受信されたRF信号を増幅して、複数の増幅された信号を生成する複数の増幅器と;位相調整を実行し、前記複数の増幅された信号に関連する位相をシフトして、複数の位相シフトされた信号を生成する複数の位相シフタと;前記複数の位相シフトされた信号を結合して、前記SIFSへの送信のために前記RF入力信号を生成するよう構成されるコンバイナとを含む。
例301で、例300の対象において、任意に、前記RF受信器サブシステムは、前記BBSから前記SIFS及び前記第1接続を介して制御信号を受信するよう構成され、前記制御信号は、前記複数の位相シフタによって実行される位相調整のための信号位相を指定するか、又は前記複数の増幅器のための異なるゲイン調整をセットする。
例302で、例295乃至301のうちのいずれか1つ以上の例の対象は、任意に、Gワイヤレス仕様を含む。
例303は、少なくとも1つの第1無線周波数フロントエンドモジュール(RFEM)及び少なくとも1つの第2RFEMを含み、前記少なくとも第1及び第2RFEMの夫々は、複数のアンテナのフェーズドアンテナアレイと;該フェーズドアンテナアレイにより受信された複数のRF信号を処理してRF入力信号を生成するよう構成されるRF受信器サブシステムと;RF出力信号に基づき、前記フェーズドアンテナアレイにより送信される複数のRF出力信号を生成するよう構成されるRF送信器サブシステムと;IF出力信号を用いて前記RF出力信号を生成し、且つ、前記RF入力信号を用いてIF入力信号を生成するよう構成される補助中間周波数サブシステム(SIFS)と;前記IF入力信号を用いて、無線モデムによる処理のためのデジタルデータ信号を生成し、且つ、前記無線モデムによって生成された少なくとももう1つのデジタルデータ信号及び局所発振器(LO)信号に基づき前記IF出力信号を生成するよう構成されるベースバンドサブシステム(BBS)とを含む、無線通信デバイスである。
例304で、例303の対象において、任意に、前記BBSは、前記LO信号を生成するよう構成される周波数源を含み、局所発振器(LO)発生器は、前記BBSと前記SIFSとの間で共有される。
例305で、例304の対象において、任意に、前記SIFSは、前記RF出力信号及び前記IF入力信号を生成するための第2LO信号を生成するよう構成される第2周波数源を含む。
例306で、例305の対象において、任意に、前記第2周波数源は、前記BBS内の前記LO発生器からLO基準信号を受信するよう構成される。
例307で、例303乃至306のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも第1RFEM及び前記少なくとも第2RFEMは、異なる周波数帯域で動作する。
例308で、例307の対象において、任意に、前記SIFSは、前記少なくとも第1及び第2RFEMの異なる周波数帯域に対応する異なるアップコンバージョン周波数及びダウンコンバージョン周波数を用いて異なるRF信号を生成するよう構成される。
例309は、複数の信号を対応する複数の信号線を介して受信し、該受信された信号に基づき複数の増幅された信号を生成するよう構成される複数の電力増幅器を含む半導体ダイと;該半導体ダイへ結合されるPCB基材であり、前記複数の電力増幅器へ結合され、前記複数の増幅された信号を結合して送信のための単一の結合信号を生成するよう構成されるRF電力コンバイナを有する前記PCB基材とを含む装置である。
例310で、例309の対象は、任意に、トランシーバ回路と;前記PCB基材内のアンテナとを含み、前記トランシーバ回路は、前記単一の結合信号を前記アンテナを介して送信するよう構成される。
例311で、例309乃至310のうちのいずれか1つ以上の例の対象において、任意に、前記半導体ダイは、前記複数の電力増幅器を、前記電力コンバイナの対応する複数の信号入力部へ結合する複数のはんだボールを含む。
例312で、例309乃至311のうちのいずれか1つ以上の例の対象において、任意に、前記電力コンバイナは、ウィルキンソン2方向電力コンバイナである。
例313で、例309乃至312のうちのいずれか1つ以上の例の対象は、任意に、電力コンバイナを含む。
例314で、例309乃至313のうちのいずれか1つ以上の例の対象において、任意に、前記電力コンバイナは、前記複数の増幅された信号を受信するよう構成される複数の伝送線路を含む。
例315で、例314の対象において、任意に、前記複数の伝送線路の夫々は、4分の1波長伝送線路を含む。
例316は、無線トランシーバと;該無線トランシーバに関連する複数の電力増幅器を含み、該複数の電力増幅器が、複数の出力信号を対応する複数の信号線を介して受信し、前記複数の出力信号を増幅して複数の増幅された信号を生成するよう構成される、半導体ダイと;該半導体ダイへ結合されるPCB基材であり、前記複数の電力増幅器へ結合され、前記複数の増幅された信号を結合して単一の結合信号を生成するよう構成されるRF電力コンバイナを含む前記PCB基材と;アンテナとを含み、前記無線トランシーバが、前記アンテナにより前記単一の結合信号を無線により送信するよう構成される、無線通信デバイスである。
例317で、例316の対象において、任意に、前記複数の電力増幅器のうちの1つ以上は、前記無線トランシーバの構成要素である。
例318で、例316乃至317のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナは、前記PCB基材に配置されたフェーズドアンテナアレイである。
例319で、例316乃至318のうちのいずれか1つ以上の例の対象は、任意に、前記複数の電力増幅器のうちの1つ以上のインピーダンスと前記無線トランシーバの終端点とを整合させるよう構成されるインピーダンス変換デバイスを含む。
例320で、例319の対象において、任意に、前記終端点は前記アンテナである。
例321で、例319乃至320のうちのいずれか1つ以上の例の対象において、任意に、前記終端点は、前記無線トランシーバの1つ以上の信号特性を測定するよう試験装置を結合する前記PCB基材上の端子である。
例322で、例319乃至320のうちのいずれか1つ以上の例の対象において、任意に、前記インピーダンス変換デバイスは、前記PCB基材上に配置される。
例323で、例322の対象において、任意に、前記インピーダンス変換デバイスは、複数のはんだボールのうちの少なくとも1つを介して前記複数の電力増幅器のうちの前記1つ以上へ結合され、前記複数のはんだボールは、半導体パッケージを形成するよう前記半導体ダイを前記PCB基材と結合する。
例324で、例319乃至323のうちのいずれか1つ以上の例の対象において、任意に、前記インピーダンス変換デバイスは、前記RF電力コンバイナの構成要素である。
例325で、例316乃至324のうちのいずれか1つ以上の例の対象は、任意に、5G通信プロトコルを含む。
例326では、第1電力増幅器及び第2電力増幅器を含み、前記第1電力増幅器及び前記第2電力増幅器が入力信号を受信し、第1の増幅された信号及び第2の増幅された信号を生成するよう構成される、半導体ダイと;該半導体ダイへ結合されるPCB基材であり、前記第1電力増幅器及び前記第2電力増幅器へ結合され、前記第1の増幅された信号及び前記第2の増幅された信号を結合して、送信のための単一の結合信号を生成するよう構成されるRFコンバイナネットワークを含む前記PCB基材とを含み、前記半導体ダイは、前記単一の結合信号を生成するよう、前記第1電力増幅器及び前記第2電力増幅器を夫々第1伝送線路及び第2伝送線路へ結合する複数のはんだボールを含む、装置である。
例327で、例326の対象において、任意に、前記第1電力増幅器、前記第2電力増幅器、及び前記RFコンバイナネットワークは、ドハティ増幅器を含む。
例328で、例326乃至327のうちのいずれか1つ以上の例の対象において、任意に、前記第1電力増幅器は、キャリア電力増幅器であり、前記第2電力増幅器は、ピーキング電力増幅器である。
例329で、例328の対象において、任意に、前記RFコンバイナネットワークは、前記キャリア電力増幅器へ結合された第1オフセット伝送線路と;前記ピーキング電力増幅器へ結合された第2オフセット伝送線路と;前記PCB基材上でアンテナへ結合された少なくとも1つの4分の1波長伝送線路とを含み、前記少なくとも1つの4分の1波長伝送線路は、前記アンテナによる送信のために前記単一の結合信号を受信するよう構成される。
例330は、所定数のアンテナの動作のためだけに主として電子信号のみを生成するよう動作可能な電子回路を含むよう構成される少なくとも1つのシリコンダイと;複数の平行な層を含む層状基板であり、該層状基板内に前記少なくとも1つのシリコンダイが埋め込まれる、前記層状基板と;前記電子信号によりもっぱら動作するよう構成され、前記層状基板の第1層の上又は中に、あるいは、前記層状基板の前記第1層及び第2層の両方の上又は中に構成される前記所定数のアンテナと;前記少なくとも1つのシリコンダイと前記所定の数のアンテナとの間に接続され、前記電子信号を前記所定数のアンテナへ供給するよう構成される導電信号供給構造体とを含む低損失ラジオサブシステムである。
例331で、例330の対象において、任意に、前記少なくとも1つの埋め込まれたシリコンダイは、複数の埋め込みシリコンダイを含み、前記所定数のアンテナは、複数の各々所定数のアンテナを含み、前記導電信号供給構造体は、前記複数の埋め込みシリコンダイの夫々の1つへ及び前記複数の各々所定数のアンテナの夫々1つへ接続された複数のシリコン給電トレースを含む。
例332で、例330乃至331のうちのいずれか1つ以上の例の対象において、任意に、前記層状基板は、前記少なくとも1つの埋め込まれたシリコンダイを夫々囲み、そして、該少なくとも1つの埋め込まれたシリコンダイのための無線周波数干渉(RFI)及び電磁干渉(EMI)シールドを設けるよう構成される複数の密集した接点を含む。
例333で、例332の対象において、任意に、前記少なくとも1つの埋め込まれたシリコンダイは、複数の埋め込みシリコンダイを含み、前記層状基板は、前記複数の埋め込みシリコンダイの夫々1つを夫々囲み、前記複数の埋め込みシリコンダイの夫々1つのための各々のRFI及びEMIシールドを設けるよう構成される複数の密集した接点を含む。
例334で、例331乃至333のうちのいずれか1つ以上の例の対象において、任意に、前記複数の埋め込みシリコンダイは、互いと結合され、中央演算処理装置によって実行される複数のソフトウェア命令によって制御されるよう構成される。
例335で、例331乃至334のうちのいずれか1つ以上の例の対象において、任意に、前記層状基板は、第2の複数の第2の各々所定数の第2アンテナを含む第2層状基板に積み重ねられ且つ物理的に接続され、前記第2層状基板は、前記第2の複数の第2の各々所定数の第2アンテナの1つずつの動作のために主として電子信号のみを生成するよう動作可能な電子回路を含むよう夫々構成される第2の複数の埋め込みシリコンダイと、前記第2の複数の第2の各々所定数の第2アンテナの夫々1つへ接続された複数の給電トレースとを含む。
例336で、例335の対象において、任意に、前記層状基板は、前記第2層状基板と平行であるか、又は前記第2層状基板と垂直である。
例337で、例335乃至336のうちのいずれか1つ以上の例の対象において、任意に、第1の前記複数の埋め込みシリコンダイは、第1周波数範囲で信号を生成し、第2の前記複数の埋め込みシリコンダイは、第2周波数範囲で信号を生成する。
例338で、複数の平行な層を含む層状基板と;該層状基板内に埋め込まれたシリコンダイと;第1パッチ及び第2パッチを含み、前記第1パッチが、前記層状基板の前記複数の層のうちの第1層の上又は中に、あるいは、前記第1層へ接続された表面実装型デバイス(SMD)の上又は中に配置され、前記第2パッチが、前記層状基板の前記複数の層のうちの第2層の上又は中に配置され、前記シリコンダイへ結合されるデュアルパッチアンテナと;前記複数の平行な層のうちの第3層に配置される接地面とを含み、前記第1パッチと前記第2パッチの間の距離、及び前記第2パッチと前記接地面との間の距離は、所望の帯域幅を提供するよう選択される、非モールド成型ラジオサブシステムである。
例339で、例338の対象において、任意に、前記層状基板は、第2の複数の平行な層を含む第2層状基板に積み重ねられ且つ物理的に接続され、前記第2層状基板は、1つ以上のビアによって前記埋め込まれたシリコンダイへ結合された複数のアンテナを含み、該複数のアンテナは、アンテナアレイとして構成される。
例340で、例339の対象において、任意に、前記層状基板は、前記第2層状基板と平行であるか、又は前記第2層状基板と垂直である。
例341で、例339乃至340のうちのいずれか1つ以上の例の対象において、任意に、アンテナが第1アンテナ素子及び第2アンテナ素子を含み、前記第1アンテナ素子は、前記層状基板の上又は中に配置され、前記第2アンテナ素子は、前記第2層状基板の上又は中に配置される。
例342で、例338乃至341のうちのいずれか1つ以上の例の対象において、任意に、フレックス・インターコネクトが、前記層状基板を、複数のアンテナを含む第3層状基板へ接続する。
例343で、例342の対象において、任意に、前記フレックス・インターコネクトは、はんだによって又はクリンピングによって前記層状基板へ接続される。
例344は、第1PCBを含む第1基板と;モールドを含む第2基板と;第2PCBを含む第3基板とを含み、前記第2基板は、スルー・モールド・ビアによって及び導電再配線層(conductive redistribution layers)(RDL)によって複数のアンテナアレイへ結合された1つ以上の埋め込みシリコンダイを含み、前記第2基板のコンポーネント部分は、別々に構成されて一緒にはんだ付けされ、前記モールドは、前記第2基板の前記コンポーネント部分が一緒にはんだ付けされた後にフロー処理によって適用される、ラジオサブシステムである。
例345で、例344の対象において、任意に、前記RDLはまた、前記第1基板又は前記第3基板内に、又は前記第1基板及び前記第3基板内にもある。
例346で、例344乃至345のうちのいずれか1つ以上の例の対象において、任意に、前記RDLは、前記第2基板内にのみある。
例347で、例344乃至356のうちのいずれか1つ以上の例の対象において、任意に、前記RDLは、はんだボール、ランド・グリッド・アレイ(Land Grid Array)(LGA)パッド、又はボール・グリッド・アレイ(ball grid array)(BGA)パッドを含む。
例348で、例344乃至347のうちのいずれか1つ以上の例の対象において、任意に、前記第2基板の前記コンポーネント部分は、スルー・モールド・ビア及びRDLを含む。
例349で、例344乃至348のうちのいずれか1つ以上の例の対象において、任意に、前記スルー・モールド・ビアは、シングル・ポストを含む。
例350で、例344乃至349のうちのいずれか1つ以上の例の対象において、任意に、前記1つ以上の埋め込みシリコンダイは、前記第1基板、前記第2基板及び前記第3基板が互いに積み重ねられ且つ物理的に接続される前に、前記第2基板において試験される。
例351で、例344乃至350のうちのいずれか1つ以上の例の対象において、任意に、前記第1基板又は前記第3基板は、はんだボールによってユーザデバイスのマザーボード上にはんだ付けされ、前記ユーザデバイスは、電話機、タブレット又は他のモバイルデバイスを含む。
例352は、第1基板と;少なくとも1つの埋め込みシリコンダイを含む第2基板と;前記第1基板へ電気的に接続されるSMDと;前記第1基板内に部分的に及び前記SMD内に部分的に配置されたセクションを備え、前記少なくとも1つの埋め込みシリコンダイへ結合された導電層によって給電されるアンテナ素子とを含むラジオサブシステムである。
例353で、例352の対象において、任意に、前記アンテナ素子は更に、前記第2基板及び前記第3基板内に部分的に配置され、前記アンテナ素子は、前記少なくとも1つの埋め込みシリコンダイへ結合された第2導電層によって給電される。
例354で、例352乃至353のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナ素子は、スルー・モールド・ビアを含む。
例355で、例352乃至354のうちのいずれか1つ以上の例の対象において、任意に、前記SMDは、2つの平行な面を備え、前記アンテナ素子のセクションは、前記平行な面のうちの一方に配置される。
例356で、例352乃至355のうちのいずれか1つ以上の例の対象において、任意に、前記SMD内に配置された前記アンテナ素子のセクションは、前記第1基板、前記第2基板、及び前記第3基板内に配置された前記アンテナ素子のセクションよりも短い。
例357で、例352乃至356のうちのいずれか1つ以上の例の対象において、任意に、前記SMDの前記平行な面のうちの一方に配置される前記アンテナ素子のセクションは、前記SMD内に配置される前記アンテナ素子のセクション又は前記第1基板内に配置される前記アンテナ素子のセクションのいずれか一方よりも短い。
例358で、例352乃至357のうちのいずれか1つ以上の例の対象において、任意に、少なくとも1つの前記SMDは、前記第1基板に積み重ねられ且つ物理的に接続されるアンテナボードの上又は中に配置され、該アンテナボードは、前記アンテナ素子のセクションを含む。
例359は、少なくとも1つの埋め込みダイを含む第1基板と;該第1基板に配置される第1の複数のアンテナと;前記少なくとも1つの埋め込みダイを前記第1の複数のアンテナと結合する複数の導電再配線層(RDL)と;第2の複数のアンテナを含むアンテナボードとを含み、前記アンテナボードは、前記第1基板に積み重ねられ且つ物理的に接続され、前記RDLは、はんだ接点によって前記少なくとも1つの埋め込みダイを前記第2の複数のアンテナと結合する、パッケージ・オン・パッケージ・ラジオサブシステムである。
例360で、例359の対象において、任意に、前記RDLは、導電性水平層を含む。
例361で、例359乃至360のうちのいずれか1つ以上の例の対象において、任意に、前記RDLの少なくとも一部は、前記少なくとも1つの埋め込みダイ上に印刷される。
例362で、例359乃至361のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの埋め込みダイは、モールドによってカプセル化される。
例363は、平行な導体の第1層と;該第1層に配置される第1の複数のアンテナと;モールドカプセル化(mold encapsulate)、複数のスルー・モールド・ビア、少なくとも1つの埋め込みダイ、及び複数の導電再配線層を含む第2層とを含み、前記少なくとも1つの埋め込みダイは、前記複数の導電再配線層のうちの1つ以上及び前記平行な導体のうちの1つ以上によって前記第1の複数のアンテナのうちの少なくとも1つのアンテナへ接続される、モールド成型パッケージ・オン・パッケージ・ラジオサブシステムである。
例364で、例363の対象は、任意に、パッケージ・オン・パッケージ構成へ無線周波数信号を送信するコネクタを含み、該コネクタは、前記第1層にある凹所に入れられる。
例365で、例363乃至364のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの埋め込みダイは、前記モールドカプセル化内に配置された金属シールドによって遮蔽され、該金属シールドは、前記モールドカプセル化を前記第2層内に導入する前に、前記第2層内にはんだ付けされる。
例366は、平行な導電層を含むコアレス基板と;該コアレス基板に配置される少なくとも1つの半導体ダイと;前記コアレス基板から横方向に位置する複数のアンテナとを含み、前記複数のアンテナのボリュームは、前記コアレス基板のボリュームよりも大きい、極薄ラジオサブシステムである。
例367で、例366の対象において、任意に、前記複数のアンテナは、前記平行な導電層のうちの1つの導電層によって給電されるアンテナアレイを含む。
例368で、対象は、例330乃至367の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例330乃至367の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例330乃至367のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例369は、多層PCB基材の第1基板層に配置される複数のリング共振器と;前記PCB基材の第2基板層に配置される少なくとももう1つのリング共振器と;前記PCB基材の第3基板層に配置されるアンテナ給電とを含み、前記アンテナ給電は、前記少なくとももう1つのリング共振器へガルバニック結合され、前記複数のリング共振器は、互いへ及び前記少なくとももう1つのリング共振器へ容量結合される、多層積層型リング共振器(multiplayer stacked ring resonator)(SRR)アンテナデバイスである。
例370で、例369の対象は、任意に、前記PCB基材の第4基板層に配置されるアンテナ接地面を含み、前記第4基板層は、前記第3基板層に隣接し、前記アンテナ接地面は、前記複数のリング共振器及び前記少なくとももう1つのリング共振器へ容量結合される。
例371で、例370の対象において、任意に、前記第1基板層及び前記第2基板層は、第1絶縁基板層によって分離され、前記第3基板層及び前記第4基板層は、第2絶縁基板層によって前記第2基板層から分離される。
例372で、例369乃至371のうちのいずれか1つ以上の例の対象は、任意に、前記第1基板層及び前記第2基板層に配置される複数のダイポールを含む。
例373で、例372の対象において、任意に、前記複数のダイポールは、前記SRRアンテナデバイスの電界に直交して配置される非共振ダイポールを含む。
例374で、例372乃至373のうちのいずれか1つ以上の例の対象において、任意に、前記複数のダイポールは、前記多層PCB基材の基板反りを減らすよう前記SRRアンテナデバイスの金属密度を増大させる。
例375で、例369乃至374のうちのいずれか1つ以上の例の対象は、任意に、前記PCB基材の1つ以上の更なる基板層内に配置されるインピーダンスインピーダンス変換器を含み、該インピーダンス変換器は、前記1つ以上の更なる基板層を通じて前記アンテナ給電及び集積回路へ結合される。
例376で、例375の対象において、任意に、前記インピーダンス変換器は、複数のビアを含む同軸インピーダンス変換器であり、前記複数のビアのうちの少なくとも1つは、前記1つ以上の更なる基板層を通って前記集積回路を前記アンテナ給電へ結合する。
例377で、例375乃至376のうちのいずれか1つ以上の例の対象において、任意に、前記インピーダンス変換器は、前記集積回路と前記アンテナ給電との間の信号パスのインピーダンスを1つ以上の共振周波数に整合させるよう構成される。
例378で、例375乃至377のうちのいずれか1つ以上の例の対象において、任意に、前記集積回路は、前記複数のリング共振器の反対側で少なくとも1つの更なる基板層の外面に配置される。
例379で、例369乃至378のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナ給電は、前記第2基板層で前記少なくとももう1つのリング共振器の1つ以上のアンテナへ結合される複数の給電線を含む。
例380で、例379の対象において、任意に、前記少なくとももう1つのリング共振器は、垂直偏波信号及び水平偏波信号の一方又は両方を複数の給電線を介して受信するよう構成される。
例381で、例379乃至380のうちのいずれか1つ以上の例の対象は、任意に、Ωストリップラインを含む。
例382で、例379乃至381のうちのいずれか1つ以上の例の対象において、任意に、前記複数の給電線の夫々は、遮蔽を形成する複数のビアを更に含む。
例383で、例379乃至382のうちのいずれか1つ以上の例の対象は、任意に、複数の設置ビアによって遮蔽されるΩストリップラインを含む。
例384は、高周波信号を通信及び/又は処理する無線通信デバイスであって:多層PCB基材上の積層型リング共振器(SRR)アンテナと;該SRRアンテナを介して無線信号を送信又は受信するよう構成される無線トランシーバ集積回路とを含み、前記SRRアンテナは、前記PCB基材の第1基板層に配置される複数のリング共振器と;前記PCB基材の第2基板層に配置される少なくとももう1つのリング共振器と;前記PCB基材の第3基板層に配置されるアンテナ接地面と;前記第3基板層に隣接する前記PCB基材の第4基板層に配置されるアンテナ給電とを含み、前記アンテナ接地面は、前記複数のリング共振器及び前記少なくとももう1つのリング共振器へ容量結合され、前記アンテナ給電は、1つ以上のアンテナ給電ポートで前記少なくとももう1つのリング共振器へガルバニック結合された25Ωストリップラインである、無線通信デバイスである。
例385で、例384の対象において、任意に、前記複数のリング共振器は、互いへ及び前記少なくとももう1つのリング共振器へ容量結合される2つのリング共振器を含む。
例386で、例384乃至385のうちのいずれか1つ以上の例の対象において、任意に、前記複数のリング共振器は、互いへ及び前記少なくとももう1つのリング共振器へ容量結合される4つのリング共振器を含む。
例387で、例384乃至386のうちのいずれか1つ以上の例の対象において、任意に、前記SRRアンテナは、前記PCB基材の1つ以上の更なる基板層内に配置されるインピーダンス変換器を更に含み、該インピーダンス変換器は、前記1つ以上の更なる基板層を通じて前記アンテナ給電及び集積回路へ結合される。
例388で、例387の対象において、任意に、前記インピーダンス変換器は、複数のビアを含む同軸インピーダンス変換器であり、前記複数のビアのうちの少なくとも1つのビアは、前記1つ以上の更なる基板層を通って前記集積回路を前記アンテナ給電へ結合する。
例389で、例387乃至388のうちのいずれか1つ以上の例の対象において、任意に、前記インピーダンス変換器は、前記集積回路と前記アンテナ給電との間の信号パスのインピーダンスを1つ以上の共振周波数に整合させるよう構成される。
例390で、例387乃至389のうちのいずれか1つ以上の例の対象において、任意に、前記集積回路は、前記複数のリング共振器の反対側で少なくとも1つの更なる基板層の外面に配置される。
例391は、導波路と;PCB基材と;該PCB基材を前記導波路へ結合する導波路アダプタとを含み、前記PCB基材は、前記導波路を用いて無線信号を送信又は受信するよう構成される伝送線路と;該伝送線路へ結合され、前記無線信号の送信又は受信を操作するよう構成される給電プローブとを含み、前記導波路は、前記無線信号を送信又は受信するようアンテナとして動作する開放端を含み、前記給電プローブは、前記PCB基材及び前記伝送線路を通ってめっきされた少なくとも1つのビアを含む、デバイスである。
例392で、例391の対象において、任意に、前記伝送線路は、前記PCB基材上のRFサブシステムと前記導波路との間で前記無線通信をやり取りするよう構成される。
例393で、例392の対象において、任意に、前記伝送線路は、前記PCB基材の1つ以上の層に配置される。
例394で、例392乃至393のうちのいずれか1つ以上の例の対象において、任意に、前記伝送線路は、接地裏打ち(ground-backed)コプレーナー導波路(CPW)伝送線路である。
例395で、例391乃至394のうちのいずれか1つ以上の例の対象において、任意に、前記導波路アダプタは、該導波路アダプタが前記PCB基材及び前記導波路に実装される場合に、前記導波路と前記PCB基材との間に形成されるインピーダンス整合空気腔(air cavity)を含む。
例396で、例391乃至395のうちのいずれか1つ以上の例の対象において、任意に、前記PCB基材は、最上接地層及び最下接地層を含み、前記最上接地層及び前記最下接地層は、前記伝送線路の接地層へ結合される。
例397で、例396の対象は、任意に、接地ビアフェンスを形成する複数の接地ビアを含み、前記接地ビアフェンスは、前記最上接地層及び前記最下接地層を結合する。
例398で、例397の対象において、任意に、前記導波路は、金属コーティングを有する絶縁体を含み、前記金属コーティングは、前記導波路アダプタが前記導波路及び前記PCB基材に実装される場合に、前記導波路アダプタ及び前記接地ビアフェンスと電気的に接続される。
例399で、例391乃至398のうちのいずれか1つ以上の例の対象において、任意に、前記PCB基材は、前記導波路アダプタが前記PCB基材及び前記導波路に実装される場合に、前記導波路を受容する切り欠きを含む。
例400で、例392乃至399のうちのいずれか1つ以上の例の対象において、任意に、前記導波路アダプタは、該導波路アダプタが前記PCB基材及び前記導波路に実装される場合に、前記伝送線路を受容する開口を更に含む。
例401で、例391乃至400のうちのいずれか1つ以上の例の対象において、任意に、前記導波路は、前記PCB基材に関連する誘電定数とは異なる誘電定数を有する金属被膜誘電材料を含む。
例402で、例391乃至401のうちのいずれか1つ以上の例の対象において、任意に、前記PCB基材は、前記導波路アダプタを前記PCB基材に取り付ける実装手段を受容する少なくとも1つの開口を更に含む。
例403で、例392乃至402のうちのいずれか1つ以上の例の対象において、任意に、前記インピーダンス整合空気腔の幅は、前記伝送線路と前記導波路との間の信号反射の程度を設定するよう調整可能である。
例404は、導波路と;PCB基材上にあり、RFサブシステムと前記導波路との間で無線信号をやり取りするよう構成される伝送線路と;前記PCB基材を前記導波路へ結合するアダプタと;前記伝送線路へ結合され、前記導波路を介した前記無線信号の送信又は受信を操作するよう構成される給電プローブとを含み、前記伝送線路は、前記PCB基材及び該PCB基材の接地面層を通ってめっきされた複数の接地ビアフェンスを介し前記接地面層へ結合される、アンテナシステムである。
例405で、例404の対象において、任意に、前記アダプタは、該アダプタが前記PCB基材及び前記導波路に実装される場合に、前記導波路と前記PCB基材との間に形成されるインピーダンス整合空気腔を含む。
例406で、例404乃至405のうちのいずれか1つ以上の例の対象において、任意に、前記伝送線路は、接地裏打ち(ground-backed)コプレーナー導波路(CPW)伝送線路である。
例407で、例404乃至406のうちのいずれか1つ以上の例の対象において、任意に、前記給電プローブは、前記PCB基材及び前記伝送線路を通ってめっきされた1つ以上のPCBビアを含む。
例408で、例404乃至407のうちのいずれか1つ以上の例の対象において、任意に、前記PCB基材は、最上接地層及び最下接地層を含み、前記最上接地層及び前記最下接地層は、前記接地ビアフェンスを用いて前記伝送線路へ結合される。
例409で、例408の対象において、任意に、前記導波路は、金属コーティングを有する絶縁体を含み、前記金属コーティングは、前記アダプタが前記導波路及び前記PCB基材に実装される場合に、前記アダプタ及び前記接地ビアフェンスと電気的に接続される。
例410で、例404乃至409のうちのいずれか1つ以上の例の対象において、任意に、前記PCB基材は、前記アダプタが前記PCB基材及び前記導波路に実装される場合に、前記導波路を受容する切り欠きを含む。
例411で、例404乃至410のうちのいずれか1つ以上の例の対象において、任意に、前記アダプタは、該アダプタが前記PCB基材及び前記導波路に実装される場合に、前記伝送線路を受容する開口を更に含む。
例412は、第1ダイポールアンテナ及び第2ダイポールアンテナを含み、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは夫々が、各々の平面アームを備え、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは夫々が、前記各々の平面アームに実質的に垂直なアームを備え、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの夫々は、前記各々の平面アームに対して45度傾けられた直線偏波を生成するよう構成される、偏波共用アンテナ(dual polarized antenna)である。
例413で、例412の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの夫々の前記アームは、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナによって共有される共通アームである。
例414で、例412乃至413のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、多層基板において実装され、穴が前記平面アーム内にあり、該穴は、基板波を減らすよう、前記多層基板内に少なくとも途中まで延在する。
例415で、例412乃至414のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、多層基板において実装され、穴が、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの前記平面アームに隣接するが通らずに前記多層基板内にあり、前記穴は、基板波を減らすよう、前記多層基板内に少なくとも途中まで延在する。
例416で、例412乃至415のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、並んで置かれた折り返しダイポールである。
例417で、例416の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、直交偏波アンテナ対を含む。
例418で、例417の対象において、任意に、前記偏波は、前記多層基板に垂直である。
例419は、複数の直交偏波アンテナ素子を含み、該複数の直交偏波アンテナ素子の夫々が、第1ダイポールアンテナ及び第2ダイポールアンテナを含み、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは夫々が、各々の平面アームを備え、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは夫々が、前記各々の平面アームに実質的に垂直なアームを備え、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの夫々は、前記各々の平面アームに対して45度傾けられた直線偏波を生成するよう構成される、偏波共用アンテナ(dual polarized antenna)である。
例420で、例419の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの夫々の前記アームは、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナによって共有される共通アームである。
例421で、例419乃至420のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、多層基板において実装され、穴が前記平面アーム内にあり、該穴は、基板波を減らすよう、前記多層基板内に少なくとも途中まで延在する。
例422で、例419乃至421のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、多層基板において実装され、穴が、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナの前記平面アームに隣接するが通らずに前記多層基板内にあり、前記穴は、基板波を減らすよう、前記多層基板内に少なくとも途中まで延在する。
例423で、例419乃至422のうちのいずれか1つ以上の例の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、並んで置かれた折り返しダイポールである。
例424で、例423の対象において、任意に、前記第1ダイポールアンテナ及び前記第2ダイポールアンテナは、直交偏波アンテナ対を含む。
例425で、例423乃至424のうちのいずれか1つ以上の例の対象において、任意に、前記偏波は垂直である。
例426で、対象は、例412乃至425の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例412乃至425の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例412乃至425のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例427は、第1基板内に埋め込まれるダイと;前記第1基板に配置され、前記ダイへ結合される少なくとも1つの第1アンテナと;前記第1基板へ接続され、少なくとも1つの第2アンテナを有する表面実装型デバイスと;凹部を含み、前記表面実装型デバイスが前記凹部によって覆われるように前記第1基板へ接続される第2基板とを含むラジオサブシステムである。
例428で、例427の対象において、任意に、前記少なくとも1つの第1アンテナは、第1アンテナアレイとして構成される複数のアンテナを含む。
例429で、例427乃至428のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第2アンテナは、第2アンテナアレイとして構成される複数の第2アンテナを含む。
例430で、例427乃至429のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの第1アンテナは、第1アンテナアレイとして構成される複数のアンテナを含み、前記少なくとも1つの第2アンテナは、第2アンテナアレイとして構成される複数の第2アンテナを含み、前記第1アンテナアレイは、第1周波数帯域で動作するよう構成され、前記第2アンテナアレイは、第2周波数帯域で動作するよう構成される。
例431で、例430の対象において、任意に、前記第1周波数帯域は、ミリメートル波周波数にあり、前記第2周波数帯域は、WiGig周波数にある。
例432で、例427乃至431のうちのいずれか1つ以上の例の対象において、任意に、前記表面実装型デバイスは、機械接続又は電気接続であるはんだ接続によって前記第1基板へ接続される。
例433で、例427乃至432のうちのいずれか1つ以上の例の対象において、任意に、前記第1基板は、機械接続又は電気接続であるはんだ接続によって前記第2基板へ接続される。
例434で、例427乃至433のうちのいずれか1つ以上の例の対象において、任意に、前記第1基板又は前記第2基板は、フレキシブル・インターコネクトによって第3基板へ接続され、前記第3基板は、アンテナアレイとして構成された複数の第3アンテナへ結合される第2ダイを含む。
例435で、例434の対象において、任意に、前記複数の第3アンテナは、ミリメートル波周波数で動作するよう構成された第3アンテナアレイを含む。
例436で、例434乃至435のうちのいずれか1つ以上の例の対象において、任意に、前記第3基板は、前記第3アンテナアレイによって送信又は受信される無線信号を受信するよう構成されるコネクタへ接続される。
例437は、トランシーバとして構成されるダイであり、第1基板の第1層へはんだ付けされた第1アンテナアレイを含みながら前記第1基板内に埋め込まれる前記ダイと;前記第1層と平行である前記第1基板の第2層へはんだ付けされた第2アンテナアレイと;凹部を含む第2基板とを含み、前記第2基板は、前記第2アンテナアレイが前記凹部によって覆われるように前記第1基板へ接続される、モバイル装置である。
例438で、例437の対象において、任意に、前記第1アンテナアレイは、第1周波数帯域において動作するよう構成され、前記第2アンテナアレイは、第2周波数帯域において動作するよう構成される。
例439で、例438の対象において、任意に、前記第1周波数帯域は、ミリメートル波周波数にあり、前記第2周波数帯域は、WiGig周波数にある。
例440で、例437乃至439のうちのいずれか1つ以上の例の対象において、任意に、前記第1基板は、機械接続又は電気接続であるはんだ接続によって前記第2基板へ接続される。
例441で、例437乃至440のうちのいずれか1つ以上の例の対象において、任意に、前記第1基板又は前記第2基板は、フレキシブル・インターコネクトによって第3基板へ接続され、該第3基板は、トランシーバとして構成され且つ第3アンテナアレイへ結合される第2ダイを含む。
例442で、例441の対象において、任意に、前記第3基板は、前記第3アンテナアレイによって送信又は受信される無線信号を受信するよう構成されるコネクタへ接続される。
例443で、例437乃至442のうちのいずれか1つ以上の例の対象において、任意に、前記第2基板は、第4アンテナアレイへ結合されたトランシーバとして構成される第3ダイを含む第4基板へ接続され、前記第2基板及び前記第4基板は、前記第2基板及び前記第4基板が夫々に平行であるような構成において接続される。
例444で、対象は、例427乃至443の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例427乃至443の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例427乃至443のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例445は、複数の平行な層を含むPCBと;導波路とを含み、該導波路は、前記PCBの第1導電層に取り付けられた誘電体を含むめっき面部品と、該めっき面部品内にあり、前記PCBの前記第1導電層に垂直なモノポールアンテナと、前記めっき面部品に取り付けられるか又はその部分を形成するめっきなし(un-plated)誘電部品であり、前記めっき面部品及び前記めっきなし誘電部品が所定形状を有し、前記めっきなし誘電部品が前記導波路から空中へのインピーダンス整合を提供する、前記めっきなし誘電部品とを含むアンテナ素子である。
例446で、例445の対象において、任意に、前記モノポールアンテナは、前記PCBの第2層に取り付けられたビアを含む。
例447で、例445乃至446のうちのいずれか1つ以上の例の対象において、任意に、前記モノポールアンテナは、無線周波数集積回路(RFIC)から導電トレースによって給電される。
例448で、例445乃至447のうちのいずれか1つ以上の例の対象において、任意に、前記PCBは、エッジ面を備え、前記めっきなし誘電部品は、前記エッジ面を超えて延在する。
例449で、例445乃至448のうちのいずれか1つ以上の例の対象において、任意に、前記モノポールアンテナは、エッジファイア様式で放射するよう構成される。
例450で、例445乃至449のうちのいずれか1つ以上の例の対象において、任意に、前記めっきなし誘電部品は、前記導波路から空中への前記インピーダンス整合を提供するようチューニング素子として機能する。
例451で、例445乃至450のうちのいずれか1つ以上の例の対象において、任意に、前記めっき面部品は、前記PCBの前記第1導電層にはんだ付けされる。
例452で、例445乃至452のうちのいずれか1つ以上の例の対象において、任意に、前記PCBは、前記所定形状に合うようカットされ、前記PCBは、前記所定形状に合うよう前記第1導電層を通って少なくとも部分的にカットされ、前記めっき面部品及び前記めっきなし誘電部品は、前記所定形状への適合を可能にするようカットによって形成される前記第1導電層のエッジに取り付けられ、前記モノポールアンテナは、前記めっき面部品内に部分的に延在する第1モノポールアンテナ、及び前記めっき面部品内に少なくとも部分的にあり、前記第1モノポールアンテナに垂直である第2モノポールアンテナであり、前記第1モノポールアンテナは第1偏波において放射し、前記第2モノポールアンテナは、前記第1偏波に垂直な第2偏波において放射する。
例453で、例445乃至452のうちのいずれか1つ以上の例の対象において、任意に、前記めっき面部品は、2つの平行な要素を備えたサンドウィッチ構造体を含み、前記PCBは、前記2つの平行な要素の間に配置され、前記モノポールアンテナは、前記導波路の端部から配置された伝送線路によって給電される。
例454は、複数の層を含むPCBと;該PCBの第1導電層へ取り付けられためっき面部品と、該めっき面部品内にあり、前記PCBの前記第1層に平行な層に垂直であるモノポールアンテナと、前記めっき面部品へ取り付けられるか又はその部分を形成するめっきなし誘電部品とを含む導波路を夫々が含む複数のアンテナ素子とを含み、前記めっき面部品及び前記めっきなし誘電部品は所定形状を有し、前記めっきなし誘電部品は前記導波路から空中へのインピーダンス整合を提供する、アンテナアレイである。
例455で、例454の対象において、任意に、前記PCBは、前記所定形状に合うようカットされ、前記PCBは、前記所定形状に合うよう前記第1導電層を通って少なくとも部分的にカットされ、前記めっき面部品及び前記めっきなし誘電部品は、前記所定形状への適合を可能にするようカットによって形成される前記第1導電層のエッジに取り付けられ、前記モノポールアンテナは、前記めっき面部品内に部分的に延在する第1モノポールアンテナ、及び前記めっき面部品内に少なくとも部分的にあり、前記第1モノポールアンテナに垂直である第2モノポールアンテナであり、前記第1モノポールアンテナは第1偏波において放射し、前記第2モノポールアンテナは、前記第1偏波に垂直な第2偏波において放射する。
例456で、例454乃至455のうちのいずれか1つ以上の例の対象において、任意に、前記めっき面部品は、2つの平行な要素を備えたサンドウィッチ構造体を含み、前記PCBは、前記2つの平行な要素の間に配置され、前記モノポールアンテナは、前記導波路の端部から配置された伝送線路によって給電される。
例457は、複数の平行な層を含むPCBの上又はその中に配置されるトランシーバと;該トランシーバへ結合されるアンテナアレイとを含み、前記アンテナアレイは、複数のアンテナ素子を含み、夫々のアンテナ素子は、前記PCBの第1導電層に取り付けられためっき面部品と、該めっき面部品内にあり、前記PCBの前記第1層に平行な層に垂直であるモノポールアンテナと、前記めっき面部品に取り付けられるか又はその部分を形成するめっきなし誘電部品とを含む導波路を含み、前記めっき面部品及び前記めっきなし誘電部品は所定形状を備え、前記めっきなし誘電部品は前記導波路から空中への前記インピーダンス整合を提供する、ラジオサブシステムである。
例458で、例457の対象において、任意に、前記PCBは、前記所定形状に合うようカットされ、前記PCBは、前記所定形状に合うよう前記第1導電層を通って少なくとも部分的にカットされ、前記めっき面部品及び前記めっきなし誘電部品は、前記所定形状への適合を可能にするようカットによって形成される前記第1導電層のエッジに取り付けられ、前記モノポールアンテナは、前記めっき面部品内に部分的に延在する第1モノポールアンテナ、及び前記めっき面部品内に少なくとも部分的にあり、前記第1モノポールアンテナに垂直である第2モノポールアンテナであり、前記第1モノポールアンテナは第1偏波において放射し、前記第2モノポールアンテナは、前記第1偏波に垂直な第2偏波において放射する。
例459で、例457乃至458のうちのいずれか1つ以上の例の対象において、任意に、前記めっき面部品は、2つの平行な要素を備えたサンドウィッチ構造体を含み、前記PCBは、前記2つの平行な要素の間に配置され、前記モノポールアンテナは、前記導波路の端部から配置された伝送線路によって給電される。
例460で、対象は、例445乃至459の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例445乃至459の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例445乃至459のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例461は、アンテナ素子と;該アンテナ素子の励振のために夫々構成される4つのアンテナポートとを含み、前記4つのアンテナポートのうちの第1アンテナポート及び第2アンテナポートは、互いに対面し、第1極性の信号によって及び該第1極性の逆相信号によって夫々駆動されるよう構成され、前記4つのアンテナポートのうちの第3アンテナポート及び第4アンテナポートは、互いに対面し、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートに直交して位置付けられ、第2極性の信号によって及び該第2極性の逆相信号によって夫々駆動されるよう構成される、偏波共用差動アンテナである。
例462で、例461の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートと、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートとは、前記アンテナ素子の部分を夫々形成する。
例463で、例461乃至462のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートと、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートとは、前記アンテナ素子へ夫々結合される。
例464で、例461乃至463のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートの少なくとも一方は、前記アンテナ素子の部分を形成する。
例465で、例461乃至464のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートの少なくとも一方は、前記アンテナ素子へ結合される。
例466は、複数のアンテナ素子と;互いに対面し、第1極性の信号によって及び該第1極性の逆相信号によって夫々駆動されるよう構成される第1ポート及び第2ポートと;互いに対面し、前記第1ポート及び前記第2ポートに直交して位置付けられ、第2極性の信号によって及び該第2極性の逆相信号によって夫々駆動されるよう構成される第3ポート及び第4ポートとを含む偏波共用差動アンテナアレイである。
例467で、例466の対象において、任意に、前記第1ポート及び前記第2ポートと、前記第3ポート及び前記第4ポートとは、前記アンテナ素子の部分を夫々形成する。
例468で、例466乃至467のうちのいずれか1つ以上の例の対象において、任意に、前記第1ポート及び前記第2ポートと、前記第3ポート及び前記第4ポートとは、前記アンテナ素子へ夫々結合される。
例469で、例466乃至468のうちのいずれか1つ以上の例の対象において、任意に、前記第1ポート及び前記第2ポートの少なくとも一方は、前記アンテナ素子の部分を形成する。
例470で、例466乃至469のうちのいずれか1つ以上の例の対象において、任意に、前記第3ポート及び前記第4ポートの少なくとも一方は、前記アンテナ素子へ結合される。
例471は、積層構造体と、該積層構造対の上又は中にあるアンテナ素子と;該アンテナ素子の励振のために前記積層構造体の上又は中に夫々構成される4つのアンテナポートとを含み、前記4つのアンテナポートのうちの第1アンテナポート及び第2アンテナポートは、互いに対面し、第1極性の信号によって及び該第1極性の逆相信号によって夫々駆動されるよう構成され、前記4つのアンテナポートのうちの第3アンテナポート及び第4アンテナポートは、互いに対面し、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートに直交して位置付けられ、第2極性の信号によって及び該第2極性の逆相信号によって夫々駆動されるよう構成される、アンテナカードである。
例472で、例471の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートと、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートとは、前記アンテナ素子の部分を夫々形成する。
例473で、例471乃至472のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートと、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートとは、前記アンテナ素子へ夫々結合される。
例474で、例471乃至473のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第1アンテナポート及び前記第2アンテナポートの少なくとも一方は、前記アンテナ素子の部分を形成する。
例475で、例471乃至474のうちのいずれか1つ以上の例の対象において、任意に、前記4つのアンテナポートのうちの前記第3アンテナポート及び前記第4アンテナポートの少なくとも一方は、前記アンテナ素子へ結合される。
例476は、積層構造体と;偏波共用差動アンテナアレイにおいて配置される複数のアンテナ素子であり、該複数のアンテナ素子の夫々が、前記積層構造体の上又は中に構成され:互いに対面し、第1極性の信号によって及び該第1極性の逆相信号によって夫々駆動されるよう構成される第1ポート及び第2ポートと;互いに対面し、前記第1ポート及び前記第2ポートに直交して位置付けられ、第2極性の信号によって及び該第2極性の逆相信号によって夫々駆動されるよう構成される第3ポート及び第4ポートとを含む、前記複数のアンテナ素子とを含むアンテナカードである。
例477で、例476の対象において、任意に、前記第1ポート及び前記第2ポートと、前記第3ポート及び前記第4ポートとは、前記アンテナ素子の部分を夫々形成する。
例478で、例476乃至477のうちのいずれか1つ以上の例の対象において、任意に、前記第1ポート及び前記第2ポートと、前記第3ポート及び前記第4ポートとは、前記アンテナ素子へ夫々結合される。
例479で、例476乃至478のうちのいずれか1つ以上の例の対象において、任意に、前記第1ポート及び前記第2ポートの少なくとも一方は、前記アンテナ素子の部分を形成する。
例480で、例476乃至479のうちのいずれか1つ以上の例の対象において、任意に、前記第3ポート及び前記第4ポートの少なくとも一方は、前記アンテナ素子へ結合される。
例481は、第1偏波の第1信号及び第2偏波の第2信号によって駆動されるよう構成されるアンテナ素子と;該アンテナ素子に関連する第1ポート及び前記アンテナ素子に関連する第2ポートであり、互いに直交に位置付けられる前記第1ポート及び前記第2ポートと;前記第1偏波の前記第1信号を受信するよう構成され、前記第1ポートへ結合される第1給電線と;前記第2偏波の前記第2信号を受信するよう構成され、前記第2ポートへ結合される第2給電線と;前記アンテナ素子に関連し、前記第1ポートに向かい合って位置付けられる第3ポートと;前記アンテナ素子に関連し、前記第2ポートに向かい合って位置付けられる第4ポートと;前記第1信号と逆相の信号を受信するよう構成され、前記第3ポートへ結合される第3給電線と;前記第2信号と逆相の信号を受信するよう構成され、前記第4ポートへ結合される第4給電線とを含む偏波共用差動アンテナである。
例482で、例481の対象において、任意に、前記第1ポート、前記第2ポート、前記第3ポート、又は前記第4ポートの少なくとも1つは、前記アンテナ素子の部分を形成する。
例483は、フェーズドアレイにおいて構成される複数のアンテナ素子であり、該複数のアンテナ素子の夫々が、第1偏波の第1信号、該第1信号と逆相の信号、第2偏波の第2信号、及び該第2信号と逆相の信号によって駆動されるよう構成される、前記複数のアンテナ素子と;該複数のアンテナ素子の中の第1アンテナ素子に関連する第1ポート及び前記第1アンテナ素子に関連する第2ポートであり、互いに直交に位置付けられる前記第1ポート及び前記第2ポートと;前記第1偏波の前記第1信号を受信するよう構成され、前記第1ポートへ結合される第1給電線と;前記第2偏波の前記第2信号を受信するよう構成され、前記第2ポートへ結合される第2給電線と;前記第1アンテナ素子に関連し、前記第1ポートに向かい合って位置付けられる第3ポートと;前記第1アンテナ素子に関連し、前記第2ポートに向かい合って位置付けられる第4ポートと;前記第1信号と逆相の信号を受信するよう構成され、前記第3ポートへ結合される第3給電線と;前記第2信号と逆相の信号を受信するよう構成され、前記第4ポートへ結合される第4給電線とを含む偏波共用差動アンテナアレイである。
例484で、例483の対象において、任意に、前記第1ポート、前記第2ポート、前記第3ポート、又は前記第4ポートの少なくとも1つは、前記第1アンテナ素子へ結合される。
例485で、対象は、例461乃至484の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例461乃至484の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例461乃至484のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例486は、ミリメートル波(mmWave)通信デバイスの装置であって:複数のフェーズドアンテナアレイと:複数の受信器を有し、前記複数のフェーズドアンテナアレイの中の第1フェーズドアンテナアレイを介してミリメートル波のビームフォーミングされた信号を受信するよう構成される受信器アーキテクチャと;複数の送信器を有し、前記第1フェーズドアンテナアレイを介してミリメートル波のビームフォーミングされた信号を送信するよう構成される送信器アーキテクチャとを含み、前記複数の受信器の中の第1受信器は、前記複数の受信器の中の少なくとも第2受信器が第2基地局からのミリメートル波のビームフォーミングされた信号を走査しているときに、第1基地局からのミリメートル波のビームフォーミングされた信号を受信している、装置である。
例487で、例486の対象において、任意に、前記複数のフェーズドアンテナアレイの夫々は、電磁気信号を送信及び受信するための複数の重なり合った3次元領域の中の対応する1つに関連する。
例488で、例487の対象は、任意は、垂直面における角度を含む。
例489で、例486乃至488のうちのいずれか1つ以上の例の対象において、任意に、前記複数のフェーズドアンテナアレイの夫々は、垂直偏波(V)信号及び水平偏波(H)信号の一方又は両方を送信するよう構成される偏波共用アンテナアレイである。
例490で、例486乃至489のうちのいずれか1つ以上の例の対象において、任意に、前記送信器アーキテクチャは、前記ミリメートル波のビームフォーミングされた信号を垂直偏波(V)信号及び水平偏波(H)信号として前記第1基地局へ前記第1フェーズドアンテナアレイを介して送信するよう構成される。
例491で、例490の対象は、任意に、前記第1フェーズドアンテナアレイを使用する多入力多出力(MIMO)構成を含む。
例492で、例490乃至491のうちのいずれか1つ以上の例の対象において、任意に、前記複数の送信器の中の第1送信器は、前記複数の送信器の中の第2送信器が前記H信号を前記第1アンテナアレイを介して送信しているときに、前記V信号を前記第1アンテナアレイを介して送信するよう構成される。
例493で、例491乃至492のうちのいずれか1つ以上の例の対象において、任意に、前記複数の受信器の中の前記少なくとも第2受信器は、前記複数のフェーズドアンテナアレイの中の第2フェーズドアンテナアレイを用いて前記第2基地局からの前記ミリメートル波のビームフォーミングされた信号を走査している。
例494で、例486乃至493のうちのいずれか1つ以上の例の対象において、任意に、前記受信器アーキテクチャは、前記第2基地局からの前記ミリメートル波のビームフォーミングされた信号を検出し、該検出されたミリメートル波のビームフォーミングされた信号の1つ以上の信号特性を決定するよう構成される。
例495で、例494の対象において、任意に、前記1つ以上の信号特性は、受信信号強度表示(received signal strength indicator)(RSSI)を含む。
例496で、例494乃至495のうちのいずれか1つ以上の例の対象において、任意に、前記受信器アーキテクチャは、前記1つ以上の信号特性に基づき、前記第1基地局から前記第2基地局へのソフトハンドオフを実行するよう構成される。
例497で、例496の対象において、任意に、前記ソフトハンドオフ中に、前記受信器アーキテクチャは、前記第1基地局から前記第1アンテナアレイを介して及び前記第2基地局から前記第2アンテナアレイを介してミリメートル波信号を受信するよう構成される。
例498は、ミリメートル波(mmWave)通信デバイスの装置であって:360度カバレッジを提供する複数の3次元領域において信号を受信又は送信するよう構成される複数のフェーズドアンテナアレイと;該複数のフェーズドアンテナアレイの中の第1フェーズドアンテナアレイを介して第1基地局のミリメートル波のビームフォーミングされた信号を受信するよう構成される第1トランシーバ;前記複数のフェーズドアンテナアレイの中の少なくとも第2フェーズドアンテナアレイを用いて、第2基地局からのミリメートル波のビームフォーミングされた信号について1つ以上のミリメートル波周波数を走査するよう構成される第2トランシーバ;及び前記複数のフェーズドアンテナアレイの中の少なくとも第3フェーズドアンテナアレイを用いて、ミリメートル波のビームフォーミングされた信号を受信又は送信するよう構成される第3トランシーバを含むトランシーバアーキテクチャと;前記第2基地局からのミリメートル波のビームフォーミングされた信号の利用可能性を検出すると、前記第1基地局からのミリメートル波のビームフォーミングされた信号の受信を保留し、前記第3トランシーバを用いて前記第2基地局からのミリメートル波のビームフォーミングされた信号の受信を開始してハンドオフを実行するよう構成されるアプリケーションプロセッサとを含む装置である。
例499で、例498の対象において、任意に、前記第2トランシーバは、前記ハンドオフ中に、ミリメートル波のビームフォーミングされた信号について前記1つ以上のミリメートル波周波数を走査し続けるよう構成される。
例500で、例498乃至499のうちのいずれか1つ以上の例の対象において、任意に、前記複数のフェーズドアンテナアレイの夫々は、垂直偏波(V)信号及び水平偏波(H)信号の一方又は両方を送信するよう構成される偏波共用アンテナアレイである。
例501で、例498乃至500のうちのいずれか1つ以上の例の対象において、任意に、前記第1トランシーバは、前記第1フェーズドアンテナアレイを介して垂直偏波(V)信号としてミリメートル波のビームフォーミングされた信号を前記第1基地局へ送信するよう構成される。
例502で、例501の対象において、任意に、前記トランシーバアーキテクチャは、第4トランシーバを更に含み、該第4トランシーバは、前記第1トランシーバが前記V信号を送信しているときに、前記第1フェーズドアンテナアレイを介して水平偏波(H)信号としてミリメートル波のビームフォーミングされた信号を前記第1基地局へ送信するよう構成される。
例503で、例502の対象において、任意に、前記第2トランシーバは、前記第1トランシーバ及び前記第4トランシーバが前記第1フェーズドアンテナアレイを介してミリメートル波のビームフォーミングされた信号を前記第1基地局へ送信しているときに、前記複数のフェーズドアンテナアレイの中の少なくとも前記第2フェーズドアンテナアレイで前記1つ以上のミリメートル波周波数を走査するよう構成される。
例504で、例502乃至503のうちのいずれか1つ以上の対象は、任意に、前記第1フェーズドアンテナアレイを使用する多入力多出力(MIMO)構成を含む。
例505は、車載ミリメートル波(mmWave)通信デバイスの装置であって:複数のフェーズドアンテナアレイであり、該複数のフェーズドアンテナアレイの夫々が、電磁気信号を送信及び受信する複数の重なり合った3次元領域の中の対応する1つに関連する、前記複数のフェーズドアンテナアレイと;複数の受信器を含み、前記複数のフェーズドアンテナアレイの中の第1フェーズドアンテナアレイを介してミリメートル波のビームフォーミングされた信号を受信するよう構成される受信器アーキテクチャと;複数の送信器を含み、前記第1フェーズドアンテナアレイを介してミリメートル波のビームフォーミングされた信号を送信するよう構成される送信器アーキテクチャとを含み、前記複数の受信器の中の第1受信器は、前記複数の受信器の中の少なくとも第2受信器が前記複数のフェーズドアンテナアレイの中の第2フェーズドアンテナアレイを用いて少なくとも第2車両から車両間(V2V)ミリメートル波信号を受信しているときに、第1基地局から前記ミリメートル波のビームフォーミングされた信号を受信している、装置である。
例506で、例505の対象は、任意に、Vミリメートル波信号を含む。
例507で、例505乃至506のうちのいずれか1つ以上の例の対象は、任意に、少なくとも1つのセンサを用いて車両に関連した1つ以上の道路ハザードを検出するよう構成されるアプリケーションプロセッサを含む。
例508で、例507の対象において、任意に、前記複数の送信器の中の第1送信器は、前記1つ以上の道路ハザードの検出時に、該検出された1つ以上の道路ハザードを示すV2Vミリメートル波信号を、前記複数のフェーズドアンテナアレイの中の第3フェーズドアンテナアレイを用いて少なくとも第3車両へ送信するよう構成される。
上記の説明は、実例となるよう意図され、限定ではない。例えば、上記の例(又はそれらの1つ以上の態様)は、他と組み合わせて使用されてよい。他の態様は、例えば、上記の説明を検討する当業者によって、使用されてもよい。要約は、技術的開示の本質を直ちに確かめることを読者に可能にすべきである。それは、特許請求の範囲の適用範囲又は意味を解釈又は制限するために使用されることはないとの理解の下で提出される。また、上記の詳細な説明において、様々な特徴は、本開示を簡素化するためにグループ化されてもよい。なお、特許請求の範囲は、本明細書で開示されているあらゆる特徴を、態様がそれらの特徴の一部を特徴とし得るということで、示していない可能性がある。更に、態様は、特定の例で開示されている特徴よりも少ない特徴を含むことがある。よって、続く特許請求の範囲は、これをもって詳細な説明に組み込まれ、請求項は別個の態様として独立している。本明細書で開示される態様の適用範囲は、添付の特許請求の範囲が権利を与えられる均等の全範囲とともに、添付の特許請求の範囲を参照して決定されるべきである。
例509は、複数の誘電層を含む層状基板と;該基板内のビアと;該ビアへ結合され、前記ビアによる送信のために前記ビアにRF信号を供給するよう構成される給電機構とを含むアンテナである。
例510で、例508の対象において、任意に、前記ビアは、円すい形である。
例511で、例509乃至510のうちのいずれか1つ以上の例の対象において、任意に、前記ビアは、円筒形状又は平行六面体形状である。
例512で、例509乃至511のうちのいずれか1つ以上の例の対象において、任意に、前記ビアは、金属により満たされるか、又は金属により部分的に満たされており、あるいは、めっきされており金属により満たされてはいない。
例513で、例509乃至512のうちのいずれか1つ以上の例の対象において、任意に、前記ビアは、第1直径及び第2直径を備え、前記第1直径は、前記複数の誘電層の中の第1層の境界に配置され、前記第1直径と前記第2直径との間の前記ビアの部分は、前記複数の誘電層の中の第2層内に配置される。
例514で、例513の対象において、任意に、前記第1直径は、前記第2直径よりも大きい。
例515で、例509乃至514のうちのいずれか1つ以上の例の対象において、任意に、前記ビアは第1直径及び第2直径を備え、前記複数の誘電層は、Nが全数であるとして、N個の誘電層を含み、前記第1直径及び前記第2直径は、前記N個の誘電層の複数内に配置される。
例516で、例509乃至515のうちのいずれか1つ以上の例の対象において、任意に、前記ビアは、水平フィード又は垂直フィードによって給電される。
例517で、例509乃至516のうちのいずれか1つ以上の例の対象において、前記送信は、エンドファイア送信である。
例518は、複数の誘電層を含む層状基板と;該層状基板内に配置される少なくとも1つのビアを夫々が含む複数のアンテナ素子と;前記少なくとも1つのビアへ結合され、前記複数のアンテナ素子による送信のためにRF信号を前記複数のアンテナ素子に供給するよう構成される給電メカニズムとを含むアンテナアレイである。
例519で、例518の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、円すい形である。
例520で、例518乃至519のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、円筒形状又は平行六面体形状である。
例521で、例518乃至520のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、金属により満たされるか、又は金属により部分的に満たされており、あるいは、めっきされており金属により満たされてはいない。
例522で、例518乃至521のうちのいずれか1つ以上の例の対象において、前記複数のアンテナ素子は、無線周波数サブシステム(RFS)の部分を含む。
例523で、例518乃至522のうちのいずれか1つ以上の例の対象において、前記複数のアンテナ素子は、前記RFSとは別個にされて該RFSに固定される。
例524で、例518乃至523のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の夫々は、第1直径及び第2直径を備え、前記第1直径は、前記複数の誘電層の中の第1層の境界に配置され、前記第1直径と前記第2直径との間の前記複数のアンテナ素子の前記夫々の部分は、前記複数の誘電層の中の第2層内に配置される。
例525で、例524の対象において、任意に、前記第1直径は、前記第2直径よりも大きい。
例526で、例518乃至525のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の夫々は、水平フィード又は垂直フィードによって給電される。
例527で、例518乃至526のうちのいずれか1つ以上の例の対象において、前記複数のアンテナ素子の夫々は、背中合わせの関係(back-to-back relationship)において配置された2つのビアを含む。
例528は、トランシーバと;該トランシーバへ結合されるアンテナアレイとを含み、前記アンテナアレイは:複数の誘電層を含む層状基板と;該層状基板内に配置される少なくとも1つのビアを夫々が含む複数のアンテナ素子と;該複数のアンテナ素子へ結合され、該複数のアンテナ素子による送信のためにRF信号を前記複数のアンテナ素子に供給するよう構成される給電機構とを含む、無線周波数サブシステム(RFS)である。
例529で、例528の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、円すい形状を有するビアである。
例530で、例528乃至529のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、円筒形状を有するビアである。
例531で、例528乃至530のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の少なくとも一部は、金属で満たされているか、又はめっきされており金属で満たされていないビアである。
例532で、例528乃至531のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子は、当該RFSとは別個にされて該RFSに固定される。
例533で、例528乃至532のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子はビアであり、該ビアの夫々は第1直径及び第2直径を備え、前記第1直径及び前記第2直径は、前記層状基板の層内に配置される。
例534で、例533の対象において、任意に、前記第1直径は前記第2直径よりも大きい。
例535で、例528乃至534のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の夫々は、水平フィード又は垂直フィードによって給電される。
例536で、例528乃至535のうちのいずれか1つ以上の例の対象において、任意に、前記複数のアンテナ素子の夫々は、背中合わせの関係において配置された2つのビアを含む。
例537で、対象は、例509乃至536の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例509乃至536の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例509乃至536のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例538は、複数の層を含む層状基板と;前記複数の層のうちの1つにある3Dアンテナと;前記3Dアンテナの下に構成される、変性接地面(modified ground plane)である接地面とを含む3次元(3D)アンテナ素子である。
例539で、例538の対象は、任意に、最大直径及び最小直径を有しているDアンテナを含み、前記変性接地面は、前記最小直径の下にある。
例540で、例539の対象は、任意に、前記最小直径にあるか又は該最小直径に隣接するストリップ伝送線路によって給電されるDアンテナ素子を含む。
例541で、例538乃至540のうちのいずれか1つ以上の例の対象において、任意に、前記変性接地面は、対角にスロットを作られた接地面を含む。
例542で、例538乃至541のうちのいずれか1つ以上の例の対象は、任意に、円筒形状又は平行六面体形状であるDアンテナ素子を含む。
例543で、例538乃至542のうちのいずれか1つ以上の例の対象は、任意に、金属で満たされているか、又は金属で部分的に満たされているか、あるいは、めっきされており金属で満たされていないDアンテナ素子を含む。
例544で、例538乃至543のうちのいずれか1つ以上の例の対象は、任意に、エッジファイア動作によりミリメートル波周波数で動作するよう構成されるDアンテナ素子を含む。
例545は、複数の層を含む層状基板と;前記複数の層のうちの1つに固定される複数の3Dアンテナ素子と;該複数の3Dアンテナ素子の夫々の下に構成され、平坦な平面メタライズ層の変形である接地面とを含むアンテナアレイである。
例546で、例545の例において、任意に、前記複数のアンテナ素子の少なくとも一部は、はんだによって前記複数の層のうちの前記1つに夫々固定される。
例547で、例545乃至546のうちのいずれか1つ以上の例の対象は、任意に、Dアンテナ素子を含む。
例548で、例547の対象は、任意に、最小直径にあるか又はそれに隣接するストリップラインによって給電されるDアンテナ素子を含む。
例549で、例545乃至548のうちのいずれか1つ以上の例の対象において、任意に、前記変形である接地面は、対角にスロットを作られた接地面を含む。
例550で、例545乃至549のうちのいずれか1つ以上の例の対象は、任意に、円筒形状又は平行六面体形状であるDアンテナ素子を含む。
例551で、例545乃至550のうちのいずれか1つ以上の例の対象は、任意に、無線周波数サブシステム(RFS)の部分を含むDアンテナ素子を含む。
例552で、例545乃至551のうちのいずれか1つ以上の例の対象は、任意に、前記RFSとは別個にされて該RFSに固定されるDアンテナ素子を含む。
例553で、例545乃至552のうちのいずれか1つ以上の例の対象は、任意に、所望の方向で当該アンテナアレイの放射を反射するよう構成される反射体に隣接するDアンテナ素子を含む。
例554で、例545乃至552のうちのいずれか1つ以上の例の対象は、任意に、水平フィード又は垂直フィードによって給電されるDアンテナ素子を含む。
例555は、複数の平行な層を含む層状基板の上又は中に構成されるトランシーバと;前記層状基板のメタライズ層に夫々固定される複数の3Dアンテナ素子を含むアンテナアレイと;変形された平坦な平面メタライズ層であって、前記3Dアンテナ素子の夫々の下に構成される接地面と;前記トランシーバから前記3Dアンテナ素子の夫々へ結合され、前記複数の3Dアンテナ素子による送信のためにRF信号を前記複数の3Dアンテナ素子に供給するよう構成される給電機構とを含む無線周波数サブシステム(RFS)である。
例556で、例555の対象は、任意に、円すい形状又は平行六面体形状を有しているDアンテナ素子を含む。
例557で、例555乃至556のうちのいずれか1つ以上の例の対象は、任意に、円筒形状を有しているDアンテナ素子を含む。
例558で、例555乃至557のうちのいずれか1つ以上の例の対象は、任意に、金属で満たされているか、又はめっきされており金属で満たされてはないDアンテナ素子を含む。
例559で、例555乃至558のうちのいずれか1つ以上の例の対象は、任意に、前記RFSとは別個にされて該RFSに固定されるDアンテナ素子を含む。
例560で、例555乃至559のうちのいずれか1つ以上の例の対象は、任意に、Dアンテナ素子を含む。
例561で、例555乃至560のうちのいずれか1つ以上の例の対象は、任意に、水平フィード又は垂直フィードによって給電されるDアンテナ素子を含む。
例562で、対象は、例538乃至561の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例538乃至561の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例538乃至561のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例563は、複数のセグメント化された低雑音増幅器(LNA)であり、該複数のセグメント化されたLNAの夫々が、入力無線周波数(RF)信号を増幅して増幅RF信号を生成するよう構成される複数の低雑音増幅器(LNA)スライスを有する、前記複数のセグメント化されたLNAと;複数のセグメント化されたダウンコンバージョンミキサであり、該複数のセグメント化されたダウンコンバージョンミキサの夫々が、専用の局所発振器(LO)信号に基づき前記増幅RF信号をベースバンド信号へダウンコンバートするよう構成される複数のダウンコンバージョンミキサスライスを有する、前記複数のセグメント化されたダウンコンバージョンミキサと;前記入力RF信号の少なくとも1つの信号特性の指示を受け、該受け取られた指示に基づき前記複数のLNAスライスの中の少なくとも1つのLNAスライス及び前記複数のダウンコンバージョンミキサスライスの中の少なくとも1つのダウンコンバージョンミキサスライスをアクティブにするよう構成される制御回路とを含む受信器装置である。
例564で、例563の対象において、任意に、前記少なくとも1つの信号特性は、前記入力RF信号の帯域幅、前記入力RF信号が連続的なキャリアアグリゲーション信号であること、又は前記入力RF信号が非連続的なキャリアアグリゲーション信号であることを示す。
例565で、例563乃至564のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号の前記少なくとも1つの信号特性に基づき当該受信器装置のためにスプリット動作モード及びスイッチ動作モードから1つを選択し、該選択されたスプリット動作モード又はスイッチ動作モードの間に前記少なくとも1つのLNAスライス及び前記少なくとも1つのミキサスライスをアクティブにするよう構成される。
例566で、例565の対象において、任意に、前記RF信号を受信する前記複数のセグメント化されたLNAの信号入力部での入力インピーダンスは、前記スプリット動作モード及び前記スイッチ動作モードの間に一定のままである。
例567で、例565乃至566のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号がチャネルフィルタの帯域幅を超える帯域幅を有する連続的なキャリアアグリゲーション信号又は非連続的なキャリアアグリゲーション信号であることを前記少なくとも1つの信号特性が示す場合に、前記スプリット動作モードを選択するよう構成される。
例568で、例565乃至567のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号がチャネルフィルタの帯域幅を超えない帯域幅を有する連続的なキャリアアグリゲーション信号であることを前記少なくとも1つの信号特性が示す場合に、前記スイッチ動作モードを選択するよう構成される。
例569で、例565乃至568のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記スイッチ動作モードの間、前記複数のセグメント化されたLNAのうちの1つをアクティブにして、前記入力RF信号を増幅し前記増幅RF信号を生成し、且つ、前記複数のセグメント化されたダウンコンバージョンミキサのうちの1つをアクティブにして、前記ベースバンド信号を生成するよう前記LO信号を用いて前記増幅RF信号をダウンコンバートするよう構成される。
例570で、例569の対象において、任意に、前記制御回路は、前記スイッチ動作モードの間に、前記複数のセグメント化されたLNAのうちの作動していないLNA及び前記複数のセグメント化されたダウンコンバージョンミキサのうちの作動していないミキサの電源を切るよう構成される。
例571で、例565乃至570のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記スプリット動作モードの間に、前記複数のセグメント化されたLNAのうちの少なくとも2つをアクティブにして、該アクティブにされたLNAの夫々が前記入力RF信号の一部を受信して少なくとも2つの増幅されたRF信号を生成するようにし、且つ、前記少なくとも2つのアクティブにされたセグメント化されたLNAに対応する前記複数のセグメント化されたダウンコンバージョンミキサのうちの少なくとも2つをアクティブにして、少なくとも2つのベースバンド信号を生成するよう前記少なくとも2つの増幅されたRF信号をダウンコンバートするよう構成される。
例572で、例571の対象において、任意に、前記制御回路は、前記スプリット動作モードの間に、前記複数のセグメント化されたLNAのうちの作動していないLNA及び前記複数のセグメント化されたダウンコンバージョンミキサのうちの作動していないミキサの電源を切るよう構成される。
例573で、例565乃至572のうちのいずれか1つ以上の例の対象は、任意に、前記LO信号を生成するよう構成されるLO発生器と、複数のファンアウト・バッファへ結合され、前記LO信号に対応する複数の差動LO信号を生成するよう構成される分配器とを含む。
例574で、例573の対象において、任意に、前記制御回路は、前記スプリット動作モード又は前記スイッチ動作モードの間に前記アクティブにされた少なくとも1つのミキサのために前記複数の差動LO信号から少なくとも1つを選択するよう構成される。
例575で、例565乃至574のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号の前記少なくとも1つの信号特性に基づき前記スプリット動作モードと前記スイッチ動作モードとの間を動的に切り替えるよう構成される。
例576は、入力RF信号の第1信号部分を受信して第1増幅RF信号を生成するよう構成される第1のセグメント化された低雑音増幅器(LNA)と、第1差動局所発振器(LO)信号を用いて前記第1増幅RF信号をダウンコンバートして第1ベースバンド信号を生成するよう構成される第1のセグメント化されたダウンコンバージョンミキサと、前記第1ベースバンド信号にフィルタをかけて第1のフィルタ処理されたベースバンド信号を生成するよう構成される第1チャネルフィルタとを含む第1RF処理チェーンと;前記入力RF信号の第2信号部分を受信して第2増幅RF信号を生成するよう構成される第2のセグメント化された低雑音増幅器(LNA)と、第2差動LO信号を用いて前記第2増幅RF信号をダウンコンバートして第2ベースバンド信号を生成するよう構成される第2のセグメント化されたダウンコンバージョンミキサと、前記第2ベースバンド信号にフィルタをかけて第2のフィルタ処理されたベースバンド信号を生成するよう構成される第2チャネルフィルタとを含む第2RF処理チェーンとを含む受信器装置である。
例577で、例576の対象は、任意に、前記入力RF信号の少なくとも1つの信号特性の指示を受け、前記信号特性に基づき当該受信器装置のためにスプリット動作モード及びスイッチ動作モードの1つを始動させるよう構成される制御回路を含む。
例578で、例577の対象において、任意に、前記制御回路は、前記入力RF信号がチャネルフィルタの帯域幅を超える帯域幅を有する連続的なキャリアアグリゲーション信号であることを前記信号特性が示す場合に、前記スプリット動作モードを始動させるよう構成される。
例579で、例577乃至578のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号の帯域幅が前記第1チャネルフィルタ又は前記第2チャネルフィルタに関連する帯域幅よりも高いことを前記信号特性が示す場合に、前記スプリット動作モードを始動させるよう構成される。
例580で、例577乃至579のうちのいずれか1つ以上の例の対象において、任意に、前記制御回路は、前記入力RF信号がチャネルフィルタの帯域幅を超えない帯域幅を有する連続的なキャリアアグリゲーション信号であることを前記信号特性が示す場合に、前記スイッチ動作モードを始動させるよう構成される。
例581で、例577乃至580のうちのいずれか1つ以上の例の対象において、任意に、前記スプリット動作モードの間に、前記制御回路は、前記第1の増幅されたRF信号及び前記第2の増幅されたRF信号を夫々生成するよう前記第1のセグメント化されたLNA及び前記第2のセグメント化されたLNAの夫々内のLNAスライスをアクティブにし、且つ、前記第1ベースバンド信号及び前記第2ベースバンド信号を夫々生成するよう前記第1のセグメント化されたダウンコンバージョンミキサ及び前記第2のセグメント化されたダウンコンバージョンミキサの夫々内のミキサスライスをアクティブにするよう構成され、前記入力RF信号は、前記第1信号部分及び前記第2信号部分を含む。
例582で、例581の対象において、任意に、前記スプリット動作モードの間に、前記制御回路は、前記第1のセグメント化されたLNA及び前記第2のセグメント化されたLNA内の複数の残りのLNAスライスの電源を切り、且つ、前記第1のセグメント化されたダウンコンバージョンミキサ及び前記第2のセグメント化されたダウンコンバージョンミキサ内の複数の残りのミキサスライスの電源を切るよう構成される。
例583で、例577乃至582のうちのいずれか1つ以上の例の対象において、任意に、前記スイッチ動作モードの間に、前記制御回路は、前記第1の増幅されたRF信号を生成するよう前記第1のセグメント化されたLNAをアクティブにし、且つ、前記第1ベースバンド信号を生成するよう前記第1のセグメント化されたダウンコンバージョンミキサをアクティブにするよう構成され、前記第1のセグメント化されたLNAは、前記第1信号部分が前記入力RF信号を含むように該入力RF信号を受信する。
例584で、例583の対象において、任意に、前記スイッチ動作モードの間に、前記制御回路は、前記第2のセグメント化されたLNA及び前記第2のセグメント化されたダウンコンバージョンミキサの電源を切るよう構成される。
例585は、RF信号を受信するよう構成されるフェーズドアンテナアレイと;増幅及びダウンコンバージョンブロックとを含み、前記ブロックは、複数の差動LO信号を生成するよう構成される局所発振器(LO)生成器と;複数の増幅段を含み、前記RF信号を増幅して増幅RF信号を生成するよう構成される低雑音増幅器(LNA)と;対応する複数のRF処理パス内にある複数のセグメント化されたダウンコンバージョンミキサであり、各ダウンコンバージョンミキサが複数のダウンコンバージョンミキサスライスを含み、前記複数の差動LO信号の1つに基づき前記増幅RF信号の少なくとも一部をベースバンド信号へダウンコンバートするよう構成される、前記複数のセグメント化されたダウンコンバージョンミキサと;前記受信されたRF信号の少なくとも1つの信号特性に基づき前記複数のセグメント化されたダウンコンバージョンミキサ内の少なくとも1つのミキサスライスをアクティブにするよう構成される制御回路とを含む、無線デバイスである。
例586で、例585の対象において、任意に、前記LNAは、セグメント化された出力部を有する二段LNAである。
例587で、例586の対象において、任意に、前記受信されたRF信号は、前記少なくとも1つのアクティブにされたミキサスライスへの通信のために前記LNAの前記セグメント化された出力部で分割される。
例588で、例585乃至587のうちのいずれか1つ以上の例の対象において、任意に、前記複数のセグメント化されたダウンコンバージョンミキサの夫々は、前記複数の差動LO信号のうちの少なくとも1つを使用する専用のLOドライブを使用する。
例589は、第1層状基板を含む第1電子パッケージと;第2層状基板を含み、前記第1電子パッケージに積み重ねられ物理的に接している第2電子パッケージと;前記第1層状基板に構成される第1アンテナアレイと;前記第2層状基板に構成される第2アンテナアレイと;前記第1電子パッケージ又は前記第2電子パッケージのうちの1つの中に埋め込まれ、前記第1アンテナアレイ及び前記第2アンテナアレイへ電気的に結合される少なくとも1つのダイであり、第1周波数範囲において及び第2周波数範囲において動作するよう構成される少なくとも1つの無線トランシーバを含む前記少なくとも1つのダイとを含むマルチパッケージアンテナアレイである。
例590で、例589の対象において、任意に、前記少なくとも1つのダイは、電子回路を介して前記第1アンテナアレイ及び前記第2アンテナアレイへ結合され、前記電子回路は、前記第1層状基板の上若しくは中に又は前記第2層状基板の上若しくは中に印刷され、前記少なくとも1つのダイと前記第1アンテナアレイ又は前記第2アンテナアレイとの間の電気機能を提供する。
例591で、例590の対象において、任意に、前記電子回路は、外付け基板フロントエンド(eSFE)又は内蔵基板フロントエンド(iSFE)によって実装される。
例592で、例591の対象において、任意に、前記電子回路は、表面実装型デバイスを含む。
例593で、例591乃至592のうちのいずれか1つ以上の例の対象において、任意に、前記電子回路は、前記少なくとも1つのダイと同じ面において前記第1電子パッケージ又は前記第2電子パッケージ内に印刷される。
例594で、例591乃至593のうちのいずれか1つ以上の例の対象において、任意に、前記電子回路は、フィルタ、バラン、マルチプレクサ、カプラ、又はアンテナのうちの1つを含む。
例595で、例591乃至594のうちのいずれか1つ以上の例の対象において、任意に、少なくとも1つのアンテナアレイが、前記第1電子パッケージ又は前記第2電子パッケージの一方内にある。
例596で、例591乃至595のうちのいずれか1つ以上の例の対象において、任意に、少なくとも1つのアンテナアレイが、前記第1電子パッケージ又は前記第2電子パッケージの一方に外付けされる。
例597で、例589乃至596のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのダイは、前記第1周波数範囲で動作する第1トランシーバと、前記第2周波数範囲で動作する第2トランシーバとを含む。
例598で、例591乃至597のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのダイは、前記第1電子パッケージ上又は内に埋め込まれ、前記第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、前記第2周波数範囲で動作する第2ダイとを含み、前記印刷電子回路は、前記第1ダイを支持し、前記第1電子パッケージの上又は中に位置する。
例599で、例591乃至598のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのダイは、前記第1電子パッケージ上又は内に埋め込まれ、前記第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、前記第2州端数範囲で動作する第2ダイとを含み、前記印刷電子回路は、前記第1ダイを支持し、前記第2電子パッケージの上又は中に位置する。
例600で、例591乃至599のうちのいずれか1つ以上の例の対象は、任意に、前記第2電子パッケージに積み重ねられ且つ物理的に接している第3電子パッケージを含み、前記少なくとも1つのダイは、前記第1電子パッケージ上に位置するか又はその中に埋め込まれ、前記第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、前記第2周波数範囲で動作する第2ダイと、前記第3電子パッケージ内に埋め込まれ、第3周波数範囲で動作する第3ダイとを含み、前記印刷電子回路は、前記第1ダイを支持し、前記第1電子パッケージ、前記第2電子パッケージ、又は前記第3電子パッケージの上又は中に位置する。
例601で、例591乃至600のうちのいずれか1つ以上の例の対象は、任意に、前記第2電子パッケージに積み重ねられ且つ物理的に接している第3電子パッケージを含み、前記少なくとも1つのダイは、前記第1電子パッケージ上に位置するか又はその中に埋め込まれ、前記第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、前記第2周波数範囲で動作する第2ダイと、前記第3電子パッケージ内に埋め込まれ、第3周波数範囲で動作する第3ダイとを含み、前記印刷電子回路は、前記第2ダイを支持し、前記第1電子パッケージ、前記第2電子パッケージ、又は前記第3電子パッケージの上又は中に位置する。
例602で、例591乃至601のうちのいずれか1つ以上の例の対象は、任意に、前記第2電子パッケージに積み重ねられ且つ物理的に接している第3電子パッケージを含み、前記少なくとも1つのダイは、前記第1電子パッケージ上に位置するか又はその中に埋め込まれ、前記第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、前記第2周波数範囲で動作する第2ダイと、前記第3電子パッケージ内に埋め込まれ、第3周波数範囲で動作する第3ダイとを含み、前記印刷電子回路は、前記第3ダイを支持し、前記第1電子パッケージ、前記第2電子パッケージ、又は前記第3電子パッケージの上又は中に位置する。
例603は、第1層状基板と;該第1層状基板に構成される第1アンテナアレイと;前記第1層状基板内に埋め込まれる少なくとも1つのダイとを含み、前記少なくとも1つのダイは、電子回路を介して前記第1アンテナアレイ又は第2アンテナアレイへ結合され、前記電子回路は、前記第1層状基板の上又は中に印刷され、前記少なくとも1つのダイと前記第1アンテナアレイ又は前記第2アンテナアレイとの間の電気機能を提供する、第1電子パッケージである。
例604で、例603の対象において、任意に、前記電子回路は、外付け基板フロントエンド(eSFE)又は内蔵基板フロントエンド(iSFE)により実装される。
例605で、例604の対象において、任意に、前記印刷電子回路は、実装基板型デバイスを含む。
例606で、例604乃至605のうちのいずれか1つ以上の例の対象において、任意に、前記印刷電子回路は、フィルタ、バラン、マルチプレクサ、カプラ、又はアンテナのうちの1つを含む。
例607で、例604乃至606のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、前記第1電子パッケージ内にある。
例608で、例604乃至607のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、前記第1電子パッケージに外付けされる。
例609で、例604乃至608のうちのいずれか1つ以上の例の対象は、任意に、前記第1電子パッケージに積み重ねられ且つ物理的に接続される第2電子パッケージを含み、該第2電子パッケージは、第2層状基板と、該第2層状基板に構成される第2アンテナアレイとを含み、前記少なくとも1つのダイは、前記第1電子パッケージ上又は内に埋め込まれ、第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、第2周波数範囲で動作する第2ダイとを含み、前記電子回路は、前記第1ダイを支持し、前記第1電子パッケージの上又は中に位置する。
例610で、例604乃至609のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのダイは、前記第1電子パッケージ上又は内に埋め込まれ、第1周波数範囲で動作する第1ダイと、前記第2電子パッケージ内に埋め込まれ、第2周波数範囲で動作する第2ダイとを含み、前記電子回路は、前記第1ダイを支持し、前記第2電子パッケージの上又は中に位置する。
例611で、対象は、例1乃至610の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例1乃至610の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例1乃至610のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
例612は、複数のベースバンド信号を受信し、該複数のベースバンド信号を第1の5G周波数帯域における水平偏波無線周波数(RF)信号及び第2の5G周波数帯域における垂直偏波RF信号へアップコンバートし、該アップコンバートされたRF信号を伝送線路にわたって送信するよう構成される第1トランシーバと;前記アップコンバートされたRF信号を前記伝送線路にわたって受信し、前記第1の5G周波数帯域における前記水平偏波RF信号を前記第2の5G周波数帯域における水平偏波RF信号へダウンコンバートし、前記第2の5G周波数帯域における前記水平偏波RF信号及び前記第2の5G周波数帯域における前記垂直偏波RF信号をアンテナサブシステムへ送信するよう構成される第2トランシーバとを含み、前記伝送線路は、前記第1トランシーバと前記第2トランシーバとの間に接続され、前記第1トランシーバと前記第2トランシーバとの間の前記アップコンバートされたRF信号の唯一の導体であるよう構成され、前記第2の5G周波数帯域は、デュアルトランシーバシステムに関連する使用中のシステムが位置する地理においてサポートされている5Gエコシステム周波数帯域であり、前記第1の5G周波数帯域は、前記地理においてサポートされていない5Gエコシステム周波数帯域である、デュアルトランシーバシステムである。
例613で、例612の対象において、任意に、前記第1トランシーバは更に、前記伝送線路にわたってWiGig周波数帯域において少なくとも1つのRF信号を送信するよう構成され、前記第2トランシーバは更に、前記伝送線路にわたって前記WiGig周波数帯域において前記送信されたRF信号を受信するよう、且つ、前記アンテナサブシステムへ前記WiGig周波数帯域において前記受信された少なくとも1つのRF信号を送信するよう構成される。
例614で、例612乃至613のうちのいずれか1つ以上の例の対象において、任意に、前記第2トランシーバは、前記第2周波数帯域における前記水平偏波RF信号への前記第1周波数帯域における前記水平偏波RF信号の変換と、前記第2周波数帯域における前記変換された水平偏波RF信号の送信と、前記第2周波数帯域における前記垂直偏波RF信号の送信とを可能にする第1構成にある複数のスイッチを含み、前記第2周波数帯域における前記水平偏波RF信号及び前記第2周波数帯域における前記垂直偏波RF信号は、前記アンテナサブシステムへ送信される。
例615で、例614の対象において、任意に、前記第2トランシーバは、前記スイッチの中の第1スイッチへ、及び前記第1トランシーバからの局所発振器RF信号を送信する導体へ接続されるミキサを更に含み、該ミキサは、前記第1周波数帯域における前記水平偏波RF信号を前記第2周波数帯域における前記水平偏波RF信号へ変換するよう構成される。
例616で、例612乃至615のうちのいずれか1つ以上の例の対象は、任意に、前記アンテナサブシステムへ送信されるG周波数帯域を含む。
例617で、例616の対象は、任意に、G周波数帯域を含む。
例618で、例612乃至617のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナサブシステムは、多入力多出力(MIMO)動作のために構成された少なくとも1つのアンテナアレイを含む。
例619で、例618の対象において、任意に、MIMO動作のために構成された前記少なくとも1つのアンテナアレイは、垂直偏波情報ストリーム及び水平偏波情報ストリームを放射するよう構成されたアンテナアレイを含む。
例620で、例618乃至619のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例621で、例618乃至620の対象において、任意に、MIMO動作のために構成される前記少なくとも1つのアンテナアレイは、前記第2の5G周波数帯域で動作するよう夫々構成された2つのアンテナアレイを含む。
例622で、例612乃至621のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナアレイは、WiGig周波数帯域で動作するよう構成されたアンテナアレイを含む。
例623で、例612乃至622のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例624で、例612乃至623のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例625で、例612乃至624のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例626で、例615乃至625のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例627で、例612乃至626のうちのいずれか1つ以上の例の対象において、任意に、前記第1トランシーバは、第3ブロードバンド信号を供給するよう構成される第3DACと、WiGig周波数帯域においてRF信号を供給するよう構成されるDPLLと、前記第3DACへ及び前記第3DPLLへ接続される第3ミキサとを含み、前記第3ミキサは、前記WiGig周波数帯域におけるRF信号へ前記第3ブロードバンド信号を変換するよう構成される。
例628で、例615乃至627のうちのいずれか1つ以上の例の対象は、任意に、第1の複数のバンドパスフィルタを更に含む前記第1トランシーバを含み、前記第1の5G周波数帯域における前記水平偏波RF信号は、前記第1の5G周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第1フィルタを介して前記伝送線路へ送られ、前記第2の5G周波数帯域における前記垂直偏波RF信号は、前記第2の5G周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第2フィルタを介して前記伝送線路へ送られ、前記WiGig周波数帯域における前記RF信号は、前記WiGig周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第3フィルタを介して前記伝送線路へ送られ、前記局所発振器RF信号は、前記局所発振器周波数にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第4フィルタを介して前記伝送線路へ送られる。
例629で、例628の対象は、任意に、第2の複数のバンドパスフィルタを更に含む前記第2トランシーバを含み、前記第1の5G周波数帯域における前記水平偏波RF信号は、前記第1の5G周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第1フィルタを介して前記伝送線路から受信され、前記第2の5G周波数帯域における前記垂直偏波RF信号は、前記第2の5G周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第2フィルタを介して前記伝送線路から受信され、前記WiGig周波数帯域における前記RF信号は、前記WiGig周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第3フィルタを介して前記伝送線路から受信され、前記局所発振器RF信号は、前記局所発振器周波数にフィルタをかけるよう構成された第2のバンドパスフィルタのうちの第4フィルタを介して前記伝送線路から受信される。
例630で、例612乃至629のうちのいずれか1つ以上の例の対象は、任意に、前記第2トランシーバに位置し、該第2トランシーバを制御するよう構成されるラジオトランシーバ制御システムを含み、該ラジオトランシーバ制御システムは、前記第1トランシーバによって該第1トランシーバ内のローパスフィルタを経て前記伝送線路にわたって送信され、そして、前記伝送線路にわたって前記第2トランシーバによって該第2トランシーバ内のローパスフィルタを経て受信されるクロック情報を受信するよう構成される。
例631で、例630の対象において、任意に、前記クロック情報は、前記第1トランシーバにおいて第3DPLLへ結合された基準クロックによって生成される。
例632で、例630乃至631のうちのいずれか1つ以上の例の対象において、任意に、前記ラジオトランシーバ制御システムは、前記第1トランシーバにおいて前記第3DPLLへ結合された制御モデムによって送信された制御情報を受信するよう構成され、前記制御モデムは、プロセッサからの前記制御情報及び前記第3DPLLからのクロッキング情報を受信し、前記第3DPLLの周波数にフィルタをかけるよう構成された前記第1のバンドパスフィルタのうちの第5フィルタを経て前記伝送線路にわたって前記制御情報を送信する。
例633で、例632の対象において、任意に、前記制御情報は、前記第3DPLLの周波数にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第5フィルタを経て前記伝送線路にわたって前記第2トランシーバによって受信される。
例634で、例612乃至633のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例635で、例634の対象は、任意に、G周波数帯域を含む。
例636で、例634乃至635のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例637で、例634乃至636のうちのいずれか1つ以上の例の対象において、任意に、第1の変換後に存在する同相及び直交(IQ)インバランスを解消するよう、前記第1の変換後にループバック試験が実行される。
例638は、デュアルコンバージョン無線周波数(RF)システムであって:ブロードバンド信号を供給するよう構成されるデジタルアナログ変換器(DAC)と;第1の5G周波数帯域においてRF信号を供給するよう構成される第1デジタル位相ロックループ(DPLL)と;前記第1の5G周波数帯域における前記RF信号を、前記第1の5G周波数帯域よりも低い第2の5G周波数帯域におけるRF信号へダウンコンバートする周波数変換器と;前記DACへ及び前記周波数変換器へ接続される第1ミキサと;当該システムを試験モードにセットするよう構成され、前記第2の5G周波数帯域でのRF信号エラーの試験及びその補正を可能にする少なくとも1つのスイッチと;第3の5G周波数帯域でのRF信号を供給するよう構成される第2DPLLと;前記第1ミキサの出力へ及び前記第2DPLLへ接続され、前記第2の5G周波数帯域でのRF信号エラーの補正の後に、前記第2の5G周波数帯域におけるRF信号を、前記第2の5G周波数帯域よりも高い第4の5G周波数帯域におけるRF信号へ変換するよう構成される第2ミキサとを含む前記デュアルコンバージョンRFシステムである。
例639で、例638の対象において、任意に、前記RF信号エラーは、同相及び直交(IQ)インバランスを含む。
例640で、例638乃至639のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例641で、例617乃至640のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例642で、例617乃至641のうちのいずれか1つ以上の例の対象は、任意に、第1の複数のバンドパスフィルタを更に含む前記第1トランシーバを含み、前記第1の5G周波数帯域における前記水平偏波RF信号は、前記第1の5G周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第1フィルタを介して前記伝送線路へ送られ、前記第2の5G周波数帯域における前記垂直偏波RF信号は、前記第2の5G周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第2フィルタを介して前記伝送線路へ送られ、前記WiGig周波数帯域における前記RF信号は、前記WiGig周波数帯域にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第3フィルタを介して前記伝送線路へ送られ、前記局所発振器RF信号は、前記局所発振器周波数にフィルタをかけるよう構成された前記第1バンドパスフィルタのうちの第4フィルタを介して前記伝送線路へ送られる。
例643で、例642の対象は、任意に、第2の複数のバンドパスフィルタを更に含む前記第2トランシーバを含み、前記第1の5G周波数帯域における前記水平偏波RF信号は、前記第1の5G周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第1フィルタを介して前記伝送線路から受信され、前記第2の5G周波数帯域における前記垂直偏波RF信号は、前記第2の5G周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第2フィルタを介して前記伝送線路から受信され、前記WiGig周波数帯域における前記RF信号は、前記WiGig周波数帯域にフィルタをかけるよう構成された前記第2のバンドパスフィルタのうちの第3フィルタを介して前記伝送線路から受信され、前記局所発振器RF信号は、前記局所発振器周波数にフィルタをかけるよう構成された第2のバンドパスフィルタのうちの第4フィルタを介して前記伝送線路から受信される。
例644は、複数のベースバンド信号を受信し、該複数のベースバンド信号を第1の5G周波数帯域における水平偏波無線周波数(RF)信号及び第2の5G周波数帯域における垂直偏波RF信号へアップコンバートし、該アップコンバートされたRF信号を伝送線路にわたって送信するよう構成される第1トランシーバと;前記第2の5G周波数帯域における水平偏波RF信号への前記第1の5G周波数帯域における前記水平偏波RF信号の変換と、前記第2の5G周波数帯域における前記変換された水平偏波RF信号の送信と、前記第2の5G周波数帯域における前記垂直偏波RF信号の送信とを可能にする第1構成にあり、前記第2の5G周波数帯域における前記水平偏波RF信号及び前記第2の5G周波数帯域における前記垂直偏波RF信号がアンテナサブシステムへ送信される複数のスイッチ、又は前記第1の5G周波数帯域における水平偏波RF信号への前記第2の5G周波数帯域における前記垂直偏波RF信号の変換と、前記第1の5G周波数帯域における前記変換された水平偏波RF信号の送信と、前記第1の5G周波数帯域における前記垂直偏波RF信号の送信とを可能にする第2構成にあり、前記第1の5G周波数帯域における前記水平偏波RF信号及び前記第1の5G周波数帯域における前記垂直偏波RF信号が前記アンテナサブシステムへ送信される複数のスイッチを含む第2トランシーバとを含み、前記伝送線路は、前記第1トランシーバと前記第2トランシーバとの間に接続され、前記第1トランシーバと前記第2トランシーバとの間の前記アップコンバートされたRF信号の唯一の導体であるよう構成され、前記第2の5G周波数帯域は、デュアルトランシーバシステムに関連する使用中のシステムが位置する地理においてサポートされている5Gエコシステム周波数帯域であり、前記第1の5G周波数帯域は、前記地理においてサポートされていない5Gエコシステム周波数帯域であり、前記第1トランシーバ、前記第2トランシーバ及び前記伝送線路は、モバイルデバイスの部分を含み、前記第1構成にある複数のスイッチ及び前記第2構成にある複数のスイッチは、同じ複数のスイッチであり、該複数のスイッチは更に、前記モバイルデバイスの位置に基づき、又はインターネットへのアクセス又はその使用のためのサービスを前記モバイルデバイスへ提供するインターネット・サービス・プロバイダに基づき前記第1構成へ又は前記第2構成へ自動的にセットされるよう構成される、デュアルトランシーバシステムである。
例645は、複数の周波数帯域においてアンテナサブシステムへRF信号を送信する方法である。当該方法は、複数のベースバンド信号を受信し、該複数のベースバンド信号を第1の5G周波数帯域における水平偏波無線周波数(RF)信号及び第2の5G周波数帯域における垂直偏波RF信号へアップコンバートし、該アップコンバートされたRF信号を伝送線路にわたって送信するよう第1トランシーバを構成することと;前記アップコンバートされたRF信号を前記伝送線路にわたって受信し、前記第1の5G周波数帯域における前記水平偏波RF信号を前記第2の5G周波数帯域における水平偏波RF信号へダウンコンバートし、前記第2の5G周波数帯域における前記水平偏波RF信号及び前記第2の5G周波数帯域における前記垂直偏波RF信号をアンテナサブシステムへ送信するよう第2トランシーバを構成することと;前記第1トランシーバから前記第2トランシーバへの前記アップコンバートされたRF信号の唯一の導体であるよう前記伝送線路を構成することとを含む。
例646で、例645の対象は、任意に、前記伝送線路にわたってWiGig周波数帯域でRF信号を送信するよう前記第1トランシーバを構成することと、前記伝送線路にわたって前記WiGig周波数帯域で前記送信されたRF信号を受信するよう、且つ、該受信されたRF信号を前記WiGig周波数帯域で前記アンテナサブシステムへ送信するよう前記第2トランシーバを構成することとを含む。
例647で、例645乃至646のうちのいずれか1つ以上の例の対象は、任意に、前記第2の5G周波数帯域における前記水平偏波RF信号への前記第1の5G周波数帯域における前記水平偏波RF信号の変換を可能にし、前記第2の5G周波数帯域における前記変換された水平偏波RF信号を送信し、前記第2の5G周波数帯域における前記垂直偏波RF信号を送信し、前記第2の5G周波数帯域における前記水平偏波RF信号及び前記第2の5G周波数帯域における前記垂直偏波RF信号がアンテナサブシステムへ送信されるように前記第2トランシーバ内の複数のスイッチを構成することを含む。
例648で、例647の対象は、任意に、5G周波数帯域を含む。
例649で、例645乃至648のうちのいずれか1つ以上の例の対象は、任意に、前記第1の5G周波数帯域における前記垂直偏波RF信号への前記第2の5G周波数帯域における前記垂直偏波RF信号の変換を可能にし、前記第1の5G周波数帯域における前記変換された垂直偏波RF信号を送信し、前記第1の5G周波数帯域における前記水平偏波RF信号を送信し、前記第1の5G周波数帯域における前記水平偏波RF信号及び前記第1の5G周波数帯域における前記垂直偏波RF信号が前記アンテナサブシステムへ送信されるように前記第2トランシーバ内の複数のスイッチを構成することを含む。
例650で、例649の対象は、任意に、5G周波数帯域を含む。
例651で、例645乃至650のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナサブシステムは少なくとも1つのアンテナアレイを含み、当該方法は、多入力多出力(MIMO)動作のために前記少なくとも1つのアンテナアレイを構成することを更に含む。
例652で、例651の対象は、任意に、垂直偏波情報ストリーム及び水平偏波情報ストリームを放射するよう、MIMO動作のために構成される前記少なくとも1つのアンテナアレイを構成することを含む。
例653で、例651乃至652のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例654で、例651乃至653のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つのアンテナアレイはデュアルアンテナアレイを含み、当該方法は、WiGig周波数帯域で動作するよう前記デュアルアンテナアレイを構成することを更に含む。
例655で、例645乃至654のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例656で、例645乃至655のうちのいずれか1つ以上の例の対象は、任意に、前記第1トランシーバによって該第1トランシーバ内のローパスフィルタを経て前記伝送線路にわたって送信されるクロック情報を受信することによって、前記第2トランシーバを制御するよう、前記第2トランシーバに位置するラジオトランシーバ制御システムを構成することを含み、前記クロック情報は、前記伝送線路にわたって前記第2トランシーバによって該第2トランシーバ内のローパスフィルタを経て受信される。
例657で、例656の対象において、任意に、前記クロック情報は、前記第1トランシーバにおいて第3DPLLへ結合された基準クロックによって生成される。
例658で、例657の対象は、任意に、前記第1トランシーバ内の前記第3DPLLへ結合された制御モデムによって送信された制御情報を受信することによって、前記第2トランシーバを制御するよう前記ラジオトランシーバ制御システムを構成することを含み、前記制御モデムは、プロセッサから前記制御情報を受信し且つ前記第3DPLLからクロッキング情報を受信し、そして、前記第3DPLLの周波数にフィルタをかけるよう構成されるバンドパスフィルタを経て前記伝送線路にわたって前記制御情報を送信する。
例659で、例658の対象において、任意に、前記制御情報は、前記伝送線路にわたって前記第2トランシーバによって該第2トランシーバ内のバンドパスフィルタを経て受信され、該バンドパスフィルタは、前記第3DPLLの周波数にフィルタをかけるよう構成される。
例660で、例645乃至659のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例661で、例660の対象は、任意に、G周波数帯域を含む。
例662は、無線周波数(RF)システムにおけるデュアルコンバージョンの方法であって:ブロードバンド信号を供給するようデジタルアナログ変換器(DAC)を構成することと;第1の5G周波数帯域においてRF信号を供給するよう第1デジタル位相ロックループ(DPLL)を構成することと;前記第1の5G周波数帯域における前記RF信号を、前記第1の5G周波数帯域よりも低い第2の5G周波数帯域におけるRF信号へダウンコンバートするよう周波数変換器を構成することと;第1ミキサを前記DACへ及び前記周波数変換器へ接続することと;前記第2の5G周波数帯域でのRF信号エラーの試験及びその補正を可能にするよう当該RFシステムをループバックモードに設定することと;第3の周波数でRF信号を供給するよう第2DPLLを構成することと;第2ミキサを前記第1ミキサの出力へ及び前記第2DPLLへ接続することと;前記第2の5G周波数帯域でのRF信号エラーの補正の後に、前記第2の5G周波数帯域におけるRF信号を、前記第2の5G周波数帯域よりも高い第4の5G周波数帯域におけるRF信号へ変換するよう前記第2ミキサを構成することとを含む方法である。
例663で、例661乃至662のうちのいずれか1つ以上の例の対象において、任意に、前記RF信号エラーは、同相及び直交(IQ)インバランスを含む。
例664で、例661乃至663のうちのいずれか1つ以上の例の対象は、任意に、G周波数帯域を含む。
例665は、通信デバイスの装置である。当該装置は、デジタルポーラー送信器を有してよい。該デジタルポーラー送信器は、矩形ポーラー変換器と、デジタル時間変換器(DTC)と、出力発振器とを有してよい。前記矩形ポーラー変換器は、該矩形ポーラー変換に供給された矩形入力信号に基づきポーラー出力信号を生成するよう構成されてよい。前記DTCは、無線周波数(RF)発振器信号を受信し、それに応えて、前記ポーラー出力信号に基づきDTC出力信号を供給するよう構成されてよい。前記出力発振器は、前記DTC出力信号を受信し、発振器信号をミリメートル波周波数で出力するよう構成されてよい。
例666で、例665の対象において、任意に、前記出力発振器は、パルス整形器及び注入発振器を有してよい。前記パルス整形器は、前記DTC出力信号を受信し、前記ミリメートル波周波数での前記DTC出力信号の高調波を供給するよう構成されてよい。前記注入発振器は、前記パルス整形器から前記高調波を受信し、前記発振器信号を前記高調波に同期させて前記ミリメートル波周波数での前記発振器信号を生成するよう構成されてよい。
例667で、例666の対象において、任意に、前記パルス整形器及び前記注入発振器は、積分回路を形成する。
例668で、例667の対象において、任意に、前記積分回路は、タンク回路及び注入同期回路を有してよい。前記タンク回路は、前記ミリメートル波周波数で共振するよう構成されるインダクタキャパシタ結合を有してよい。前記注入同期回路は、前記DTC出力信号の前記高調波を受信し、前記タンク回路を前記ミリメートル波周波数で共振させるよう構成されてよい。前記注入同期回路は、前記DTC出力信号の前記高調波が電流を前記タンク回路に注入するようゲート電圧として供給される直列接続トランジスタを有してよい。
例669で、例665乃至668のうちのいずれか1つ以上の例の対象において、任意に、前記DTCは、異なる時点でトリガされるよう構成される複数の個別DTCを有するタイムインターリーブDTCを有してよい。
例670で、例669の対象において、任意に、前記デジタルポーラー送信器は、前記矩形ポーラー変換器からの前記ポーラー出力信号をデジタルワードに変換し、該デジタルワードを、前記DTC出力信号を生成すべく前記複数の個別DTCへ供給するシリアルパラレル変換器を更に有してよい。
例671で、例670の対象において、任意に、前記タイムインターリーブDTCは、前記個別DTCからの出力を結合して前記DTC出力信号を生成するよう構成される論理コンバイナを更に有してよい。
例672で、例665乃至671のうちのいずれか1つ以上の例の対象において、任意に、前記DTCは、前記DTC出力信号の生成において位相変調を導入するように、前記RF発振器信号のエッジを周期ごとに動的に遅延させるよう構成されてよい。
例673で、例672の対象において、任意に、前記デジタルポーラー送信器は、前記RF発振器信号の周波数を中間周波数に低減するよう構成されるマルチモジュラス(multi-modulus)分周器と、前記中間周波数を受け、それに応じてそれに基づきより高い周波数で自己整合位相信号を生成するよう構成されるデジタル制御式エッジ補間器とを更に有してよい。
例674で、例665乃至673のうちのいずれか1つ以上の例の対象は、任意に、前記出力発振器信号に依存する信号を送信するよう構成され得るアンテナを含む。
例675は、ミリメートル波周波数を供給する方法である。当該方法は、基準発振信号を受信し、多段処理を用いて、前記基準発振信号に基づきミリメートル波周波数で位相変調出力信号を生成することを有してよい。前記多段処理は、前記基準発振信号の周波数をより低い周波数の信号に低減することと、入力信号に応じて、デジタル時間変換器(DTC)で前記より低い周波数の信号の位相を変調して、前記より低い周波数の信号の周波数よりも高い周波数で位相変調信号を生成することと、前記DTCから発振器回路へ前記位相変調信号を送ることと、該位相変調信号に基づき前記発振器回路で前記ミリメートル波周波数での位相変調出力信号を生成することとを有してよい。
例674で、例675の対象において、任意に、前記ミリメートル波周波数で前記位相変調出力信号を生成することは、前記位相変調信号の高調波を増幅し、前記発振器回路の発振器信号を前記高調波に同期させて出力発振器信号を生成することを有してよい。
例677で、例676の対象において、任意に、前記発振器回路の前記発振器信号を前記高調波に同期させることは、直列接続トランジスタにより電流をタンク回路に注入して、該タンク回路をミリメートル波周波数で共振させることを有してよい。
例678で、例675乃至677のうちのいずれか1つ以上の例の対象において、任意に、前記より低い周波数の信号の位相を変調することは、前記DTCの複数の個別DTCへデジタルワードを供給することを有してよい。前記デジタルワードは、前記入力信号に依存してよい。前記変調することは、前記デジタルワードに基づき前記個別DTCをトリガすることを更に有してよい。
例679で、例678の対象において、任意に、前記より低い周波数の信号の位相を変調することは、矩形入力信号をポーラー出力信号に変換し、該ポーラー出力信号に基づき、前記デジタルワードの並列コピーを生成して、該デジタルワードのコピーを前記個別DTCへ送ることを有してよい。
例680で、例679の対象において、任意に、前記より低い周波数の信号の位相を変調することは、前記位相変調信号を生成するよう前記個別DTCからの出力を論理結合することを有してよい。
例681で、例675乃至680のうちのいずれか1つ以上の例の対象において、任意に、前記より低い周波数の信号の位相を変調することは、位相変調を導入して前記位相変調信号を生成するよう前記基準発振信号のエッジを周期ごとに動的に遅延させることを有してよい。
例682で、例681の対象は、任意に、前記より低い周波数の信号に基づき自己整合位相信号を生成するようエッジ補間を使用することを含む。
例683は、デジタルポーラー送信器の装置である。当該装置は、矩形入力信号をデジタル時間変換器(DTC)のためのポーラー出力信号に変換する手段と;基準発振信号を受信する手段と;該基準発振信号の周波数をより低い周波数の信号へと低減する手段と;入力信号に応じて、前記DTCで前記より低い周波数の信号の位相を変調して、前記より低い周波数の信号よりも高い周波数で位相変調信号を生成する手段と;該位相変調信号を前記DTCから発振器回路へ送る手段と;前記位相変調信号に基づき前記発振器回路で前記ミリメートル波周波数での位相変調出力信号を生成する手段とを有してよい。
例684で、例683の対象は、任意に、前記位相変調信号の高調波を増幅し、前記発振器回路の発振器信号を前記高調波に同期させて出力発振器信号を生成する手段を含む。
例685で、例684の対象は、任意に、直列接続トランジスタにより電流をタンク回路に注入して、該タンク回路をミリメートル波周波数で共振させる手段を含む。
例686で、例683乃至685のうちのいずれか1つ以上の例の対象は、任意に、前記DTCの複数の個別DTCへ、前記入力信号に依存するデジタルワードを供給する手段と、該デジタルワードに基づき前記個別DTCをトリガする手段とを含む。
例687で、例686の対象は、任意に、ポーラー出力信号に基づき、前記個別DTCへ送るべき前記デジタルワードの並列コピーを生成する手段を含む。
例688で、例687の対象は、任意に、前記位相変調信号を生成するよう前記個別DTCからの出力を論理結合する手段を含む。
例689で、例683乃至688のうちのいずれか1つ以上の例の対象は、任意に、位相変調を導入して前記位相変調信号を生成するよう前記基準発振信号のエッジを周期ごとに動的に遅延させる手段を含む。
例690で、例689の対象は、任意に、前記より低い周波数の信号に基づき自己整合位相信号を生成するようエッジ補間を使用する手段を含む。
例691は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記1つ以上のプロセッサは、基準発振信号の周波数をより低い周波数の信号へと低減するよう前記通信デバイスを構成してよい。前記1つ以上のプロセッサは、デジタル時間変換器(DTC)で前記より低い周波数の信号の位相を変調して、前記基準発振信号の周波数で位相変調信号を生成するよう前記通信デバイスを更に構成してよい。前記1つ以上のプロセッサは、前記DTCから発振器回路へ前記位相変調信号を送るよう前記通信デバイスを更に構成してよい。前記1つ以上のプロセッサは、前記位相変調信号に基づき前記発振器回路で前記ミリメートル波周波数での位相変調出力信号を生成するよう前記通信デバイスを更に構成してよい。
例692で、例691の対象において、任意に、前記1つ以上のプロセッサは、前記位相変調信号の高調波を増幅し、前記発振器回路の発振器信号を前記高調波に同期させて出力発振器信号を生成するよう前記通信デバイスを更に構成してよい。
例693で、例692の対象において、任意に、前記1つ以上のプロセッサは、直列接続トランジスタにより電流をタンク回路に注入して、該タンク回路をミリメートル波周波数で共振させるよう前記通信デバイスを更に構成してよい。
例694で、例691乃至693のうちのいずれか1つ以上の例の対象において、任意に、前記1つ以上のプロセッサは、矩形入力信号をポーラー出力信号に変換するよう前記通信デバイスを更に構成してよい。前記1つ以上のプロセッサは、デジタルワードを前記DTCの複数の個別DTCへ供給するよう前記通信デバイスを更に構成してよい。前記デジタルワードは、前記入力信号に依存してよい。前記1つ以上のプロセッサは、前記デジタルワードに基づき前記個別DTCをトリガするよう前記通信デバイスを更に構成してよい。
例695で、例694の対象において、任意に、前記1つ以上のプロセッサは、ポーラー出力信号に基づき、前記個別DTCへ送るべき前記デジタルワードの並列コピーを生成するよう前記通信デバイスを更に構成してよい。
例696で、例695の対象において、任意に、前記1つ以上のプロセッサは、前記位相変調信号を生成するよう前記個別DTCからの出力を論理結合するよう前記通信デバイスを更に構成してよい。
例697で、受信器の装置である。当該装置は、フィードフォワード型等化器(FFE)を有してよい。該FFEは、直列に接続された複数のFFE段を有してよく、該複数のFFE段へは、垂直及び水平に偏波された同相(I)及び直交位相(Q)信号が並行して供給される。各FFE段は、複数の遅延を有してよい。前記垂直及び水平に偏波されたI及びQ信号は、各遅延に隣接したタップで交差結合されてよい。該交差結合は、交差結合された垂直及び水平に偏波されたI及びQ信号を供給するよう構成されてよい。
例698で、例697の対象において、任意に、前記遅延は、水平偏波されたI入力信号が供給される水平偏波I信号線での水平I遅延と、水平偏波されたQ入力信号が供給される水平偏波Q信号線での水平Q遅延と、垂直偏波されたI入力信号が供給される垂直偏波I信号線での垂直偏波I遅延と、垂直偏波されたQ入力信号が供給される垂直偏波Q信号線での垂直偏波Q遅延とを有してよい。
例699で、例697乃至698のうちのいずれか1つ以上の例の対象において、任意に、各交差結合は、重み付けされた垂直偏波I信号を生成するよう当該交差結合へ供給された前記垂直偏波されたI信号を重み付けするよう夫々構成された乗算器の第1の組と、重み付けされた水平偏波I信号を生成するよう当該交差結合へ供給された前記水平偏波されたI信号を重み付けするよう夫々構成された乗算器の第2の組と、重み付けされた垂直偏波Q信号を生成するよう当該交差結合へ供給された前記垂直偏波されたQ信号を重み付けするよう夫々構成された乗算器の第3の組と、重み付けされた水平偏波Q信号を生成するよう当該交差結合へ供給された前記水平偏波されたQ信号を重み付けするよう夫々構成された乗算器の第4の組とを有してよい。
例700で、例699の対象において、任意に、前記乗算器の第1、第2、第3及び第4の組の少なくとも一部の重み係数は互いと無関係である。
例701で、例700の対象において、任意に、前記乗算器の第1、第2、第3及び第4の組の少なくとも1つの重み係数は、前記乗算器の第1、第2、第3及び第4の組の少なくとも他の1つの重み係数と無関係である。
例702で、例700乃至701のうちのいずれか1つ以上の例の対象において、任意に、前記乗算器の第1、第2、第3及び第4の組の1つ内の各重み係数は、前記乗算器の第1、第2、第3及び第4の組の当該1つ内の他の重み係数と無関係である。
例703で、例699乃至702のうちのいずれか1つ以上の例の対象において、任意に、各交差結合は、結合されたI水平偏波信号を供給するよう前記重み付けされた水平偏波I信号のうちの第1信号、前記重み付けされた垂直偏波Q信号のうちの第1信号、及び前記重み付けされた水平偏波Q信号のうちの第1信号と結合された前記重み付けされた垂直偏波I信号のうちの第1信号と、結合された垂直偏波I信号を供給するよう前記重み付けされた垂直偏波I信号のうちの第2信号、前記重み付けされた垂直偏波Q信号のうちの第2信号、及び前記重み付けされた水平偏波Q信号のうちの第2信号と結合された前記水平偏波されたI信号の第2信号と、結合されたQ水平偏波信号を供給するよう前記重み付けされた水平偏波I信号のうちの第3信号、前記重み付けされた垂直偏波I信号のうちの第3信号、及び前記重み付けされた水平偏波Q信号のうちの第3信号と結合された前記重み付けされた垂直偏波Q信号のうちの第3信号と、結合された垂直偏波Q信号を供給するよう前記重み付けされた垂直偏波I信号のうちの第4信号、前記重み付けされた垂直偏波Q信号のうちの第4信号、及び前記重み付けされた水平偏波I信号のうちの第4信号と結合された前記重み付けされた水平偏波Q信号のうちの第4信号を有してよい。
例704で、例699乃至703のうちのいずれか1つ以上の例の対象において、任意に、前記複数のFFE段のうちの1つで、各交差結合の前記結合されたI水平偏波信号は、前記複数のFFE段のうちの当該1つからの出力水平偏波I信号を形成するよう結合されてよく、該出力水平偏波I信号は、他のFFE段への入力水平偏波I信号として、又は当該FFEの出力水平偏波I信号として供給されてよい。当該FFE段で、各交差結合の前記結合された垂直偏波I信号は、前記複数のFFE段のうちの当該1つからの出力垂直偏波I信号を形成するよう結合されてよく、該出力垂直偏波I信号は、前記他のFFEへの入力垂直偏波I信号として、又は当該FFEの出力垂直偏波I信号として供給されてよい。当該FFE段で、各交差結合の前記結合されたQ水平偏波信号は、前記複数のFFE段のうちの当該1つからの出力水平偏波Q信号を形成するよう結合されてよく、該出力水平偏波Q信号は、前記他のFFE段への入力水平偏波Q信号として、又は当該FFEの出力水平偏波Q信号として供給されてよく、各交差結合の前記結合された垂直偏波Q信号は、前記複数のFFE段のうちの当該1つからの出力垂直偏波Q信号を形成するよう結合されてよく、該出力垂直偏波Q信号は、前記他のFFEへの入力垂直偏波Q信号として、又は当該FFEの出力垂直偏波Q信号として供給されてよい。
例705で、例697乃至704のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、各FFE段において同じである。
例706で、例697乃至705のうちのいずれか1つ以上の例の対象において、任意に、少なくとも1つのFFE段におけるタップの数は、少なくとも1つの他のFFE段におけるタップの数と異なる。
例707で、例706の対象において、任意に、タップの数は、前記複数のFFE段にわたって徐々に減る。
例708で、例699乃至707のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、相殺されるべきプレカーソル(pre-cursor)符号間干渉(ISI)の数に対応し、各タップは、異なるプレカーソルISIタイプを相殺するよう構成される。
例709で、例708の対象において、任意に、各FFE段は、垂直偏波I(VI)信号、水平偏波I(HI)信号、垂直偏波Q(VQ)信号、及び水平偏波Q(HQ)信号を有し、前記FFEは、VI-to-VI、VQ-to-VQ、HI-to-HI、HQ-to-HQ ISIを含む直接ISIと、VI-to-VQ、VI-to-HI、VI-to-HQ、VQ-to-VI、VQ-to-HI、VQ-to-HQ、HI-to-VI、HI-to-VQ、HI-to-HQ、HQ-to-VI、HQ-to-VQ、HQ-to-HI ISIを含むクロストークISIとを相殺するよう構成される。
例710で、例697乃至709のうちのいずれか1つ以上の例の対象において、任意に、各FFE段における前記垂直及び水平に偏波されたI及びQ信号のための各重み係数は、当該FFEが動作中であるときに調整される。
例711で、例710の対象において、任意に、前記重み係数は、最初のタップを除いて、収束及び安定化より前に、夫々最初に、予め定義された値にセットされる。
例712で、例697乃至711のうちのいずれか1つ以上の例の対象は、任意に、入力信号を前記FFEへ供給するアンテナを含む。
例713は、アナログ信号等化を提供する方法である。当該方法は、複数のタイプの信号をフィードフォワード型等化器(FFE)の複数の直列接続されたFFE段へ供給することを有してよい。前記複数のタイプの信号は、垂直及び水平に偏波された同相(I)及び直交位相(Q)信号(VI、VQ、HI及びHQ信号)を有してよい。当該方法は、前記FFE段のうちの第1FFE段で、複数組の遅延されたVI、VQ、HI及びHQ信号を形成するよう、入力されたVI、VQ、HI及びHQ信号を、遅延の連続を通じて遅延させることを更に有してよい。各組の遅延されたVI、VQ、HI及びHQ信号は、複数のタップのうちの異なるタップと関連付けられてよい。当該方法は、前記FFE段のうちの前記第1FFE段で、複数のタイプの重み係数の夫々により各タップで前記VI、VQ、HI及びHQ信号の夫々を重み付けして、当該タップでVI、VQ、HI及びHQ重み信号を形成することを更に有してよい。前記複数のタイプの重み係数は、VI、VQ、HI及びHQ重み係数を有してよい。当該方法は、前記FFE段のうちの前記第1FFE段で、VI出力信号を形成するよう各タップでの前記VI重み信号を結合し、VQ出力信号を形成するよう各タップでの前記VQ重み信号を結合し、HI出力信号を形成するよう各タップでの前記HI重み信号を結合し、HQ出力信号を形成するよう各タップでの前記HQ重み信号を結合することを更に有してよい。当該方法は、VI、VQ、HI及びHQ出力信号の夫々を他のFFE段へのVI、VQ、HI及びHQ信号として、又は当該FFEのVI、VQ、HI及びHQ出力として供給することを更に有してよい。
例714で、例713の対象は、任意に、異なるプレカーソル符号間干渉(ISI)タイプを相殺するよう各タップでの前記VI、VQ、HI及びHQを使用することを含む。
例715で、例713乃至714のうちのいずれか1つ以上の例の対象において、任意に、前記VI、VQ、HI及びHQ重み係数のうちの少なくとも一部は互いに無関係である。
例716で、例713乃至715のうちのいずれか1つ以上の例の対象において、任意に、1つのタイプの信号の各タイプの重み係数は、当該1つのタイプの信号の夫々の他のタイプの重み係数と無関係である。
例717で、例713乃至716のうちのいずれか1つ以上の例の対象において、任意に、各タイプの信号の1つのタイプの重み係数は、夫々の他のタイプの信号の当該1つのタイプの重み係数と無関係である。
例718で、例713乃至717のうちのいずれか1つ以上の例の対象は、任意に、連続するFFE段のための入力信号に対する遅延、重み付け及び結合を繰り返すことを含む。
例719で、例713乃至718のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、各FFE段において同じである。
例720で、例713乃至719のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、前記複数のFFE段にわたって徐々に減る。
例721で、例713乃至720のうちのいずれか1つ以上の例の対象は、任意に、前記VI、VQ、HI及びHQ信号の夫々のための前記VI、VQ、HI及びHQ重み係数を、最初のタップを除いて、予め定義された値に最初にセットすることと、重み付けの間に前記VI、VQ、HI及びHQ重み係数を収束し安定させるよう適応処理の間に前記VI、VQ、HI及びHQ重み係数を更新することとを含む。
例722は、通信デバイスの装置である。当該装置は、複数のタイプの信号をフィードフォワード型等化器(FFE)の複数の直列接続されたFFE段へ供給する手段を有してよい。前記複数のタイプの信号は、垂直及び水平に偏波された同相(I)及び直交位相(Q)信号(VI、VQ、HI及びHQ信号)を有してよい。前記FFE段のうちの第1FFE段で、当該装置は、複数組の遅延されたVI、VQ、HI及びHQ信号を形成するよう、入力されたVI、VQ、HI及びHQ信号を、遅延の連続を通じて遅延させる手段を更に有してよい。各組の遅延されたVI、VQ、HI及びHQ信号は、複数のタップのうちの異なるタップと関連付けられてよい。当該装置は、複数のタイプの重み係数の夫々により各タップで前記VI、VQ、HI及びHQ信号の夫々を重み付けして、当該タップでVI、VQ、HI及びHQ重み信号を形成する手段を更に有してよい。前記複数のタイプの重み係数は、VI、VQ、HI及びHQ重み係数を有してよい。当該装置は、VI出力信号を形成するよう各タップでの前記VI重み信号を結合し、VQ出力信号を形成するよう各タップでの前記VQ重み信号を結合し、HI出力信号を形成するよう各タップでの前記HI重み信号を結合し、HQ出力信号を形成するよう各タップでの前記HQ重み信号を結合する手段を更に有してよい。当該装置は、VI、VQ、HI及びHQ出力信号の夫々を他のFFE段へのVI、VQ、HI及びHQ信号として、又は当該FFEのVI、VQ、HI及びHQ出力として供給する手段を更に有してよい。
例723で、例722の対象は、任意に、異なるプレカーソル符号間干渉(ISI)タイプを相殺するよう各タップでの前記VI、VQ、HI及びHQを使用する手段を含む。
例724で、例722乃至723のうちのいずれか1つ以上の例の対象において、任意に、前記VI、VQ、HI及びHQ重み係数のうちの少なくとも一部は互いに無関係である。
例725で、例722乃至724のうちのいずれか1つ以上の例の対象において、任意に、1つのタイプの信号の各タイプの重み係数は、当該1つのタイプの信号の夫々の他のタイプの重み係数と無関係である。
例726で、例722乃至725のうちのいずれか1つ以上の例の対象において、任意に、各タイプの信号の1つのタイプの重み係数は、夫々の他のタイプの信号の当該1つのタイプの重み係数と無関係である。
例727で、例722乃至726のうちのいずれか1つ以上の例の対象は、任意に、連続するFFE段のための入力信号に対する遅延、重み付け及び結合を繰り返す手段を含む。
例728で、例722乃至727のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、各FFE段において同じである。
例729で、例722乃至728のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、前記複数のFFE段にわたって徐々に減る。
例730で、例722乃至729のうちのいずれか1つ以上の例の対象は、任意に、前記VI、VQ、HI及びHQ信号の夫々のための前記VI、VQ、HI及びHQ重み係数を、最初のタップを除いて、予め定義された値に最初にセットする手段と、重み付けの間に前記VI、VQ、HI及びHQ重み係数を収束し安定させるよう適応処理の間に前記VI、VQ、HI及びHQ重み係数を更新する手段とを含む。
例731は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、複数のタイプの信号をフィードフォワード型等化器(FFE)の複数の直列接続されたFFE段へ供給するように前記1つ以上のプロセッサに指示するよう構成されてよい。前記複数のタイプの信号は、垂直及び水平に偏波された同相(I)及び直交位相(Q)信号(VI、VQ、HI及びHQ信号)を有してよい。前記命令は、前記FFE段のうちの第1FFE段で、複数組の遅延されたVI、VQ、HI及びHQ信号を形成するよう、入力されたVI、VQ、HI及びHQ信号を、遅延の連続を通じて遅延させるよういに前記1つ以上のプロセッサに指示するよう更に構成されてよい。各組の遅延されたVI、VQ、HI及びHQ信号は、複数のタップのうちの異なるタップと関連付けられてよい。前記命令は、前記FFE段のうちの前記第1FFE段で、複数のタイプの重み係数の夫々により各タップで前記VI、VQ、HI及びHQ信号の夫々を重み付けして、当該タップでVI、VQ、HI及びHQ重み信号を形成するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記複数のタイプの重み係数は、VI、VQ、HI及びHQ重み係数を有してよい。前記命令は、前記FFE段のうちの前記第1FFE段で、VI出力信号を形成するよう各タップでの前記VI重み信号を結合し、VQ出力信号を形成するよう各タップでの前記VQ重み信号を結合し、HI出力信号を形成するよう各タップでの前記HI重み信号を結合し、HQ出力信号を形成するよう各タップでの前記HQ重み信号を結合するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、前記FFE段のうちの前記第1FFE段で、VI、VQ、HI及びHQ出力信号の夫々を他のFFE段へのVI、VQ、HI及びHQ信号として、又は当該FFEのVI、VQ、HI及びHQ出力として供給するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例732で、例731の対象において、任意に、前記命令は、異なるプレカーソル符号間干渉(ISI)タイプを相殺するよう各タップでの前記VI、VQ、HI及びHQを使用するように前記1つ以上のプロセッサに更に指示する。
例733で、例731乃至732のうちのいずれか1つ以上の例の対象において、任意に、前記VI、VQ、HI及びHQ重み係数のうちの少なくとも一部は互いに無関係である。
例734で、例731乃至733のうちのいずれか1つ以上の例の対象において、任意に、1つのタイプの信号の各タイプの重み係数は、当該1つのタイプの信号の夫々の他のタイプの重み係数と無関係である。
例735で、例731乃至734のうちのいずれか1つ以上の例の対象において、任意に、各タイプの信号の1つのタイプの重み係数は、夫々の他のタイプの信号の当該1つのタイプの重み係数と無関係である。
例736で、例731乃至735のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、連続するFFE段のための入力信号に対する遅延、重み付け及び結合を繰り返すように前記1つ以上のプロセッサに更に指示する。
例737で、例731乃至736のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、各FFE段において同じである。
例738で、例731乃至737のうちのいずれか1つ以上の例の対象において、任意に、タップの数は、前記複数のFFE段にわたって徐々に減る。
例739で、例731乃至738のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記VI、VQ、HI及びHQ信号の夫々のための前記VI、VQ、HI及びHQ重み係数を、最初のタップを除いて、予め定義された値に最初にセットし、且つ、重み付けの間に前記VI、VQ、HI及びHQ重み係数を収束し安定させるよう適応処理の間に前記VI、VQ、HI及びHQ重み係数を更新するように前記1つ以上のプロセッサに更に指示する。
例740は、受信器の装置である。当該装置は、判定帰還型等化器(DFE)を有してよい。該DFEは、直列チェーン及び並列チェーンを備えるパスを有してよい。前記直列チェーンは、1ビット出力、並びに2ビットの、最上位ビット(MSB)及び最下位ビット(LSB)出力を供給するよう構成されてよい。当該装置は、前記直列チェーンと前記並列チェーンとの間から選択するよう構成されるセレクタと、前記パスに沿って配置される複数のタップとを更に有してよい。タップの数は、前記セレクタによって前記直列チェーン及び前記並列チェーンのうちのどちらが選択されるかに依存してよい。前記複数のタップからの出力は、ポストカーソル符号間干渉(ISI)を補償するよう構成されてよい。
例741で、例740の対象は、任意に、クロック信号によって夫々トリガされる複数の遅延を含む。各タップは、異なる遅延の出力からとられてよい。
例742で、例741の対象において、任意に、夫々の遅延はDフリップフロップを有する。
例743で、例742の対象において、任意に、前記セレクタは、複数のマルチプレクサを有する。夫々のマルチプレクサは、異なる遅延に関連し、該関連した遅延の入力と接続された出力を有してよい。
例744で、例743の対象において、任意に、夫々のマルチプレクサは、同じセレクタ信号と接続される。該セレクタ信号は、前記DFEによって前記直列チェーン及び前記並列チェーンのうちのどちらが使用されるかの選択を制御するよう構成されてよい。
例745で、例744の対象において、任意に、各セレクタの入力は、前記直列チェーンにおける前の遅延からの出力と、前記並列チェーンにおける前の遅延からの出力とを有する。
例746で、例740乃至745のうちのいずれか1つ以上の例の対象において、任意に、前記セレクタは、変調スキームに基づきチェーンタイプを選択するよう構成される。前記チェーンタイプは、前記直列チェーン及び前記並列チェーンを有してよい。
例747で、例746の対象において、任意に、前記直列チェーンは、4位相偏移変調(QPSK)のために選択され、前記並列チェーンは、16直交振幅変調(16QAM)又はそれ以上のために選択される。
例748で、例740乃至747のうちのいずれか1つ以上の例の対象において、任意に、前記複数のタップは、第1及び第2タップと、該第1及び第2タップの後の残りのタップとを有する。当該装置は、前記残りのタップより前に配置された第1及び第2ラッチを更に有してよい。前記第1タップは、前記第1ラッチの入力からとられてよく、前記第2タップは、前記第2ラッチの出力からとられてよい。前記第1ラッチの出力は、前記第2ラッチの入力と接続されてよい。
例749で、例748の対象において、任意に、前記直列チェーンにおいて、前記第2ラッチの出力は、前記直列チェーンを形成する第1遅延の入力と接続されてよい。
例750で、例748乃至749のうちのいずれか1つ以上の例の対象において、任意に、前記並列チェーンにおいて、前記MSBは、前記第1ラッチと前記第2ラッチとの間からとられ、前記LSBは、第3ラッチの出力からとられ、前記第1ラッチの出力は、マルチプレクサのセレクタ入力と更に接続され、前記マルチプレクサの出力は、前記第3ラッチの入力と接続され、前記第2タップは、前記第2ラッチ及び前記第3ラッチの出力からとられる。
例751で、例740乃至750のうちのいずれか1つ以上の例の対象において、任意に、前記複数のタップは、第1及び第2タップと、該第1及び第2タップの後の残りのタップとを有する。前記第1タップは、厳しい遅延制約を有してよい。前記残りのタップの夫々は、異なるDフリップフロップの出力からとられてよい。前記第1タップは、第1ラッチの入力からとられてよく、前記第2タップは、第2ラッチの出力からとられてよい。前記第1ラッチの出力及び前記第2ラッチの入力は、つなぎあわされ、前記並列チェーンのうちの1つにおけるマルチプレクサのセレクタ入力と接続されて、該マルチプレクサが存在し且つ前記並列チェーンが選択される場合に、前記第1タップの遅延に作用することを回避し得る。
例752で、例740乃至751のうちのいずれか1つ以上の例の対象は、任意に、前記DFEによって補償された無線周波数(RF)信号を受信するよう構成されたアンテナを含む。
例753は、受信器においてポストカーソル符号間干渉(ISI)を補償する方法である。当該方法は、前記受信器において判定帰還型等化器(DFE)で受信された信号の変調スキームを決定することを有してよい。当該方法は、前記変調スキームに基づき、前記DFEで使用されるタップのタップ数を決定することを更に有してよい。当該方法は、前記タップ数に基づき、直列チェーン及び並列チェーンのどちらを前記DFEで使用すべきかを選択することを更に有してよい。前記直列チェーン及び前記並列チェーンは、異なるタップ数を有してよい。当該方法は、前記タップからの出力を用いて前記信号のポストカーソルISIを補償することを更に有してよい。
例754で、例753の対象は、任意に、複数の遅延を同時にトリガすることを含む。各タップは、異なる遅延の出力からとられてよい。
例755で、例753乃至754のうちのいずれか1つ以上の例の対象において、任意に、直列チェーン又は並列チェーンのどちらを使用すべきかを選択することは、異なる遅延に夫々関連し、該関連した遅延の入力と接続された出力を有している複数のマルチプレクサに同じセレクタ信号を適用することを有してよい。
例756で、例753乃至755のうちのいずれか1つ以上の例の対象において、任意に、直列チェーン又は並列チェーンのどちらを使用すべきかを選択することは、前記直列チェーンを4位相偏移変調(QPSK)のために選択し、前記並列チェーンを16直交振幅変調(16QAM)又はそれ以上のために選択することを有してよい。
例757で、例753乃至756のうちのいずれか1つ以上の例の対象において、任意に、前記並列チェーンが選択される場合に、当該方法は、最上位ビット(MSB)のタップの第1及び第2タップの間のラッチ出力を用いて最下位ビット(LSB)を選択することを更に有してよい。
例758で、例753乃至757のうちのいずれか1つ以上の例の対象において、任意に、前記複数のタップは、第1及び第2タップと、該第1及び第2タップの後の残りのタップとを有する。前記第1タップは、厳しい遅延制約を有してよい。当該方法は、前記並列チェーンが選択される場合に、前記第1タップを第1ラッチの入力から、及び前記第2タップを第2ラッチの出力からとることと、前記第1ラッチの出力を前記並列チェーンの第1チェーン内の前記第2ラッチの入力と、及び前記並列チェーンの第2チェーン内のマルチプレクサのセレクタ入力と接続することとによって、前記第1タップの遅延に作用することを回避することを更に有してよい。
例759は、判定帰還型等化器(DFE)の装置である。当該装置は、前記DFEで受信された信号の変調スキームを決定する手段を有してよい。当該装置は、前記変調スキームに基づき、前記DFEで使用されるタップのタップ数を決定する手段を更に有してよい。当該装置は、前記タップ数に基づき、直列チェーン及び並列チェーンのどちらを前記DFEで使用すべきかを選択する手段を更に有してよい。前記直列チェーン及び前記並列チェーンは、異なるタップ数を有してよい。当該装置は、前記タップからの出力を用いて前記信号のポストカーソル符号間干渉(ISI)を補償する手段を更に有してよい。
例760で、例759の対象は、任意に、複数の遅延を同時にトリガする手段を含む。各タップは、異なる遅延の出力からとられてよい。
例761で、例759乃至760のうちのいずれか1つ以上の例の対象において、任意に、直列チェーン又は並列チェーンのどちらを使用すべきかを選択する前記手段は、異なる遅延に夫々関連し、該関連した遅延の入力と接続された出力を有している複数のマルチプレクサに同じセレクタ信号を適用する手段ことを有する。
例762で、例759乃至761のうちのいずれか1つ以上の例の対象において、任意に、直列チェーン又は並列チェーンのどちらを使用すべきかを選択する前記手段は、前記直列チェーンを4位相偏移変調(QPSK)のために選択し、前記並列チェーンを16直交振幅変調(16QAM)又はそれ以上のために選択する手段を有する。
例763で、例759乃至762のうちのいずれか1つ以上の例の対象において、任意に、前記並列チェーンが選択される場合に、当該装置は、最上位ビット(MSB)のタップの第1及び第2タップの間のラッチ出力を用いて最下位ビット(LSB)を選択する手段を更に有してよい。
例764で、例759乃至763のうちのいずれか1つ以上の例の対象において、任意に、前記複数のタップは、第1及び第2タップと、該第1及び第2タップの後の残りのタップとを有する。前記第1タップは、厳しい遅延制約を有してよい。当該装置は、前記並列チェーンが選択される場合に、前記第1タップを第1ラッチの入力から、及び前記第2タップを第2ラッチの出力からとる手段と、前記第1ラッチの出力を前記並列チェーンの第1チェーン内の前記第2ラッチの入力と、及び前記並列チェーンの第2チェーン内のマルチプレクサのセレクタ入力と接続する手段とを設けることによって、前記第1タップの遅延に作用することを回避する手段を更に有してよい。
例765は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、判定帰還型等化器(DFE)で受信された信号の変調スキームを決定するように前記1つ以上のプロセッサに指示するよう構成されてよい。前記命令は、前記変調スキームに基づき、前記DFEで使用されるタップのタップ数を決定するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、直列チェーン及び並列チェーンのどちらを前記DFEで使用すべきかを前記タップ数に基づき選択するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記直列チェーン及び前記並列チェーンは、異なるタップ数を有してよい。前記命令は、前記タップからの出力を用いて前記信号のポストカーソル符号間干渉(ISI)を補償するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例766で、例765の対象において、任意に、前記命令は、複数の遅延を同時にトリガするように前記1つ以上のプロセッサに指示するよう更に構成される。各タップは、異なる遅延の出力からとられてよい。
例767で、例765乃至766のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、異なる遅延に夫々関連し、該関連した遅延の入力と接続された出力を有している複数のマルチプレクサに同じセレクタ信号を適用するように前記1つ以上のプロセッサに指示するよう更に構成される。
例768で、例765乃至767のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記直列チェーンを4位相偏移変調(QPSK)のために選択し、前記並列チェーンを16直交振幅変調(16QAM)又はそれ以上のために選択するように前記1つ以上のプロセッサに指示するよう更に構成される。
例769で、例765乃至768のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、最上位ビット(MSB)のタップの第1及び第2タップの間のラッチ出力を用いて最下位ビット(LSB)を選択するように前記1つ以上のプロセッサに指示するよう更に構成される。
例770で、例765乃至769のうちのいずれか1つ以上の例の対象において、任意に、前記複数のタップは、第1及び第2タップと、該第1及び第2タップの後の残りのタップとを有する。前記第1タップは、厳しい遅延制約を有してよい。前記命令は、前記並列チェーンが選択される場合に、前記第1タップを第1ラッチの入力から、及び前記第2タップを第2ラッチの出力からとることと、前記第1ラッチの出力を前記並列チェーンの第1チェーン内の前記第2ラッチの入力と、及び前記並列チェーンの第2チェーン内のマルチプレクサのセレクタ入力と接続することとによって、前記第1タップの遅延に作用することを回避するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例771は、ミリメートル波通信デバイスの装置である。当該装置は、ミリメートル波のビームフォーミングされた信号を受信するよう構成される受信器ハイブリッドビームフォーミングアーキテクチャ、又はミリメートル波のビームフォーミングされた信号を送信するよう構成される送信器ハイブリッドビームフォーミングアーキテクチャのうちの少なくとも1つを有してよい。前記受信器ハイブリッドビームフォーミングアーキテクチャは、ミリメートル波のビームフォーミングされた信号を受信するよう構成されてよく、前記送信器ハイブリッドビームフォーミングアーキテクチャは、ミリメートル波のビームフォーミングされた信号を送信するよう構成されてよい。前記受信器ハイブリッドビームフォーミングアーキテクチャは、異なった分解能を有している異なった数のアナログデジタル変換器(ADC)を備えるアナログ受信器ビームフォーミング構造体及びデジタル受信器ビームフォーミング構造体を有してよい。前記送信器ハイブリッドビームフォーミングアーキテクチャは、異なった分解能を有している異なった数のデジタルアナログ変換器(DAC)を備えるアナログ送信器ビームフォーミング構造体及びデジタル送信器ビームフォーミング構造体を有してよい。
例772で、例771の対象において、任意に、前記アナログ受信器ビームフォーミング構造体は1つのADCを有し、前記アナログ送信器ビームフォーミング構造体は1つのDACを有し、前記デジタル受信器ビームフォーミング構造体は複数のADCを有し、前記デジタル送信器ビームフォーミング構造体は複数のDACを有する。
例773で、例772の対象において、任意に、前記1つのADCの分解能は、前記複数のADCの夫々の分解能よりも高く、前記1つのDACの分解能は、前記複数のDACの夫々の分解能よりも高い。
例774で、例773の対象において、任意に、前記複数のADCの夫々の分解能は可変である。
例775で、例772乃至774のうちのいずれか1つ以上の例の対象において、任意に、前記アナログ受信器ビームフォーミング構造体及び前記アナログ送信器ビームフォーミング構造体の夫々は、夫々のアンテナに関連する位相シフタと、夫々の位相シフタと接続されたコンバイナとを更に有してよい。
例776で、例772乃至775のうちのいずれか1つ以上の例の対象において、任意に、前記受信器ハイブリッドビームフォーミングアーキテクチャは、夫々のアンテナに関連する受信器スイッチを更に有し、該受信器スイッチは、アナログ受信器ビームフォーミング構造体及び前記デジタル受信器ビームフォーミング構造体のどちらが選択されるかを制御する。前記送信器ハイブリッドビームフォーミングアーキテクチャは、夫々のアンテナに関連する送信器スイッチを更に有してよい。該送信器スイッチは、前記アナログ送信器ビームフォーミング構造体及び前記デジタル送信器ビームフォーミング構造体のどちらが選択されるかを制御してよい。前記受信器スイッチ又は前記送信器スイッチのうちの少なくとも一方は、無線周波数(RF)信号がアンテナによって通信されるチャネルのチャネルタイプ、前記RF信号の信号タイプ、チャネル条件、ユーザ機器(UE)のモビリティ、又は変調スキームに基づき、制御されてよい。
例777で、例776の対象において、任意に、前記受信器スイッチ又は前記送信器スイッチのうちの前記少なくとも一方は、前記チャネルタイプがライン・オブ・サイト(LOS)であり、高次変調スキームが使用され、高い信号対雑音比(SNR)及び低いモビリティが存在することに応答して、アナログビームフォーミングを選択する。
例778で、例776乃至777のうちのいずれか1つ以上の例の対象において、任意に、前記受信器スイッチ又は前記送信器スイッチのうちの前記少なくとも一方は、前記信号タイプが制御信号であり且つ低次変調スキームが使用されること、又は前記信号タイプがデータ信号であり、前記チャネルタイプが非ライン・オブ・サイト(NLOS)であり且つ低いSNRが存在すること、のうちの少なくとも1つに応答して、デジタルビームフォーミングを選択する。
例779で、例771乃至778のうちのいずれか1つ以上の例の対象において、任意に、前記受信器ハイブリッドビームフォーミングアーキテクチャは、アンテナごとに、当該アンテナからの複素無線周波数(RF)信号を増幅するよう構成される低雑音増幅器と、前記RF信号の同相及び直交位相成分をベースバンドにダウンコンバートするよう構成されるミキサと、夫々のミキサへ接続される可変ゲインと、夫々の可変ゲインからの出力のローパスフィルタリングを提供するよう構成されるローパスフィルタとを有する共有アナログ受信器コンポーネントを有する。
例780で、例771乃至779のうちのいずれか1つ以上の例の対象は、任意に、ミリメートル波信号を通信するよう構成される複数のアンテナを含む。
例781は、ビームフォーミングされたミリメートル波信号を通信する方法である。当該方法は、通信されるミリメートル波信号のチャネル及び信号特性を決定することを有してよい。当該方法は、デジタルからアナログへの高分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、アナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャを有するハイブリッドビームフォーミングアーキテクチャから、前記アナログビームフォーミングアーキテクチャを選択することを更に有してよい。当該方法は、デジタルからアナログへの低分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、前記デジタルビームフォーミングアーキテクチャを選択することを更に有してよい。当該方法は、選択された前記アナログ又はデジタルビームフォーミングアーキテクチャを使用したビームフォーミングにより前記ミリメートル波信号を通信することを更に有してよい。前記アナログビームフォーミングアーキテクチャ又は前記デジタルビームフォーミングアーキテクチャにおける変換器(コンバータ)の数は異なってもよい。
例782で、例781の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、アナログデジタル変換器(ADC)又はデジタルアナログ変換器(DAC)のいずれか1つを有し、前記デジタルビームフォーミングアーキテクチャは複数のADC又は複数のDACのいずれかを有する。
例783で、例782の対象において、任意に、前記複数のADC及び前記複数のDACの夫々の分解能は固定である。
例784で、例782乃至783のうちのいずれか1つ以上の例の対象は、任意に、前記ミリメートル波信号の前記チャネル及び信号特性に応じて前記複数のADC及び前記複数のDACの夫々の分解能を変化させることを含む。
例785で、例782乃至784のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャが選択される場合に、当該方法は、位相シフトされた信号を生成するよう前記ミリメートル波信号の夫々を位相シフトすることと、量子化される結合信号を形成するよう前記位相シフトされた信号を結合することとを更に有する。
例786で、例782乃至785のうちのいずれか1つ以上の例の対象は、任意に、ライン・オブ・サイト(LOS)又は非LOS(NLOS)のどちらが前記ミリメートル波信号を通信するために使用されるべきか、前記ミリメートル波信号は制御信号又はデータ信号のどちらであるか、信号対雑音比(SNR)、及び前記ミリメートル波信号を通信するために使用される変調スキームに少なくとも基づき、前記アナログ又はデジタルビームフォーミングアーキテクチャの選択を制御することを含む。
例787で、例786の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、前記チャネルタイプがLOSであること、高次変調スキームが使用されること、並びに高いSNR及び低いモビリティに応答して、選択される。
例788で、例786乃至787のうちのいずれか1つ以上の例の対象において、任意に、前記デジタルビームフォーミングアーキテクチャは、前記信号タイプが制御信号であること及び低次変調スキームが使用されること、又は前記信号タイプがデータ信号であること、前記チャネルタイプがNLOSであること、及び低いSNRが存在すること、のうちの少なくとも1つに応答して、選択される。
例789で、例781乃至788のうちのいずれか1つ以上の例の対象は、任意に、前記アナログビームフォーミングアーキテクチャと前記デジタルビームフォーミングアーキテクチャとの間でアナログコンポーネントを共有することを含む。該共有されているアナログコンポーネントは、前記ミリメートル波信号を増幅するよう構成される低雑音増幅器と、前記ミリメートル波信号の同相及び直交位相成分をベースバンドにダウンコンバートするよう構成されるミキサと、夫々のミキサへ接続される可変ゲインと、夫々の可変ゲインからの出力のローパスフィルタリングを提供するよう構成されるローパスフィルタとを有してよい。
例790は、通信デバイスの装置である。当該装置は、通信されるミリメートル波信号のチャネル及び信号特性を決定する手段を有してよい。当該装置は、デジタルからアナログへの高分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、アナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャを有するハイブリッドビームフォーミングアーキテクチャから、前記アナログビームフォーミングアーキテクチャを選択する手段を更に有してよい。当該装置は、デジタルからアナログへの低分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、前記デジタルビームフォーミングアーキテクチャを選択する手段を更に有してよい。当該装置は、選択された前記アナログ又はデジタルビームフォーミングアーキテクチャを使用したビームフォーミングにより前記ミリメートル波信号を通信する手段を更に有してよい。前記アナログビームフォーミングアーキテクチャ又は前記デジタルビームフォーミングアーキテクチャにおける変換器(コンバータ)の数は異なってもよい。
例791で、例790の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、アナログデジタル変換器(ADC)又はデジタルアナログ変換器(DAC)のいずれか1つを有し、前記デジタルビームフォーミングアーキテクチャは複数のADC又は複数のDACのいずれかを有する。
例792で、例791の対象において、任意に、前記複数のADC及び前記複数のDACの夫々の分解能は固定である。
例793で、例791乃至792のうちのいずれか1つ以上の例の対象は、任意に、前記ミリメートル波信号の前記チャネル及び信号特性に応じて前記複数のADC及び前記複数のDACの夫々の分解能を変化させる手段を含む。
例794で、例790乃至793のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャが選択される場合に、当該装置は、位相シフトされた信号を生成するよう前記ミリメートル波信号の夫々を位相シフトする手段と、量子化される結合信号を形成するよう前記位相シフトされた信号を結合する手段とを更に有する。
例795で、例790乃至794のうちのいずれか1つ以上の例の対象は、任意に、ライン・オブ・サイト(LOS)又は非LOS(NLOS)のどちらが前記ミリメートル波信号を通信するために使用されるべきか、前記ミリメートル波信号は制御信号又はデータ信号のどちらであるか、信号対雑音比(SNR)、及び前記ミリメートル波信号を通信するために使用される変調スキームに少なくとも基づき、前記アナログ又はデジタルビームフォーミングアーキテクチャの選択を制御する手段を含む。
例796で、例795の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、前記チャネルタイプがLOSであること、高次変調スキームが使用されること、並びに高いSNR及び低いモビリティに応答して、選択される。
例797で、例795乃至796のうちのいずれか1つ以上の例の対象において、任意に、前記デジタルビームフォーミングアーキテクチャは、前記信号タイプが制御信号であること及び低次変調スキームが使用されること、又は前記信号タイプがデータ信号であること、前記チャネルタイプがNLOSであること、及び低いSNRが存在すること、のうちの少なくとも1つに応答して、選択される。
例798で、例790乃至797のうちのいずれか1つ以上の例の対象は、任意に、前記アナログビームフォーミングアーキテクチャと前記デジタルビームフォーミングアーキテクチャとの間でアナログコンポーネントを共有する手段を含む。該共有されているアナログコンポーネントは、前記ミリメートル波信号を増幅するよう構成される低雑音増幅器と、前記ミリメートル波信号の同相及び直交位相成分をベースバンドにダウンコンバートするよう構成されるミキサと、夫々のミキサへ接続される可変ゲインと、夫々の可変ゲインからの出力のローパスフィルタリングを提供するよう構成されるローパスフィルタとを有してよい。
例799は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、通信されるミリメートル波信号のチャネル及び信号特性を決定するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、デジタルからアナログへの高分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、アナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャを有するハイブリッドビームフォーミングアーキテクチャから、前記アナログビームフォーミングアーキテクチャを選択するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、デジタルからアナログへの低分解能の量子化又は変換が使用されるべきであると前記ミリメートル波信号の前記チャネル及び信号特性から決定されることに基づき、前記ミリメートル波信号を通信する際に使用されるよう、前記デジタルビームフォーミングアーキテクチャを選択するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、選択された前記アナログ又はデジタルビームフォーミングアーキテクチャを使用したビームフォーミングにより前記ミリメートル波信号を通信するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記アナログビームフォーミングアーキテクチャ又は前記デジタルビームフォーミングアーキテクチャにおける変換器(コンバータ)の数は異なってもよい。
例800で、例799の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、アナログデジタル変換器(ADC)又はデジタルアナログ変換器(DAC)のいずれか1つを有し、前記デジタルビームフォーミングアーキテクチャは複数のADC又は複数のDACのいずれかを有する。
例801で、例800の対象において、任意に、前記複数のADC及び前記複数のDACの夫々の分解能は固定である。
例802で、例800乃至801のうちのいずれか1つ以上の例の対象は、任意に、前記ミリメートル波信号の前記チャネル及び信号特性に応じて前記複数のADC及び前記複数のDACの夫々の分解能を変化させるように前記1つ以上のプロセッサに更に指示する。
例803で、例799乃至802のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャが選択される場合に、前記命令は、位相シフトされた信号を生成するよう前記ミリメートル波信号の夫々を位相シフトし、且つ、量子化される結合信号を形成するよう前記位相シフトされた信号を結合するように、前記1つ以上のプロセッサに更に指示する。
例804で、例799乃至803のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、ライン・オブ・サイト(LOS)又は非LOS(NLOS)のどちらが前記ミリメートル波信号を通信するために使用されるべきか、前記ミリメートル波信号は制御信号又はデータ信号のどちらであるか、信号対雑音比(SNR)、及び前記ミリメートル波信号を通信するために使用される変調スキームに少なくとも基づき、前記アナログ又はデジタルビームフォーミングアーキテクチャの選択を制御するように、前記1つ以上のプロセッサに更に指示する。
例805で、例804の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、前記チャネルタイプがLOSであること、高次変調スキームが使用されること、並びに高いSNR及び低いモビリティに応答して、選択される。
例806で、例804乃至805のうちのいずれか1つ以上の例の対象において、任意に、前記デジタルビームフォーミングアーキテクチャは、前記信号タイプが制御信号であること及び低次変調スキームが使用されること、又は前記信号タイプがデータ信号であること、前記チャネルタイプがNLOSであること、及び低いSNRが存在すること、のうちの少なくとも1つに応答して、選択される。
例807で、例799乃至806のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記アナログビームフォーミングアーキテクチャと前記デジタルビームフォーミングアーキテクチャとの間でアナログコンポーネントを共有するように前記1つ以上のプロセッサに更に指示する。該共有されているアナログコンポーネントは、前記ミリメートル波信号を増幅するよう構成される低雑音増幅器と、前記ミリメートル波信号の同相及び直交位相成分をベースバンドにダウンコンバートするよう構成されるミキサと、夫々のミキサへ接続される可変ゲインと、夫々の可変ゲインからの出力のローパスフィルタリングを提供するよう構成されるローパスフィルタとを有してよい。
例808は、ミリメートル波通信デバイスの装置である。当該装置は、ミリメートル波のビームフォーミングされた信号を受信するよう構成される受信器ビームフォーミングアーキテクチャと、ミリメートル波のビームフォーミングされた信号を送信するよう構成される送信器ビームフォーミングアーキテクチャとを有してよい。前記受信器ビームフォーミングアーキテクチャは、分解能可変型アナログデジタル変換器(ADC)を有してよい。前記送信器ビームフォーミングアーキテクチャは、分解能可変型デジタルアナログ変換器(DAC)を有してよい。前記ADC又は前記DACの分解能は、前記受信器ビームフォーミングアーキテクチャ又は前記送信器ビームフォーミングアーキテクチャで使用されるADC又はDACの数を減らすことがない所定のトランシーバ電力損失制約に電力消費量を制限するよう適応されてよい。
例809で、例808の対象において、任意に、前記受信器ビームフォーミングアーキテクチャは、アナログ受信器ビームフォーミングアーキテクチャ及びデジタル受信器ビームフォーミングアーキテクチャを有するハイブリッド受信器ビームフォーミングアーキテクチャを有する。前記送信器ビームフォーミングアーキテクチャは、アナログ送信器ビームフォーミングアーキテクチャ及びデジタル送信器ビームフォーミングアーキテクチャを有するハイブリッド送信器ビームフォーミングアーキテクチャを有してよい。
例810で、例809の対象において、任意に、前記アナログ受信器ビームフォーミングアーキテクチャ及び前記アナログ送信器ビームフォーミングアーキテクチャは、1つのADC及び1つのDACを夫々有する。前記デジタル受信器ビームフォーミングアーキテクチャ及び前記デジタル送信器ビームフォーミングアーキテクチャは、複数のADC及び複数のDACを夫々有してよい。
例811で、例810の対象において、任意に、前記アナログ受信器ビームフォーミングアーキテクチャは、複数のアンテナからの複素ミリメートル波信号を結合信号へと結合するよう構成されるコンバイナを有する。前記結合信号は、前記1つのADCへ入力として供給されてよい。
例812で、例808乃至811のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、通信のために使用されるチャネル、該チャネルにおける干渉、信号対雑音比(SNR)、又は前記ミリメートル波通信デバイスと通信中のユーザの数、のうちの少なくとも1つに依存する。
例813で、例809乃至812のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号の信号タイプ、前記ミリメートル波のビームフォーミングされた信号の信号品質、前記ミリメートル波のビームフォーミングされた信号によって使用されている変調、又は前記ミリメートル波のビームフォーミングされた信号に関連する装置動作、のうちの少なくとも1つに依存する。
例814で、例813の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号が制御プレーンシグナリングを有する場合に低減される。
例815で、例813乃至814のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、信号対雑音比(SNR)の増大とともに低減するる。
例816で、例813乃至815のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、変調次数の増大とともに低減する。
例817で、例813乃至816のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、変調次数の増大とともに低減する。
例818で、例813乃至817のうちのいずれか1つ以上の例の対象において、前記1つのADC又は前記1つのDACの分解能は、前記通信デバイスによってサービスを提供されるユーザ装置(UE)の数の減少及びピーク対平均電力比(PAPR)の低下とともに低減する。
例819で、例813乃至818のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、測定されたDCオフセットが所定のセットポイントを下回る場合に低減する。
例820で、例813乃至819のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号がエボルブド(evolved)NodeB(eNB)検索の一部である場合に低減する。
例821で、例813乃至820のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号がプリアンブル又はミッドアンブルである場合に低減する。
例822で、例813乃至821のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、インバンド又は隣接チャネル干渉のうちの少なくとも一方が、当該ADC又はDACのダイナミックレンジを増大させるほど十分に強い場合に、増大する。
例823で、例813乃至822のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記チャネルが非ライン・オブ・サイト(NLOS)チャネルであり且つマルチパスの数が増大する場合に増大する。
例824で、例808乃至823のうちのいずれか1つ以上の例の対象は、任意に、前記ミリメートル波のビームフォーミングされた信号を通信するよう構成された複数のアンテナを含む。
例825は、ビームフォーミングされたミリメートル波信号を通信する方法である。当該方法は、複数のアンテナで第1の組のミリメートル波のビームフォーミングされた信号を受信すること、又は前記複数のアンテナから第2の組のミリメートル波のビームフォーミングされた信号を送信することのうちの少なくとも一方を有してよい。当該方法は、前記受信することにおいて使用されるアナログデジタル変換器(ADC)及び前記送信することにおいて使用されるデジタルアナログ変換器(DAC)の分解能を、トランシーバ電力損失制約に基づき、ADC又はDACの数を減らすことなく設定することを更に有してよい。当該方法は、前記ADC又は前記DACの前記分解能に基づき、前記第1又は第2組のミリメートル波のビームフォーミングされた信号をアナログ信号とデジタル信号との間で変換することを更に有してよい。
例826で、例825の対象は、任意に、ハイブリッドビームフォーミングアーキテクチャの中のアナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャのどちらを、前記ミリメートル波のビームフォーミングされた信号を受信又は送信するために使用すべきかを選択することを含む。
例827で、例826の対象は、任意に、前記アナログ及びデジタルビームフォーミングアーキテクチャのどちらが選択されるかに基づき前記ADC及び前記DACの前記分解能を調整することを含む。
例828で、例826乃至827のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、受信用の単一のADC及び送信用の単一のDACを有する。前記デジタルビームフォーミングアーキテクチャは、受信用の複数のADC及び送信用の複数のDACを更に有してよい。
例829で、例826乃至828のうちのいずれか1つ以上の例の対象は、任意に、前記アンテナから受信された複素ミリメートル波信号を結合信号へと結合し、該結合信号を前記単一のADCへ入力として供給することを含む。
例830で、例825乃至829のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、通信のために使用されるチャネル、該チャネルにおける干渉、信号対雑音比(SNR)、又は前記ミリメートル波通信デバイスと通信中のユーザの数、のうちの少なくとも1つに依存する。
例831で、例825乃至830のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号の信号タイプ、前記ミリメートル波のビームフォーミングされた信号の信号品質、前記ミリメートル波のビームフォーミングされた信号によって使用されている変調、又は前記ミリメートル波のビームフォーミングされた信号に関連する動作、のうちの少なくとも1つに依存する。
例832は、通信デバイスの装置である。当該装置は、複数のアンテナで第1の組のミリメートル波のビームフォーミングされた信号を受信する手段と、前記複数のアンテナから第2の組のミリメートル波のビームフォーミングされた信号を送信する手段を有してよい。当該装置は、前記受信することにおいて使用されるアナログデジタル変換器(ADC)及び前記送信することにおいて使用されるデジタルアナログ変換器(DAC)の分解能を、トランシーバ電力損失制約に基づき、ADC又はDACの数を減らすことなく設定する手段を更に有してよい。当該装置は、前記ADC又は前記DACの前記分解能に基づき、前記第1又は第2組のミリメートル波のビームフォーミングされた信号をアナログ信号とデジタル信号との間で変換する手段を更に有してよい。
例833で、例832の対象は、任意に、ハイブリッドビームフォーミングアーキテクチャの中のアナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャのどちらを、前記ミリメートル波のビームフォーミングされた信号を受信又は送信するために使用すべきかを選択する手段を含む。
例834で、例833の対象は、任意に、前記アナログ及びデジタルビームフォーミングアーキテクチャのどちらが選択されるかに基づき前記ADC及び前記DACの前記分解能を調整する手段を含む。
例835で、例833乃至834のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、受信用の単一のADC及び送信用の単一のDACを有する。前記デジタルビームフォーミングアーキテクチャは、受信用の複数のADC及び送信用の複数のDACを更に有してよい。
例836で、例833乃至835のうちのいずれか1つ以上の例の対象は、任意に、前記アンテナから受信された複素ミリメートル波信号を結合信号へと結合する手段と、前記結合信号を前記単一のADCへ入力として供給する手段とを含む。
例837で、例832乃至836のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、通信のために使用されるチャネル、該チャネルにおける干渉、信号対雑音比(SNR)、又は前記ミリメートル波通信デバイスと通信中のユーザの数、のうちの少なくとも1つに依存する。
例838で、例832乃至837のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、前記ミリメートル波のビームフォーミングされた信号の信号タイプ、前記ミリメートル波のビームフォーミングされた信号の信号品質、前記ミリメートル波のビームフォーミングされた信号によって使用されている変調、又は前記ミリメートル波のビームフォーミングされた信号に関連する動作、のうちの少なくとも1つに依存する。
例839は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、複数のアンテナで第1の組のミリメートル波のビームフォーミングされた信号を受信し、且つ、前記複数のアンテナから第2の組のミリメートル波のビームフォーミングされた信号を送信するように、前記1つ以上のプロセッサに指示するよう構成されてよい。前記命令は、前記受信することにおいて使用されるアナログデジタル変換器(ADC)及び前記送信することにおいて使用されるデジタルアナログ変換器(DAC)の分解能を、トランシーバ電力損失制約に基づき、ADC又はDACの数を減らすことなく設定するよう更に構成されてよい。前記命令は、前記ADC又は前記DACの前記分解能に基づき、前記第1又は第2組のミリメートル波のビームフォーミングされた信号をアナログ信号とデジタル信号との間で変換するよう更に構成されてよい。
例840で、例839の対象において、任意に、前記命令は、ハイブリッドビームフォーミングアーキテクチャの中のアナログビームフォーミングアーキテクチャ及びデジタルビームフォーミングアーキテクチャのどちらを、前記ミリメートル波のビームフォーミングされた信号を受信又は送信するために使用すべきかを選択するように、前記1つ以上のプロセッサに更に指示する。
例841で、例840の対象において、任意に、前記命令は、前記アナログ及びデジタルビームフォーミングアーキテクチャのどちらが選択されるかに基づき前記ADC及び前記DACの前記分解能を調整するように前記1つ以上のプロセッサに更に指示する。
例842で、例840乃至841のうちのいずれか1つ以上の例の対象において、任意に、前記アナログビームフォーミングアーキテクチャは、受信用の単一のADC及び送信用の単一のDACを有する。前記デジタルビームフォーミングアーキテクチャは、受信用の複数のADC及び送信用の複数のDACを更に有してよい。
例843で、例840乃至842のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記アンテナから受信された複素ミリメートル波信号を結合信号へと結合し、該結合信号を前記単一のADCへ入力として供給するように、前記1つ以上のプロセッサに更に指示する。
例844で、例839乃至843のうちのいずれか1つ以上の例の対象において、任意に、前記1つのADC又は前記1つのDACの分解能は、通信のために使用されるチャネル、該チャネルにおける干渉、信号対雑音比(SNR)、又は前記ミリメートル波通信デバイスと通信中のユーザの数、のうちの少なくとも1つに依存する。
例845は、通信デバイスの装置である。当該装置は、ビームフォーミングされた信号を通信するよう構成されるアンテナのためにステアリング角度をセットするよう構成される複数の位相シフタを有するアナログ又はハイブリッドビームフォーミングアーキテクチャを有してよい。当該装置は、前記アンテナのためのビームステアリングを提供するコードブックを決定するよう構成されるプロセッサを更に有してよい。前記コードブックは、前記アンテナのステアリング角度のサブセットに制限されてよい。前記プロセッサは更に、前記ステアリング角度のサブセット内の制限されたステアリング角度の決定と、該制限されたステアリング角度を特定のステアリング角度へシフトさせる整数シフト値とを通じて、前記ステアリング角度のサブセットの外側で前記特定のステアリング角度をセットするよう前記位相シフタへ入力を供給してよい。
例846で、例845の対象において、任意に、前記アナログ又はハイブリッドビームフォーミングアーキテクチャは、複数の1次位相シフタ及び複数の2次位相シフタを有する。夫々の2次位相シフタは、前記1次位相シフタの組に関連してよい。前記コードブックは、前記1次及び2次位相シフタの値を制御するよう構成されてよい。
例847で、例846の対象において、任意に、前記1次位相シフタ及び2次位相シフタは、低ビット位相シフタである。
例848で、例846乃至847のうちのいずれか1つ以上の例の対象において、任意に、前記コードブックは、前記特定のステアリング角度が前記制限されたステアリング角度及び前記シフト値によって直接にセットされるかどうか、又は前記特定のステアリング角度が約180度のシフト値の周りでの前記制限されたステアリング角度及び前記シフト値の反映によってセットされるかどうかを示すユニタリ乗数を更に有する。
例849で、例848の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp)°<φ≦90°の間のステアリング角度に制限される。
例850で、例849の対象において、任意に、前記1次位相シフタの値は、Lが1次位相シフタの数であるとして、[0,0,0,・・・,0]と[0,1,2,・・・,L-1]π/2bpの間に制限される。
例851で、例845乃至850のうちのいずれか1つ以上の例の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp-1)°<φ≦90°の間のステアリング角度に制限される。
例852で、例846乃至851のうちのいずれか1つ以上の例の対象において、任意に、前記2次位相シフタは、無線周波数又はベースバンド位相シフタであり、前記1次位相シフタは、中間周波数又はデジタル位相シフタである。
例853で、例845乃至852のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号を通信するために前記位相シフタによってステアリングされるよう構成された複数のアンテナを含む。
例854は、通信デバイスにおいてビームステアリングを提供する方法である。当該方法は、アンテナのビームステアリングのために使用されるコードブックのサイズを、前記アンテナがステアリングされるべきステアリング角度のサブセットに制限することを有してよい。当該方法は、前記ステアリング角度のサブセットの外側で、前記アンテナをステアリングするための特定のステアリング角度を決定することを更に有してよい。当該方法は、前記特定のステアリング角度に対応する前記ステアリング角度のサブセット内の制限されたステアリング角度を決定することを更に有してよい。当該方法は、前記制限されたステアリング角度を前記特定のステアリング角度へシフトするシフト値を決定することを更に有してよい。当該方法は、前記制限されたステアリング角度及び前記シフト値を適用することによって装置をステアリングすることを更に有してよい。
例855で、例854の対象において、任意に、前記アンテナをステアリングすることは、前記アンテナを前記制限されたステアリング角度にステアリングするよう制限されたステアリング角度値を複数の1次位相シフタへ適用することを有する。前記アンテナをステアリングすることは、前記制限されたステアリング角度を前記特定のステアリング角度にシフトするよう前記シフト値を複数の2次位相シフタへ適用することを更に有してよい。夫々の2次位相シフタは、前記1次位相シフタの組と接続されてよい。
例856で、例855の対象において、任意に、前記アンテナをステアリングすることは、前記特定のステアリング角度が前記制限されたステアリング角度及び前記シフト値によって直接にセットされるかどうか、又は前記特定のステアリング角度が約180度のシフト値の周りでの前記制限されたステアリング角度及び前記シフト値の反映によってセットされるかどうかを示すユニタリ乗数を適用することを更に有する。
例857で、例856の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp)°<φ≦90°の間のステアリング角度に制限される。
例858で、例857の対象において、任意に、前記1次位相シフタの値は、Lが1次位相シフタの数であるとして、[0,0,0,・・・,0]と[0,1,2,・・・,L-1]π/2bpの間に制限される。
例859で、例854乃至858のうちのいずれか1つ以上の例の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp-1)°<φ≦90°の間のステアリング角度に制限される。
例860は、通信デバイスの装置である。当該装置は、アンテナのビームステアリングのために使用されるコードブックのサイズを、前記アンテナがステアリングされるべきステアリング角度のサブセットに制限する手段を有してよい。当該装置は、前記ステアリング角度のサブセットの外側で、前記アンテナをステアリングするための特定のステアリング角度を決定する手段を更に有してよい。当該装置は、前記特定のステアリング角度に対応する前記ステアリング角度のサブセット内の制限されたステアリング角度を決定する手段を更に有してよい。当該装置は、前記制限されたステアリング角度を前記特定のステアリング角度へシフトするシフト値を決定する手段を更に有してよい。当該装置は、前記制限されたステアリング角度及び前記シフト値を適用することによって装置をステアリングする手段を更に有してよい。
例861で、例860の対象において、任意に、当該装置は、前記アンテナを前記制限されたステアリング角度にステアリングするよう制限されたステアリング角度値を複数の1次位相シフタへ適用する手段を更に有する。当該装置は、前記制限されたステアリング角度を前記特定のステアリング角度にシフトするよう前記シフト値を複数の2次位相シフタへ適用する手段を更に有してよい。夫々の2次位相シフタは、前記1次位相シフタの組と接続されてよい。
例862で、例861の対象において、任意に、当該装置は、前記特定のステアリング角度が前記制限されたステアリング角度及び前記シフト値によって直接にセットされるかどうか、又は前記特定のステアリング角度が約180度のシフト値の周りでの前記制限されたステアリング角度及び前記シフト値の反映によってセットされるかどうかを示すユニタリ乗数を適用する手段を更に有する。
例863で、例862の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp)°<φ≦90°の間のステアリング角度に制限される。
例864で、例863の対象において、任意に、前記1次位相シフタの値は、Lが1次位相シフタの数であるとして、[0,0,0,・・・,0]と[0,1,2,・・・,L-1]π/2bpの間に制限される。
例865で、例860乃至864のうちのいずれか1つ以上の例の対象において、任意に、前記コードブックは、bpが夫々の1次位相シフタのビットの数であるとして、(1/2bp-1)°<φ≦90°の間のステアリング角度に制限される。
例866で、例861乃至865のうちのいずれか1つ以上の例の対象において、任意に、前記2次位相シフタは、無線周波数又はベースバンド位相シフタであり、前記1次位相シフタは、中間周波数又はデジタル位相シフタである。
例867で、例861乃至866のうちのいずれか1つ以上の例の対象は、任意に、前記1次位相シフタ及び2次位相シフタは、低ビット位相シフタである。
例868は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、ステアリング角度のサブセットの外側でアンテナをステアリングするための特定のステアリング角度を決定するように前記1つ以上のプロセッサに指示するよう構成されてよい。前記命令は、前記特定のステアリング角度に対応する前記ステアリング角度のサブセット内の制限されたステアリング角度を決定するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、前記制限されたステアリング角度を前記特定のステアリング角度へシフトするシフト値を決定するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、前記制限されたステアリング角度及び前記シフト値を適用することによって前記アンテナをステアリングするように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例869で、例868の対象において、任意に、前記命令は、前記アンテナを前記制限されたステアリング角度にステアリングするよう制限されたステアリング角度値を複数の1次位相シフタへ適用するように、前記1つ以上のプロセッサに更に指示する。前記命令は、前記制限されたステアリング角度を前記特定のステアリング角度にシフトするよう前記シフト値を複数の2次位相シフタへ適用するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。夫々の2次位相シフタは、前記1次位相シフタの組と接続されてよい。
例870で、例869の対象において、任意に、前記命令は、前記特定のステアリング角度が前記制限されたステアリング角度及び前記シフト値によって直接にセットされるかどうか、又は前記特定のステアリング角度が約180度のシフト値の周りでの前記制限されたステアリング角度及び前記シフト値の反映によってセットされるかどうかを示すユニタリ乗数を適用するように、前記1つ以上のプロセッサに更に指示する。
例871で、例870の対象において、任意に、前記命令は、bpが夫々の1次位相シフタのビットの数であるとして、前記アンテナをステアリングするための値を含むコードブックを、(1/2bp)°<φ≦90°の間のステアリング角度に制限するように、前記1つ以上のプロセッサに更に指示する。
例872で、例871の対象において、任意に、前記1次位相シフタの値は、Lが1次位相シフタの数であるとして、[0,0,0,・・・,0]と[0,1,2,・・・,L-1]π/2bpの間に制限される。
例873で、例868乃至872のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、bpが夫々の1次位相シフタのビットの数であるとして、前記アンテナをステアリングするための値を含むコードブックを、(1/2bp-1)°<φ≦90°の間のステアリング角度に制限するように、前記1つ以上のプロセッサに更に指示する。
例874は、電荷ポンプの装置である。当該装置は、複数の異なった制御信号によって制御される複数のスイッチと、前記複数のスイッチが接続される出力キャパシタとを有してよい。前記出力キャパシタでの電圧は、前記電荷ポンプの出力電圧が電流リファレンス又は電荷蓄積デバイスの使用から解放されるように、前記複数のスイッチのサブスレッショルド注入及び漏れキャパシタンスによって制御されてよい。
例875で、例874の対象において、任意に、前記複数のスイッチは、前記出力キャパシタでの電圧を所定の量だけ昇圧させるよう構成される第1スイッチグループと、前記出力キャパシタでの電圧を前記所定の量だけ降圧させるよう構成される第2スイッチグループとを有する。
例876で、例875の対象において、任意に、前記第1スイッチグループ及び前記第2スイッチグループの夫々は、異なるレール電圧へ接続されるダイナミックスイッチと、該ダイナミックスイッチと前記出力キャパシタとの間に接続されるサブスレッショルドスイッチとを有する。
例877で、例876の対象において、任意に、前記ダイナミックスイッチの漏れキャパシタンスは、前記サブスレッショルドスイッチのサブスレッショルド注入を制御する。
例878で、例876乃至877のうちのいずれか1つ以上の例の対象は、任意に、前記第1スイッチグループ及び前記第2スイッチグループの夫々の前記ダイナミックスイッチと接続されるタイミング回路を含む。該タイミング回路は、複数の異なる制御信号のうちの第1制御信号及び第2制御信号を前記第1スイッチグループ及び前記第2スイッチグループへ夫々供給するよう構成されてよい。前記第1制御信号及び前記第2制御信号は、前記複数の制御信号のプリセットされたビットの組によって定義されたパルス幅を有してよい。
例879で、例878の対象において、任意に、前記プリセットされたビットの組の中の夫々のプリセットされたビットは、異なるサブスレッショルドスイッチを制御する。
例880で、例878乃至879のうちのいずれか1つ以上の例の対象において、任意に、前記出力キャパシタは、直列接続されたキャパシタ・スイッチ結合の組と並列に内部キャパシタを有する。前記直列接続されたキャパシタ・スイッチ結合の組の中の夫々のスイッチは、前記プリセットされたビットの組の中の異なるプリセットされたビットによって制御されてよい。
例881で、例876乃至880のうちのいずれか1つ以上の例の対象において、任意に、電荷は、夫々のダイナミックスイッチのゲート・ドレインキャパシタンスを通じて注入される。
例882で、例874乃至881のうちのいずれか1つ以上の例の対象は、任意に、前記複数のスイッチの対と接続され、前記出力キャパシタの電圧変化をトリガするよう構成される制御ロジックを含む。
例883は、電荷ポンプの装置である。当該装置は、UP及びDOWN制御信号によって夫々制御される構成される第1及び第2ダイナミックスイッチを有してよい。該第1及び第2ダイナミックスイッチは、異なるレール電圧へ接続されてよい。当該装置は、第1及び第2の直列なスイッチを更に有してよい。該第1及び第2の直列なスイッチは、前記第1及び第2ダイナミックスイッチへ夫々接続されてよい。前記第1及び第2の直列なスイッチの中の夫々のスイッチは、複数のビットの中の異なるビットによって制御されるよう構成されてよい。当該装置は、前記第1及び第2の直列なスイッチが接続され、前記電荷ポンプの出力電圧を供給するよう構成される出力キャパシタを更に有してよい。
例884で、例883の対象において、任意に、前記第1及び第2ダイナミックスイッチの漏れキャパシタンスは、前記直列なスイッチのサブスレッショルド注入を制御する。
例885で、例883乃至884のうちのいずれか1つ以上の例の対象において、任意に、前記直列なスイッチは、1から5個のスイッチを有する。
例886で、例883乃至885のうちのいずれか1つ以上の例の対象は、任意に、前記第1及び第2ダイナミックスイッチと夫々接続される第1及び第2タイミング回路を含む。前記第1及び第2タイミング回路は、夫々、前記UP及びDOWN制御信号のパルス幅を制御し、それによって、前記出力電圧の電圧ステップを制御するよう構成されてよい。
例887で、例886の対象において、任意に、前記第1及び第2タイミング回路の夫々は、前記UP又はDOWN制御信号及び該UP又はDOWN制御信号の遅延された反転コピーが入力として供給されるANDゲートを有する。前記UP又はDOWN制御信号の前記遅延された反転コピーは、前記ANDゲートへ接続されているインバータへ接続された遅延線によって前記UP又はDOWN制御信号が遅延されることで形成されてよい。
例888で、例887の対象において、任意に、前記パルス幅は、前記遅延線へ供給されるプリセットされたビットの組によって定義される。
例889で、例888の対象において、任意に、前記プリセットされたビットの中の夫々のプリセットされたビットは、前記第1及び第2の直列なスイッチの中の異なるスイッチを制御する。
例890で、例888乃至889のうちのいずれか1つ以上の例の対象において、任意に、前記出力キャパシタは、直列接続されたキャパシタ・スイッチ結合の組と並列に内部キャパシタを有する。前記直列接続されたキャパシタ・スイッチ結合の組の中の夫々のスイッチは、前記プリセットされたビットの組の中の異なるプリセットされたビットによって制御される。
例891は、電荷ポンプにおける電荷注入方法である。当該方法は、電荷注入フェーズ中にダイナミックスイッチのゲート・ドレインキャパシタンスにわたって電荷を注入することを有してよい。当該方法は、前記電荷の注入後に、電荷転送フェーズ中にサブスレッショルド・ドレイン電流を用いて、サブスレッショルドスイッチにわたる前記電荷を前記電荷ポンプの出力キャパシタンスへ転送することを更に有してよい。当該方法は、前記電荷の転送後に、シャットダウンフェーズ中に、出力電圧の電圧変化を止めるよう、前記電荷の転送及び前記出力キャパシタンスにおける電流フローを終了することを更に有してよい。
例892で、例891の対象において、任意に、前記電荷の注入は、前記ダイナミックスイッチへ供給される制御信号のポジティブエッジにおいて、前記ダイナミックスイッチのゲート・ドレインキャパシタンスにわたって起こる。前記ダイナミックスイッチは、前記ポジティブエッジでオフするよう構成されてよい。
例893で、例891乃至892のうちのいずれか1つ以上の例の対象において、任意に、前記終了は、前記ダイナミックスイッチへ供給される前記制御信号のネガティブエッジにおいて起こる。前記ダイナミックスイッチは、前記ネガティブエッジでオンするよう構成されてよい。
例894で、例893の対象において、任意に、前記終了フェーズ中に、前記ダイナミックスイッチと前記サブスレッショルドスイッチとの間のネットでの電圧は、前記ダイナミックスイッチが接続されているレール電圧へ戻る。
例895で、例891乃至894のうちのいずれか1つ以上の例の対象は、任意に、前記電荷注入フェーズ中に制御信号のパルス幅を制御し、その結果として、前記電圧変化を制御することを含む。
例896で、例895の対象において、任意に、前記制御信号の前記パルス幅を制御することは、ANDゲートへの前記制御信号及び該制御信号の遅延された反転コピーと、前記制御信号の前記遅延された反転コピーの遅延の量を制御するためのプリセットされたビットの組とを供給することを有する。
例897で、例896の対象において、任意に、前記電荷転送フェーズ中に、前記電荷は、前記プリセットされたビットの組の中のプリセットされたビットの数に等しい数のサブスレッショルドスイッチにわたって前記出力キャパシタンスへ転送され、夫々のプリセットされたビットは異なるサブスレッショルドスイッチを制御する。
例898で、例896乃至897のうちのいずれか1つ以上の例の対象は、任意に、前記出力キャパシタを形成するよう多数の並列な内部キャパシタの組み込みを制御することを含む。並列な内部キャパシタの数は、前記プリセットされたビットの組の中のプリセットされたビットの数に等しくなり得る。夫々の内部キャパシタは、異なるプリセットされたビットによって組み込まれてよい。
例899は、電荷ポンプの装置である。当該装置は、ダイナミックスイッチのゲート・ドレインキャパシタンスにわたって電荷を注入する手段を有してよい。当該装置は、前記電荷の注入後に、サブスレッショルド・ドレイン電流を用いて、サブスレッショルドスイッチにわたる前記電荷を前記電荷ポンプの出力キャパシタンスへ転送する手段を更に有してよい。当該装置は、前記電荷の転送後に、出力電圧の電圧変化を止めるよう、前記電荷の転送及び前記出力キャパシタンスにおける電流フローを終了する手段を更に有してよい。
例900において、例899の対象は、任意に、前記電荷注入フェーズ中に制御信号のパルス幅を制御し、その結果として、前記電圧変化を制御する手段を含む。
例901で、例899乃至900のうちのいずれか1つ以上の対象において、任意に、前記制御信号の前記パルス幅を制御する手段は、ANDゲートへの前記制御信号及び該制御信号の遅延された反転コピーと、前記制御信号の前記遅延された反転コピーの遅延の量を制御するためのプリセットされたビットの組とを供給する手段を有する。
例902で、例901の対象は、任意に、前記プリセットされたビットの組の中のプリセットされたビットの数に等しい数のサブスレッショルドスイッチにわたって前記電荷を前記出力キャパシタンスへ転送する手段を含む。夫々のプリセットされたビットは異なるサブスレッショルドスイッチを制御する。
例903で、例901乃至902のうちのいずれか1つ以上の例の対象は、任意に、前記出力キャパシタを形成するよう多数の並列な内部キャパシタの組み込みを制御する手段を含む。並列な内部キャパシタの数は、前記プリセットされたビットの組の中のプリセットされたビットの数に等しくなり得る。夫々の内部キャパシタは、異なるプリセットされたビットによって組み込まれてよい。
例904は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、UP及びDOWN制御信号によって夫々第1及び第2ダイナミックスイッチを制御するように前記1つ以上のプロセッサに指示するよう構成されてよい。該第1及び第2ダイナミックスイッチは、異なるレール電圧へ接続されてよい。前記命令は、複数のビットの中の異なるビットによって第1及び第2の直列なスイッチの各スイッチを制御するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記第1及び第2の直列なスイッチは、前記第1及び第2ダイナミックスイッチへ夫々接続されてよい。前記命令は、前記第1及び第2の直列なスイッチが接続される電荷ポンプの出力キャパシタの出力電圧を調整するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例905で、例904の対象において、任意に、前記命令は、前記第1及び第2ダイナミックスイッチと夫々接続される第1及び第2タイミング回路により前記UP及びDOWN制御信号のパルス幅を制御するように前記1つ以上のプロセッサに指示するよう構成される。
例906で、例905の対象において、任意に、前記第1及び第2タイミング回路の夫々は、前記UP又はDOWN制御信号及び該UP又はDOWN制御信号の遅延された反転コピーが入力として供給されるANDゲートを有する。前記命令は、前記ANDゲートへ接続されているインバータへ接続された遅延線の遅延を制御するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記UP又はDOWN制御信号は、該UP又はDOWN制御信号の前記遅延された反転コピーを形成するよう、前記遅延線によって遅延されてよい。
例907で、例906の対象において、任意に、前記パルス幅は、前記遅延線へ供給されるプリセットされたビットの組によって定義される。
例908で、例907の対象において、任意に、前記命令は、異なるプリセットされたビットを用いて前記第1及び第2の直列なスイッチの中の異なるスイッチを制御するように前記1つ以上のプロセッサに指示するよう構成される。
例909で、例907乃至908のうちのいずれか1つ以上の例の対象において、任意に、前記出力キャパシタは、直列接続されたキャパシタ・スイッチ結合の組と並列に内部キャパシタを有する。前記命令は、前記直列接続されたキャパシタ・スイッチ結合の組の中の夫々のスイッチを異なるプリセットされたビットによって制御するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例910は、通信デバイスの装置である。当該装置は、受信器回路を有してよい。該受信器回路は、ビームフォーミングされた信号を受信するよう構成される複数の第1量子化器を有してよい。前記受信器回路は、補償されたビームフォーミングされた信号を形成するように、前記ビームフォーミングされた信号が前記量子化器へ供給される前に、前記ビームフォーミングされた信号に対するアナログ補償信号を供給するよう構成されるフィードフォワードループを更に有してよい。前記ビームフォーミングされた信号は、送信器からの信号及び干渉信号を含んでよい。前記アナログ補償信号は、前記干渉信号を補償するよう構成されてよい。
例911で、例910の対象において、任意に、前記フィードフォワードループは、前記ビームフォーミングされた信号を粗く量子化された信号へ変換するよう構成される複数の第2量子化器を有する。前記フィードフォワードループは、前記アナログ補償信号を変換するよう構成される複数のデジタルアナログ変換器(DAC)を更に有してよい。
例912で、例911の対象において、任意に、前記第2量子化器の分解能は、前記第1量子化器の分解能よりも小さい。
例913で、例911乃至912のうちのいずれか1つ以上の例の対象において、任意に、前記フィードフォワードループは、前記第2量子化器と前記DACとの間に配置されたデジタルフィルタを更に有する。該デジタルフィルタは、前記粗く量子化された信号のための相互相関された干渉補償を提供し、前記アナログ補償信号のデジタルバージョンを生成するよう構成されてよい。
例914で、例913の対象は、任意に、前記受信器回路を調整するために使用される信号品質を提供するように、前記アナログ補償信号の前記デジタルバージョンと、前記補償されたビームフォーミングされた信号のデジタルバージョンとを結合するよう構成される複数のコンバイナを含む。
例915で、例913乃至914のうちのいずれか1つ以上の例の対象において、任意に、前記デジタルフィルタは、
Figure 2024059722000090
から、各方向からの干渉を推定するよう構成される。ここで、y[n]は、特定の第2量子化器での粗く量子化された信号であり、a(θ)は、方向θからの干渉の推定ベクトルである。その後に、前記デジタルフィルタは、
Figure 2024059722000091
として干渉ベクトルを決定するよう構成される。
例916で、例911乃至915のうちのいずれか1つ以上の例の対象において、任意に、前記フィードフォワードループは、前記ビームフォーミングされた信号が前記複数の第2量子化器へ供給される前に、ディザリングノイズを前記ビームフォーミングされた信号に加えるよう構成される複数のコンバイナを更に有する。前記ディザリングノイズは、前記干渉の推定に依存してよい。
例917で、例911乃至916のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号が、前記フィードフォワードループを通じて供給される前記アナログ補償信号と結合されることを可能にするように、十分な遅延を前記ビームフォーミングされた信号に加えるよう構成される複数のアナログ遅延線を含む。
例918で、例911乃至917のうちのいずれか1つ以上の例の対象は、任意に、ディザリングノイズを前記補償されたビームフォーミングされた信号に加えるよう構成される複数のコンバイナを含む。前記ディザリングノイズは、受信器性能の指標に依存してよい。
例919で、例911乃至918のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号が前記複数の第2量子化器へ供給される前に、第1ディザリングノイズを前記ビームフォーミングされた信号に加えるよう構成される複数の第1コンバイナを含む。複数の第2コンバイナは、第2ディザリングノイズを前記補償されたビームフォーミングされた信号に加えるよう構成されてよい。前記第1ディザリングノイズ及び前記第2ディザリングノイズは、前記ビームフォーミングされた信号の異なる品質に依存してよい。
例920で、例910乃至919のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号を供給するよう構成される複数のアンテナを含む。
例921は、受信器において干渉を補償する方法である。当該方法は、ビームフォーミングされた信号を複数のアンテナから受信することを有してよい。夫々のビームフォーミングされた信号は、送信器からの信号及び干渉信号を含んでよい。当該方法は、補償された信号を出力のために量子化することより前に、前記干渉信号について前記ビームフォーミングされた信号を補償するフィードフォワードによって、前記補償された信号を形成することを更に有してよい。該補償された信号は、前記ビームフォーミングされた信号に依存してよい。当該方法は、量子化された出力信号を形成するよう前記補償された信号を量子化することを更に有してよい。当該方法は、前記量子化された出力信号を処理のためにベースバンドプロセッサへ供給することを更に有してよい。
例921aで、例921の対象は、任意に、量子化されたフィードフォワード信号を形成するようフィードフォワードパスに沿って前記ビームフォーミングされた信号を量子化することを含む。当該方法は、デジタル補償信号を供給するよう前記量子化されたフィードフォワード信号において前記干渉信号を補償することを更に有してよい。当該方法は、前記デジタル補償信号をアナログ補償信号に変換することを更に有してよい。当該方法は、前記アナログ補償信号を前記ビームフォーミングされた信号と結合して、前記補償された信号を形成することを更に有してよい。
例922で、例921aの対象において、任意に、前記ビームフォーミングされた信号の量子化の分解能は、前記補償された信号の量子化の分解能よりも小さい。
例923で、例922の対象は、任意に、前記ビームフォーミングされた信号の量子化することより前に、第1ディザリングノイズを前記ビームフォーミングされた信号に加えることを含む。当該方法は、第2ディザリングノイズを前記補償された信号に加えることを更に有してよい。
例924で、例923の対象は、任意に、信号品質を提供するよう、前記デジタル補償信号と、前記補償された信号のデジタルバージョンとを結合することを含む。当該方法は、前記信号品質に基づき、前記ビームフォーミングされた信号の量子化、前記補償された信号の量子化、前記第1ディザリングノイズ、又は前記第2ディザリングノイズの中の少なくとも1つを制御することを更に有してよい。
例925で、例921乃至924(921aを含む。)のうちのいずれか1つ以上の例の対象において、任意に、前記干渉信号を補償することは、
Figure 2024059722000092
から、各方向からの干渉を推定することを有する。ここで、y[n]は、特定の第2量子化器での粗く量子化された信号であり、a(θ)は、方向θからの干渉の推定ベクトルである。その後に、当該方法は、、
Figure 2024059722000093
として干渉ベクトルを決定することを有する。
例926で、例921乃至926(921aを含む。)のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号が前記アナログ補償信号と結合されることを可能にするほど十分に前記ビームフォーミングされた信号を遅延させることを含む。
例927は、受信器の装置である。当該装置は、ビームフォーミングされた信号を複数のアンテナから受信する手段を有してよい。夫々のビームフォーミングされた信号は、送信器からの信号及び干渉信号を含んでよい。当該装置は、補償された信号を出力のために量子化することより前に、前記干渉信号について前記ビームフォーミングされた信号を補償するフィードフォワードによって、前記補償された信号を形成する手段を更に有してよい。該補償された信号は、前記ビームフォーミングされた信号に依存してよい。当該装置は、量子化された出力信号を形成するよう前記補償された信号を量子化する手段を更に有してよい。
例928で、例927の対象は、任意に、量子化されたフィードフォワード信号を形成するようフィードフォワードパスに沿って前記ビームフォーミングされた信号を量子化する手段と、デジタル補償信号を供給するよう前記量子化されたフィードフォワード信号において前記干渉信号を補償する手段とを含む。当該装置は、前記デジタル補償信号をアナログ補償信号に変換する手段を更に有してよい。当該装置は、前記アナログ補償信号を前記ビームフォーミングされた信号と結合して、前記補償された信号を形成する手段を更に有してよい。
例929で、例928の対象において、任意に、前記ビームフォーミングされた信号の量子化の分解能は、前記補償された信号の量子化の分解能よりも小さい。
例930で、例929の対象は、任意に、前記ビームフォーミングされた信号の量子化することより前に第1ディザリングノイズを前記ビームフォーミングされた信号に加える手段と、第2ディザリングノイズを前記補償された信号に加える手段とを含む。
例931で、例930の対象は、任意に、信号品質を提供するよう、前記デジタル補償信号と、前記補償された信号のデジタルバージョンとを結合する手段を含む。当該装置は、前記信号品質に基づき、前記ビームフォーミングされた信号の量子化、前記補償された信号の量子化、前記第1ディザリングノイズ、又は前記第2ディザリングノイズの中の少なくとも1つを制御する手段を更に有してよい。
例932で、例928乃至931のうちのいずれか1つ以上の例の対象は、任意に、
Figure 2024059722000094
から、各方向からの干渉を推定し、y[n]は、特定の第2量子化器での粗く量子化された信号であり、a(θ)は、方向θからの干渉の推定ベクトルであり、その後に、
Figure 2024059722000095
として干渉ベクトルを決定する手段を含む。
例933で、例931乃至932のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号が前記アナログ補償信号と結合されることを可能にするほど十分に前記ビームフォーミングされた信号を遅延させる手段を含む。
例934は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、ビームフォーミングされた信号を複数のアンテナから受信するように前記1つ以上のプロセッサに指示するよう構成されてよい。夫々のビームフォーミングされた信号は、送信器からの信号及び干渉信号を含んでよい。前記命令は、補償された信号を出力のために量子化することより前に、前記干渉信号について前記ビームフォーミングされた信号を補償するフィードフォワードによって、前記補償された信号を形成するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。該補償された信号は、前記ビームフォーミングされた信号に依存してよい。前記命令は、量子化された出力信号を形成するよう前記補償された信号を量子化するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例935で、例934の対象において、任意に、前記命令は、量子化されたフィードフォワード信号を形成するようフィードフォワードパスに沿って前記ビームフォーミングされた信号を量子化するように、前記1つ以上のプロセッサを更に構成する。前記命令は、デジタル補償信号を供給するよう前記量子化されたフィードフォワード信号において前記干渉信号を補償するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、前記デジタル補償信号をアナログ補償信号に変換するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。前記命令は、前記アナログ補償信号を前記ビームフォーミングされた信号と結合して前記補償された信号を形成するように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例936で、例935の対象において、任意に、前記ビームフォーミングされた信号の量子化の分解能は、前記補償された信号の量子化の分解能よりも小さい。
例937で、例936の対象において、任意に、前記命令は、前記ビームフォーミングされた信号の量子化することより前に第1ディザリングノイズを前記ビームフォーミングされた信号に加えるように前記1つ以上のプロセッサを更に構成する。前記命令は、第2ディザリングノイズを前記補償された信号に加えるように前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例938で、例937の対象において、任意に、前記命令は、信号品質を提供するよう、前記デジタル補償信号と、前記補償された信号のデジタルバージョンとを結合するように、前記1つ以上のプロセッサを更に構成する。前記命令は、前記信号品質に基づき、前記ビームフォーミングされた信号の量子化、前記補償された信号の量子化、前記第1ディザリングノイズ、又は前記第2ディザリングノイズの中の少なくとも1つを制御するように、前記1つ以上のプロセッサに指示するよう更に構成されてよい。
例939で、例934乃至938のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、
Figure 2024059722000096
から、各方向からの干渉を推定し、y[n]は、特定の第2量子化器での粗く量子化された信号であり、a(θ)は、方向θからの干渉の推定ベクトルであり、その後に、
Figure 2024059722000097
として干渉ベクトルを決定するように、前記1つ以上のプロセッサを更に構成する。
例940で、例934乃至939のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記ビームフォーミングされた信号が前記アナログ補償信号と結合されることを可能にするほど十分に前記ビームフォーミングされた信号を遅延させるように前記1つ以上のプロセッサを更に構成する。
例941は、通信デバイスの装置である。当該装置は、補償回路、量子化器、及びベースバンドプロセッサを有する受信器を有する。前記補償回路は、複数のビームフォーミングアンテナの夫々で受信された無線周波数(RF)信号における干渉を前記受信器のアナログ領域において補償し、アナログ補償された信号を生成するよう構成されてよい。前記量子化器は、前記補償回路に依存するアナログ入力信号を量子化出力へ変換するよう構成されてよい。前記ベースバンドプロセッサは、前記量子化出力に依存するベースバンド入力信号を受信し、前記RF信号のデジタルバージョンを再構成するよう前記補償の反転を前記ベースバンド入力信号に適用し、前記RF信号の前記デジタルバージョンに対して信号処理を実行するよう構成されてよい。
例942で、例941の対象において、任意に、前記補償回路は、前記量子化器からの前記量子化出力を前記アナログ領域へ供給するよう構成されるフィードバックループを有する。該フィードバックループは、前記干渉の方向に応じて前記量子化出力にフィルタをかけ、フィルタ処理された信号を生成するよう構成されるフィルタを有してよい。前記フィードバックループは、前記フィルタ処理された信号をアナログ信号に変換するよう構成されるデジタルアナログ変換器(DAC)を更に有してよい。前記フィードバックループは、前記量子化器への前記アナログ入力信号を生成するために使用されたアンテナからの信号と前記アナログ信号を結合して、結合信号を形成するよう構成されるコンバイナを更に有してよい。
例943で、例942の対象において、任意に、前記量子化器及び前記デジタルアナログ変換器は、異なる分解能を有している。
例944で、例943の対象において、任意に、前記分解能の少なくとも1つは、所望のビットエラーレート(BER)又はフィルタ特性の少なくとも1つに依存する。
例945で、例942乃至944のうちのいずれか1つ以上の例の対象は、任意に、前記コンバイナと前記量子化器との間に配置され、前記結合信号における量子化ノイズを帯域外に成形するよう構成されるローパスフィルタ(LPF)を含む。
例946で、例945の対象は、任意に、前記LPFと前記量子化器との間に配置され、ゲイン入力を前記量子化器のダイナミックレンジに調整し、前記アナログ入力信号を供給するよう構成されるゲインを含む。
例947で、例942乃至946のうちのいずれか1つ以上の例の対象は、任意に、前記量子化器と前記ベースバンドプロセッサとの間に配置され、前記量子化器によって導入された高調波を削除するよう構成されるローパスフィルタ(LPF)を含む。
例948で、例942乃至947のうちのいずれか1つ以上の例の対象は、任意に、前記DACと前記コンバイナとの間に配置され、チャネル品質に応じて又は固定ゲインにセットされるよう前記アナログ信号を調整するよう構成されるゲインを含む。
例949で、例942乃至948のうちのいずれか1つ以上の例の対象において、任意に、前記量子化器は、前記アナログ入力信号をオーバーサンプリングするよう構成される。前記受信器は、前記量子化器と前記ベースバンドプロセッサとの間に配置され、デシメータ入力信号をナイキストレート(Nyquist rate)にダウンサンプリングするよう構成されるデシメータ(decimator)を更に有してよい。
例950で、例942乃至949のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタの係数は、前記干渉の指向性に依存する。
例951で、例950の対象において、任意に、前記フィルタは、
Figure 2024059722000098
として定義され、ここで、Kはオーバーサンプリングレートであり、Nはアンテナの数である。
例952で、例951の対象において、任意に、
Figure 2024059722000099
であり、ここで、dはアンテナ間距離であり、フィルタ係数行列
Figure 2024059722000100
は、
Figure 2024059722000101
であり、ここで、[・]は疑似逆演算子であり、Iは干渉方向の総数であり、×を○で囲んだ記号はクロネッカー積(Kronecker product)である。
例953で、例941乃至952のうちのいずれか1つ以上の例の対象は、任意に、出力発振信号に応じて信号を送信するよう構成されるアンテナを含む。
例954は、受信器において、低減された量子化器ダイナミックレンジを使用する方法である。当該方法は、複数のビームフォーミングアンテナから複数のビームフォーミングされた信号を受信することを有してよい。当該方法は、夫々のビームフォーミングされた信号について、当該ビームフォーミング信号が量子化器へ供給されることより前に干渉源からの干渉について前記ビームフォーミングされた信号を補償し、補償された信号を前記量子化器へ供給することによって、当該ビームフォーミングされた信号が供給される量子化器のダイナミックレンジを低減することと、前記補償された信号を量子化することと、前記ビームフォーミングされた信号に適用される補償をデジタル反転して、前記ビームフォーミングされた信号のデジタルバージョンを再生することと、前記ビームフォーミングされた信号の前記デジタルバージョンを信号処理することとを更に有してよい。
例955で、例954の対象は、任意に、フィルタ処理された信号を生成するよう、前記干渉の方向に依存した係数を有するフィルタを使用して前記量子化された出力にフィルタをかけることを含む。当該方法は、前記フィルタ処理された信号をアナログ信号に変換することを更に有してよい。当該方法は、前記アナログ信号を前記ビームフォーミングされた信号と結合して、前記補償された信号を生成することを更に有してよい。
例956で、例955の対象は、任意に、前記補償された信号を量子化すること及び前記フィルタ処理された信号を変換することにおいて異なる分解能を使用すること、又は該異なる分解の少なくとも1つが所望のビットエラーレート(BER)若しくはフィルタ特性の少なくとも1つに依存すること、のうちの少なくとも1つを含む。
例957で、例953乃至955のうちのいずれか1つ以上の例の対象は、任意に、LPF信号を形成するようローパスフィルタ(LPF)を用いて前記補償された信号における量子化ノイズを帯域外へと成形することを含む。
例958で、例957の対象は、任意に、前記量子化器のダイナミックレンジを低減するよう、前記LPF信号を量子化することより前に、前記LPF信号のゲインを調整することを含む。
例959で、例954乃至958のうちのいずれか1つ以上の例の対象において、任意に、前記補償された信号は、前記量子化の間にオーバーサンプリングされる。当該方法は、LPF信号を生成するようローパスフィルタ(LPF)を用いて前記量子化器によって導入された高調波を削除し、前記LPF信号をナイキストレートにダウンサンプリングすることを更に有してよい。
例960で、例953乃至959のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタは、
Figure 2024059722000102
として定義され、ここで、Kはオーバーサンプリングレートであり、Nはアンテナの数であり、
Figure 2024059722000103
であって、ここで、dはアンテナ間距離であり、フィルタ係数行列
Figure 2024059722000104
は、
Figure 2024059722000105
であり、ここで、[・]は疑似逆演算子であり、Iは干渉方向の総数であり、×を○で囲んだ記号はクロネッカー積である。
例961は、デジタルポーラー送信器の装置である。当該装置は、複数のビームフォーミングアンテナから複数のビームフォーミングされた信号を受信する手段を有してよい。当該装置は、夫々のビームフォーミングされた信号について、当該ビームフォーミング信号が量子化器へ供給されることより前に干渉源からの干渉について前記ビームフォーミングされた信号を補償し、補償された信号を前記量子化器へ供給することによって、当該ビームフォーミングされた信号が供給される量子化器のダイナミックレンジを低減する手段と、前記補償された信号を量子化する手段と、前記ビームフォーミングされた信号に適用される補償をデジタル反転して、前記ビームフォーミングされた信号のデジタルバージョンを再生する手段と、前記ビームフォーミングされた信号の前記デジタルバージョンを信号処理する手段とを更に有してよい。
例962で、例961の対象は、任意に、フィルタ処理された信号を生成するよう、前記干渉の方向に依存した係数を有するフィルタを使用して前記量子化された出力にフィルタをかける手段を含む。当該装置は、前記フィルタ処理された信号をアナログ信号に変換する手段を更に有してよい。当該装置は、前記アナログ信号を前記ビームフォーミングされた信号と結合して、前記補償された信号を生成する手段を更に有してよい。
例963で、例962の対象は、任意に、異なる分解能が前記補償された信号を量子化すること及び前記フィルタ処理された信号を変換することにおいて使用されること、又は該異なる分解の少なくとも1つが所望のビットエラーレート(BER)若しくはフィルタ特性の少なくとも1つに依存すること、のうちの少なくとも1つを含む。
例964で、例961乃至963のうちのいずれか1つ以上の例の対象は、任意に、LPF信号を形成するようローパスフィルタ(LPF)を用いて前記補償された信号における量子化ノイズを帯域外へと成形する手段を含む。
例965で、例964の対象は、任意に、前記量子化器のダイナミックレンジを低減するよう、前記LPF信号を量子化することより前に、前記LPF信号のゲインを調整する手段を含む。
例966で、例961乃至965のうちのいずれか1つ以上の例の対象において、任意に、前記補償された信号は、前記量子化の間にオーバーサンプリングされる。当該装置は、LPF信号を生成するようローパスフィルタ(LPF)を用いて前記量子化器によって導入された高調波を削除する手段を更に有してよい。当該装置は、前記LPF信号をナイキストレートにダウンサンプリングする手段を更に有してよい。
例967で、例961乃至966のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタは、
Figure 2024059722000106
として定義され、ここで、Kはオーバーサンプリングレートであり、Nはアンテナの数であり、
Figure 2024059722000107
であって、ここで、dはアンテナ間距離であり、フィルタ係数行列
Figure 2024059722000108
は、
Figure 2024059722000109
であり、ここで、[・]は疑似逆演算子であり、Iは干渉方向の総数であり、×を○で囲んだ記号はクロネッカー積である。
例968は、複数のビームフォーミングアンテナから複数のビームフォーミングされた信号を受信するように通信デバイスを構成するよう該通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、夫々のビームフォーミングされた信号について、当該ビームフォーミング信号が量子化器へ供給されることより前に干渉源からの干渉について前記ビームフォーミングされた信号を補償し、補償された信号を前記量子化器へ供給することによって、当該ビームフォーミングされた信号が供給される量子化器のダイナミックレンジを低減し;前記補償及び前記補償された信号の夫々の振幅を独立して制御し;前記補償された信号を量子化し;前記ビームフォーミングされた信号に適用される補償をデジタル反転して、前記ビームフォーミングされた信号のデジタルバージョンを再生し;前記ビームフォーミングされた信号の前記デジタルバージョンを信号処理するように、前記通信デバイスを更に構成してよい。
例969で、例968の対象において、任意に、前記1つ以上のプロセッサは、フィルタ処理された信号を生成するよう、前記干渉の方向に依存した係数を有するフィルタを使用して前記量子化された出力にフィルタをかけるように、前記通信デバイスを更に構成する。前記命令は、前記フィルタ処理された信号をアナログ信号に変換するように前記通信デバイス手段を更に構成してよい。前記命令は、前記アナログ信号を前記ビームフォーミングされた信号と結合して前記補償された信号を生成するように前記通信デバイスを更に構成してよい。
例970で、例969の対象は、任意に、異なる分解能が前記補償された信号を量子化すること及び前記フィルタ処理された信号を変換することにおいて使用されること、又は該異なる分解の少なくとも1つが所望のビットエラーレート(BER)若しくはフィルタ特性の少なくとも1つに依存すること、のうちの少なくとも1つを含む。
例971で、例968乃至970のうちのいずれか1つ以上の例の対象において、任意に、前記1つ以上のプロセッサは、LPF信号を形成するようローパスフィルタ(LPF)を用いて前記補償された信号における量子化ノイズを帯域外へと成形するように、前記通信デバイスを更に構成する。
例972で、例968乃至971のうちのいずれか1つ以上の例の対象において、任意に、前記補償された信号は、前記量子化の間にオーバーサンプリングされる。前記命令は、LPF信号を生成するようローパスフィルタ(LPF)を用いて前記量子化器によって導入された高調波を削除し、前記LPF信号をナイキストレートにダウンサンプリングするように、前記通信デバイスを更に構成してよい。
例973で、例968乃至972のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタは、
Figure 2024059722000110
として定義され、ここで、Kはオーバーサンプリングレートであり、Nはアンテナの数である。
Figure 2024059722000111
であって、ここで、dはアンテナ間距離であり、フィルタ係数行列
Figure 2024059722000112
は、
Figure 2024059722000113
であり、ここで、[・]は疑似逆演算子であり、Iは干渉方向の総数であり、×を○で囲んだ記号はクロネッカー積である。
例974は、通信デバイスの装置である。当該装置は、調整可能なアナログデジタル変換器(ADC)構成を有するADCシステム(ADCS)を有してよい。前記ADC構成は、平均化モードにおける並列演算とタイムインターリーブモードにおける直列演算との間で調整可能である複数のコアADCを有してよい。前記ADCSは、前記通信デバイスのより高い分解能、より低い帯域幅動作のために前記平均化モードにおいて構成され、前記通信デバイスのより低い分解能、より高速な動作のために前記タイムインターリーブモードにおいて構成されてよい。
例975で、例974の対象において、任意に、前記ADCSは、複数のタイミングユニットを更に有する。夫々のタイミングユニットは、前記複数のコアADCの中の異なるコアADCと接続されてよい。夫々のタイミングユニットは、当該タイミングユニットへ供給されるマスタクロック信号に基づき、システムクロック信号を関連するコアADCへ供給するよう構成されてよい。前記システムクロック信号は、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかに依存してよい。
例976で、例975の対象において、任意に、夫々のタイミングユニット及びコアADCは、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかを示すコントローラからのモード信号と、当該タイミングユニット及びコアADCを前記平均化モード又は前記タイムインターリーブモードのうちの少なくとも一方における所望のセットアップに調整するためのコンフィグレーションビットの組とを受けるよう構成される。
例977で、例976の対象において、任意に、前記モード信号は、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかを示す単ビットと、いくつの前記コアADCが使用されるべきかを示す少なくとも1つの追加ビットとを有する。
例978で、例977の対象において、任意に、前記少なくとも1つの追加ビットは、前記コアADCのうちのどれを使用すべきかを特定する。
例979で、例976乃至978のうちのいずれか1つ以上の例の対象において、任意に、前記モード信号は、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかを示す単ビットから成る。
例980で、例974乃至979のうちのいずれか1つ以上の例の対象において、任意に、前記コアADCは、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかに応じて分解能が変化する可変ビットADCである。
例981で、例974乃至980のうちのいずれか1つ以上の例の対象において、任意に、夫々のコアADCは、量子化されるべき入力信号をオーバーサンプリング及びデシメーションするサンプリング回路を有する。
例982で、例974乃至981のうちのいずれか1つ以上の例の対象において、任意に、前記ADCSは、前記コアADCから量子化された信号を受信し、該量子化された信号を、前記ADCSが前記平均化モード又は前記タイムインターリーブモードのどちらにあるかに応じて異なるように処理するよう構成される処理回路を更に有する。
例983で、例982の対象において、任意に、前記処理回路は、前記ADCSが前記平均化モードにある場合にはバッファとして、前記ADCSが前記タイムインターリーブモードにある場合には等化器として動作するよう構成される。
例984で、例974乃至983のうちのいずれか1つ以上の例の対象は、任意に、入力信号を前記ADCSへ供給するアンテナ素子を有するアンテナを含む。
例985は、フレキシブルなアナログデジタル変換器(ADC)アーキテクチャを提供する方法である。当該方法は、コアADCからの出力が平均化されるところのより高い分解能、より低い帯域幅動作のための平均化モードADC構成と、より低い分解能、より高速な動作のためのタイムインターリーブADC構成との間で、ADC構成を調整することを有してよい。当該方法は、平均化されたADC出力を生成するよう前記コアADCからの出力を前記平均化モードADC構成において平均化することを更に有してよい。当該方法は、タイムインターリーブされたADC出力を生成するよう前記コアADCからの出力を前記タイムインターリーブモードにおいて結合することを更に有してよい。
例986で、例985の対象は、任意に、タイミングユニットへ供給されたマスタクロック信号に基づきシステムクロック信号及び局所マスタクロック信号を夫々のコアADCへ供給することを含む。当該方法は、前記ADC構成に応じて前記システムクロック信号を調整することを更に有してよい。
例987で、例986の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。前記モード信号は、前記ADC構成を示す単ビットと、いくつの前記コアADCが使用されるべきか示す少なくとも1つの追加ビットとを有してよい。
例988で、例986乃至987のうちのいずれか1つ以上の例の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。前記モード信号は、前記ADC構成を示す単ビットから成ってよい。
例989で、例986乃至988のうちのいずれか1つ以上の例の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。当該方法は、構成ビットの組に基づき前記ADC構成を所望のセットアップへ調整することを更に有してよい。
例990で、例985乃至989のうちのいずれか1つ以上の例の対象は、任意に、前記ADC構成に応じて前記コアADCの分解能を調整することを含む。
例991で、例985乃至990のうちのいずれか1つ以上の例の対象は、任意に、量子化された信号を生成するよう入力信号を量子化することより前に、前記コアADCの夫々への前記入力信号をオーバーサンプリング及びデシメーションすることを含む。
例992で、例985乃至991のうちのいずれか1つ以上の例の対象は、任意に、前記ADC構成に応じて異なるように前記量子化された信号を処理することを含む。該処理することは、前記コアADCの夫々からの前記量子化された信号を前記平均化モードADC構成においてバッファリングすることと、前記コアADCの夫々からの前記量子化された信号を前記タイムインターリーブモードADC構成において均等化することとを有してよい。
例993は、通信デバイスの装置である。当該装置は、コアADCからの出力が平均化されるところのより高い分解能、より低い帯域幅動作のための平均化モードADC構成と、より低い分解能、より高速な動作のためのタイムインターリーブADC構成との間で、アナログデジタル変換器(ADC)構成を調整する手段を有してよい。当該装置は、平均化されたADC出力を生成するよう前記コアADCからの出力を前記平均化モードADC構成において平均化する手段を更に有してよい。当該装置は、タイムインターリーブされたADC出力を生成するよう前記コアADCからの出力を前記タイムインターリーブモードにおいて結合する手段を更に有してよい。
例994で、例993の対象は、任意に、タイミングユニットへ供給されたマスタクロック信号に基づきシステムクロック信号及び局所マスタクロック信号を夫々のコアADCへ供給する手段を含む。当該装置は、前記ADC構成に応じて前記システムクロック信号を調整する手段を更に有してよい。
例995で、例994の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。前記モード信号は、前記ADC構成を示す単ビットと、いくつの前記コアADCが使用されるべきか示す少なくとも1つの追加ビットとを有してよい。
例996で、例994乃至995のうちのいずれか1つ以上の例の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。前記モード信号は、前記ADC構成を示す単ビットから成ってよい。
例997で、例994乃至996のうちのいずれか1つ以上の例の対象において、任意に、前記システムクロック信号は、前記ADC構成を示すモード信号に基づき調整される。前記モード信号は、前記平均化モードADC構成又は前記タイムインターリーブモードADC構成のどちらにあるかを示す単ビットと、いくつの前記コアADCが使用されるべきかを示す少なくとも1つの追加ビットとを有してよい。
例998で、例994乃至997のうちのいずれか1つ以上の例の対象は、任意に、前記ADC構成に応じて前記コアADCの分解能を調整する手段を含む。
例999で、例994乃至998のうちのいずれか1つ以上の例の対象は、任意に、量子化された信号を生成するよう入力信号を量子化することより前に、前記コアADCの夫々への前記入力信号をオーバーサンプリング及びデシメーションする手段を含む。
例1000は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、複数のコアADCのアナログデジタル変換器(ADC)構成を平均化モードとタイムインターリーブモードとの間で調整するように前記1つ以上のプロセッサに指示するよう構成されてよい。前記平均化モードは、より高い分解能、より低い帯域幅動作のために構成されてよく、前記タイムインターリーブモードは、より低い分解能、より高速な動作のために構成されてよい。前記命令は、前記ADC構成に応じて異なるように前記コアADCからの量子化された信号を処理するように前記1つ以上のプロセッサに指示するよう構成されてよい。前記処理することは、前記コアADCの夫々からの前記量子化された信号を前記平均化モードADC構成においてバッファリングすることと、前記コアADCの夫々からの前記量子化された信号を前記タイムインターリーブモードADC構成において均等化することとを有してよい。
例1001で、例1000の対象において、任意に、前記命令は、諷す宇野タイミングユニットの夫々を、当該タイミングユニットへ供給されたマスタクロック信号に基づきシステムクロック信号を異なるコアADCへ供給するように構成するよう、前記1つ以上のプロセッサに更に指示する。前記システムクロック信号は、前記ADC構成に依存してよい。
例1002で、例1001の対象において、任意に、夫々のタイミングユニット及びコアADCは、前記ADC構成のどちらかを示すモード信号を受信するよう構成される。該モード信号は、前記ADC構成を示す単ビットと、いくつの前記コアADCが使用されるべきか示す少なくとも1つの追加ビットとを有する。
例1003で、例1002の対象において、任意に、前記少なくとも1つの追加ビットは、前記コアADCのうちのどれを使用すべきかを特定する。
例1004で、例1003の対象において、任意に、夫々のタイミングユニット及びコアADCは、前記ADC構成のどちらかを示すモード信号を受信するよう構成される。該モード信号は、前記ADC構成を示す単ビットから成ってよい。
例1005で、例1000乃至1004のうちのいずれか1つ以上の例の対象において、任意に、前記コアADCは、前記平均化モードADC構成又は前記タイムインターリーブモードADC構成のどちらにあるかに応じて分解能が変化する可変ビットADCである。
例1006で、例1000乃至1005のうちのいずれか1つ以上の例の対象において、任意に、夫々のコアADCは、量子化されるべき入力信号をオーバーサンプリング及びデシメーションするサンプリング回路を有する。
例1007は、通信デバイスの装置である。当該装置は、ビームフォーミングされた信号を受信するよう構成される複数のアナログデジタル変換器(ADC)を有する受信器回路を有してよい。該受信器回路は、前記ビームフォーミングされた信号が前記複数のADCへ供給される前に前記ビームフォーミングされた信号にアナログ補償を提供するよう供与するよう構成されてよい。前記ビームフォーミングされた信号は、所望の信号及び干渉信号を有してよい。前記補償は、前記干渉信号を補償し且つ前記複数のADCのダイナミックゲインを低減するよう構成されてよい。
例1008で、例1007の対象において、任意に、前記受信器回路は、前記複数のADCからデジタル信号を受信するよう構成されるベースバンドプロセッサを更に有する。該ベースバンドプロセッサは、前記所望の信号の方向の決定より前に前記アナログ補償の反転を供給するよう更に構成されてよい。
例1009で、例1007乃至1008のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号を複数のアナログ出力として出力するよう構成される無線周波数(RF)フロントエンドを含む。前記受信器回路は、夫々のアナログ出力のためのコンバイナを更に有してよい。該コンバイナは、前記アナログ出力の夫々の重み付けされたコピーを結合するよう構成されてよい。
例1010で、例1009の対象において、任意に、前記アナログ出力の重み付けを記述するアナログ加算重み行列は可逆行列(invertible matrix)であり、前記重み付けは固定である。
例1011で、例1009乃至1010のうちのいずれか1つ以上の例の対象において、任意に、前記アナログ出力の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは、前記所望の信号のSINR(signal-to-interference-plus-noise)を最大にするよう前記所望の干渉信号の状態に適応的に依存してよい。
例1012で、例1011の対象において、任意に、前記アナログ加算重み行列は、アダマール行列(Hadamard matrix)を有する。
例1013で、例1009乃至1012のうちのいずれか1つ以上の例の対象において、任意に、前記受信器回路は、夫々のコンバイナについて、当該コンバイナの出力が供給される入力部と、対応するADCの入力部と接続される出力部とを有する可変ゲインを更に有する。該可変ゲインのゲインは、前記対応するADCへ供給されるビームフォーミングされた信号の電力レベルを正規化するようセットされてよい。
例1014で、例1009乃至1013のうちのいずれか1つ以上の例の対象において、任意に、前記コンバイナは、電流モード加算により実装される。
例1015で、例1008乃至1014のうちのいずれか1つ以上の例の対象において、任意に、前記ベースバンドプロセッサは、特定の動作中の使用のために多数の前記ADCを有効にするよう更に構成される。
例1016で、例1008乃至1015のうちのいずれか1つ以上の例の対象において、任意に、前記ベースバンドプロセッサは、所望のアレイ干渉除去及び角度分解能に応じて夫々のADCのダイナミックレンジを選択するよう更に構成される。
例1017で、例1007乃至1016のうちのいずれか1つ以上の例の対象は、任意に、前記ビームフォーミングされた信号を供給するアンテナ素子を有するアンテナを含む。
例1018は、受信器におけるアナログデジタル変換器(ADC)のダイナミックゲインを低減する方法である。当該方法は、アンテナの複数のアンテナ素子からビームフォーミングされた信号を受信することを有してよい。夫々のビームフォーミングされた信号は、所望の信号及び干渉信号を有してよい。当該方法は、前記ビームフォーミングされた信号を前記ADCへ供給する前に、補償された信号を形成するよう前記干渉信号を補償することを更に有してよい。夫々の補償された信号は、異なるADCへ供給されてよく、当該方法は、量子化された信号を形成するよう前記ADCで前記補償された信号を量子化することを更に有してよい。当該方法は、前記量子化された信号を処理する前に、前記補償を反転させることを更に有してよい。
例1019で、例1018の対象において、任意に、前記量子化された信号の処理は、前記所望の信号若しくは前記干渉信号の少なくとも一方の方向を決定すること又はチャネルサウンディングの少なくとも1つを有する。
例1020で、例1018乃至1019のうちのいずれか1つ以上の例の対象において、任意に、前記干渉信号を補償することは、夫々の補償された信号について、前記ビームフォーミングされた信号の夫々の重み付けされたコピーを結合することを有する。
例1021で、例1020の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは固定であってよい。
例1022で、例1020乃至1021のうちのいずれか1つ以上の例の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは、前記所望の信号のSINR(signal-to-interference-plus-noise)を最大にするよう前記所望の干渉信号の状態に適応的に依存してよい。
例1023で、例1022の対象において、任意に、前記アナログ加算重み行列は、アダマール行列を有する。
例1024で、例1018乃至1023のうちのいずれか1つ以上の例の対象は、任意に、前記ADCの中の対応するADCへ供給される信号の電力レベルを正規化するよう夫々の補償された信号の可変ゲインを調整することを含む。
例1025で、例1018乃至1024のうちのいずれか1つ以上の例の対象は、任意に、特定の動作中に使用する前記ADCの数を調整することを含む。
例1026で、例1018乃至1025のうちのいずれか1つ以上の例の対象は、任意に、所望のアレイ干渉除去及び角度分解能に依存して夫々のADCのダイナミックレンジを選択することを含む。
例1027は、通信デバイスの装置である。当該装置は、アンテナの複数のアンテナ素子からビームフォーミングされた信号を受信する手段を有してよい。夫々のビームフォーミングされた信号は、所望の信号及び干渉信号を有してよい。当該装置は、前記ビームフォーミングされた信号をアナログデジタル変換器(ADC)へ供給する前に、補償された信号を形成するよう前記干渉信号を補償する手段を更に有してよい。夫々の補償された信号は、異なるADCへ供給されてよい。当該装置は、量子化された信号を形成するよう前記ADCで前記補償された信号を量子化する手段を更に有してよい。当該装置は、前記量子化された信号を処理する前に、前記補償を反転させる手段を更に有してよい。
例1028で、例1027の対象は、任意に、前記量子化された信号の処理中に、前記所望の信号若しくは前記干渉信号の少なくとも一方の方向を決定する手段又はチャネルサウンディングの少なくとも1つを含む。
例1029で、例1027乃至1028のうちのいずれか1つ以上の例の対象において、任意に、前記干渉信号を補償する手段は、夫々の補償された信号について、前記ビームフォーミングされた信号の夫々の重み付けされたコピーを結合する手段を有する。
例1030で、例1029の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは固定であってよい。
例1031で、例1029乃至1030のうちのいずれか1つ以上の例の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは、前記所望の信号のSINR(signal-to-interference-plus-noise)を最大にするよう前記所望の干渉信号の状態に適応的に依存してよい。
例1032で、例1031の対象において、任意に、前記アナログ加算重み行列は、アダマール行列を有する。
例1033で、例1027乃至1032のうちのいずれか1つ以上の例の対象は、任意に、前記ADCの中の対応するADCへ供給される信号の電力レベルを正規化するよう夫々の補償された信号の可変ゲインを調整する手段を含む。
例1034で、例1027乃至1033のうちのいずれか1つ以上の例の対象は、任意に、特定の動作中に使用する前記ADCの数を調整する手段を含む。
例1035で、例1027乃至1034のうちのいずれか1つ以上の例の対象は、任意に、所望のアレイ干渉除去及び角度分解能に依存して夫々のADCのダイナミックレンジを選択する手段を含む。
例1036は、通信デバイスの1つ以上のプロセッサによって実行される命令を記憶しているコンピュータ可読記憶媒体である。前記命令は、アナログ補償の反転より前に量子化された信号を形成するよう量子化されているビームフォーミングされた信号の前記アナログ補償を判定させるように、前記1つ以上のプロセッサに指示するよう構成されてよい。夫々のビームフォーミングされた信号は、所望の信号及び干渉信号を有してよい。夫々の量子化された信号は、異なる信号パスで供給されてよい。前記命令は、前記所望の信号若しくは前記干渉信号の少なくとも一方の方向を決定すること、又はチャネルサウンディングを実行することの少なくとも1つのために前記アナログ補償の反転後に前記量子化された信号を処理するよう更に構成されてよい。
例1037で、例1036の対象において、任意に、前記アナログ補償は、夫々の信号パスについて、前記ビームフォーミングされた信号の夫々の重み付けされたコピーを結合することを有する。
例1038で、例1037の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記重み付けは固定であってよい。
例1039で、例1037乃至1038のうちのいずれか1つ以上の例の対象において、任意に、前記ビームフォーミングされた信号の重み付けを記述するアナログ加算重み行列は可逆行列である。前記命令は、前記所望の信号のSINR(signal-to-interference-plus-noise)を最大にするよう前記所望の干渉信号の状態に応じて前記重み付けを調整するように前記1つ以上のプロセッサに指示するよう構成されてよい。
例1040で、例1039の対象において、任意に、前記アナログ加算重み行列は、アダマール行列を有する。
例1041で、例1036乃至1040のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記量子化された信号を形成するための前記アナログ補償されたビームフォーミングされた信号の量子化より前に、夫々のアナログ補償されたビームフォーミングされた信号の可変ゲインを調整して当該アナログ補償されたビームフォーミングされた信号の電力レベルを正規化するように、前記1つ以上のプロセッサに指示するよう構成される。
例1042で、例1036乃至1041のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、特定の動作中にアクティブな同時の量子化の数を調整するように前記1つ以上のプロセッサに指示するよう構成される。
例1043で、例1036乃至1042のうちのいずれか1つ以上の例の対象において、任意に、前記命令は、前記ビームフォーミングされた信号の所望のアレイ干渉除去及び角度分解能に依存して夫々の量子化のダイナミックレンジを選択するように、zねんきひとつ以上のプロセッサに指示するよう構成される。
例1044は、タイムインターリーブ型アナログデジタル変換器(ADC)のための、ループバックに基づく時間スキューキャリブレーション回路である。当該回路は、夫々のチャネルが無線周波数トランシーバの送信パス内のデジタルアナログ変換器(DAC)及び前記トランシーバの受信パス内でクロックによって駆動されるADCを有する複数の信号チャネルと、少なくとも1つの信号チャネルの送信パスでリファレンス信号を生成するリファレンス信号発生器と、前記少なくとも1つの信号チャネルの送信パスに対応する受信パスへ前記リファレンス信号を送るループバック接続と、前記リファレンス信号に関連した推定時間スキューを決定する位相推定器と、前記推定時間スキューを補償するようクロックタイミングを制御し、前記推定時間スキューが入力される入力部を有する遅延補正回路とを有してよい。
例1045で、例1044の対象において、前記リファレンス信号発生器は、全ての信号チャネルの送信パスで前記リファレンス信号を生成する。
例1046で、例1044乃至1045のうちのいずれか1つ以上の例の対象は、任意に、送信パス中間周波数(IF)増幅器及び受信パスIF増幅器を含み、前記ループバック接続は、前記送信パスIF増幅器及び前記受信パスIF増幅器の両方に隣接して接続される。
例1047で、例1044乃至1046のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの信号チャネルは、同相(I)サブチャネル及び直交(Q)サブチャネルを有し、前記リファレンス信号は、I送信サブパス及びQ送信サブパスにおいて供給され、前記位相推定器は、I位相推定器及びQ位相推定器を有し、前記遅延補正回路は、I遅延補正回路及びQ遅延補正回路を有する。
例1048で、例1044乃至1047のうちのいずれか1つ以上の例の対象において、任意に、前記リファレンス信号は、予め定義された周波数の正弦波信号である。
例1049で、例1048の対象において、任意に、前記リファレンス信号は、形状s(t)=Asin(2πft+θ)を有し、ここで、fは、予め定義された正弦波周波数であり、θは、正弦波の位相であり、Aは、正弦波の振幅である。
例1050で、例1044乃至1049のうちのいずれか1つ以上の例の対象において、任意に、前記リファレンス信号は複素指数信号である。
例1051で、例1050の対象において、任意に、前記リファレンス信号は、形状sI(t)=AIcos(2πft+θ)、sQ(t)=AQsin(2πft+θ)を有し、ここで、fは、予め定義された正弦波周波数であり、θは、正弦波の位相であり、AIは、同相正弦波の振幅であり、AQは、直交正弦波の振幅である。
例1052で、例1044乃至1051のうちのいずれか1つ以上の例の対象において、任意に、前記ADCは、タイムインターリーブ型アナログデジタル変換器(TI-ADC)を形成するよう組み合わされる。
例1053で、例1052の対象において、任意に、前記ADCは、共通サンプリング周波数により動作する。
例1054で、例1044乃至1053のうちのいずれか1つ以上の例の対象において、任意に、当該回路は、前記無線周波数トランシーバのためのモデム回路と一体化される。
例1055で、例1054の対象において、任意に、前記モデム回路は、前記無線周波数トランシーバと一体化される。
例1056は、タイムインターリーブ型アナログデジタル変換器(ADC)のためのループバックに基づく時間スキューキャリブレーション回路の作動方法である。当該方法は、リファレンス信号発生器によって、複数の信号チャネルの少なくとも1つへ供給されるリファレンス信号を生成することを有してよい。夫々の信号チャネルは、無線周波数トランシーバの送信パス内のデジタルアナログ変換器(DAC)及び前記トランシーバの受信パス内でクロックによって駆動されるADCを有する。当該方法は、前記少なくとも1つのチャネルの送信パスに対応する受信パスへ送信パスからの前記リファレンス信号を送ることと、位相推定器により、前記リファレンス信号に基づき推定時間スキューを計算することと、クロックタイミングを制御して前記推定時間スキューを補償するよう遅延補正回路により前記クロックタイミングを補正することとを更に有してよい。
例1057は、デバイスの処理回路によって実行される場合に、該デバイスを、リファレンス信号発生器によって、複数の信号チャネルの少なくとも1つへ供給されるリファレンス信号を生成することであり、夫々の信号チャネルが無線周波数トランシーバの送信パス内のデジタルアナログ変換器(DAC)及び前記トランシーバの受信パス内でクロックによって駆動されるADCを有する、前記生成することと、前記少なくとも1つのチャネルの送信パスに対応する受信パスへ送信パスからの前記リファレンス信号を送ることと、位相推定器により、前記リファレンス信号に基づき推定時間スキューを計算することと、クロックタイミングを制御して前記推定時間スキューを補償するよう遅延補正回路により前記クロックタイミングを補正することとを実行するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1058で、例1057の対象において、任意に、前記リファレンス信号発生器は、全ての信号チャネルの送信パスで前記リファレンス信号を生成する。
例1059は、例1056の方法を実行する手段を有するシステムである。
例1060は、タイムインターリーブ型アナログデジタル変換器(ADC)のためのループバックに基づく時間スキューキャリブレーション回路の作動装置である。当該装置は、リファレンス信号発生器によって、複数の信号チャネルの少なくとも1つへ供給されるリファレンス信号を生成する手段を有してよい。夫々の信号チャネルは、無線周波数トランシーバの送信パス内のデジタルアナログ変換器(DAC)及び前記トランシーバの受信パス内でクロックによって駆動されるADCを有する。当該装置は、前記少なくとも1つのチャネルの送信パスに対応する受信パスへ送信パスからの前記リファレンス信号を送る手段と、位相推定器により、前記リファレンス信号に基づき推定時間スキューを計算する手段と、クロックタイミングを制御して前記推定時間スキューを補償するよう遅延補正回路により前記クロックタイミングを補正する手段とを更に有してよい。
例1061で、例1060の対象において、任意に、前記リファレンス信号発生器は、全ての信号チャネルの送信パスにおいて前記リファレンス信号を生成する。
例1062で、例1060乃至1061のうちのいずれか1つ以上の例の対象は、任意に、送信パスにおいて中間周波数信号を増幅する手段と、受信パスにおいてIF信号を増幅する手段とを含み、ループバック接続は、送信パス増幅器及び受信パス増幅器の両方に隣接して接続される。
例1063で、例1060乃至1062のうちのいずれか1つ以上の例の対象において、任意に、前記リファレンス信号は、予め定義された周波数の正弦波信号である。
例1064で、例1063の対象において、任意に、前記リファレンス信号は、形状s(t)=Asin(2πft+θ)を有し、ここで、fは、予め定義された正弦波周波数であり、θは、正弦波の位相であり、Aは、正弦波の振幅である。
例1065で、例1060乃至1064のうちのいずれか1つ以上の例の対象において、任意に、前記リファレンス信号は複素指数信号である。
例1066で、例1065の対象において、任意に、前記リファレンス信号は、形状sI(t)=AIcos(2πft+θ)、sQ(t)=AQsin(2πft+θ)を有し、ここで、fは、予め定義された正弦波周波数であり、θは、正弦波の位相であり、AIは、同相正弦波の振幅であり、AQは、直交正弦波の振幅である。
例1067で、例1060乃至1066のうちのいずれか1つ以上の例の対象において、任意に、前記ADCは、タイムインターリーブ型アナログデジタル変換器(TI-ADC)を形成するよう組み合わされる。
例1068で、例1067の対象において、任意に、前記ADCは、共通サンプリング周波数により動作する。
例1069で、例1060乃至1068のうちのいずれか1つ以上の例の対象において、任意に、当該装置は、前記無線周波数トランシーバのためのモデム回路と一体化される。
例1070で、例1069の対象において、任意に、前記モデム回路は、前記無線周波数トランシーバと一体化される。
例1071は、ゲイン補正デバイスを備えたタイムインターリーブ型アナログデジタル変換器(TI-ADC)である。当該TI-ADCは、通常動作モードでのデバイス入力とキャリブレーションモードでのリファレンス電圧入力との間を切り替え、スイッチド信号を出力するスイッチと、前記スイッチド信号のスライスを受信し、デジタル出力信号を出力するアナログデジタル変換器(ADC)を夫々有する複数の信号チャネルと、前記ADCの前記デジタル出力信号から結合出力信号を生成するマルチプレクサと、前記通常動作モードでの動作時には信号を調整するか又は該調整を支援して、ゲイン調整された出力信号を生成し、前記キャリブレーションモードでの動作時には測定信号を供給するする測定及び補正ユニットと、前記通常動作モード又は前記キャリブレーションモードで動作させるよう前記スイッチと前記測定及び補正ユニットとを制御し、測定信号関連データを前記結合出力信号の調整のためにメモリに記憶し、前記信号チャネルのインターリーブタイミングを制御するコントローラとを有してよい。
例1072で、例1071の対象において、任意に、前記複数の信号チャネルは夫々、カスケード様態において前記ADCの動作及びインターリーブタイミングを提供するよう前記コントローラによって集合的に制御される前記ADCの前のトラック又はサンプル・アンド・ホールド回路を更に有する。
例1073で、例1072の対象において、任意に、前記スイッチは、前記トラック又はサンプル・アンド・ホールド回路と各々のADCとの間に設けられる。
例1074で、例1071乃至1073のうちのいずれか1つ以上の例の対象において、任意に、前記測定信号関連データは、各々のチャネルにおいて前記調整のために使用されるゲイン値である。
例1075で、例1074の対象において、任意に、前記ゲイン値はゲインオフセットである。
例1076で、例1074乃至1075のうちのいずれか1つ以上の例の対象において、任意に、前記ゲイン値は、前記リファレンス電圧入力によって供給される複数のリファレンス電圧値に基づく。
例1077で、例1076の対象において、任意に、前記複数のリファレンス電圧値は波形信号値である。
例1078で、例1077の対象において、任意に、前記波形信号値は、前記ゲイン調整された出力信号から導出されたフィードバック信号から供給される。
例1079で、例1077乃至1078のうちのいずれか1つ以上の例の対象において、任意に、前記波形信号値は、複素指数信号に基づく。
例1080で、例1076乃至1079のうちのいずれか1つ以上の例の対象において、任意に、前記ゲイン値は、前記メモリ内でルックアップテーブル(LUT)において記憶される。
例1081で、例1076乃至1080のうちのいずれか1つ以上の例の対象において、任意に、ゲイン値計算器は、キャリブレーション値間の値の線形補間を利用する。
例1082で、例1071乃至1081のうちのいずれか1つ以上の例の対象において、任意に、前記コントローラは、前記測定信号関連データに基づき前記信号チャネル内でアナログ調整を行うべきである。
例1083で、例1082の対象において、任意に、前記アナログ調整は、前記ADCの制御によって行われる。
例1084で、例1071乃至1083のうちのいずれか1つ以上の例の対象は、任意に、前記測定信号関連データと関連付けて記憶するよう温度関連情報を供給する温度リファレンスを含む。
例1085は、ゲイン補正デバイスを備えたタイムインターリーブ型アナログデジタル変換器(TI-ADC)の作動方法である。当該方法は、通常動作モードでのデバイス入力とキャリブレーションモードでのリファレンス電圧入力との間を切り替えてスイッチド信号を出力することと、アナログデジタル変換器(ADC)を夫々有する複数の信号チャネルにより、前記スイッチド信号のスライスを受信し、デジタル出力信号を出力することと、マルチプレクサにより、前記ADCの前記デジタル出力信号から結合出力信号を生成することと、測定及び補正ユニットにより、前記通常動作モードでの動作時には信号を調整するか又は該調整を支援して、ゲイン調整された出力信号を生成し、前記キャリブレーションモードでの動作時には測定信号を供給するすることと、前記通常動作モード又は前記キャリブレーションモードで動作させ、測定信号関連データを前記結合出力信号の調整のためにメモリに記憶し、前記信号チャネルのインターリーブタイミングを制御するよう前記スイッチと前記測定及び補正ユニットとを制御することとを有してよい。
例1086は、デバイスの処理回路によって実行される場合に、該デバイスを、通常動作モードでのデバイス入力とキャリブレーションモードでのリファレンス電圧入力との間を切り替えてスイッチド信号を出力することと、アナログデジタル変換器(ADC)を夫々有する複数の信号チャネルにより、前記スイッチド信号のスライスを受信し、デジタル出力信号を出力することと、マルチプレクサにより、前記ADCの前記デジタル出力信号から結合出力信号を生成することと、測定及び補正ユニットにより、前記通常動作モードでの動作時には信号を調整するか又は該調整を支援して、ゲイン調整された出力信号を生成し、前記キャリブレーションモードでの動作時には測定信号を供給するすることと、前記通常動作モード又は前記キャリブレーションモードで動作させ、測定信号関連データを前記結合出力信号の調整のためにメモリに記憶し、前記信号チャネルのインターリーブタイミングを制御するよう前記スイッチと前記測定及び補正ユニットとを制御することとを実行するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1087で、例1086の対象において、任意に、前記複数の信号チャネルは夫々、カスケード様態において前記ADCの動作及びインターリーブタイミングを提供するよう前記コントローラによって集合的に制御される前記ADCの前のトラック又はサンプル・アンド・ホールド回路を更に有する。
例1088は、例1087の方法を実行する手段を有するシステムである。
例1089は、ゲイン補正デバイスを備えたタイムインターリーブ型アナログデジタル変換器(TI-ADC)の作動装置である。当該装置は、通常動作モードでのデバイス入力とキャリブレーションモードでのリファレンス電圧入力との間を切り替えてスイッチド信号を出力する手段と、アナログデジタル変換器(ADC)を夫々有する複数の信号チャネルにより、前記スイッチド信号のスライスを受信し、デジタル出力信号を出力する手段と、マルチプレクサにより、前記ADCの前記デジタル出力信号から結合出力信号を生成する手段と、測定及び補正ユニットにより、前記通常動作モードでの動作時には信号を調整するか又は該調整を支援して、ゲイン調整された出力信号を生成し、前記キャリブレーションモードでの動作時には測定信号を供給するする手段と、前記通常動作モード又は前記キャリブレーションモードで動作させ、測定信号関連データを前記結合出力信号の調整のためにメモリに記憶し、前記信号チャネルのインターリーブタイミングを制御するよう前記スイッチと前記測定及び補正ユニットとを制御する手段とを有してよい。
例1090で、例1089の対象において、任意に、前記複数の信号チャネルは夫々、カスケード様態において前記ADCの動作及びインターリーブタイミングを提供するよう前記コントローラによって集合的に制御される前記ADCの前のトラック又はサンプル・アンド・ホールド回路を更に有する。
例1091で、例1090の対象において、任意に、前記切り替える手段は、前記トラック又はサンプル・アンド・ホールド回路と各々のADCとの間に設けられる。
例1092で、例1091の対象において、任意に、前記測定信号関連データは、各々のチャネルにおいて前記調整する手段のために使用されるゲイン値である。
例1093で、例1092の対象において、任意に、前記ゲイン値はゲインオフセットである。
例1094で、例1092乃至1094のうちのいずれか1つ以上の例の対象において、任意に、前記ゲイン値は、前記リファレンス電圧入力によって供給される複数のリファレンス電圧値に基づく。
例1095で、例1094の対象において、任意に、前記複数のリファレンス電圧値は波形信号値である。
例1096で、例1095の対象において、任意に、前記波形信号値は、前記ゲイン調整された出力信号から導出されたフィードバック信号から供給される。
例1097で、例1095乃至1096のうちのいずれか1つ以上の例の対象において、任意に、前記波形信号値は、複素指数信号に基づく。
例1098で、例1094乃至1097のうちのいずれか1つ以上の例の対象において、任意に、前記ゲイン値は、前記メモリ内でルックアップテーブル(LUT)において記憶される。
例1099で、例1094乃至1098のうちのいずれか1つ以上の例の対象において、任意に、ゲイン値計算器は、キャリブレーション値間の値の線形補間を利用する。
例1100で、例1099の対象において、任意に、前記制御する手段は、前記測定信号関連データに基づき前記信号チャネル内でアナログ調整を行う。
例1101で、例1100の対象において、任意に、前記アナログ調整は、前記ADCの制御によって行われる。
例1102で、例1101の対象は、任意に、前記測定信号関連データを関連付けて記憶するよう温度関連情報を供給する手段を更に有することを含む。
例1103は、フェーズドアレイ送信器である。該フェーズドアレイ送信器は、アンテナ及び該アンテナへ結合された送信増幅器を夫々有する複数の送信チャネルと、出力信号を、前記送信チャネル内の前記送信増幅器へ供給される複数の出力チャネル信号に分ける送信電力スプリッタと、デジタル送信データを前記出力信号に変換するベースバンド-RF送信回路と、外部フェーズドアレイトランシーバ(EPAT)の送電信号特性に関する信号の非線形特性を決定し、且つ、前記EPATにおける非線形性を補正するために使用可能な非線形データを前記EPATへの送信のためにIF送信器段へ供給する外部非線形データプロセッサとを有してよい。
例1104で、例1103の対象は、任意に、前記出力信号を前記送信電力スプリッタへ供給する無線周波数(RF)変調段と、デジタルベースバンド出力信号をIF出力信号に変換するようデジタルアナログ変換器(DAC)を有する中間周波数(IF)変調段とを含む。
例1105で、例1104の対象において、任意に、前記非線形データは、前記EPATについての出力電力に対する入力電力の特性曲線の非線形性を補償する曲線の多項式係数を有する。
例1106で、例1105の対象において、任意に、前記曲線の前記多項式係数は5次以下である。
例1107で、例1104乃至1106のうちのいずれか1つ以上の例の対象において、任意に、前記非線形データは、前記EPATについての出力電力に対する入力電力の特性曲線の非線形性を補償するよう対応するルックアップテーブル(LUT)値を有する。
例1108で、例1104乃至1107のうちのいずれか1つ以上の例の対象において、任意に、当該送信器はトランシーバであり、該トランシーバは、フェーズドアレイ受信器を更に有してよく、該フェーズドアレイ受信器は、アンテナ及び該アンテナへ接続された受信増幅器を夫々有する複数の受信チャネルと、前記受信チャネル内の前記受信増幅器によって供給される複数の入力チャネル信号を入力信号へと結合する受信電力コンバイナと、RF信号を中間周波数(IF)信号に変換する無線周波数(RF)復調段と、前記ID信号をデジタルベースバンド入力信号に変換するようアナログデジタル変換器(ADC)を有する中間周波数(IF)復調段と、前記デジタルベースバンド入力信号内に含まれる非線形データを処理する内部非線形データプロセッサと、デジタルプリディストーション(pre-distortion)(DPD)プロセッサとを有してよい。前記DPDプロセッサは、前記処理された非線形データに基づき制御信号を受信する制御入力部、送信のためにベースバンドデジタルデータ信号を有するデータ入力部、及び前記非線形データに基づき前記送信チャネル内の前記送信増幅器の集合的な線形出力を広げる信号を出力するよう前記DPDによって変調されている出力信号を供給するデータ出力部を有してよい。
例1109は、フェーズドアレイトランシーバのキャリブレーション方法である。当該方法は、送信信号を、アンテナ及び該アンテナへ結合された送信増幅器を夫々有する複数の送信チャネルへ供給される信号に分けることと、前記フェーズドアレイアンテナの前記チャネルの電力出力の和である結合電力出力を有している出力信号を、前記チャネルの前記アンテナを介して外部フェーズドアレイトランシーバ(EPAT)へ送ることと、当該トランシーバの入力部で、前記フェーズドアレイアンテナの個々のチャネルの電力出力の和のアンテナ特性曲線と逆相関する非線形データを受けることと、該非線形データをデジタルプリディストーション(DPD)プロセッサの制御データに変換して、該DPDプロセッサが、前記非線形データに基づき前記送信チャネル内の前記送信増幅器の集合的な線形出力を広げるよう前記出力信号を変更するようにすることと、前記DPDプロセッサにより変更された出力信号を前記チャネルのアンテナにより送信することとを有してよい。
例1110は、デバイスの処理回路によって実行される場合に、該デバイスを、送信信号を、アンテナ及び該アンテナへ結合された送信増幅器を夫々有する複数の送信チャネルへ供給される信号に分けることと、前記フェーズドアレイアンテナの前記チャネルの電力出力の和である結合電力出力を有している出力信号を、前記チャネルの前記アンテナを介して外部フェーズドアレイトランシーバ(EPAT)へ送ることと、当該トランシーバの入力部で、前記フェーズドアレイアンテナの個々のチャネルの電力出力の和のアンテナ特性曲線と逆相関する非線形データを受けることと、該非線形データをデジタルプリディストーション(DPD)プロセッサの制御データに変換して、該DPDプロセッサが、前記非線形データに基づき前記送信チャネル内の前記送信増幅器の集合的な線形出力を広げるよう前記出力信号を変更するようにすることと、前記DPDプロセッサにより変更された出力信号を前記チャネルのアンテナにより送信することとを実行するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1111で、例1110の対象において、任意に、前記命令は、前記出力信号を送信電力スプリッタへ供給し、デジタルベースバンド出力信号をIF出力信号に変換するよう更に動作可能である。
例1112は、無線周波数信号を送信する手段である。当該手段は、送信信号を、アンテナ及び該アンテナへ結合された送信増幅器を夫々有する複数の送信チャネルへ供給される信号に分ける手段と、前記フェーズドアレイアンテナの前記チャネルの電力出力の和である結合電力出力を有している出力信号を、前記チャネルの前記アンテナを介して外部フェーズドアレイトランシーバ(EPAT)へ送る手段と、トランシーバの入力部で、前記フェーズドアレイアンテナの個々のチャネルの電力出力の和のアンテナ特性曲線と逆相関する非線形データを受ける手段と、該非線形データをデジタルプリディストーション(DPD)プロセッサの制御データに変換して、該DPDプロセッサが、前記非線形データに基づき前記送信チャネル内の前記送信増幅器の集合的な線形出力を広げるよう前記出力信号を変更するようにする手段と、前記DPDプロセッサにより変更された出力信号を前記チャネルのアンテナにより送信する手段とを有してよい。
例1113で、例1113の対象において、任意に、前記非線形データは、前記EPATについての出力電力に対する入力電力の特性曲線の非線形性を補償する曲線の多項式係数を有する。
例1114で、例1113の対象において、任意に、前記多項式係数は5次以下である。
例1115で、例1112乃至1114のうちのいずれか1つ以上の例の対象において、任意に、前記非線形データは、前記EPATについての出力電力に対する入力電力の特性曲線の非線形性を補償するよう対応するルックアップテーブル(LUT)値を有する。
例1116で、例1112乃至1115のうちのいずれか1つ以上の例の対象において、任意に、当該送信する手段はトランシーバであり、該トランシーバは、フェーズドアレイ受信器を更に有してよく、該フェーズドアレイ受信器は、アンテナ及び該アンテナへ接続された受信増幅器を夫々有する複数の受信チャネルと、前記受信チャネル内の前記受信増幅器によって供給される複数の入力チャネル信号を入力信号へと結合する受信電力コンバイナと、RF信号を中間周波数(IF)信号に変換する無線周波数(RF)復調段と、前記ID信号をデジタルベースバンド入力信号に変換するようアナログデジタル変換器(ADC)を有する中間周波数(IF)復調段と、前記デジタルベースバンド入力信号内に含まれる非線形データを処理する内部非線形データプロセッサと、デジタルプリディストーション(pre-distortion)(DPD)プロセッサとを有してよい。前記DPDプロセッサは、前記処理された非線形データに基づき制御信号を受信する制御入力部、送信のためにベースバンドデジタルデータ信号を有するデータ入力部、及び前記非線形データに基づき前記送信チャネル内の前記送信増幅器の集合的な線形出力を広げる信号を出力するよう前記DPDによって変調されている出力信号を供給するデータ出力部を有してよい。
例1117で、例1112乃至1116のうちのいずれか1つ以上の例の対象は、任意に、前記出力信号を送信電力スプリッタへ供給する無線周波数(RF)変調段と、デジタルベースバンド出力信号をIF出力信号に変換するようデジタルアナログ変換器(DAC)を有する中間周波数(IF)変調段とを含む。
例1118は、受信器のためのゲイン制御デバイスである。当該ゲイン制御デバイスは、プロセッサ及びメモリを有する。前記プロセッサは、ディザリング動作モードにおいて、第1信号電力レベルで第1入力信号を受信し、スイッチを用いて第1AGCゲイン設定及び第2AGCゲイン設定を前記第1入力信号に別々に適用し、前記第1AGCゲイン設定及び前記第2AGCゲイン設定について夫々第1信号品質指標(SQM)及び第2SQMを測定し、前記第1SQM及び前記第2SQMに基づき前記第1AGCゲイン設定と前記第2AGCゲイン設定との間を切り替えるために使用される電力レベルを表す最適閾値を決定及び記憶し、通常動作モードにおいて、前記最適閾値に基づき前記第1信号電力レベルでの第2入力信号のために前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定するよう構成される。
例1119で、例1118の対象において、任意に、前記第1入力信号は、無線周波数入力信号、中間周波数入力信号、又はベースバンド信号の中の少なくとも1つである。
例1120で、例1118乃至1119のうちのいずれか1つ以上の例の対象において、任意に、前記スイッチは、所与の入力フレームについて複数の入力信号に対して作用すべきである。
例1121で、例1118乃至1120のうちのいずれか1つ以上の例の対象において、任意に、前記SQMは、エラーベクトル振幅(error vector magnitude)(EVM)である。
例1122で、例1118乃至1121のうちのいずれか1つ以上の例の対象において、任意に、前記最適閾値は、ルックアップテーブル(LUT)において記憶される。
例1123で、例1118乃至1122のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは、前記ディザリング動作モードにおいて、前記最適閾値に関連する更なる条件値を決定及び記憶し、前記通常動作モードにおいて、前記更なる条件値に基づき前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定するよう更に構成される。
例1124で、例1123の対象において、任意に、前記更なる条件値は、温度、チャネル、動作周波数、又は電圧の中の少なくとも1つである。
例1125で、例1118乃至1124のうちのいずれか1つ以上の例の対象は、前記入力信号の電力レベルを決定するために利用される、前記受信器のモデムに位置する電力レベル検出器を含む。
例1126で、例1118乃至1125のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは、予め定義された条件に基づき当該デバイスを前記ディザリング動作モードに置くよう更に構成される。
例1127で、例1126の対象において、任意に、前記予め定義された条件は、タイマの満了である。
例1128で、例1127の対象において、任意に、前記最適閾値の決定は、前記決定された値についての前記第1SQM及び前記第2SQMの間の差を利用する。
例1129で、例1128の対象において、任意に、前記最適閾値の決定は、前記決定された値についてのSWM曲線形状に対する記憶された電力を更に利用する。
例1130で、例1118乃至1129のうちのいずれか1つ以上の例の対象において、任意に、前記受信器は、フェーズドアレイ受信器である。
例1131は、受信器のためのゲイン制御デバイスの作動方法である。当該方法は、ディザリング動作モードにおいて、第1信号電力レベルで第1入力信号を受信し、スイッチを用いて第1AGCゲイン設定及び第2AGCゲイン設定を前記第1入力信号に別々に適用し、前記第1AGCゲイン設定及び前記第2AGCゲイン設定について夫々第1信号品質指標(SQM)及び第2SQMを測定し、前記第1SQM及び前記第2SQMに基づき前記第1AGCゲイン設定と前記第2AGCゲイン設定との間を切り替えるために使用される電力レベルを表す最適閾値を決定及び記憶することと、通常動作モードにおいて、前記最適閾値に基づき前記第1信号電力レベルでの第2入力信号のために前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定することとを有してよい。
例1132で、例1131の対象において、任意に、前記第1入力信号は、無線周波数入力信号、中間周波数入力信号、又はベースバンド信号の中の少なくとも1つである。
例1133で、例1131乃至1132のうちのいずれか1つ以上の例の対象において、任意に、前記スイッチは、所与の入力フレームについて複数の入力信号に対して作用する。
例1134で、例1131乃至1133のうちのいずれか1つ以上の例の対象において、任意に、前記SQMは、エラーベクトル振幅(EVM)である。
例1135で、例1131乃至1134のうちのいずれか1つ以上の例の対象において、任意に、前記最適閾値は、ルックアップテーブル(LUT)において記憶される。
例1136で、例1131乃至1135のうちのいずれか1つ以上の例の対象、任意に、前記ディザリング動作モードにおいて、前記最適閾値に関連する更なる条件値を決定及び記憶することと、前記通常動作モードにおいて、前記更なる条件値に基づき前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定することとを含む。
例1137で、例1136の対象において、任意に、前記更なる条件値は、温度、チャネル、動作周波数、又は電圧の中の少なくとも1つである。
例1138で、例1131乃至1137のうちのいずれか1つ以上の例の対象は、前記受信器のモデムに位置する電力レベル検出器により、前記入力信号の電力レベルを決定することを含む。
例1139で、例1131乃至1138のうちのいずれか1つ以上の例の対象は、任意に、予め定義された条件に基づき当該デバイスを前記ディザリング動作モードに置くことを含む。
例1140で、例1139の対象において、任意に、前記予め定義された条件は、タイマの満了である。
例1141で、例1140の対象において、任意に、前記最適閾値の決定は、前記決定された値についての前記第1SQM及び前記第2SQMの間の差を利用する。
例1142で、例1141の対象において、任意に、前記最適閾値の決定は、前記決定された値についてのSWM曲線形状に対する記憶された電力を更に利用する。
例1143で、例1131乃至1142のうちのいずれか1つ以上の例の対象において、任意に、前記受信器は、フェーズドアレイ受信器である。
例1144は、デバイスの処理回路によって実行される場合に、該デバイスを、ディザリング動作モードにおいて、第1信号電力レベルで第1入力信号を受信し、スイッチを用いて第1AGCゲイン設定及び第2AGCゲイン設定を前記第1入力信号に別々に適用し、前記第1AGCゲイン設定及び前記第2AGCゲイン設定について夫々第1信号品質指標(SQM)及び第2SQMを測定し、前記第1SQM及び前記第2SQMに基づき前記第1AGCゲイン設定と前記第2AGCゲイン設定との間を切り替えるために使用される電力レベルを表す最適閾値を決定及び記憶し、通常動作モードにおいて、前記最適閾値に基づき前記第1信号電力レベルでの第2入力信号のために前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1145で、例1144の対象において、任意に、前記第1入力信号は、無線周波数入力信号、中間周波数入力信号、又はベースバンド信号の中の少なくとも1つである。
例1146は、デバイスの処理回路によって実行される場合に、上記の方法例の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1147は、例1131乃至1143の方法のいずれかを実行する手段を有するシステムである。
例1148は、受信器のためのゲイン制御デバイスである。該ゲイン制御デバイスは、ディザリング動作モードにおいて、第1信号電力レベルで第1入力信号を受信し、スイッチを用いて第1AGCゲイン設定及び第2AGCゲイン設定を前記第1入力信号に別々に適用し、前記第1AGCゲイン設定及び前記第2AGCゲイン設定について夫々第1信号品質指標(SQM)及び第2SQMを測定し、前記第1SQM及び前記第2SQMに基づき前記第1AGCゲイン設定と前記第2AGCゲイン設定との間を切り替えるために使用される電力レベルを表す最適閾値を決定及び記憶する手段と、通常動作モードにおいて、前記閾値に基づき前記第1信号電力レベルでの第2入力信号のために前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定する手段とを有してよい。
例1149で、例1148の対象において、任意に、前記第1入力信号は、無線周波数入力信号、中間周波数入力信号、又はベースバンド信号の中の少なくとも1つである。
例1150で、例1148乃至1149のうちのいずれか1つ以上の例の対象において、任意に、前記スイッチは、所与の入力フレームについて複数の入力信号に対して作用する。
例1151で、例1148乃至1150のうちのいずれか1つ以上の例の対象において、任意に、前記SQMは、エラーベクトル振幅(EVM)である。
例1152で、例1148乃至1151のうちのいずれか1つ以上の例の対象において、任意に、前記最適閾値は、ルックアップテーブル(LUT)において記憶される。
例1153で、例1148乃至1152のうちのいずれか1つ以上の例の対象は、任意に、前記ディザリング動作モードにおいて、前記最適閾値に関連する更なる条件値を決定及び記憶する手段と、前記通常動作モードにおいて、前記更なる条件値に基づき前記第1AGCゲイン設定又は前記第2AGCゲイン設定を使用すべきかどうかを決定する手段とを含む。
例1154で、例1153の対象において、任意に、前記更なる条件値は、温度、チャネル、動作周波数、又は電圧の中の少なくとも1つである。
例1155で、例1148乃至1154のうちのいずれか1つ以上の例の対象は、前記受信器のモデムに位置する電力レベル検出器により、前記入力信号の電力レベルを決定する手段を含む。
例1156で、例1148乃至1155のうちのいずれか1つ以上の例の対象は、任意に、予め定義された条件に基づき当該デバイスを前記ディザリング動作モードに置く手段を含む。
例1157で、例1156の対象において、任意に、前記予め定義された条件は、タイマの満了である。
例1158で、例1157の対象において、任意に、前記最適閾値を決定する手段は、前記決定された値についての前記第1SQM及び前記第2SQMの間の差を利用する。
例1159で、例1158の対象において、任意に、前記最適閾値を決定する手段は、前記決定された値についてのSWM曲線形状に対する記憶された電力を更に利用する。
例1160で、例1148乃至1159のうちのいずれか1つ以上の例の対象において、任意に、前記受信器は、フェーズドアレイ受信器である。
例1161は、フェーズドアレイラジオトランシーバである。当該フェーズドアレイラジオトランシーバは、複数のタイル状の相互接続されたセルと、該複数のセルを相互接続し、前記複数のセルの間で制御信号及び発振器信号を運ぶバスとを有してよく、前記複数のセルの夫々は、送信器と、受信器と、デジタル処理ブロックと、入出力及び位相結合ユニットと、隣接する類似したセルと通信するよう4つのセルエッジの夫々にあるマルチプレクサ及びデマルチプレクサとを有してよい。
例1162で、例1161の対象において、任意に、前記送信器は複数の送信器を有するか、あるいは、前記受信器は複数の送信器を有するかのどちらか一方が当てはまる。
例1163で、例1161乃至1162のうちのいずれか1つ以上の例の対象において、任意に、前記バスはアナログ及びデジタルバスである。
例1164で、例1161乃至1163のうちのいずれか1つ以上の例の対象において、任意に、前記バスの幅は、同時にサポート可能なユーザの数に等しい。
例1165で、例1161乃至1164のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、そのセルエッジの夫々の側での隣接セル要素にのみ直接接続される。
例1166で、例1161乃至1165のうちのいずれか1つ以上の例の対象は、任意に、前記複数のタイル状のトランシーバセルを有するウェハと組み合わされるアンテナアレイを含む。
例1167で、例1161乃至1166のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、当該セルが、前記相互接続されたトランシーバセル内で、それ自身のための一意の識別子を生成することを可能にする自己構成可能な要素を更に有する。
例1168で、例1167の対象において、任意に、第1セルは、予め定義された基準が満たされる場合に、第1識別子によりそれ自身を自己識別する。
例1169で、例1168の対象において、任意に、前記予め定義された基準は、前記セルがコーナーセルであることである。
例1170で、例1169の対象において、任意に、非第1セルは、隣接セルから識別子関連情報を受け取ることによってそれら自体を識別し、次いで、更なる識別子関連情報を他の隣接セルへ送る。
例1171で、例1161乃至1170のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、当該セルによって導入された遅延を測定し較正するループバックを更に有する。
例1172で、例1161乃至1171のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、デジタルフェーズアレイにおいて動作可能であり、そして、更に、デジタル化された受信信号を、存在する場合に、中間先行セル(immediate predecessor cell)を有しているセルからの受信信号とベクトル加算する結合要素を有する。
例1173で、例1172の対象において、任意に、夫々のセルの間の前記ベクトル加算はパイプライン化される。
例1174で、例1172乃至1173のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、k人のユーザをサポートするようk個のバスを含む。
例1175で、例1161乃至1174のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、局所発振器(LO)位相結合モードで動作可能であり、夫々のセルは、中央制御点からのその位相シフトを受け取り、ミキサ出力はアナログ領域で加算され、ただ1つのアナログデジタル変換器(ADC)が、前記加算されたミキサ出力をデジタル信号に変換する。
例1176で、例1161乃至1175のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、夫々の行が局所発振器位相シフトにおいてタイル状にされ、単一のアナログデジタル変換器を共有するところのハイブリッド動作モードで動作可能である。
例1177で、例1161乃至1176のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、第1複素関数が当該セルによって受信入力信号に適用され、結果が他のセルからの受信入力に適用された第2複素関数の更なる結果と結合されるところのアナログフェーズドアレイ結合動作モードで動作可能である。
例1178は、フェーズドアレイラジオトランシーバの作動方法である。当該方法は、複数のタイル状の相互接続されたセルにより信号を送信及び受信することと、該複数のセルを相互接続し且つ前記複数のセルの間で制御信号及び発振器信号を運ぶバスを用いて前記セル間で通信することとを有してよく、前記複数のセルの夫々は、送信器と、受信器と、デジタル処理ブロックと、入出力及び位相結合ユニットと、隣接する類似したセルと通信するよう4つのセルエッジの夫々にあるマルチプレクサ及びデマルチプレクサとを有してよい。
例1179で、例1178の対象において、任意に、前記送信器は複数の送信器を有するか、あるいは、前記受信器は複数の送信器を有するかのどちらか一方が当てはまる。
例1180で、例1178乃至1179のうちのいずれか1つ以上の例の対象において、任意に、前記バスはアナログ及びデジタルバスである。
例1181で、例1178乃至1180のうちのいずれか1つ以上の例の対象において、任意に、前記バスの幅は、同時にサポート可能なユーザの数に等しい。
例1182で、例1178乃至1181のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、そのセルエッジの夫々の側での隣接セル要素にのみ直接接続される。
例1183で、例1178乃至1182のうちのいずれか1つ以上の例の対象は、任意に、前記複数のタイル状のトランシーバセルを有するウェハと組み合わされるアンテナアレイを含む。
例1184で、例1178乃至1183のうちのいずれか1つ以上の例の対象は、任意に、夫々のセルについて、前記相互接続されたトランシーバセル内で、それ自身のための一意の識別子を生成することを含む。
例1185で、例1184の対象において、任意に、第1セルは、予め定義された基準が満たされる場合に、第1識別子によりそれ自身を自己識別する。
例1186で、例1185の対象において、任意に、前記予め定義された基準は、前記セルがコーナーセルであることである。
例1187で、例1186の対象は、任意に、非第1セルによって、隣接セルから識別子関連情報を受け取ることによってそれら自体を識別し、次いで、更なる識別子関連情報を他の隣接セルへ送ることを含む。
例1188で、例1178乃至1187のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、当該セルによって導入された遅延を測定し較正するループバックを更に有する。
例1189で、例1178乃至1188のうちのいずれか1つ以上の例の対象は、任意に、デジタルフェーズアレイにおいて、デジタル化された受信信号を、存在する場合に、中間先行セルを有しているセルからの受信信号とベクトル加算することを含む。
例1190で、例1189の対象において、任意に、夫々のセルの間の前記ベクトル加算はパイプライン化される。
例1191で、例1189乃至1190のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、k人のユーザをサポートするようk個のバスを含む。
例1192で、例1178乃至1191のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、局所発振器(LO)位相結合モードで動作可能であり、夫々のセルは、中央制御点からのその位相シフトを受け取り、ミキサ出力はアナログ領域で加算され、ただ1つのアナログデジタル変換器(ADC)が、前記加算されたミキサ出力をデジタル信号に変換する。
例1193で、例1178乃至1192のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、夫々の行が局所発振器位相シフトにおいてタイル状にされ、単一のアナログデジタル変換器を共有するところのハイブリッド動作モードで動作可能である。
例1194で、例1178乃至1193のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、第1複素関数が当該セルによって受信入力信号に適用され、結果が他のセルからの受信入力に適用された第2複素関数の更なる結果と結合されるところのアナログフェーズドアレイ結合動作モードで動作可能である。
例1195は、デバイスの処理回路によって実行される場合に、該デバイスを、複数のタイル状の相互接続されたセルにより信号を送信及び受信し、前記複数のセルを相互接続し且つ前記複数のセルの間で制御信号及び発振器信号を運ぶバスを用いて前記複数のセル間で通信するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。前記複数のセルの夫々は、送信器と、受信器と、デジタル処理ブロックと、入出力及び位相結合ユニットと、隣接する類似したセルと通信するよう4つのセルエッジの夫々にあるマルチプレクサ及びデマルチプレクサとを有してよい。
例1196で、例1195の対象において、任意に、夫々のセルは、そのセルエッジの夫々の側での隣接セル要素にのみ直接接続される。
例1197は、デバイスの処理回路によって実行される場合に、例1178乃至1194の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1198は、例1178乃至1194の方法のいずれかを実行する手段を有するシステムである。
例1199は、フェーズドアレイラジオトランシーバである。当該フェーズドアレイラジオトランシーバは、複数のタイル状の相互接続されたセルにより信号を送信及び受信する手段と、前記複数のセルを相互接続し且つ前記複数のセルの間で制御信号及び発振器信号を運ぶバスを用いて前記複数のセル間で通信する手段とを有してよい。前記複数のセルの夫々は、送信器と、受信器と、デジタル処理ブロックと、入出力及び位相結合ユニットと、隣接する類似したセルと通信するよう4つのセルエッジの夫々にあるマルチプレクサ及びデマルチプレクサとを有してよい。
例1200で、例1199の対象において、任意に、前記送信器は複数の送信器を有するか、あるいは、前記受信器は複数の送信器を有するかのどちらか一方が当てはまる。
例1201で、例1199乃至1200のうちのいずれか1つ以上の例の対象において、任意に、前記バスはアナログ及びデジタルバスである。
例1202で、例1199乃至1201のうちのいずれか1つ以上の例の対象において、任意に、前記バスの幅は、同時にサポート可能なユーザの数に等しい。
例1203で、例1199乃至1202のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、そのセルエッジの夫々の側での隣接セル要素にのみ直接接続される。
例1204で、例1199乃至1203のうちのいずれか1つ以上の例の対象は、任意に、前記複数のタイル状のトランシーバセルを有するウェハと組み合わされるアンテナアレイを更に有することを含む。
例1205で、例1199乃至1204のうちのいずれか1つ以上の例の対象は、任意に、夫々のセルについて、前記相互接続されたトランシーバセル内で、それ自身のための一意の識別子を生成することを含む。
例1206で、例1205の対象において、任意に、第1セルは、予め定義された基準が満たされる場合に、第1識別子によりそれ自身を自己識別する。
例1207で、例1206の対象において、任意に、前記予め定義された基準は、前記セルがコーナーセルであることである。
例1208で、例1207の対象は、任意に、非第1セルによって、隣接セルから識別子関連情報を受け取ることによってそれら自体を識別し、次いで、更なる識別子関連情報を他の隣接セルへ送ることを含む。
例1209で、例1208の対象において、任意に、夫々のセルは、当該セルによって導入された遅延を測定し較正するループバックを更に有する。
例1210で、例1209の対象は、任意に、デジタルフェーズアレイにおいて、デジタル化された受信信号を、存在する場合に、中間先行セルを有しているセルからの受信信号とベクトル加算することを含む。
例1211で、例1210の対象において、任意に、夫々のセルの間の前記ベクトル加算はパイプライン化される。
例1212で、例1210乃至1211のうちのいずれか1つ以上の例の対象において、任意に、夫々のセルは、k人のユーザをサポートするようk個のバスを含む。
例1213で、例1212の対象において、任意に、夫々のセルは、局所発振器(LO)位相結合モードで動作可能であり、夫々のセルは、中央制御点からのその位相シフトを受け取り、ミキサ出力はアナログ領域で加算され、ただ1つのアナログデジタル変換器(ADC)が、前記加算されたミキサ出力をデジタル信号に変換する。
例1214で、例1213の対象において、任意に、夫々のセルは、夫々の行が局所発振器位相シフトにおいてタイル状にされ、単一のアナログデジタル変換器を共有するところのハイブリッド動作モードで動作可能である。
例1215で、例1214の対象において、任意に、夫々のセルは、第1複素関数が当該セルによって受信入力信号に適用され、結果が他のセルからの受信入力に適用された第2複素関数の更なる結果と結合されるところのアナログフェーズドアレイ結合動作モードで動作可能である。
例1216は、フェーズドアレイトランシーバのための注入同期型(injection-locked)変調回路である。当該回路は、容量型デジタルアナログ変換器(CAP-DAC)へ接続されたインダクタを有し、タンク回路周波数がデータ入力信号によって変更可能であるタンク回路と、該タンク回路の出力周波数を出力キャリア周波数の整数分数調波(subharmonic)Nでロックするように同期注入周波数を供給する注入回路であり、前記データ入力信号のデータ値が±180°/Nの量だけ前記ロックされたタンク回路出力周波数の位相を変更する、前記注入回路と、前記ロックされたタンク回路出力周波数にNを乗じることによってキャリア周波数を生成する周波数逓倍器とを有してよい。
例1217で、例1216の対象において、任意に、前記注入回路は、位相ロックループ(phase-locked loop)(PLL)である。
例1218で、例1216乃至1217のうちのいずれか1つ以上の例の対象において、任意に、前記同期注入周波数は、前記タンク回路周波数の第2の整数分数調波Mである。
例1219で、例1218の対象において、任意に、M=3である。
例1220で、例1216乃至1219のうちのいずれか1つ以上の例の対象において、任意に、N=3である。
例1221で、例1216乃至1220のうちのいずれか1つ以上の例の対象において、任意に、N=2であり、当該回路は、前記周波数逓倍器とアンテナとの間に接続されたギルバート(Gilbert)クアッド/極性スイッチを更に有する。
例1222で、例1216乃至1221のうちのいずれか1つ以上の例の対象は、任意に、前記周波数逓倍器へ接続されたデジタル電力増幅器と、該電力増幅器へ接続され無線信号を送信するアンテナとを含む。
例1223は、フェーズドアレイトランシーバのための注入同期型変調回路の作動方法である。当該方法は、容量型デジタルアナログ変換器(CAP-DAC)へ接続されたインダクタを有するタンク回路のタンク回路周波数をデータ入力信号によって変更することと、該タンク回路の出力周波数を出力キャリア周波数の整数分数調波)Nでロックするよう注入回路によって同期注入周波数を供給し、前記データ入力信号のデータ値が±180°/Nの量だけ前記ロックされたタンク回路出力周波数の位相を変更する、ことと、周波数逓倍器により、前記ロックされたタンク回路出力周波数にNを乗じることによってキャリア周波数を生成することとを有してよい。
例1224で、例1223の対象において、任意に、前記注入回路は、位相ロックループ(phase-locked loop)(PLL)である。
例1225で、例1223乃至1224のうちのいずれか1つ以上の例の対象において、任意に、前記同期注入周波数は、前記タンク回路周波数の第2の整数分数調波Mである。
例1226で、例1225の対象において、任意に、M=3である。
例1227で、例1223乃至1226のうちのいずれか1つ以上の例の対象において、任意に、N=3である。
例1228で、例1223乃至1227のうちのいずれか1つ以上の例の対象において、任意に、N=2であり、当該方法は、前記周波数逓倍器とアンテナとの間に接続されたギルバート(Gilbert)クアッド/極性スイッチを作動させることを更に有する。
例1229で、例1223乃至1228のうちのいずれか1つ以上の例の対象は、任意に、電力増幅器へ接続されたアンテナにより無線信号を送信することを含む。
例1230は、デバイスの処理回路によって実行される場合に、該デバイスを、容量型デジタルアナログ変換器(CAP-DAC)へ接続されたインダクタを有するタンク回路のタンク回路周波数をデータ入力信号によって変更することと、該タンク回路の出力周波数を出力キャリア周波数の整数分数調波)Nでロックするよう注入回路によって同期注入周波数を供給し、前記データ入力信号のデータ値が±180°/Nの量だけ前記ロックされたタンク回路出力周波数の位相を変更する、ことと、周波数逓倍器により、前記ロックされたタンク回路出力周波数にNを乗じることによってキャリア周波数を生成することとを実行するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1231で、例1230の対象において、任意に、前記注入回路は、位相ロックループ(phase-locked loop)(PLL)である。
例1232で、デバイスの処理回路によって実行される場合に、例1223乃至1229の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1233は、例1223乃至1229の方法のいずれかを実行する手段を有するシステムである。
例1234は、フェーズドアレイトランシーバのための注入同期型変調回路である。当該回路は、容量型デジタルアナログ変換器(CAP-DAC)へ接続されたインダクタを有するタンク回路のタンク回路周波数をデータ入力信号によって変更する手段と、該タンク回路の出力周波数を出力キャリア周波数の整数分数調波)Nでロックするよう注入回路によって同期注入周波数を供給し、前記データ入力信号のデータ値が±180°/Nの量だけ前記ロックされたタンク回路出力周波数の位相を変更する、手段と、周波数逓倍器により、前記ロックされたタンク回路出力周波数にNを乗じることによってキャリア周波数を生成する手段とを有してよい。
例1235で、例1234の対象において、任意に、前記注入回路は、位相ロックループ(PLL)である。
例1236で、例1234乃至1235のうちのいずれか1つ以上の例の対象において、任意に、前記同期注入周波数は、前記タンク回路周波数の第2の整数分数調波Mである。
例1237で、例1236の対象において、任意に、M=3である。
例1238で、例1234乃至1237のうちのいずれか1つ以上の例の対象において、任意に、N=3である。
例1239で、例1234乃至1238のうちのいずれか1つ以上の例の対象において、任意に、N=2であり、当該回路は、前記周波数逓倍器とアンテナとの間に接続されたギルバート(Gilbert)クアッド/極性スイッチを作動させる手段を更に有する。
例1240で、例1234乃至1239のうちのいずれか1つ以上の例の対象は、任意に、電力増幅器へ接続されたアンテナにより無線信号を送信する手段を含む。
例1241は、無線受信器において無線直交振幅変調(quadrature amplitude modulation)(QAM)信号についてクロック・データ・リカバリ(clock and data recover)(CDR)を実行する装置である。当該装置は、前記受信器によって受信されたQAM信号を処理する同相(I)及び直交(Q)チャネルと、複数のモード値を調整指示とともに記憶する当該装置のメモリ内のモードテーブルと、前記I及びQチャネルからデータを受け、前記モードテーブルから現在のモードを読み出し、該現在のモードに応じて、該現在のモードについての前記調整指示と一致する前記信号の現在のサンプリング位相を調整するプロセッサを有するモードユニットとを有してよい。
例1242で、例1241の対象において、任意に、前記QAMは、少なくとも4つの値をサポートする。
例1243で、例1242の対象は、任意に、16-QAMを含む。
例1244で、例1241乃至1243のうちのいずれか1つ以上の例の対象において、任意に、前記現在のモードは、動作中に動的に調整される。
例1245で、例1241乃至1244のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネルのみ又は前記Qチャネルのみを考慮するモードを有している。
例1246で、例1245の対象において、任意に、前記プロセッサは、前記Iチャネル又は前記Qチャネルのいずれか一方において通信問題を検出し、該通信問題が検出されないチャネルのモードを利用するよう更に構成される。
例1247で、例1241乃至1246のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネル及び前記Qチャネルの両方を考慮するモードを有している。
例1248で、例1241乃至1247のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、次のように定義された少なくとも8つのモードを有する:
Figure 2024059722000114
例1249で、例1248の対象において、任意に、タイミング推定決定は、受け取られたデータシンボルの符号及びエラー値の関数である。
例1250で、例1249の対象において、任意に、タイミング推定決定は、次の式ZK=SIGN(DK)SIGN(DK-1)(EK-EK-1),ZK>0 EARLY,ZK=0 HOLD,ZK<0 LATEに基づく。
例1251で、例1250の対象は、任意に、少なくとも4つのデータ値を有し、各データ値が関連する符号及び当該データ値の上下のエラー値を有しているタイミング推定器によって使用される推定テーブルを含む。
例1252で、例1251の対象において、任意に、最も高いデータ値を上回るエラー値及び最も低いデータ値を下回るエラー値はプラス1であり、他の全てのエラー値は-1である。
例1253で、例1252の対象は、任意に、16-QAMを含む。
例1254で、例1253の対象において、任意に、前記推定テーブルは、
Figure 2024059722000115
を有し、ZKはタイミング推定値であり、DKは現在のデータ値であり、DK-1は前のデータ値であり、EKは現在のエラー値であり、EK-1は前のエラー値である。
例1255で、例1240乃至1254のうちのいずれか1つ以上の例の対象は、任意に、サンプリング位相を先の点へ調整すべき、それをその現在の点に保持すべきか、又はそれを後の点へ調整すべきかどうかを判定するタイミング推定器を含む。
例1256は、無線受信器において無線直交振幅変調(QAM)信号についてクロック・データ・リカバリ(CDR)を実行する方法である。当該方法は、前記受信器によって受信されたQAM信号の同相(I)及び直交(Q)チャネルを処理することと、複数のモード値を調整指示とともにモードテーブルメモリに記憶することと、前記I及びQチャネルからデータを受けることと、前記モードテーブルから現在のモードを読み出すことと、該現在のモードに応じて、該現在のモードについての前記調整指示と一致する前記信号の現在のサンプリング位相を調整することとを有してよい。
例1257で、例1256の対象において、任意に、前記QAMは、少なくとも4つの値をサポートする。
例1258で、例1257の対象は、任意に、16-QAMを含む。
例1259で、例1256乃至1258のうちのいずれか1つ以上の例の対象は、任意に、前記現在のモードを動作中に動的に調整することを含む。
例1260で、例1256乃至1259のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネルのみ又は前記Qチャネルのみを考慮するモードを有している。
例1261で、例1260の対象は、任意に、前記Iチャネル又は前記Qチャネルのいずれか一方において通信問題を検出し、該通信問題が検出されないチャネルのモードを利用することを含む。
例1262で、例1256乃至1261のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネル及び前記Qチャネルの両方を考慮するモードを有している。
例1263で、例1256乃至1262のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、次のように定義された少なくとも8つのモードを有する:
Figure 2024059722000116
例1264で、例1263の対象において、任意に、タイミング推定決定は、受け取られたデータシンボルの符号及びエラー値の関数である。
例1265で、例1264の対象において、任意に、タイミング推定決定は、次の式ZK=SIGN(DK)SIGN(DK-1)(EK-EK-1),ZK>0 EARLY,ZK=0 HOLD,ZK<0 LATEに基づく。
例1266で、例1265の対象は、任意に、少なくとも4つのデータ値を有し、各データ値が関連する符号及び当該データ値の上下のエラー値を有しているタイミング推定器によって使用される推定テーブルを含む。
例1267で、例1266の対象において、任意に、最も高いデータ値を上回るエラー値及び最も低いデータ値を下回るエラー値はプラス1であり、他の全てのエラー値は-1である。
例1268で、例1267の対象は、任意に、16-QAMを含む。
例1269で、例1268の対象において、任意に、前記推定テーブルは、
Figure 2024059722000117
を有し、ZKはタイミング推定値であり、DKは現在のデータ値であり、DK-1は前のデータ値であり、EKは現在のエラー値であり、EK-1は前のエラー値である。
例1270で、例1256乃至1269のうちのいずれか1つ以上の例の対象は、任意に、タイミング推定器により、サンプリング位相を先の点へ調整すべき、それをその現在の点に保持すべきか、又はそれを後の点へ調整すべきかどうかを判定することを含む。
例1271は、デバイスの処理回路によって実行される場合に、該デバイスを、受信器によって受信されたQAM信号の同相(I)及び直交(Q)チャネルを処理し、複数のモード値を調整指示とともにモードテーブルメモリに記憶し、前記I及びQチャネルからデータを受け、前記モードテーブルから現在のモードを読み出し、該現在のモードに応じて、該現在のモードについての前記調整指示と一致する前記信号の現在のサンプリング位相を調整するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1272で、例1271の対象において、任意に、前記QAMは、少なくとも4つの値をサポートする。
例1273は、デバイスの処理回路によって実行される場合に、上記の方法例の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1274は、無線受信器において無線直交振幅変調(QAM)信号についてクロック・データ・リカバリ(CDR)を実行する装置である。当該装置は、前記受信器によって受信されたQAM信号の同相(I)及び直交(Q)チャネルを処理する手段と、複数のモード値を調整指示とともに当該装置のメモリ内のモードテーブルに記憶する手段と、前記I及びQチャネルからデータを受ける手段と、前記モードテーブルから現在のモードを読み出す手段と、該現在のモードに応じて、該現在のモードについての前記調整指示と一致する前記信号の現在のサンプリング位相を調整する手段とを有してよい。
例1275で、例1274の対象において、任意に、前記QAMは、少なくとも4つの値をサポートする。
例1276で、例1275の対象は、任意に、16-QAMを含む。
例1277で、例1274乃至1276のうちのいずれか1つ以上の例の対象は、任意に、前記現在のモードを動作中に動的に調整する手段を含む。
例1278で、例1274乃至1277のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネルのみ又は前記Qチャネルのみを考慮するモードを有している。
例1279で、例1278の対象は、任意に、前記Iチャネル又は前記Qチャネルのいずれか一方において通信問題を検出する手段と、該通信問題が検出されないチャネルのモードを利用する手段とを含む。
例1280で、例1274乃至1279のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、前記Iチャネル及び前記Qチャネルの両方を考慮するモードを有している。
例1281で、例1274乃至1280のうちのいずれか1つ以上の例の対象において、任意に、前記モードテーブルは、次のように定義された少なくとも8つのモードを有する:
Figure 2024059722000118
例1282で、例1281の対象において、任意に、タイミング推定決定は、受け取られたデータシンボルの符号及びエラー値の関数である。
例1283で、例1282の対象において、任意に、タイミング推定決定は、次の式ZK=SIGN(DK)SIGN(DK-1)(EK-EK-1),ZK>0 EARLY,ZK=0 HOLD,ZK<0 LATEに基づく。
例1284で、例1283の対象は、任意に、少なくとも4つのデータ値を有し、各データ値が関連する符号及び当該データ値の上下のエラー値を有しているタイミング推定器によって使用される推定テーブルを含む。
例1285で、例1284の対象において、任意に、最も高いデータ値を上回るエラー値及び最も低いデータ値を下回るエラー値はプラス1であり、他の全てのエラー値は-1である。
例1286で、例1285の対象は、任意に、16-QAMを含む。
例1287で、例1286の対象において、任意に、前記推定テーブルは、
Figure 2024059722000119
を有し、ZKはタイミング推定値であり、DKは現在のデータ値であり、DK-1は前のデータ値であり、EKは現在のエラー値であり、EK-1は前のエラー値である。
例1288で、例1274乃至1287のうちのいずれか1つ以上の例の対象は、任意に、タイミング推定器により、サンプリング位相を先の点へ調整すべき、それをその現在の点に保持すべきか、又はそれを後の点へ調整すべきかどうかを判定する手段を含む。
例1289は、無線周波数(RF)受信器のための自動ゲイン制御(AGC)回路である。当該AGC回路は、プロセッサ及びメモリを有する。前記プロセッサは、直交変調信号から複数の量子化信号を受信し、該複数の量子化信号を、それらの量子化電力レベルに従って、同相(I)/直交量子化ビンから構成されたコンステレーションマップの領域に割り当て、該割り当てられた量子化信号に基づき最尤推定量(maximum likelihood estimator)(MLE)を決定し、該MLEに基づき電力を推定し、該推定された電力に基づき、更に受信される信号のために、可変ゲイン増幅器を調整する。
例1290で、例1289の対象において、任意に、前記MLEは、式
Figure 2024059722000120
により計算され、ここで、nriは、領域rにおいて量子化された、N個中のサンプルの数であり、受信された信号のI/Q成分の夫々においてb=log(2n)ビットであり、Pは、
Figure 2024059722000121
として計算される平均受信信号電力レベルである。
例1291で、例1290の対象において、任意に、電力は、式
Figure 2024059722000122
を解くことによって推定される。
例1292で、例1289乃至1291のうちのいずれか1つ以上の例の対象において、任意に、前記量子化信号は、低分解能アナログデジタル変換器(ADC)からの信号である。
例1293で、例1292の対象において、任意に、前記低分解能ADCは、3以下のビットを生成する。
例1294で、例1289乃至1293のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、レイテンシー低減を可能にするよう全てのADCからの全てのサンプルを一緒に利用すべきである。
例1295で、例1289乃至1294のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、単調増加又は減少する条件付き分布P(r|P)を有している領域を選択し、関心のあるPにわたって、
Figure 2024059722000123
であるように、前記選択された領域から領域の組を選び、最適化問題
Figure 2024059722000124
を解くべきである。
例1296で、例1289乃至1295のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、その後の電力推定のために使用すべく、推定された電力についてのルックアップテーブル(LUT)を構成すべきである。
例1297で、例1289乃至1296のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、特定の信号対雑音比(SNR)値について最良の電力推定解を決定するためにディザリングアルゴリズムを利用すべきである。
例1298は、直交変調無線周波数(RF)信号を受信するラジオ受信器デバイスである。当該デバイスは、複数のチャネル、プロセッサ及びメモリを有してよい。前記複数のチャネルの夫々は、前記直交変調RF信号を受信するアンテナと、前記直交変調RF信号を中間周波数(IF)信号に変換するミキサと、前記IF信号を受信する可変ゲイン増幅器(VGA)と、該VGAの出力をサンプリングし、サンプリングされた出力信号を供給するサンプル・アンド・ホールド回路と、前記サンプリングされた出力信号を受信し、それをデジタル信号に量子化するアナログデジタル変換器(ADC)とを有してよい。前記プロセッサは、直交変調信号から複数の量子化信号を受信し、該複数の量子化信号を、それらの量子化電力レベルに従って、同相(I)/直交量子化ビンから構成されたコンステレーションマップの領域に割り当て、該割り当てられた量子化信号に基づき最尤推定量(MLE)を決定し、該MLEに基づき電力を推定し、該推定された電力に基づき、更に受信される信号のために、可変ゲイン増幅器を調整する。
例1299で、例1298の対象において、任意に、前記ADCは、3以下のビットを生成する低分解能ADCである。
例1300は、無線周波数(RF)受信器のための自動ゲイン制御(AGC)のための方法である。当該方法は、直交変調信号から複数の量子化信号を受信することと、該複数の量子化信号を、それらの量子化電力レベルに従って、同相(I)/直交量子化ビンから構成されたコンステレーションマップの領域に割り当てることと、該割り当てられた量子化信号に基づき最尤推定量(maximum likelihood estimator)(MLE)を決定することと、該MLEに基づき電力を推定することと、該推定された電力に基づき、更に受信される信号のために、可変ゲイン増幅器を調整することとを有してよい。
例1301で、例1300の対象において、任意に、前記MLEは、式
Figure 2024059722000125
により計算され、ここで、nriは、領域rにおいて量子化された、N個中のサンプルの数であり、受信された信号のI/Q成分の夫々においてb=log(2n)ビットであり、Pは、
Figure 2024059722000126
として計算される平均受信信号電力レベルである。
例1302で、例1301の対象において、任意に、電力は、式
Figure 2024059722000127
を解くことによって推定される。
例1303で、例1300乃至1302のうちのいずれか1つ以上の例の対象において、任意に、前記量子化信号は、低分解能アナログデジタル変換器(ADC)からの信号である。
例1304で、例1303の対象において、任意に、前記低分解能ADCは、3以下のビットを生成する。
例1305で、例1300乃至1304のうちのいずれか1つ以上の例の対象は、任意に、レイテンシー低減を可能にするよう全てのADCからの全てのサンプルを一緒に利用することを含む。
例1306で、例1300乃至1305のうちのいずれか1つ以上の例の対象は、任意に、単調増加又は減少する条件付き分布P(r|P)を有している領域を選択することと、関心のあるPにわたって、
Figure 2024059722000128
であるように、前記選択された領域から領域の組を選ぶことと、最適化問題
Figure 2024059722000129
を解くこととを含む。
例1307で、例1300乃至1306のうちのいずれか1つ以上の例の対象は、任意に、その後の電力推定のために使用すべく、推定された電力についてのルックアップテーブル(LUT)を構成することを含む。
例1308で、例1300乃至1307のうちのいずれか1つ以上の例の対象は、任意に、特定の信号対雑音比(SNR)値について最良の電力推定解を決定するためにディザリングアルゴリズムを利用することを含む。
例1309は、デバイスの処理回路によって実行される場合に、該デバイスを、直交変調信号から複数の量子化信号を受信し、該複数の量子化信号を、それらの量子化電力レベルに従って、同相(I)/直交量子化ビンから構成されたコンステレーションマップの領域に割り当て、該割り当てられた量子化信号に基づき最尤推定量(maximum likelihood estimator)(MLE)を決定し、該MLEに基づき電力を推定し、該推定された電力に基づき、更に受信される信号のために、可変ゲイン増幅器を調整するよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1310で、例1309の対象において、任意に、前記MLEは、式
Figure 2024059722000130
により計算され、ここで、nriは、領域rにおいて量子化された、N個中のサンプルの数であり、受信された信号のI/Q成分の夫々においてb=log(2n)ビットであり、Pは、
Figure 2024059722000131
として計算される平均受信信号電力レベルである。
他の例は、デバイスの処理回路によって実行される場合に、例1300乃至1308の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1311は、例1300乃至1308の方法のいずれかを実行する手段を有するシステムである。
例1312は、無線周波数(RF)受信器の自動ゲイン制御(AGC)回路である。当該AGC回路は、直交変調信号から複数の量子化信号を受信する手段と、該複数の量子化信号を、それらの量子化電力レベルに従って、同相(I)/直交量子化ビンから構成されたコンステレーションマップの領域に割り当てる手段と、該割り当てられた量子化信号に基づき最尤推定量(maximum likelihood estimator)(MLE)を決定する手段と、該MLEに基づき電力を推定する手段と、該推定された電力に基づき、更に受信される信号のために、可変ゲイン増幅器を調整する手段とを有してよい。
例1313で、例1312の対象は、任意に、前記MLEを、式
Figure 2024059722000132
により計算する手段を含み、ここで、nriは、領域rにおいて量子化された、N個中のサンプルの数であり、受信された信号のI/Q成分の夫々においてb=log(2n)ビットであり、Pは、
Figure 2024059722000133
として計算される平均受信信号電力レベルである。
例1314で、例1313の対象は、任意に、電力推定式
Figure 2024059722000134
を解く手段を含む。
例1315で、例1312乃至1314のうちのいずれか1つ以上の例の対象において、任意に、前記量子化信号は、低分解能アナログデジタル変換器(ADC)からの信号である。
例1316で、例1315の対象において、任意に、前記低分解能ADCは、3以下のビットを生成する。
例1317で、例1312乃至1316のうちのいずれか1つ以上の例の対象は、任意に、レイテンシー低減を可能にするよう全てのADCからの全てのサンプルを一緒に利用する手段を含む。
例1318で、例1312乃至1317のうちのいずれか1つ以上の例の対象は、任意に、単調増加又は減少する条件付き分布P(r|P)を有している領域を選択する手段と、関心のあるPにわたって、
Figure 2024059722000135
であるように、前記選択された領域から領域の組を選ぶ手段と、最適化問題
Figure 2024059722000136
を解く手段とを含む。
例1319で、例1312乃至1318のうちのいずれか1つ以上の例の対象は、任意に、その後の電力推定のために使用すべく、推定された電力についてのルックアップテーブル(LUT)を構成する手段を含む。
例1320で、例1312乃至1319のうちのいずれか1つ以上の例の対象は、任意に、特定の信号対雑音比(SNR)値について最良の電力推定解を決定するためにディザリングアルゴリズムを利用する手段を含む。
例1321は、フェーズドアレイトランシーバにおいてアンテナアレイを制御するデバイスである。当該デバイスは、複数のトランシーバスライスを有してよい。該複数のトランシーバスライスの夫々は、当該デバイスのアンテナアレイの部分を形成するアンテナ素子と、送信モード(TM)及び受信モード(RM)の動作間で切り替え可能な送信及び受信スイッチと、可変低雑音増幅器及び位相シフタを有し、前記RMで前記アンテナ素子へ接続される受信パスと、可変電力増幅器及び位相シフタを有し、前記TMで前記アンテナ素子へ接続される送信パスとを有してよい。当該デバイスは、アクティブなアンテナ素子の数又は構成にマッピングするゲイン調整値を含むゲインテーブルと、プロセッサとを更に有してよい。該プロセッサは、前記アンテナアレイの最小電流ドレイン設定のために前記ゲインテーブルを構成し、前記RMで、前記ゲインテーブルを用いて自動ゲイン制御を実行し、干渉が存在する場合を決定し、存在する場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記自動ゲイン制御を実行することに戻り、存在しない場合には、前記アンテナアレイの最小源流ゲイン設定のために前記ゲインテーブルを構成することに戻る。
例1322で、例1321の対象において、任意に、前記プロセッサは更に、前記TMで、前記ゲインテーブルを用いて電力制御を実行し、他の信号との共在又は他の信号からの干渉が存在するかどうかを判定し、共在又は干渉が存在する場合には、前記プロセッサは更に、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記電力制御を実行することに戻り、共在又は干渉が存在しない場合には、前記プロセッサは更に、より狭いビーム幅に対するネットワーク要求が存在する場合を決定し、該ネットワーク要求が当てはまる場合には、前記プロセッサは更に、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻り、前記ネットワーク要求が当てはまらない場合には、前記プロセッサは更に、前記ゲインテーブルを前記アンテナアレイの最小電流ドレイン設定のために構成することに戻る。
例1323で、例1321乃至1322のうちのいずれか1つ以上の例の対象において、任意に、前記受信パスは、コンバイナへ接続され、前記送信パスは、スプリッタへ接続される。
例1324で、例1321乃至1323のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、受信信号値が予め定義された値を超えるかどうかを判定し、真である場合には、前記プロセッサは更に、前記ゲインテーブルを前記アンテナアレイの最小電流ドレイン設定のために構成することに戻る。
例1325で、例1324の対象において、任意に、前記受信信号値は、受信信号強度表示(received signal strength indicator)(RSSI)である。
例1326で、例1321乃至1325のうちのいずれか1つ以上の例の対象において、任意に、干渉が存在する場合の決定は、広帯域及び狭帯域検出を実行し、各々の結果を比較するよう、前記プロセッサによって行われる。
例1327で、例1322乃至1326のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、ユーザ近接条件が満足されるかどうかを判定し、該条件が満足される場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻るよう構成される。
例1328で、例1327の対象において、任意に、前記近接条件は、通信の方向がユーザから離れることである。
例1329で、例1328の対象において、任意に、前記近接条件は、当該デバイスからのユーザの距離を更に含む。
例1330で、例1321乃至1329のうちのいずれか1つ以上の例の対象において、任意に、前記プロセッサは更に、当該デバイスが通信している他のデバイスに対する当該デバイスの速さを決定し、該速さが予め定義された閾値を下回る場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻るよう構成される。
例1331で、例1321乃至1330のうちのいずれか1つ以上の例の対象において、任意に、当該デバイスは、セルラー電話網内の基地局である。
例1332で、例1321乃至1331のうちのいずれか1つ以上の例の対象は、任意に、前記アンテナアレイの部分を形成する無指向性アンテナを含む。
例1333で、例1321乃至1332のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナ素子は、長方形構成において配置される。
例1334は、フェーズドアレイトランシーバにおいてアンテナアレイを制御する方法である。当該方法は、送信モード(TM)及び受信モード(RM)の動作間で切り替え可能な送信及び受信スイッチを切り替えることと、可変低雑音増幅器及び位相シフタにより、前記RMでアンテナ素子へ接続される受信パスにおいて信号を増幅し且つ位相シフトすることと、可変電力増幅器及び位相シフタにより、前記TMで前記アンテナ素子へ接続される送信パスにおいて信号を増幅し且つ位相シフトすることと、アクティブなアンテナ素子の数又は構成にマッピングするゲイン調整値をゲインテーブルに格納することと、前記アンテナアレイの最小電流ドレイン設定のために前記ゲインテーブルを構成することと、前記RMで、前記ゲインテーブルを用いて自動ゲイン制御を実行し、干渉が存在する場合を決定し、存在する場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記自動ゲイン制御を実行することに戻り、存在しない場合には、前記アンテナアレイの最小源流ゲイン設定のために前記ゲインテーブルを構成することに戻ることとを有してよい。
例1335で、例1334の対象は、任意に、前記TMで、前記ゲインテーブルを用いて電力制御を実行し、他の信号との共在又は他の信号からの干渉が存在するかどうかを判定し、共在又は干渉が存在する場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記電力制御を実行することに戻り、共在又は干渉が存在しない場合には、より狭いビーム幅に対するネットワーク要求が存在する場合を決定し、該ネットワーク要求が当てはまる場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻り、前記ネットワーク要求が当てはまらない場合には、前記ゲインテーブルを前記アンテナアレイの最小電流ドレイン設定のために構成することに戻ることを含む。
例1336で、例1334乃至1335のうちのいずれか1つ以上の例の対象において、任意に、前記受信パスは、コンバイナへ接続され、前記送信パスは、スプリッタへ接続される。
例1337で、例1334乃至1336のうちのいずれか1つ以上の例の対象は、任意に、受信信号値が予め定義された値を超えるかどうかを判定し、真である場合には、前記ゲインテーブルを前記アンテナアレイの最小電流ドレイン設定のために構成することに戻ることを含む。
例1338で、例1337の対象において、任意に、前記受信信号値は、受信信号強度表示(RSSI)である。
例1339で、例1334乃至1338のうちのいずれか1つ以上の例の対象において、任意に、干渉が存在する場合の決定は、広帯域及び狭帯域検出を実行し、各々の結果を比較するよう、プロセッサによって行われる。
例1340で、例1335乃至1339のうちのいずれか1つ以上の例の対象は、任意に、ユーザ近接条件が満足されるかどうかを判定し、該条件が満足される場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻ることを含む。
例1341で、例1340の対象において、任意に、前記近接条件は、通信の方向がユーザから離れることである。
例1342で、例1341の対象において、任意に、前記近接条件は、デバイスからのユーザの距離を更に含む。
例1343で、例1334乃至1342のうちのいずれか1つ以上の例の対象は、任意に、デバイスが通信している他のデバイスに対する当該デバイスの速さを決定し、該速さが予め定義された閾値を下回る場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻ることを含む。
例1344で、例1334乃至1343のうちのいずれか1つ以上の例の対象において、任意に、前記デバイスは、セルラー電話網内の基地局である。
例1345で、例1334乃至1344のうちのいずれか1つ以上の例の対象は、任意に、前記アンテナアレイの部分を形成する無指向性アンテナを含む。
例1346で、例1334乃至1345のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナ素子は、長方形構成において配置される。
例1347は、デバイスの処理回路によって実行される場合に、該デバイスを、送信モード(TM)及び受信モード(RM)の動作間で切り替え可能な送信及び受信スイッチを切り替え、可変低雑音増幅器及び位相シフタにより、前記RMでアンテナ素子へ接続される受信パスにおいて信号を増幅し且つ位相シフトし、可変電力増幅器及び位相シフタにより、前記TMで前記アンテナ素子へ接続される送信パスにおいて信号を増幅し且つ位相シフトし、アクティブなアンテナ素子の数又は構成にマッピングするゲイン調整値をゲインテーブルに格納し、前記アンテナアレイの最小電流ドレイン設定のために前記ゲインテーブルを構成し、前記RMで、前記ゲインテーブルを用いて自動ゲイン制御を実行し、干渉が存在する場合を決定し、存在する場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記自動ゲイン制御を実行することに戻り、存在しない場合には、前記アンテナアレイの最小源流ゲイン設定のために前記ゲインテーブルを構成することに戻るよう構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1348で、例1347の対象において、任意に、前記命令は、前記TMで、前記ゲインテーブルを用いて電力制御を実行し、他の信号との共在又は他の信号からの干渉が存在するかどうかを判定し、共在又は干渉が存在する場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成し、前記電力制御を実行することに戻り、共在又は干渉が存在しない場合には、より狭いビーム幅に対するネットワーク要求が存在する場合を決定し、該ネットワーク要求が当てはまる場合には、前記ゲインテーブルを前記アンテナアレイのより狭いビーム幅設定のために構成することに戻り、前記ネットワーク要求が当てはまらない場合には、前記ゲインテーブルを前記アンテナアレイの最小電流ドレイン設定のために構成することに戻るよう更に動作可能である。
例1349は、デバイスの処理回路によって実行される場合に、例1334乃至1346の方法のいずれかを実行するよう前記デバイスを構成するように動作可能なコンピュータ実行可能命令を有する1つ以上のコンピュータ可読記憶媒体を有するコンピュータプログラム製品である。
例1350は、例1334乃至1346の方法のいずれかを実行する手段を有するシステムである。
例1351は、デジタルアナログ回路デバイスである。当該デバイスは、電流源及び該電流源がドレインする少なくとも2つの切り替え可能なパスを有する第1コンポーネントであり、前記パスに関連付けられる電圧リファレンス点での電圧リファレンスがオンされている前記パスの数に依存する、前記第1コンポーネントと、少なくとも2つの切り替え可能なパスを有する第2コンポーネントであり、該第2コンポーネントに関連する出力部がオンされているパスの第2の数及び前記電圧リファレンス点に依存し、該電圧リファレンス点が前記第1コンポーネントを前記第2コンポーネントへ接続する、前記第2コンポーネントとを有してよい。
例1352で、例1351の対象において、前記第1コンポーネントのパスは夫々、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1353で、例1352の対象において、前記第1コンポーネントのパスは夫々、前記電流源と前記トランジスタとの間に該トランジスタと直列に接続されたスイッチとして第2トランジスタを有する。
例1354で、例1351乃至1353のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点は、前記第1コンポーネントを前記第2コンポーネントへ切り替え可能に接続するスイッチを有する。
例1355で、例1351乃至1354のうちのいずれか1つ以上の例の対象において、任意に、前記第2コンポーネントの各パスは、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1356で、例1355の対象において、前記第2コンポーネントの各パスは、当該パスをはめ込むようスイッチとして動作する、前記出力部及び前記トランジスタとの間で直列な第2トランジスタを更に有する。
例1357で、例1351乃至1356のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点での電圧はV/Nであり、ここで、Nは、前記第1コンポーネント内のパスの数であり、Vは、1つのパスのみがアクティブである場合の前記電圧リファレンス点での電圧である。
例1358は、デジタルアナログ回路デバイスの作動方法である。当該方法は、第1コンポーネントにおいて、少なくとも2つの切り替え可能なパスを設け、電流源から前記少なくとも2つの切り替え可能なパスに電流を流して、オンされている前記パスの数に依存する電圧リファレンス点での電圧を確立することと、第2コンポーネントにおいて、少なくとも2つの切り替え可能なパスを設け、前記第2コンポーネントに関連する出力部がオンされているパスの第2の数及び前記電圧リファレンス点に依存し、該電圧リファレンス点が前記第1コンポーネントを前記第2コンポーネントへ接続する、こととを有してよい。
例1359で、例1358の対象において、前記第1コンポーネントのパスは夫々、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1360で、例1359の対象において、前記第1コンポーネントのパスは夫々、前記電流源と前記トランジスタとの間に該トランジスタと直列に接続されたスイッチとして第2トランジスタを有する。
例1361で、例1358乃至1360のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点は、前記第1コンポーネントを前記第2コンポーネントへ切り替え可能に接続するスイッチを有する。
例1362で、例1358乃至1361のうちのいずれか1つ以上の例の対象において、任意に、前記第2コンポーネントの各パスは、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1363で、例1362の対象において、前記第2コンポーネントの各パスは、当該パスをはめ込むようスイッチとして動作する、前記出力部及び前記トランジスタとの間で直列な第2トランジスタを更に有する。
例1364で、例1358乃至1363のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点での電圧はV/Nであり、ここで、Nは、前記第1コンポーネント内のパスの数であり、Vは、1つのパスのみがアクティブである場合の前記電圧リファレンス点での電圧である。
例1365は、例1358乃至1364の方法のいずれかを実行する手段するシステムである。
例1366は、デジタルアナログ回路デバイスの作動デバイスである。当該デバイスは、第1コンポーネントにおいて、少なくとも2つの切り替え可能なパスを設け、電流源から前記少なくとも2つの切り替え可能なパスに電流を流して、オンされている前記パスの数に依存する電圧リファレンス点での電圧を確立する手段と、第2コンポーネントにおいて、少なくとも2つの切り替え可能なパスを設け、前記第2コンポーネントに関連する出力部がオンされているパスの第2の数及び前記電圧リファレンス点に依存し、該電圧リファレンス点が前記第1コンポーネントを前記第2コンポーネントへ接続する、手段とを有してよい。
例1367で、例1366の対象において、前記第1コンポーネントのパスは夫々、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1368で、例1367の対象において、前記第1コンポーネントのパスは夫々、前記電流源と前記トランジスタとの間に該トランジスタと直列に接続されたスイッチとして第2トランジスタを有する。
例1369で、例1366乃至1368のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点は、前記第1コンポーネントを前記第2コンポーネントへ切り替え可能に接続するスイッチを有する。
例1370で、例1366乃至1369のうちのいずれか1つ以上の例の対象において、任意に、前記第2コンポーネントの各パスは、ゲートが前記電圧リファレンス点へ接続されたトランジスタを有する。
例1371で、例1370の対象において、前記第2コンポーネントの各パスは、当該パスをはめ込むようスイッチとして動作する、前記出力部及び前記トランジスタとの間で直列な第2トランジスタを更に有する。
例1372で、例1366乃至1371のうちのいずれか1つ以上の例の対象において、任意に、前記電圧リファレンス点での電圧はV/Nであり、ここで、Nは、前記第1コンポーネント内のパスの数であり、Vは、1つのパスのみがアクティブである場合の前記電圧リファレンス点での電圧である。
例1373は、無線周波数受信器デバイスのための混合信号フィードフォワード・フィードバック・ポラライザ等化器(mixed signal feedforward feedback polarizer equalizer)(MSFFPE)デバイスである。当該デバイスは、受信器のデジタル側で同相(I)信号線及び直交(Q)信号線へ接続される入力部と、該入力部での入力信号に作用するフィルタリング及び処理要素と、前記受信器のアナログ側でI信号線及びQ信号線へ接続される出力部とを有してよい。
例1374で、例1373の対象において、任意に、前記受信器の前記デジタル側及び前記アナログ側での前記I信号線及び前記Q信号線は夫々、垂直成分VI及びVQと、水平成分HI及びHQとを有する。
例1375で、例1374の対象において、任意に、前記フィルタリング及び処理要素は、VI信号線とHI信号線との間、VI信号線及びHQ信号線との間、VQ信号線とHI信号線との間、及びVQ信号線とHQ信号線との間のクロストークを減らす回路を有する。
例1376で、例1373乃至1375のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、前記I信号線と前記Q信号線との間のクロストークを減らす回路を有する。
例1377で、例1373乃至1376のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、デジタル遅延及び加算器回路を有する。
例1378で、例1373乃至1377のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、リセットクロック信号によってリセット可能である出力キャパシタを含むリセット可能キャパシタを有する。
例1379で、例1378の対象において、任意に、前記リセット可能キャパシタは、積分クロック周期の間、電荷を積分する。
例1380で、例1373乃至1379のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、帯域幅増大及びオフセット相殺のためのブーストデバイスに関連したコモンモードフィードバックを供給するオペアンプを更に有する。
例1381で、例1380の対象は、フィードバックタップ及び判定帰還型等化器(DFE)入力を含む。
例1382は、無線周波数受信器デバイスのための混合信号フィードフォワード・フィードバック・ポラライザ等化器(MSFFPE)デバイスの作動方法である。当該方法は、受信器のデジタル側で同相(I)信号線及び直交(Q)信号線へ接続される入力部へのデジタル信号を受信することと、該受信されたデジタル信号を、フィルタリング及び処理要素によりフィルタリングし且つ処理することと、前記受信器のアナログ側でI信号線及びQ信号線へ接続される出力部でアナログ信号を出力することとを有してよい。
例1383で、例1382の対象において、任意に、前記受信器の前記デジタル側及び前記アナログ側での前記I信号線及び前記Q信号線は夫々、垂直成分VI及びVQと、水平成分HI及びHQとを有する。
例1384で、例1383の対象は、任意に、VI信号線とHI信号線との間、VI信号線及びHQ信号線との間、VQ信号線とHI信号線との間、及びVQ信号線とHQ信号線との間のクロストークを減らすために前記フィルタリング及び処理要素を利用することを含む。
例1385で、例1382乃至1384のうちのいずれか1つ以上の例の対象は、任意に、前記I信号線と前記Q信号線との間のクロストークを減らすために前記フィルタリング及び処理要素を利用することを含む。
例1386で、例1382乃至1385のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、デジタル遅延及び加算器回路を有する。
例1387で、例1382乃至1386のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、リセットクロック信号によってリセット可能である出力キャパシタを含むリセット可能キャパシタを有する。
例1388で、例1387の対象において、任意に、前記リセット可能キャパシタは、積分クロック周期の間、電荷を積分する。
例1389で、例1382乃至1388のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、帯域幅増大及びオフセット相殺のためのブーストデバイスに関連したコモンモードフィードバックを供給するオペアンプを更に有する。
例1390で、例1389の対象は、フィードバックタップ及び判定帰還型等化器(DFE)入力を含む。
例1391は、例1382乃至1390の方法のいずれかを実行する手段を有するシステムである。
例1392は、無線周波数受信器デバイスのための混合信号フィードフォワード・フィードバック・ポラライザ等化器(MSFFPE)デバイスの作動デバイスである。当該方法は、受信器のデジタル側で同相(I)信号線及び直交(Q)信号線へ接続される入力部へのデジタル信号を受信する手段と、該受信されたデジタル信号を、フィルタリング及び処理要素によりフィルタリングし且つ処理する手段と、前記受信器のアナログ側でI信号線及びQ信号線へ接続される出力部でアナログ信号を出力する手段とを有してよい。
例1393で、例1392の対象において、任意に、前記受信器の前記デジタル側及び前記アナログ側での前記I信号線及び前記Q信号線は夫々、垂直成分VI及びVQと、水平成分HI及びHQとを有する。
例1394で、例1393の対象は、任意に、VI信号線とHI信号線との間、VI信号線及びHQ信号線との間、VQ信号線とHI信号線との間、及びVQ信号線とHQ信号線との間のクロストークを減らすために前記フィルタリング及び処理要素を利用することを含む。
例1395で、例1392乃至1394のうちのいずれか1つ以上の例の対象は、任意に、前記I信号線と前記Q信号線との間のクロストークを減らすために前記フィルタリング及び処理要素を利用することを含む。
例1396で、例1392乃至1395のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、デジタル遅延及び加算器回路を有する。
例1397で、例1392乃至1396のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、リセットクロック信号によってリセット可能である出力キャパシタを含むリセット可能キャパシタを有する。
例1398で、例1397の対象において、任意に、前記リセット可能キャパシタは、積分クロック周期の間、電荷を積分する。
例1399で、例1392乃至1398のうちのいずれか1つ以上の例の対象において、任意に、前記フィルタリング及び処理要素は、帯域幅増大及びオフセット相殺のためのブーストデバイスに関連したコモンモードフィードバックを提供する手段を更に有する。
例1400で、例1399の対象は、フィードバックタップ及び判定帰還型等化器(DFE)入力を含む。
例1401は、双方向増幅器を有する装置を含む。前記双方向増幅器は、送信(Tx)モードで増幅されたTx信号を供給するようTx信号を増幅する第1増幅器と;受信(Rx)モードで増幅されたRx信号を供給するようRx信号を増幅する第2増幅器と;前記Txモードで第1入力部/出力部から前記第1増幅器へ前記Tx信号を供給し、前記Rxモードで前記第2増幅器からの前記増幅されたRx信号を前記第1入力部/出力部で出力する第1変圧器と;前記Rxモードで第2入力部/出力部から前記第2増幅器へ前記Rx信号を供給し、前記Txモードで前記第1増幅器からの前記増幅されたTx信号を前記第2入力部/出力部で出力する第2変圧器と;前記Txモードで前記第1増幅器への複数の作動電圧及び前記第2増幅器への複数の非作動電圧を切り替え、前記Rxモードで前記第2増幅器への前記複数の作動電圧及び前記第1増幅器への前記複数の非作動電圧を切り替える複数のスイッチとを有する。
一例において、例1401の装置は、例えば、1つ以上の追加の要素、例えば、双方向スプリッタ/コンバイナ、双方向ミキサ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1422、1440、1454、1465、1476、1487、1500、1513、1526、1538、及び/又は1551に関して後述されるように、含んでもよい。
例1402は,例1401の対象を含み、任意に、前記複数の作動電圧は、前記Txモードでは前記第1増幅器の少なくとも1つのドレインへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのドレインへ印加されるドレイン電圧を有する。
例1403は、例1402の対象を含み、任意に、前記複数の非作動電圧は、前記Txモードでは前記第2増幅器の少なくとも1つのドレインへ印加され、前記Rxモードでは前記第1増幅器の少なくとも1つのドレインへ印加されるソース電圧を有する。
例1404は、例1402又は1403の対象を含み、任意に、前記複数のスイッチは、前記第2増幅器の少なくとも1つのドレインを前記Txモードでのドレイン電圧と前記Rxモードでのソース電圧との間で切り替える第1スイッチと、前記第1増幅器の少なくとも1つのドレインを前記Txモードでのソース電圧と前記Rxモードでのドレイン電圧との間で切り替える第2スイッチとを有する。
例1405は、例1402乃至1404のうちのいずれか1つの対象を含み、任意に、前記双方向増幅器は、前記第1変圧器から前記第1増幅器の第1入力部へ前記Tx信号を供給する第1キャパシタと、前記第1変圧器から前記第1増幅器の第2入力部へ前記Tx信号を供給する第2キャパシタと、前記第2変圧器から前記第2増幅器の第1入力部へ前記Rx信号を供給する第3キャパシタと、前記第2変圧器から前記第2増幅器の第2入力部へ前記Rx信号を供給する第4キャパシタとを有する。
例1406は、例1402乃至1405のうちのいずれか1つの対象を含み、任意に、前記第1増幅器及び前記第2増幅器のうちの少なくとも1つの増幅器は、コモンソース負性金属酸化膜半導体(Negative Metal Oxide Semiconductor)(NMOS)電界効果トランジスタ(Field Effect Transistor)(FET)を有する。
例1407は、例1401の対象を含み、任意に、前記複数の作動電圧は、前記Txモードでは前記第1増幅器の少なくとも1つのドレインへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのドレインへ印加されるドレイン電圧と;前記Txモードでは前記第1増幅器の少なくとも1つのゲートへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのゲートへ印加されるバイアス電圧と;前記Txモードでは前記第1増幅器の少なくとも1つのソースへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのソースへ印加されるソース電圧とを有する。
例1408は、例1407の対象を含み、任意に、前記複数の非作動電圧は、前記Txモードでは前記第2増幅器の少なくとも1つのゲートへ印加され、前記Rxモードでは前記第1増幅器の少なくとも1つのゲートへ印加される前記ドレイン電圧と;前記Txモードでは前記第2増幅器の少なくとも1つのソースへ印加され、前記Rxモードでは前記第1増幅器の少なくとも1つのソースへ印加される前記バイアス電圧とを有する。
例1409は、例1407又は1408の対象を含み、任意に、前記複数のスイッチは、前記第2増幅器の少なくとも1つのドレイン及び前記第1増幅器の少なくとも1つのゲートを前記Txモードでのドレイン電圧と前記Rxモードでのゲート電圧との間で切り替える第1スイッチと;前記第1増幅器の少なくとも1つのソースを前記Txモードでのバイアス電圧と前記Rxモードでのソース電圧との間で切り替える第2スイッチと;前記第2増幅器の少なくとも1つのソースを前記Txモードでのソース電圧と前記Rxモードでのバイアス電圧との間で切り替える第3スイッチと;前記第2増幅器の少なくとも1つのドレイン及び前記第1増幅器の少なくとも1つのゲートを前記Txモードでのバイアス電圧と前記Rxモードでのドレイン電圧との間で切り替える第4スイッチとを有する。
例1410は、例1401の対象を含み、任意に、前記複数の作動電圧は、前記Txモードでは前記第1増幅器の少なくとも1つのソースへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのドレインへ印加されるドレイン電圧と;前記Txモードでは前記第1増幅器の少なくとも1つのドレインへ印加され、前記Rxモードでは前記第2増幅器の少なくとも1つのソースへ印加されるソース電圧と;前記Txモードで前記第1増幅器の少なくとも1つのゲートへ印加される第1バイアス電圧と;前記Rxモードで前記第2増幅器の少なくとも1つのゲートへ印加される第2バイアス電圧とを有する。
例1411は、例1410の対象を含み、任意に、前記複数の非作動電圧は、前記Txモードで前記第2増幅器の少なくとも1つのドレインへ及び前記第2増幅器の少なくとも1つのソースへ印加される前記第1バイアス電圧と;前記Txモードでは前記第1増幅器の少なくとも1つのドレインへ及び前記Rxモードでは前記第1増幅器の少なくとも1つのソースへ印加される前記第2バイアス電圧とを有する。
例1412は、例1410又は1411のうちのいずれか1つの対象を含み、任意に、前記複数のスイッチは、前記第2増幅器の少なくとも1つのドレイン及び前記第1増幅器の少なくとも1つのゲートを前記Txモードでのソース電圧と前記Rxモードでの第2バイアス電圧との間で切り替える第1スイッチと;前記第1増幅器の少なくとも1つのソースを前記Txモードでの第1バイアス電圧と前記Rxモードでのソース電圧との間で切り替える第2スイッチと;前記第2増幅器の少なくとも1つのソースを前記Txモードでのドレイン電圧と前記Rxモードでの第2バイアス電圧との間で切り替える第3スイッチと;前記第1増幅器の少なくとも1つのドレイン及び前記第2増幅器の少なくとも1つのゲートを前記Txモードでの第1バイアス電圧と前記Rxモードでのドレイン電圧との間で切り替える第4スイッチとを有する。
例1413は、例1410乃至1412のうちのいずれか1つの対象を含み、任意に、前記第1増幅器は、1つ以上の正性(Positive)金属酸化膜半導体(PMOS)FETを有し、前記第2増幅器は、1つ以上のNMOS FETを有する。
例1414は、例1401乃至1413のうちのいずれか1つの対象であって、前記Txモード又は前記Rxモードに基づき前記第1増幅器と前記第2増幅器との間で前記複数のスイッチを制御可能に切り替えるよう複数の制御信号を供給する制御回路を有する前記対象を含む。
例1415は、例1401乃至1414のうちのいずれか1つの対象を含み、任意に、前記第1増幅器は電力増幅器(Power Amplifier)(PA)を有し、前記第2増幅器は低雑音増幅器(Low Noise Amplifier)(LNA)を有する。
例1416は、例1401乃至1415のうちのいずれか1つの対象を含み、任意に、前記第1増幅器は、第1のコモンソース電界効果トランジスタ(FET)対を有し、前記第2増幅器は、第2のコモンソース電界効果トランジスタ(FET)対を有する。
例1417は、例1401乃至1416のうちのいずれか1つの対象であって、前記Tx信号を送信し且つ前記Rx信号を受信するよう構成されるトランシーバを有する前記対象を含む。
例1418は、例1417の対象を含み、任意に、前記トランシーバは、第5世代(5G)セルラートランシーバを有する。
例1419は、例1417の対象を含み、任意に、前記トランシーバは、60GHz周波数帯域にわたって前記Tx信号を送信し且つ前記Rx信号を受信するよう構成される60ギガヘルツ(GHz)トランシーバを有する。
例1420は、例1417乃至1419のうちのいずれか1つの対象を含み、任意に、前記トランシーバは、半二重通信(half-duplex)トランシーバを有する。
例1421は、例1401乃至1420のうちのいずれか1つの対象であって、1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1422は、コンバイナモードとスプリッタモードとの間で切り替え可能なアクティブ双方向スプリッタ/コンバイナ(active bidirectional slitter/combiner)(ABDSC)を有する装置を含む。前記ABDSCは、前記コンバイナモードで各々の複数のアンテナポートから複数の受信(Rx)信号を受信し、前記スプリッタモードで複数の送信(Tx)信号を前記各々の複数のアンテナポートへ出力する複数のアンテナインターフェイスと;前記ABDSCを増幅回路へ動作上結合する変圧器であり、前記スプリッタモードでTx信号を前記増幅回路から前記複数のアンテナインターフェイスへ転送し、前記コンバイナモードで前記複数のRx信号を結合Rx信号へと結合し、該結合Rx信号を前記増幅回路へ供給するよう構成される前記変圧器とを有する。
一例において、例1422の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1440、1454、1465、1476、1487、1501、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1423は、例1422の対象であって、前記スプリッタモードと前記コンバイナモードとの間で前記ABDSCを制御可能に切り替えるコントローラ回路を有する前記対象を含む。
例1424は、例1422又は1423の対象を含み、任意に、前記複数のアンテナインターフェイスの中のアンテナインターフェイスは、第1トランジスタ対及び第2トランジスタ対を有し、前記第1トランジスタ対は、該第1トランジスタ対のトランジスタによって、前記スプリッタモードではアクティブにされ、前記コンバイナモードでは非アクティブにされるようカスコード接続にあり、前記第2トランジスタ対は、該第2トランジスタ対のトランジスタによって、前記コンバイナモードではアクティブにされ、前記スプリッタモードでは非アクティブにされるようカスコード接続にある。
例1425は、例1424の対象を含み、任意に、前記第1トランジスタ対は、電界効果トランジスタの第1の対を有し、前記第2トランジスタ対は、FETの第2の対を有する。
例1426は、例1422又は1423の対象を含み、任意に、前記複数のアンテナインターフェイスの中のアンテナインターフェイスは、前記コンバイナモードではアクティブにされ、前記スプリッタモードでは非アクティブにされるようコモンソース接続を有する第1トランジスタと、前記スプリッタモードではアクティブされ、前記コンバイナモードでは非アクティブにされるようコモンソース接続を有する第2トランジスタとを有する。
例1427は、例1426の対象を含み、任意に、前記第1トランジスタ及び前記第2トランジスタは、電界効果トランジスタ(FET)を有する。
例1428は、例1422又は1423の対象を含み、任意に、前記複数のアンテナインターフェイスの中のアンテナインターフェイスは、トランジスタを有し、該トランジスタは、前記スプリッタモードでは、当該トランジスタのソースでソース電圧(Vs)を及び当該トランジスタのゲートでゲート電圧(Vg)を受け、前記コンバイナモードでは、当該トランジスタのソースでドレイン電圧(Vd)を及び当該トランジスタのゲートで前記ソース電圧Vsを受けるようコモンゲート接続を有する。
例1429は、例1428の対象を含み、任意に、前記トランジスタは、電界効果トランジスタ(FET)を有する。
例1430は、例1422又は1423の対象を含み、任意に、前記複数のアンテナインターフェイスの中のアンテナインターフェイスは、前記コンバイナモードではアクティブにされ、前記スプリッタモードでは非アクティブにされるようコモンゲート接続を有する第1トランジスタと、前記スプリッタモードではアクティブにされ、前記コンバイナモードでは非アクティブにされるようコモンソース接続を有する第2トランジスタとを有する。
例1431は、例1430の対象を含み、任意に、前記第1トランジスタ及び前記第2トランジスタは、電界効果トランジスタ(FET)を有する。
例1432は、例1422乃至1431のうちのいずれか1つの対象を含み、任意に、前記複数のアンテナインターフェイスは、少なくとも4つのアンテナインターフェイスを有する。
例1433は、前記増幅回路を有する例1422乃至1432のうちのいずれか1つの対象であって、前記増幅回路は、前記結合Rx信号を増幅Rx信号へと増幅し、且つ、アップコンバートされたTx信号を増幅することによって前記Tx信号を生成する双方向増幅器と;中間周波数(IF)Tx信号を前記アップコンバートされたTx信号へとアップコンバートし、前記増幅Rx信号をIF Rx信号へとダウンコンバートするミキサと;前記IF Rx信号に基づき第1デジタル信号を生成し、且つ、第2デジタル信号に基づき前記IF Tx信号を生成するIFサブシステムとを有する、前記対象を含む。
例1434は、例1433の対象であって、前記スプリッタモードと前記コンバイナモードとの間で前記ABDSCを制御可能に切り替えるコントローラ回路を有し、該コントローラ回路は、前記ABDSCが前記スプリッタモードにある場合には、前記アップコンバートされたTx信号を増幅するよう前記双方向増幅器を制御するか、該双方向増幅器をそのように動作させるか、又は該双方向増幅器をトリガし、前記ABDSCが前記コンバイナモードにある場合には、前記結合Rx信号を増幅するよう前記双方向増幅器を制御するか、該双方向増幅器をそのように動作させるか、又は該双方向増幅器をトリガする、前記対象を含む。
例1435は、例1422乃至1434のうちのいずれか1つの対象であって、前記Tx信号を送信し且つ前記Rx信号を受信するよう構成されるトランシーバを有する前記対象を含む。
例1436は、例1435の対象を含み、任意に、前記トランシーバは、60GHz周波数帯域にわたって前記Tx信号を送信し且つ前記Rx信号を受信するよう構成される60ギガヘルツ(GHz)トランシーバを有する。
例1437は、例1435又は1436の対象を含み、任意に、前記トランジスタは、半二重通信トランシーバを有する。
例1438は、例1435乃至1437のうちのいずれか1つの対象であって、第5世代(5G)セルラートランシーバを有する前記対象を含む。
例1439は、例1422乃至1438のうちのいずれか1つの対象であって、1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1440は、デジタル制御信号に基づき入力信号を制御可能に増幅及び変調するデジタル電力増幅器(PA)を有する装置を含む。前記デジタルPAは、複数の増幅され変調された信号を供給するよう前記デジタル制御信号によって制御可能な複数の積層型ゲート制御式増幅器であり、該複数の積層型ゲート制御式増幅器の中の積層型ゲート制御式増幅器は、前記入力信号を受信する第1入力部と、前記デジタル制御信号を受信する第2入力部と、前記複数の増幅され変調された信号の中の増幅され変調された信号を供給する出力部とを有する、前記複数の積層型ゲート制御式増幅器と;前記複数の増幅され変調された信号を、前記デジタル制御信号に基づく出力電力レベル及び変調を有するコンバイナ出力信号へと結合するコンバイナとを有する。
一例において、例1440の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタ、例えば、例1401、1422、1454、1465、1476、1487、1500、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1441は、1つの例1440の対象を含み、任意に、前記積層型ゲート制御式増幅器は、前記デジタル制御信号に基づき前記入力信号を増幅し変調することによって前記増幅され変調された信号を供給する第1トランジスタと、前記デジタル制御信号に基づき前記第1トランジスタのゲートをデジタル制御する第2トランジスタとを有する。
例1442は、例1440又は1441の対象を含み、任意に、前記第2トランジスタは、前記デジタル制御信号のビット値に基づき前記積層型ゲート制御式増幅器をオン状態とオフ状態との間で切り替えるよう構成される。
例1443は、例1440乃至1442のうちのいずれか1つの対象を含み、任意に、前記第1トランジスタは、第1電界効果トランジスタ(FET)を有し、前記第2トランジスタは、第2FETを有する。
例1444は、例1440乃至1443のうちのいずれか1つの対象を含み、任意に、前記第1トランジスタは、前記デジタル制御信号のビットに基づき前記入力信号を2倍で増幅するよう構成される。
例1445は、例1440乃至1444のうちのいずれか1つの対象を含み、任意に、前記デジタルPAは、変調スキームに従って前記デジタル制御信号に基づき前記入力信号を変調する。
例1446は、例1440乃至1445のうちのいずれか1つの対象を含み、任意に、前記変調スキームは、直交振幅変調(QAM)スキームを有する。
例1447は、例1446の対象を含み、任意に、前記QAMスキームは、64QAMスキームを有する。
例1448は、例1440乃至1447のうちのいずれか1つの対象を含み、任意に、前記デジタル信号は6ビットを有する。
例1449は、例1440乃至1448のうちのいずれか1つの対象を含み、任意に、前記複数の積層型ゲート制御式増幅器は、6個の積み重ねられたゲート制御式増幅器を有する。
例1450は、例1440乃至1449のうちのいずれか1つの対象であって、位相データに基づき前記入力信号を前記デジタルPAへ供給する位相変調器と、前記位相データに基づき前記デジタル制御信号を前記デジタルPAへ供給するベースバンドとを有する前記対象を含む。
例1451は、例1440乃至1450のうちのいずれか1つの対象であって、前記コンバイナ出力信号を送信するミリメートル波送信器を有する前記対象を含む。
例1452は、例1440乃至1451のうちのいずれか1つの対象であって、前記デジタルPAへ動作上結合される1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1453は、例1440乃至1452のうちのいずれか1つの対象であって、前記デジタルPAへ動作上結合される1つ以上のアンテナを有する前記対象を含む。
例1454は、二段式ドハティ増幅器を有する装置を含む。前記二段式ドハティ増幅器は、第1段でドライバ無線周波数(RF)信号を供給するようドライバ増幅入力信号を増幅する少なくとも1つのドライバ増幅器と;第2段でメイン増幅器信号を供給するよう前記ドライバRF信号を増幅する少なくとも1つのメイン増幅器と;前記ドライバRF信号のレベルに基づきオン状態にされ、該オン状態で前記ドライバRF信号を増幅してピーキング増幅器信号を供給する少なくとも1つの制御可能なピーキング増幅器と;前記メイン増幅器信号を前記ピーキング増幅器信号と結合するサブクォーター波長(SQWL)バランであり、前記少なくとも1つのドライバ増幅器の少なくとも1つの出力、前記少なくとも1つのメイン増幅器の少なくとも1つの入力、及び前記少なくとも1つの制御可能なピーキング増幅器の少なくとも1つの入力の間のインピーダンスを整合させる第1伝送線路を有し、前記少なくとも1つのメイン増幅器の少なくとも1つの出力及び前記少なくとも1つの制御可能なピーキング増幅器の少なくとも1つの出力の間のインピーダンスを整合させる第2伝送線路を有する前記SQWLバランとを有する。
一例において、例1454の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1465、1476、1487、1500、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1455は、例1454の対象を含み、任意に、前記SQWLバランは、第1インピーダンスを有する第3伝送線路と、複数のスタブとを有し、該複数のスタブの夫々は第2インピーダンスを有し、前記複数のスタブは、前記少なくとも1つのドライバ増幅器の少なくとも1つの入力部を前記第3伝送線路へ動作上結合し、前記少なくとも1つのドライバ増幅器の少なくとも1つの出力部を前記第1伝送線路へ動作上結合し、前記少なくとも1つのメイン増幅器の少なくとも1つの入力部を前記第1伝送線路へ動作上結合し、前記少なくとも1つの制御可能なピーキング増幅器の少なくとも1つの入力部を前記第1伝送線路へ動作上結合し、前記少なくとも1つのメイン増幅器の少なくとも1つの出力部を前記第2伝送線路へ動作上結合し、前記少なくとも1つの制御可能なピーキング増幅器の少なくとも1つの出力部を前記第2伝送線路へ動作上結合する。
例1456は、例1455の対象を含み、任意に、前記第1インピーダンスは約50オームであり、前記第2インピーダンスは約25オームである。
例1457は、例1455又は1456の対象を含み、任意に、前記スタブの長さは、前記ドライバRF信号の波長の約8分の1に基づく。
例1458は、例1455乃至1457のうちのいずれか1つの対象を含み、任意に、前記第2伝送線路及び前記複数のスタブは、前記少なくとも1つのメイン増幅器の少なくとも1つの出力部、及び前記少なくとも1つの制御可能なピーキング増幅器の少なくとも1つの出力部で直列負荷を供給するよう構成される。
例1459は、例1455乃至1458のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つのドライバ増幅器は、前記複数のスタブの中の第1スタブへ動作上結合される第1入力部を有する第1整合ネットワークと;前記複数のスタブの中の第2スタブへ動作上結合される第2入力部を有する第2整合ネットワークであり、前記第1整合ネットワーク及び前記第2整合ネットワークは前記第1スタブ及び前記第2スタブのインピーダンスを前記第3伝送線路のインピーダンスと整合させる、前記第2整合ネットワークと;前記第1整合ネットワークの第1出力部へ動作上結合される第1入力部、及び前記複数のスタブの中の第3スタブへ動作上結合される第1出力部を有する第1電力増幅器と;前記第2整合ネットワークの第2出力部へ動作上結合される第2入力部、及び前記複数のスタブの中の第4スタブへ動作上結合される第2出力部を有する第2電力増幅器であり、前記第3スタブ及び前記第4スタブは前記第1電力増幅器の第1出力部及び前記第2電力増幅器の第2出力部と前記第1伝送線路との間のインピーダンスを整合させる、前記第2電力増幅器とを有する。
例1460は、例1455乃至1459のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つのメイン増幅器は、前記複数のスタブの中の第1スタブへ動作上結合される第1入力部を有する第1整合ネットワークと;前記複数のスタブの中の第2スタブへ動作上結合される第2入力部を有する第2整合ネットワークであり、前記第1整合ネットワーク及び前記第2整合ネットワークは前記第1スタブ及び前記第2スタブのインピーダンスを前記第1伝送線路のインピーダンスと整合させる、前記第2整合ネットワークと;前記第1整合ネットワークの第1出力部へ動作上結合される第1入力部、及び前記複数のスタブの中の第3スタブへ動作上結合される第1出力部を有する第1電力増幅器と;前記第2整合ネットワークの第2出力部へ動作上結合される第2入力部、及び前記複数のスタブの中の第4スタブへ動作上結合される第2出力部を有する第2電力増幅器であり、前記第3スタブ及び前記第4スタブは前記第1電力増幅器の第1出力部及び前記第2電力増幅器の第2出力部と前記第2伝送線路との間のインピーダンスを整合させる、前記第2電力増幅器とを有する。
例1461は、例1455乃至1460のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つの制御可能なピーキング増幅器は、前記複数のスタブの中の第1スタブへ動作上結合される第1入力部を有する第1整合ネットワークと;前記複数のスタブの中の第2スタブへ動作上結合される第2入力部を有する第2整合ネットワークであり、前記第1整合ネットワーク及び前記第2整合ネットワークは前記第1スタブ及び前記第2スタブのインピーダンスを前記第1伝送線路のインピーダンスと整合させる、前記第2整合ネットワークと;前記第1整合ネットワークの第1出力部へ動作上結合される第1入力部、及び前記複数のスタブの中の第3スタブへ動作上結合される第1出力部を有する第1電力増幅器と;前記第2整合ネットワークの第2出力部へ動作上結合される第2入力部、及び前記複数のスタブの中の第4スタブへ動作上結合される第2出力部を有する第2電力増幅器であり、前記第3スタブ及び前記第4スタブは前記第1電力増幅器の第1出力部及び前記第2電力増幅器の第2出力部と前記第2伝送線路との間のインピーダンスを整合させる、前記第2電力増幅器とを有する。
例1462は、例1454乃至1461のうちのいずれか1つの対象であって、LO信号を生成する局所発振器(LO)と;前記LO信号に基づきI信号を生成する同相(I)ミキサ回路と;前記LO信号に基づきQ信号を生成する直交位相(Q)ミキサ回路と;前記I信号及び前記Q信号を前記ドライバ増幅入力信号へと結合するコンバイナ回路とを有する前記対象を含む。
例1463は、例1454乃至1462のうちのいずれか1つの対象であって、前記二段式ドハティ増幅器へ動作上結合される1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1464は、例1454乃至1463のうちのいずれか1つの対象であって、前記二段式ドハティ増幅器へ動作上結合される1つ以上のアンテナを有する前記対象を含む。
例1465は、同相(I)及び直交位相(Q)(I/Q)発生器を有する装置を含む。前記I/Q発生器は、局所発振器(LO)信号を生成するLOと;送信(Tx)モードで前記LO信号に基づき位相変調されたTx信号を生成し、受信(Rx)モードで前記LO信号に基づき位相変調されたI信号を生成する第1の制御可能な位相変調チェーンと;前記Rxモードで前記LO信号に基づき位相変調されたQ信号を生成する第2の制御可能な位相変調チェーンと;前記Rxモードで、1つ以上のアンテナポートからのRx信号を、前記位相変調されたI信号に基づきI位相変調ダウンコンバート信号へと、前記位相変調されたQ信号に基づきQ位相変調ダウンコンバート信号へとダウンコンバートするミキサ回路とを有する。
一例において、例1465の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1476、1487、1500、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1466は、例1465の対象を含み、任意に、前記ミキサ回路は、前記Rxモードで前記位相変調されたI信号に基づき前記Rx信号を前記I位相変調ダウンコンバート信号にダウンコンバートする第1ミキサと、前記Rxモードで前記位相変調されたQ信号に基づき前記Rx信号を前記Q位相変調ダウンコンバート信号にダウンコンバートする第2ミキサとを有する。
例1467は、例1466の対象を含み、任意に、前記I/Q発生器は、前記Rxモードで前記第1の制御可能な位相変調チェーンを前記第1ミキサへ接続する第1スイッチと、前記Txモードで前記第1の制御可能な位相変調チェーンを電力増幅器へ接続する第2スイッチとを有する。
例1468は、例1465の対象であって、1つ以上のフェーズアレイアンテナから受信された信号に基づき前記Rx信号を生成する低雑音増幅器(LNA)を有する前記対象を含む。
例1469は、例1465の対象を含み、任意に、前記I位相変調ダウンコンバート信号及び前記Q位相偏チュダウンコンバート信号は、ベースバンド信号を有する。
例1470は、例1465の対象を含み、任意に、前記I/Q発生器は、フェーズアレイアンテナの素子へ適用される第1位相シフトによって前記局所発振器信号の位相をシフトする第1位相変調器と、前記第1位相シフトの90°回転を有する第2位相シフトによって前記局所発振器信号の位相をシフトする第2位相変調器とを有する。
例1471は、例1470の対象を含み、任意に、前記第1の制御可能な位相変調チェーンは、前記第1位相変調器と、前記Txモードで前記位相変調されたTx信号の位相及び周波数を3倍にし、前記Rxモードで前記位相変調されたQ信号の位相及び周波数を3倍にするトリプラとを有する。
例1472は、例1470の対象を含み、任意に、前記第2の制御可能な位相変調チェーンは、前記第2位相変調器と、前記Rxモードで前記位相変調されたQ信号の位相及び周波数を3倍にするトリプラとを有する。
例1473は、例1465の対象を含み、任意に、前記局所発振器信号の周波数は、キャリア周波数の3分の1(fcarier/3)である。
例1474は、例1465の対象であって、1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1475は、例1465の対象であって、半二重通信トランシーバを有する前記対象を含む。
例1476は、無線周波数(RF)増幅器を有する装置を含む。前記RF増幅器は、第1入力信号に基づき第1同相(I)信号を、第2入力信号に基づき第1直交位相(Q)信号を供給する第1アウトフェージング増幅器回路と;前記第1入力信号に基づき第2I信号を、前記第2入力信号に基づき第2Q信号を供給する第2アウトフェージング増幅器回路と;第3入力信号に基づき第3I信号を、第4入力信号に基づき第3Q信号を供給する第3アウトフェージング増幅器回路と;前記第3入力信号に基づき第4I信号を、前記第4入力信号に基づき第4Q信号を供給する第4アウトフェージング増幅器回路と;前記第1I信号及び前記第2I信号を第1伝送線路へ結合する第1誘導スタブと、前記第3I信号及び前記第4I信号を第2伝送線路へ結合する第2誘導スタブと、前記第1Q信号及び前記第2Q信号を前記第1伝送線路へ結合する第1容量スタブと、前記第3Q信号及び前記第4Q信号を前記第2伝送線路へ結合する第2容量スタブとを有し、前記第1伝送線路が、前記第1I信号、前記第2I信号、前記第1Q信号、及び前記第2Q信号の結合に基づき第1RF信号を供給し、前記第2伝送線路が、前記第3I信号、前記第4I信号、前記第3Q信号、及び前記第4Q信号の結合に基づき第2RF信号を供給する、サブクォーター波長(SQWL)4方向コンバイナバランとを有する。
一例において、例1476の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1487、1500、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1477は、例1476の対象を含み、任意に、前記第1アウトフェージング増幅器回路は、前記第1誘導スタブ及び前記第1容量スタブへ動作上結合される第1アウトフェージング増幅器を有し、前記第2アウトフェージング増幅器回路は、前記第1誘導スタブ及び前記第1容量スタブへ動作上結合される第2アウトフェージング増幅器を有し、前記第3アウトフェージング増幅器回路は、前記第2誘導スタブ及び前記第2容量スタブへ動作上結合される第3アウトフェージング増幅器を有し、前記第4アウトフェージング増幅器回路は、前記第2誘導スタブ及び前記第2容量スタブへ動作上結合される第4アウトフェージング増幅器を有する。
例1478は、例1477の対象を含み、任意に、前記第1、第2、第3及び第4アウトフェージング増幅器の各アウトフェージング増幅器は、局所発振器(LO)I信号に基づき初期I信号を生成し、LO Q信号に基づき初期Q信号を生成するI/Q発生器と、当該アウトフェージング増幅器の第1入力に基づき前記初期I信号を変調することによって、位相変調されたI信号を生成し、当該アウトフェージング増幅器の第2入力に基づき前記初期Q信号を変調することによって、位相変調されたQ信号を生成する位相変調器回路と、前記位相変調されたI信号を増幅することによって、増幅されたI信号を出力する第1増幅器と、前記位相変調されたQ信号を増幅することによって、増幅されたQ信号を出力する第2増幅器とを有する。
例1479は、例1478の対象を含み、任意に、前記第1誘導スタブは、当該アウトフェージング増幅器の前記第1増幅器の出力に25オームインピーダンスを適用し、前記第1容量スタブは、当該アウトフェージング増幅器の前記第2増幅器の出力に25オームインピーダンスを適用する。
例1480は、例1478又は1479の対象を含み、任意に、前記第2誘導スタブは、当該アウトフェージング増幅器の前記第1増幅器の出力に25オームインピーダンスを適用し、前記第2容量スタブは、当該アウトフェージング増幅器の前記第2増幅器の出力に25オームインピーダンスを適用する。
例1481は、例1478乃至1480のうちのいずれか1つの対象であって、前記LO I信号及び前記LO Q信号を生成するLOを有する前記対象を含む。
例1482は、例1476乃至1481のうちのいずれか1つの対象を含み、任意に、前記SQWL4方向コンバイナバランは、キレイクス(Chireix)コンバイナを有する。
例1483は、例1476乃至1481のうちのいずれか1つの対象を含み、任意に、前記SQWL4方向コンバイナバランは、非絶縁コンバイナを有する。
例1484は、例1476乃至1483のうちのいずれか1つの対象であって、半二重通信トランシーバを有する前記対象を含む。
例1485は、例1476乃至1484のうちのいずれか1つの対象であって、前記RF増幅器へ動作上結合される1つ以上のアンテナを有する前記対象を含む。
例1486は、例1476乃至1485のうちのいずれか1つの対象であって、前記RF増幅器へ動作上結合される1つ以上のフェーズドアレイアンテナを有する前記対象を含む。
例1487は、制御可能な位相シフタを有する装置を含む。前記制御可能な位相シフタは、同相(I)信号及び直交位相(Q)信号に基づき位相シフトされたI信号を供給するI位相シフト回路であり、第1制御信号に従って前記I信号の位相をシフトさせることによって第1のシフトI信号を供給し、第2制御信号に従って前記Q信号の位相をシフトさせることによって第2のシフトQ信号を供給し、前記第1のシフトI信号を前記第1のシフトQ信号と結合することによって前記位相シフトされたI信号を供給するよう構成される前記I位相シフト回路と;前記Q信号及び前記I信号に基づき位相シフトされたQ信号を供給するQ位相シフト回路であり、第3制御信号に従って前記I信号の位相をシフトさせることによって第2のシフトI信号を供給し、第4制御信号に従って前記Q信号の位相をシフトさせることによって第2のシフトQ信号を供給し、前記第2のシフトI信号を前記第2のシフトQ信号と結合することによって前記位相シフトされたQ信号を供給するよう構成される前記Q位相シフト回路とを有する。
一例において、例1487の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、及び/又はI/Q発生器を、例えば、例1401、1422、1440、1454、1465、1476、1500、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1488は、例1487の対象を含み、任意に、前記I位相シフト回路は、前記第1制御信号をI制御電圧に変換する第1電圧デジタルアナログ変換器(VDAC)であり、前記I位相シフト回路が前記I制御電圧に従って前記I信号の位相をシフトする、前記第1VDACと、前記第2制御信号をQ制御電圧に変換する第2VDACであり、前記I位相シフト回路が前記Q制御電圧に従って前記Q信号の位相をシフトする、前記第2VDACとを有する。
例1489は、例1488の対象を含み、任意に、前記I位相シフト回路は、前記I制御電圧に従って前記第1のシフトI信号を生成するようカスコードゲート配置にある第1の複数のトランジスタと、前記Q制御電圧に従って前記第1のシフトQ信号を生成するようカスコードゲート配置にある第2の複数のトランジスタとを有する。
例1490は、例1487乃至1489のうちのいずれか1つの対象を含み、任意に、前記Q位相シフト回路は、前記第3制御信号をI制御電圧に変換する第1電圧デジタルアナログ変換器(VDAC)であり、前記Q位相シフト回路が前記I制御信号に従って前記I信号の位相をシフトする、前記第1VDACと、前記第4制御信号をQ制御電圧に変換する第2VDACであり、前記Q位相シフト回路が前記Q制御電圧に従って前記Q信号の位相をシフトする、前記第2VDACとを有する。
例1491は、例1490の対象を含み、任意に、前記Q位相シフト回路は、前記I制御電圧に従って前記第2のシフトI信号を生成するようカスコードゲート配置にある第1の複数のトランジスタと、前記Q制御電圧に従って前記第2のシフトQ信号を生成するようカスコードゲート配置にある第2の複数のトランジスタとを有する。
例1492は、例1487乃至1491のうちのいずれか1つの対象であって、1つ以上のアンテナからの無線周波数(RF)信号を増幅することによって受信(Rx)信号を供給する低雑音増幅器(LNA)と、前記制御可能な位相シフタの第1入力部へ動作上結合され、サイン信号に従って前記Rx信号を混合することによって前記I信号を生成する第1ミキサと、前記電圧制御される位相シフタの第2入力部へ動作上結合され、コサイン信号に従って前記Rx信号を混合することによって前記Q信号を生成する第2ミキサとを有する前記対象を含む。
例1493は、例1487乃至1492のうちのいずれか1つの対象であって、前記制御可能な位相シフタの第1出力部へ動作上結合され、サイン信号に従って前記位相シフトされたI信号を混合することによって第1無線周波数(RF)信号を生成する第1ミキサと、前記制御可能な位相シフタの第2出力部へ動作上結合され、コサイン信号に従って前記位相シフトされたQ信号を混合することによって第2RF信号を生成する第2ミキサと、前記第1RF信号及び前記第2RF信号を混合することによって送信(Tx)信号を1つ以上のアンテナへ供給する電力増幅器(PA)とを有する前記対象を含む。
例1494は、例1487の対象であって、予め定義されたコンステレーションポイントマップに基づき前記制御可能な位相シフタの線形性及び分解能を較正するよう較正されるキャリブレーションサブシステムを有する前記対象を含む。
例1495は、例1494の対象を含み、任意に、前記キャリブレーションサブシステムは、前記予め定義されたコンステレーションポイントマップに基づきに従って各々の複数のコンステレーションポイントに対応する複数の電圧値の対を有するルックアップテーブル(LUT)を生成し、電圧値の対は、前記第1制御信号に適用される第1I電圧値と、前記第2制御信号に適用される第1Q電圧値と、前記第3制御信号に適用される第2I電圧値と、前記第4制御信号に適用される第2Q電圧値とを有する。
例1496は、例1494又は1495の対象を含み、任意に、前記第1制御信号は、前記予め定義されたコンステレーションポイントマップに基づき第1データを前記I位相シフト回路に適用する第1デジタル信号を有し、前記第2制御信号は、前記予め定義されたコンステレーションポイントマップに基づき第2データを前記I位相シフト回路に適用する第2デジタル信号を有し、前記第3制御信号は、前記予め定義されたコンステレーションポイントマップに基づき第3データを前記Q位相シフト回路に適用する第3デジタル信号を有し、前記第4制御信号は、前記予め定義されたコンステレーションポイントマップに基づき第4データを前記Q位相シフト回路に適用する第4デジタル信号を有する。
例1497は、例1487乃至1495のうちのいずれか1つの対象であって、1つ以上のフェーズドアレイアンテナへ動作上結合されるトランシーバを有する前記対象を含む。
例1498は、例1497の対象を含み、任意に、前記トランシーバは、半二重通信トランシーバを有する。
例1499は、例1497の対象を含み、任意に、前記トランシーバは、全二重通信(full-duplex)トランシーバを有する。
例1500は、アンテナ端子を電力増幅器(PA)及び低雑音増幅器(LNA)とインターフェイス接続するPA-LNAインターフェイスを有する装置を含む。前記PA-LNAインターフェイスは、前記PAからの送信(Tx)信号に基づき検知信号を供給するセンサと;前記検知信号の位相を回転させることによって位相回転信号を供給する位相回転器と;前記Tx信号の振幅に基づき前記位相回転信号を増幅することによってTx漏れ相殺信号を供給する可変ゲイン増幅器(VGA)と;前記Tx漏れ相殺信号を含む第1コンバイナ入力信号を、前記Tx信号から前記LNAへのTx漏れを含む第2コンバイナ入力信号と結合するコンバイナとを有する。
一例において、例1500の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1476、1487、1513、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1501は、例1500の対象を含み、任意に、受信(Rx)モードで、前記第2コンバイナ入力信号は、前記アンテナ端子からの受信(Rx)信号と前記Tx信号から前記LNAへの前記Tx漏れとの結合を含む。
例1502は、例1501の対象を含み、任意に、前記Rxモードで、前記コンバイナは、前記第1コンバイナ入力信号と前記第2コンバイナ入力信号との和を前記LNAへ供給する。
例1503は、例1500乃至1502のうちのいずれか1つの対象を含み、任意に、前記位相回転器は、前記検知信号の位相を180度回転させるよう構成される。
例1504は、例1500乃至1503のうちのいずれか1つの対象を含み、任意に、前記コンバイナは、ウィルキンソン(Wilkinson)コンバイナを有する。
例1505は、例1500乃至1504のうちのいずれか1つの対象を含み、任意に、前記センサは容量性センサを有する。
例1506は、例1500乃至1505のうちのいずれか1つの対象を含み、任意に、前記PA-LNAインターフェイスは、Txモードで前記PAから前記アンテナ端子へ前記Tx信号を供給し、Rxモードで前記アンテナ端子から前記LNAへ受信(Rx)信号を供給するよう構成される。
例1507は、例1506の対象を含み、任意に、前記PA-LNAインターフェイスは、前記Txモードで前記LNAの入力に高インピーダンスを適用する。
例1508は、例1506又は1507の対象を含み、任意に、前記PA-LNAインターフェイスは、前記Rxモードで前記PAの出力で高インピーダンスを適用する。
例1509は、例1500乃至1508のうちのいずれか1つの対象であって、半二重通信トランシーバを有する前記対象を含む。
例1510は、例1500乃至1509のうちのいずれか1つの対象であって、全二重通信トランシーバを有する前記対象を含む。
例1511は、例1500乃至1510のうちのいずれか1つの対象であって、前記アンテナ端子へ動作上結合される1つ以上のアンテナを有する。
例1512は、例1500乃至1511のうちのいずれか1つの対象であって、Txモードで前記Tx信号を送信する送信器回路と、受信(Rx)モードで前記Rx信号を受信する受信器回路とを有する前記対象を含む。
例1513は、少なくとも1つの同相(I)直交位相(Q)(I/Q)発生器を有する局所発振器(LO)分配網回路を有する装置を含む。前記I/Q発生器は、第1周波数を有するLO信号に基づき第1位相シフト信号及び第2位相シフト信号を生成し、前記第2位相シフト信号の位相が前記第1位相シフト信号の位相から約30度シフトされている、位相シフト回路と;前記第1位相シフト信号の位相を3倍にし、前記第1位相シフト信号の周波数を3倍にすることによって、第2周波数を有するI信号を生成する第1トリプラ回路と;前記第2位相シフト信号の位相を3倍にし、前記第2位相シフト信号の周波数を3倍にすることによって、前記第2周波数を有するQ信号を生成する第2トリプラ回路とを有する。
一例において、例1513の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1476、1487、1500、1526、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1514は、例1513の対象を含み、任意に、前記第1位相シフト信号は、第1のI位相シフト信号及び第2のI位相シフト信号を有し、前記第2位相シフト信号は、第1のQ位相シフト信号及び第2のQ位相シフト信号を有する。
例1515は、例1514の対象を含み、任意に、前記第1トリプラ回路は、前記第2のQ位相シフト信号に従って前記第1のI位相シフト信号の振幅の平衡を保ち、前記第1のQ位相シフト信号に従って前記第2のI位相シフト信号の振幅の平衡を保つインバランス及び振幅回路を有する。
例1516は、例1514又は1515の対象を含み、任意に、前記第2トリプラ回路は、前記第2のI位相シフト信号に従って前記第1のQ位相シフト信号の振幅の平衡を保ち、前記第1のI位相シフト信号に従って前記第2のQ位相シフト信号の振幅の平衡を保つインバランス及び振幅回路を有する。
例1517は、例1513乃至1515のうちのいずれか1つの対象を含み、任意に、前記位相シフト回路は、受動型(passive)位相シフト回路を有する。
例1518は、例1513乃至1517のうちのいずれか1つの対象を含み、任意に、前記位相シフト回路は、前記第1位相シフト信号を生成する第1注入(injection)LO(ILO)回路と、前記第2位相シフト信号を生成する第2ILO回路とを有する。
例1519は、例1513乃至1518のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つのI/Q発生器は、受信(Rx)I/Q発生器を有し、当該装置は、Rx信号に基づき増幅Rx信号を生成する1つ以上の低雑音増幅器(LNA)と、前記I信号及び前記増幅Rx信号に基づき前記増幅Rx信号をダウンコンバートされたI信号へとダウンコンバートし、前記Q信号及び前記増幅Rx信号に基づき前記増幅Rx信号をダウンコンバートされたQ信号へとダウンコンバートするRxミキサ回路とを有する。
例1520は、例1519の対象を含み、任意に、前記Rxミキサ回路は、前記Rx信号を前記ダウンコンバートされたI信号へとダウンコンバートする第1ミキサと、前記Rx信号を前記ダウンコンバートされたQ信号へとダウンコンバートする第2ミキサとを有する。
例1521は、例1513乃至1520のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つのI/Q発生器は、送信(Tx)I/Q発生器を有し、当該装置は、前記I信号に基づき中間周波数(IF)I信号をアップコンバートされたI信号へとアップコンバートし、前記Q信号に基づきIF Q信号をアップコンバートされたQ信号へとアップコンバートするTxミキサ回路と、前記アップコンバートされたI信号及び前記アップコンバートされたQ信号をTx信号へと結合するコンバイナと、前記Tx信号を増幅する電力増幅器(PA)とを有する。
例1522は、例1521の対象を含み、任意に、前記Txミキサ回路は、前記IF I信号を前記アップコンバートされたI信号へとアップコンバートする第1ミキサと、前記IF Q信号を前記アップコンバートされたQ信号へとアップコンバートする第2ミキサとを有する。
例1523は、例1521乃至1522のうちのいずれか1つの対象を含み、任意に、前記少なくとも1つのI/Q発生器は、第1I信号及び第1Q信号を生成する第1I/Q発生器と、第2I信号及び第2Q信号を生成する第2I/Q発生器とを有する。
例1524は、例1513乃至1523のうちのいずれか1つの対象であって、1つ以上のアンテナへ動作上結合されるトランシーバを有する前記対象を含む。
例1525は、例1524の対象を含み、前記トランシーバは、半二重通信トランシーバを有する。
例1526は、広帯域増幅器回路を有する装置を含む。前記広帯域増幅器回路は、無線周波数(RF)入力信号を高周波帯域信号及び低周波帯域信号に分けるスプリッタであり、前記RF入力信号から前記低周波帯域信号をフィルタリングする第1回路と、前記RF入力信号から前記高周波帯域信号をフィルタリングする第2回路とを有する前記スプリッタと;第1増幅信号を供給するよう前記高周波帯域信号を増幅する高帯域増幅器と;第2増幅信号を供給するよう前記低周波帯域信号を増幅する低帯域増幅器と;前記第1増幅信号及び前記第2増幅信号を増幅されたRF信号へと結合するコンバイナとを有する。
一例において、例1526の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1476、1487、1500、1513、1538、及び/又は1551に関して記載されるように、含んでもよい。
例1527は、例1526の対象を含み、任意に、前記広帯域増幅器回路は、前記RF入力信号が少なくとも第1周波数帯域にわたる場合に、前記低帯域増幅器をアクティブにする第1スイッチと、前記RF入力信号が少なくとも、前記第1周波数帯域よりも高い第2周波数帯域にわたる場合に、前記高帯域増幅器をアクティブにする第2スイッチとを有する。
例1528は、例1526又は1527の対象であって、前記RF入力信号の1つ以上の周波数帯域に基づき前記第1スイッチ及び前記第2スイッチを制御可能にアクティブにするベースバンド回路を有する前記対象を含む。
例1529は、例1526乃至1528のうちのいずれか1つの対象を含み、任意に、前記コンバイナは変圧器を有し、該変圧器は、該変圧器の第1部分で前記高帯域増幅器から前記第1増幅信号を受信し、前記変圧器の前記第1部分と前記高帯域増幅器との間でインピーダンスを整合させ、且つ、前記変圧器の第2部分で前記低帯域増幅器から第2増幅信号を受信し、前記変圧器の前記第2部分と前記低帯域増幅器との間でインピーダンスを整合させ、前記変圧器は、前記増幅されたRF信号へと前記変圧器の前記第1部分からの前記第1増幅信号を前記変圧器の前記第2部分からの前記第2増幅器信号と結合する第3部分を有する。
例1530は、例1529の対象を含み、任意に、前記変圧器の前記第1部分の物理サイズは、前記変圧器の前記第2部分の物理サイズよりも大きい。
例1531は、例1526乃至1530のうちのいずれか1つの対象を含み、任意に、前記スプリッタは変圧器を有し、該変圧器は、該変圧器の第1部分で前記RF入力信号を受信し、前記変圧器の第2部分によって前記低周波帯域信号を前記低帯域増幅器へ供給し、前記変圧器の前記第2部分と前記低帯域増幅器との間でインピーダンスを整合させ、且つ、前記変圧器の第3部分によって前記高周波数帯域信号を前記高帯域増幅器へ供給し、前記変圧器の前記第3部分と前記高帯域増幅器との間でインピーダンスを整合させる。
例1532は、例1531の対象を含み、任意に、前記第1回路は、前記変圧器の前記第2部分と、前記変圧器の前記第1部分の少なくとも一部とを有し、前記第2回路は、前記変圧器の前記第3部分と、前記変圧器の前記第1部分の少なくとも一部とを有する。
例1533は、例1526乃至1532のうちのいずれか1つの対象であって、中間周波数(IF)入力信号を生成するベースバンド回路と、前記IF入力信号をアップコンバートすることによって前記RF入力信号を生成するRF回路とを有する前記対象を含む。
例1534は、例1533の対象を含み、任意に、前記ベースバンド回路及び前記RF回路は、RFケーブルによって動作上結合される。
例1535は、例1526乃至1534のうちのいずれか1つの対象であって、1つ以上のフェーズドアレイアンテナへ動作上結合される送信器を有する前記対象を含む。
例1536は、例1526乃至1535のうちのいずれか1つの対象であって、前記広帯域増幅器へ動作上結合される1つ以上のフェーズドアレイアンテナを有する前記対象を含む。
例1537は、例1526乃至1536のうちのいずれか1つの対象を含み、任意に、前記広帯域増幅器は、60GHz帯域増幅器を有する。
例1538は、複数のラジオコアの中の1つ以上のラジオコアへモデムコアを切り替え可能に結合する複数のインピーダンス整合スイッチを有する装置を含む。前記複数のインピーダンス整合スイッチの中のインピーダンス整合スイッチは、前記モデムコアへ動作上結合される第1端子と;前記複数のラジオコアの中の各々のラジオコアへ動作上結合される第2端子と;前記複数のインピーダンス整合スイッチによって前記モデムコアへ結合される前記1つ以上のラジオコアの数に基づき、当該ラジオコアと前記モデムコアとの間のインピーダンスを制御可能に整合させるインピーダンス整合回路とを有する。
一例において、例1538の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向ミキサ、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1476、1487、1500、1513、1526、及び/又は1551に関して記載されるように、含んでもよい。
例1539は、例1538の対象を含み、任意に、前記インピーダンス整合回路は、前記モデムコアからの制御信号に従って複数のインピーダンス整合モードの間で切り替え可能であり、該複数のインピーダンス整合モードは、前記モデムコアへ結合される各々の複数の異なるラジオコア数に対応する。
例1540は、例1539の対象を含み、任意に、前記インピーダンス整合回路は、前記複数のインピーダンス整合モードの中のインピーダンス整合モードで、当該インピーダンス整合モードに対応するラジオコア数に基づき前記ラジオコアと前記モデムコアとの間でインピーダンスを整合させるよう構成される。
例1541は、例1539又は1540の対象を含み、任意に、前記複数のインピーダンス整合モードは、前記インピーダンス整合回路が前記モデムコアと1つのラジオコアとの間のインピーダンスを整合させる第1インピーダンス整合モードと、前記インピーダンス整合回路が前記モデムコアと2つのラジオコアとの間のインピーダンスを整合させる第2インピーダンス整合モードと、前記インピーダンス整合回路が前記モデムコアと3つのラジオコアとの間のインピーダンスを整合させる第3インピーダンス整合モードとを有する。
例1542は、例1538乃至1541のうちのいずれか1つの対象を含み、任意に、前記インピーダンス整合回路は、前記モデムコアを前記1つ以上のラジオコアへ結合する複数のトランジスタを有する。
例1543は、例1538乃至1542のうちのいずれか1つの対象であって、複数の無線周波数(RF)ケーブルを有し、該複数のRFケーブルの中のRFケーブルが、前記複数のインピーダンス整合スイッチの中の各々のインピーダンス整合スイッチを前記複数のラジオコアの中の各々のラジオコアへ接続する、前記対象を含む。
例1544は、例1543の対象を含み、任意に、前記複数のRFケーブルの中の少なくとも1つのRFケーブルは、同軸ケーブルを有する。
例1545は、例1538乃至1544のうちのいずれか1つの対象を含み、任意に、前記インピーダンス整合スイッチは、前記モデムコアと前記1つ以上のラジオコアとの間で約50オームのインピーダンスを保つ。
例1546は、例1538乃至1545のうちのいずれか1つの対象であって、前記複数のラジオコアを有する前記対象を含む。
例1547は、例1546の対象を含み、任意に、前記複数のラジオコアの中の少なくとも1つのラジオコアは、半二重通信トランシーバを有する。
例1548は、例1538乃至1547のうちのいずれか1つの対象であって、前記モデムコアを有するベースバンド回路を有する前記対象を含む。
例1549は、例1538乃至1548のうちのいずれか1つの対象であって、1つ以上のアンテナを有する前記対象を含む。
例1550は、例1538乃至1549のうちのいずれか1つの対象であって、1つ以上のフェーズアレイアンテナを有する前記対象を含む。
例1551は、双方向ミキサを有する装置を含む。前記双方向ミキサは、無線周波数(RF)端子と;中間周波数(IF)端子と;第1電圧端子と;第2電圧端子と、ミキシング回路とを有し、該ミキシング回路は、第1バイアス電圧が前記第1電圧端子に印加されるべきであり且つ第2バイアス電圧が前記第2電圧端子に印加されるべきである場合にアップコンバージョンモードで動作し、前記第2バイアス電圧が前記第1電圧端子に印加されるべきであり且つ前記第1バイアス電圧が前記第2電圧端子に印加されるべきである場合にダウンコンバージョンモードで動作するよう構成され、前記ミキシング回路は、前記ダウンコンバージョンモードでは、前記RF端子での第1RF信号を前記IF端子での第1IF信号にダウンコンバートし、前記アップコンバージョンモードでは、前記IF端子での第2IF信号を前記RF端子での第2RF信号にアップコンバートする。
一例において、例1551の装置は、例えば、1つ以上の追加の要素、例えば、双方向増幅器、双方向スプリッタ/コンバイナ、PA、LNA、1つ以上のスイッチ、1つ以上のミキサ、I/Q発生器、及び/又は1つ以上の位相シフタを、例えば、例1401、1422、1440、1454、1465、1476、1487、1500、1513、1526、及び/又は1538に関して記載されるように、含んでもよい。
例1552は、例1551の対象を含み、任意に、前記ミキシング回路は、複数のトランジスタを有するギルバートセル(Gilbert-cell)を有し、該複数のトランジスタは、前記アップコンバージョンモードで前記第2IF信号を前記第2RF信号へとアップコンバートし、前記ダウンコンバージョンモードで前記第1RF信号を前記第1IF信号へとダウンコンバートする。
例1553は、例1552の対象を含み、任意に、前記ミキシング回路は、前記複数のトランジスタのドレインを前記RF端子へ及び前記第1電圧端子へ結合する第1変圧器と、前記複数のトランジスタのソースを前記IF端子へ及び前記第2電圧端子へ結合する第2変圧器と、LO信号を前記複数のトランジスタのゲートへ結合する局所発振器(LO)端子とを有する。
例1554は、例1553の対象を含み、任意に、前記アップコンバージョンモードで、前記第2変圧器は、前記第2IF信号及び前記第2バイアス電圧を前記複数のトランジスタのソースへ供給し、前記ギルバートセルは、前記第2IF信号を前記LO信号と混合して、混合IF信号を前記複数のトランジスタのドレインへ供給する。
例1555は、例1554の対象を含み、任意に、前記第1変圧器は、前記複数のトランジスタのドレインでの前記混合IF信号を前記第1RF信号へと結合する。
例1556は、例1553乃至1555のうちのいずれか1つの対象を含み、任意に、前記ダウンコンバージョンモードで、前記第1変圧器は、前記第1RF信号及び前記第2バイアス電圧を前記複数のトランジスタのドレインへ供給し、前記ギルバートセルは、前記第1RF信号を前記LO信号と混合して、混合RF信号を前記複数のトランジスタのソースへ供給する。
例1557は、例1556の対象を含み、任意に、前記第2変圧器は、前記複数のトランジスタのソースでの前記混合RF信号を前記第2IF信号へと結合する。
例1558は、例1552乃至1557のうちのいずれか1つの対象を含み、任意に、前記ミキシング回路は、前記アップコンバージョンモードで前記第1バイアス電圧を前記複数のトランジスタのドレインへ結合し、前記ダウンコンバージョンモードで前記第2バイアス電圧を前記複数のトランジスタのドレインへ結合するよう前記第1電圧端子へ動作上結合される第1スイッチと、前記アップコンバージョンモードで前記第2バイアス電圧を前記複数のトランジスタのソースへ結合し、前記ダウンコンバージョンモードで前記第1バイアス電圧を前記複数のトランジスタのソースへ結合するよう前記第2電圧端子へ動作上結合される第2スイッチとを有する。
例1559は、例1552乃至1558のうちのいずれか1つの対象であって、前記第1バイアス電圧を前記複数のトランジスタのドレインへ印加することによって、及び前記第2バイアス電圧を前記複数のトランジスタのソースへ印加することによって、前記双方向ミキサを前記アップコンバージョンモードへ切り替えるコントローラを有する前記対象を含む。
例1560は、例1559の対象を含み、任意に、前記コントローラは、前記第1バイアス電圧を前記複数のトランジスタのソースへ印加することによって、前記第2バイアス電圧を前記複数のトランジスタのドレインへ印加することによって、前記双方向ミキサを前記ダウンコンバージョンモードへ切り替える。
例1561は、例1552乃至1560のうちのいずれか1つの対象を含み、任意に、前記複数のトランジスタは、1つ以上の電界効果トランジスタ(FET)を有する。
例1562は、例1551乃至1561のうちのいずれか1つの対象であって、送信(Tx)モードで前記双方向ミキサからの前記第2RF信号をTx RF信号へと増幅し、受信(Rx)モードで前記第1RF信号を前記第1端子へ供給するようRx RF信号を増幅する双方向RF増幅器と、前記Txモードで第1ベースバンド信号を前記第2IF信号へと増幅し、前記Rxモードで前記双方向ミキサの前記第2端子からの前記第1IF信号を第2ベースバンド信号へと増幅する双方向IF増幅器とを有する前記対象を含む。
例1563は、例1551乃至1561のうちのいずれか1つの対象であって、送信(Tx)モードで前記双方向ミキサからの前記第2RF信号をTx RF信号へと増幅する第1RF増幅器と、受信(Rx)モードで、前記双方向ミキサへ供給されるようRx RF信号を前記第1RF信号へと増幅する第2RF増幅器と、前記Txモードで、前記双方向ミキサへ供給されるよう第1ベースバンド信号を前記第2IF信号へと増幅する第1IF増幅器と、前記Rxモードで前記双方向ミキサからの前記第1IF信号を第2ベースバンド信号へと増幅する第2IF増幅器とを有する前記対象を含む。
例1564は、例1563の対象を含み、任意に、前記第1RF増幅器は電力増幅器を有し、前記第2RF増幅器は低雑音増幅器(LNA)を有する。
例1565は、例1563又は1564の対象を含み、任意に、前記第1IF増幅器はTx IF増幅器を有し、前記第2IF増幅器はRx IF増幅器を有する。
例1566は、例1551乃至1565のうちのいずれか1つの対象であって、半二重通信トランシーバを有する前記対象を含む。
例1567は、例1566の対象であって、前記トランシーバへ動作上結合される1つ以上のアンテナを有する前記対象を含む。
例1568は、例1567の対象を含み、任意に、前記1つ以上のアンテナは、1つ以上のフェーズドアレイアンテナを有する。
例1569は、モバイルデバイスのための装置であって:最上層及び最下層を含む複数の平行な層を有する回路基板と;前記回路基板に取り付けられ、集積回路(IC)を有する無線フロントエンドモジュールと;前記回路基板に取り付けられ、前記ICを干渉から保護するよう構成される接地シールドと;放射素子及び非励振素子を有し、少なくとも前記非励振素子が前記接地シールドに隣接して配置され、前記放射素子が前記最上層又は前記最下層以外で前記回路基板上に配置され、前記ICへ結合された給電ストリップを有する給電機構によって給電される、積層型パッチ指向性アンテナとを有し、前記接地シールドは、前記積層型パッチ指向性アンテナのための接地面として及び反射体として構成され、前記積層型パッチ指向性アンテナは、エンドファイア方向において第1偏波の信号を、及びブロードサイド方向において第2偏波の信号を伝播するよう構成され、前記第1偏波及び前記第2偏波は、直交する偏波である、前記装置である。前記第1偏波は、回路基板の層に平行である電界を有している信号を有し、前記第2偏波は、回路基板の層に垂直である信号を有する。
例1570で、例1569の対象において、任意に、前記第1偏波は水平偏波であり、前記第2偏波は垂直偏波である。
例1571で、例1569乃至1570のうちのいずれか1つ以上の例の対象において、任意に、前記給電機構は、給電ストリップと前記放射素子とを結合するビアを有する。
例1572で、例1569乃至1571のうちのいずれか1つ以上の例の対象において、任意に、伝送がエンドファイア方向にある場合に、前記積層型パッチ指向性アンテナは、モノポールアンテナとして動作するよう構成される。
例1573で、例1569乃至1572のうちのいずれか1つ以上の例の対象において、任意に、前記接地シールドは、直線的であり、複数の第1の辺及び該複数の第1の辺に直交する第2の辺を有し、複数の前記積層型パッチ指向性アンテナは、前記装置内で前記接地シールドの前記複数の第1の辺の1つに位置するアンテナアレイを有する。
例1574で、例1569乃至1573のうちのいずれか1つ以上の例の対象において、任意に、前記接地シールドは、直線的であり、複数の第1の辺及び該複数の第1の辺に直交する第2の辺を有し、複数の前記積層型パッチ指向性アンテナは、複数のアンテナアレイを有し、該複数のアンテナアレイの中の少なくとも第1のアンテナアレイは、前記装置内で前記接地シールドの前記複数の第1の辺の中の第1の1つに位置し、前記複数のアンテナアレイの中の少なくとも第2のアンテナアレイは、前記装置内で前記接地シールドの前記複数の第1の辺の中の第2の1つに位置する。
例1575で、例1569乃至1574のうちのいずれか1つ以上の例の対象において、任意に、前記給電機構は、前記積層型パッチ指向性アンテナへの給電点を含み、該給電点は、前記積層型パッチ指向性アンテナをインピーダンス整合させるよう構成される。
例1576は、モバイルデバイスの装置であって:表面及び底面を有する印刷回路基板と;該印刷回路基板の前記表面に取り付けられ、集積回路(IC)を有する無線フロントエンドモジュールと;前記ICを覆いながら前記回路基板の前記表面に取り付けられる導電性シールドであり、4つの側面及び上面を有し、前記ICをを無線周波数干渉から保護するよう構成される、前記導電性シールドと;該導電性シールドの少なくとも1つの切り欠き部によって形成された少なくとも1つの指向性アンテナとを有し、前記少なくとも1つの指向性アンテナは、前記印刷回路基板の部分であって前記ICへ結合されるる少なくとも1つの給電機構によって給電され、前記印刷回路基板は、前記少なくとも1つの指向性アンテナのための接地面を有し、前記少なくとも1つの指向性アンテナは、前記ICから外に向かう方向において放射するよう構成される、前記装置である。
例1577で、例1576の対象において、任意に、前記少なくとも1つの指向性アンテナは、前記給電機構で終端する平面逆Fアンテナ(planar inverted F antenna)(PIFA)を有し、4分の1波長で共振するよう構成される。
例1578で、例1576乃至1577のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの指向性アンテナは、ノッチアンテナ、スロットアンテナ又はパッチアンテナを有する。
例1579で、例1576乃至1578のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの指向性アンテナは、2つのアンテナのアレイを有し、該アレイの各アンテナは、互いに直交に前記接地シールド上に位置し、前記少なくとも1つの給電機構は、前記2つのアンテナの一方に夫々給電する2つの給電機構を有し、前記アンテナアレイは、2つの異なる偏波をサポートするよう構成される。
例1580で、例1579の対象において、任意に、前記アレイの前記2つのアンテナの夫々は、前記接地シールドの異なる側面に構成されるか、あるいは、前記2つのアンテナの一方は、前記接地シールドの前記4つの側面の1つに構成され、前記2つのアンテナの第2の1つは、前記接地シールドの上面に構成される。
例1581で、例1576乃至1580のうちのいずれか1つ以上の例の対象において、任意に、前記少なくとも1つの指向性アンテナは、2つのアンテナのアレイを有し、該アレイの各アンテナは、互いに直交に前記接地シールド上に位置し、前記少なくとも1つの給電機構は、前記2つのアンテナの一方に夫々給電する2つの給電機構を有し、前記無線フロントエンドモジュールは、新しいベクトル加算を生じさせるよう前記給電機構の夫々に同じ信号を供給するか、又は動作の多入力多出力(MIMO)モードのために前記給電機構の夫々に異なる信号を供給するよう構成される。
例1582で、例1579乃至1581のうちのいずれか1つ以上の例の対象において、任意に、前記2つの給電機構の夫々は、前記2つのアンテナのうちの第1アンテナのための前記2つの異なる偏波のうちの第1偏波及び前記2つのアンテナのうちの第2アンテナのための前記2つの異なる偏波のうちの第2偏波を供給するように前記2つのアンテナを異なる時点でアクティブにするよう構成される。
例1583で、例1582の対象において、任意に、前記無線フロントエンドモジュールは、アルゴリズム制御によってアクティブにされるよう構成され、該アクティブ化は、受信デバイスの位置付けに依存し、前記装置は、前記受信デバイスから、前記第1偏波又は前記第2偏波が前記異なる時点の所与の1つでより良い受信を提供するかどうかを示すフィードバック情報を受け、該フィードバック情報は、給電線のアクティブ化のアルゴリズム制御を有する。
例1584は、モバイルデバイスの装置であって:当該装置内の基板に位置するトランシーバと;該トランシーバに結合されるアンテナ素子のフェーズドアレイであり、該フェーズドアレイが走査される場合に第1カバレッジ角度内で電波を送信するよう構成される、前記アンテナ素子のフェーズドアレイと;該フェーズドアレイに隣接して配置され、前記第1カバレッジ角度よりも広い第2カバレッジ角度へ前記送信された電波を偏向させるよう構成されるレンズとを有する前記装置である。
例1585で、例1584の対象において、任意に、前記レンズはプリズムを有する。
例1586は、電波を生成するよう構成される無線フロントエンドモジュールと;反射体と;アンテナ素子の複数のフェーズドアレイとを有し、前記複数のフェーズドアレイの夫々は、前記反射体に隣接して異なる位置に配置され、前記生成された電波を前記反射体の方へ送信して、前記反射体の焦点に前記電波を照射するよう構成され、異なる位置での各アレイの配置は、複数のナロービームにおける前記反射体からの無線周波数放射を引き起こし、夫々のナロービームは、異なるビーム走査セクタを走査するために異なる方向に傾けられ、追加のフェーズドアレイを前記複数のフェーズドアレイに加えることは、更なるビーム走査セクタの形成を引き起こす、アンテナシステムである。
例1587で、例1586の対象において、任意に、夫々のフェーズドアレイは、前記反射体の側面又は底面の1つに位置付けられる。
例1588で、例1586乃至1587のうちのいずれか1つ以上の例の対象において、任意に、夫々のフェーズドアレイアンテナ素子は2つの給電機構を備え、該2つの給電機構のうちの第1給電機構は、生成された電波を第1偏波で当該アンテナ素子へ供給するよう構成され、前記2つの給電機構のうちの第2給電機構は、生成された電波を、前記第1偏波に直交する第2偏波で当該アンテナ素子へ供給するよう構成される。
例1589は、モバイルデバイスの装置であって:筐体と;該筐体内の基板と;無線周波数(RF)信号を生成するよう構成されるトランシーバを有し、前記筐体内の前記基板へ接続される共形(conformably)シールド集積回路(IC)ダイと;前記基板の外で前記筐体内に又は前記筐体上にある1つ以上のアンテナディレクタと;前記トランシーバへ結合され、前記1つ以上のアンテナディレクタと相互に作用するように前記RF信号を送信するよう構成されるアンテナアレイとを有し、前記アンテナアレイは、前記基板の第1面内に配置されるか、あるいは、前記基板の第2面上に実装される表面実装型デバイス(SMD)の上に、あるいは、前記基板の前記第2面上に実装される前記SMD内にあり、前記1つ以上のアンテナディレクタは、前記RF信号を方向付けるよう構成される、前記装置である。
例1590で、例1589の対象において、任意に、前記共形シールドは、前記アンテナアレイのための接地面を有する。
例1591で、例1589乃至1590のうちのいずれか1つ以上の例の対象は、任意に、前記ICからの熱を前記ダイの外の面上に導くよう構成された熱伝導機構を更に有することを含む。
例1592で、例1589乃至1591のうちのいずれか1つ以上の例の対象において、任意に、前記熱伝導機構は、前記ICダイへ結合されるヒートスプレッダである。
例1593は、モバイルデバイスの装置であって:基板と;無線周波数(RF)信号を生成するよう構成されるトランシーバを有し、前記基板へ接続される集積回路(IC)と;2つの水平アームを備え、前記基板内に構成されるダイポールアンテナと;垂直金属ビアを有する表面実装型デバイス(SMD)とを有し、前記SMDは、前記ダイポールアンテナに隣接して前記基板に実装され、前記垂直金属ビアは、前記ダイポールアンテナの前記2つの水平アームのうちの1つと接し、前記垂直金属ビアは、モノポールアンテナの垂直アームを有し、前記RF信号を供給される場合に、前記ダイポールアンテナは、第1偏波を示すよう構成され、前記RF信号を供給される場合に、前記モノポールアンテナの前記垂直アームは、第2偏波を示すよう構成される、前記装置である。
例1594で、例1593の対象において、任意に、前記垂直金属ビアは、メタルトレースを有する。
例1595で、例1593乃至1594のうちのいずれか1つ以上の例の対象において、任意に、前記垂直金属ビアは、前記SMDの上面へ延在し、前記モノポールアンテナは、前記SMDの上面に構成された水平メタルトレースを更に有し、該水平メタルトレースは、前記垂直金属ビアに接し且つ該垂直金属ビアに垂直であり、前記モノポールアンテナの前記垂直アームの部分を有する。
例1596は、ダイポールアンテナの水平アームを有する基板と;該基板へ接続される、集積回路(IC)ダイを覆うICシールドと;該ICシールドに隣接して前記基板に実装される表面実装型デバイス(SMD)とを有し、前記SMDは、前記ダイポールアンテナの垂直アームを有し、該垂直アームは、少なくとも部分的に前記SMDの内部にあり、前記ICシールドは、前記ダイポールアンテナのための反射体として機能し、前記ダイポールアンテナは、前記ICダイから給電線によって給電され、前記ダイポールアンテナの前記水平アーム及び前記ダイポールアンテナの前記垂直アームの構成はL字形を有する、L字形ダイポールアンテナである。
例1597で、例1596の対象において、任意に、前記垂直アームの少なくとも部分は、前記基板に内在する。
例1598で、例1597の対象において、任意に、前記基板に内在する前記垂直アームの前記少なくとも部分は、金属ビアを有する。
例1599で、例1598の対象において、任意に、前記金属ビアはメタルトレースを有する。
例1600で、例1596乃至1599のうちのいずれか1つ以上の例の対象において、任意に、前記基板に内在する前記垂直アームの少なくとも部分は、前記SMDを通って延在し、水平メタルトレースが前記SMDの上面に構成され、前記水平メタルトレースは、前記垂直アームに接し且つ該垂直アームに垂直であり、前記垂直アームの一部である。
例1601は、モバイルデバイスの装置であって:基板と;無線周波数チェーンを生成するよう構成される集積回路(IC)を覆うICシールドであり、前記ICシールド及び前記ICが両方とも前記基板へ接続される、前記ICシールドと;複数のL字形のダイポールアンテナを有し、各ダイポールアンテナが前記ICシールドに隣接して位置付けられ、各ダイポールアンテナが前記ICからRFチェーンによって給電されるよう構成される、アンテナアレイとを有し、各ダイポールアンテナは、水平アーム及び垂直アームを有し、前記複数のダイポールアンテナは、隣接ペアにおいて配置され、各隣接ペアの前記水平アームが反対方向を向いている、前記装置である。
例1602で、例1601の対象において、任意に、各ダイポールアンテナが前記ICからRFチェーンによって給電される場合に、前記アレイは複数のRFチェーンを供給し、夫々の供給されるRFチェーンは、第1偏波及び該第1偏波に直交する第2偏波の両方を有している。
例1603は、モバイルデバイスのための装置であって:最上層及び最下層を有する印刷回路基板(PCB)と;最上レベル及び最下レベルを有する集積回路(IC)チップであり、トランシーバを有し、前記PCBの前記最上層へ接続される前記ICチップと;前記PCBに隣接して前記ICチップの前記最下レベル内に構成され、前記トランシーバへ結合された給電伝送線路によって給電される複数のアンテナ素子を有するアンテナアレイと;前記ICチップを干渉から保護するよう前記ICチップを覆い、前記PCBへ接続されるICシールドであり、該ICシールド又は前記PCB内の接地層のうちの一方が前記アンテナアレイのための接地を有する、前記ICシールドとを有する前記装置である。
例1604で、例1603の対象は、任意に、少なくとも1つのアンテナ素子が前記PCBに接しないように前記PCBと前記アンテナアレイと間にクリアランスボリュームを更に有することを含む。
例1605で、例1603乃至1604のうちのいずれか1つ以上の例の対象において、任意に、前記給電伝送線路はメタルトレースを有する。
例1606で、例1603乃至1605のうちのいずれか1つ以上の例の対象において、任意に、前記PCBはマザーボードを有する。
例1607は、モバイルデバイスの装置であって:印刷回路基板(PCB)へ接続される集積回路(IC)に構成され、第1周波数帯域において及び第2周波数帯域において無線周波数(RF)信号を生成するよう構成されるトランシーバと;前記PCB内に配置される第1アンテナ、及び該第1アンテナと同軸関係において前記PCB内に配置される第2アンテナと;前記トランシーバへ及び前記第1アンテナへ結合され、前記第1周波数帯域でのRF信号により前記第1アンテナに給電する第1給電機構と;前記第1給電機構に直交して配置され、前記トランシーバへ及び前記第2アンテナへ結合され、前記第2周波数帯域でのRF信号により前記第2アンテナに給電する第2給電機構と;前記第1アンテナ及び前記第2アンテナの夫々を異なる時点でアクティブにするように前記第1給電機構及び前記第2給電機構の夫々を異なる時点で動作させるよう構成される処理回路とを有し、第1時点でアクティブにされる場合に、前記第1アンテナは、第1偏波において前記第1周波数帯域でのRF信号を送信し、第2時点でアクティブにされる場合に、前記第2アンテナは、前記第1偏波に直交する第2偏波において前記第2周波数帯域でのRF信号を送信する、前記装置である。
例1608で、例1607の対象において、任意に、前記第1アンテナは、駆動アンテナ素子及び無給電アンテナ素子として構成されるパッチアンテナであり、前記第2アンテナは、スロットアンテナである。
例1609で、例1608の対象において、任意に、前記スロットアンテナは、直線スロットアンテナとして構成される。
例1610で、例1607乃至1609のうちのいずれか1つ以上の例の対象において、任意に、前記第1給電機構は、前記PCB内に構成され、前記トランシーバへ及び前記第1アンテナの前記駆動素子へ結合された2つの直交する給電線の第1の組を有する。
例1611で、例1607乃至1610のうちのいずれか1つ以上の例の対象において、任意に、前記第2給電機構は、前記PCB内に構成され、前記トランシーバへ及び前記第2アンテナへ結合された2つの直交する給電線の第2の組を有し、前記第2アンテナは、近接結合によって前記2つの直交する給電線から給電される。
例1612で、例1607乃至1611のうちのいずれか1つ以上の例の対象において、任意に、前記第2アンテナは、前記第1アンテナのための接地を有する。
例1613は、モバイルデバイスの装置であって:基板と;該前記基板へ接続される集積回路(IC)と;無線周波数(RF)信号を生成するよう前記IC内に構成されるトランシーバと;前記基板へ接続され、前記ICを覆い、該ICを干渉から保護するよう構成される導電性シールドと;前記基板の外で筐体の中又は上に構成されるアンテナディレクタと;表面実装型デバイス(SMD)の上又は中に構成されるアンテナと;前記トランシーバへ及び前記アンテナへ結合されるデュアル直交給電機構とを有し、前記アンテナは、前記アンテナディレクタと相互に作用するように、2つの直交する偏波において前記RF信号を送信するよう構成され、前記アンテナディレクタは、前記RF信号を方向付けるよう構成される、前記装置である。
例1614で、例1613の対象において、任意に、前記導電性シールドは、前記アンテナのための接地面となるよう構成される。
例1615で、例1613乃至1614のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナは、2素子パッチアンテナを有し、前記2素子のうちの第1素子は駆動容量型パッチアンテナ素子であり、前記2素子のうちの第2素子は、無給電パッチアンテナ素子である。
例1616で、例1615の対象は、任意に、前記アンテナのための接地面を更に有することを含み、該接地面は前記基板内に構成される。
例1617で、例1613乃至1616のうちのいずれか1つ以上の例の対象は、任意に、網目模様の(cross-hatched patterned)容量型パッチアンテナと該網目模様の容量型パッチアンテナのための網目模様の接地面とを更に有することを含み、前記網目模様の容量型パッチアンテナ及び前記網目模様の接地面はSMDの上又は中に構成される。
例1618は、モバイルデバイスの装置であって:基板と;該基板へ接続される集積回路(IC)と;無線周波数(RF)信号を生成するよう前記IC内に構成されるトランシーバと;前記基板へ接続され、前記ICを覆い、該ICを干渉から保護するよう構成される導電性シールドと;前記基板の外で筐体の中又は上に配置されるアンテナディレクタと;表面実装型デバイス(SMD)の上又は中に配置されるアンテナと;前記トランシーバへ及び前記アンテナへ結合される単一給電機構とを有し、前記アンテナは、前記アンテナディレクタと相互に作用するように、単一偏波において前記RF信号を送信するよう構成され、前記アンテナディレクタは、前記RF信号を方向付けるよう構成される、前記装置である。
例1619で、例1618の対象において、任意に、前記アンテナは、前記SMD内に構成されるスパイラルアンテナを有し、該スパイラルアンテナは、前記SMDの上又は中にある接続されたトレース及びビアを有し、前記導電性シールドは、前記スパイラルアンテナのための接地面として及び反射体として構成される。
例1620で、例1618乃至1619のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナは、前記SMDの上又は中に構成されるパッチアンテナを有し、前記導電性シールドは、前記パッチアンテナのための接地面として及び反射体として構成される。
例1621は、モバイルデバイスの装置であって:基板と;該基板へ接続される集積回路(IC)と;無線周波数(RF)信号を生成するよう前記IC内に構成されるトランシーバと;前記基板へ接続され、前記ICを覆い、該ICを干渉から保護するよう構成される導電性シールドと;前記基板の外で筐体の中又は上に構成される複数のアンテナディレクタと;各々の表面実装型デバイス(SMD)の上又は中に構成されるか、あるいは、前記基板の上又は中に構成されるアンテナアレイを有する複数のアンテナ素子と;前記トランシーバへ及び前記アンテナアレイの前記複数のアンテナ素子の夫々へ結合される給電機構とを有し、前記アンテナアレイの前記複数のアンテナ素子の夫々は、前記複数のアンテナディレクタと相互に作用するように前記RF信号を送信するよう構成され、前記複数のアンテナディレクタは、前記RF信号を方向付けるよう構成される、前記装置である。
例1622で、例1621の対象において、任意に、前記給電機構は、単一極性において前記RF信号により前記複数のアンテナ素子に給電するよう構成される複数の給電要素を有し、前記アンテナアレイは、前記単一極性において前記RF信号を送信する。
例1623で、例1621乃至1622のうちのいずれか1つ以上の例の対象において、任意に、前記給電機構は、第1極性及び該第1極性に直交する第2極性において前記RF信号により前記複数のアンテナ素子に給電するよう構成される複数のデュアル直交給電要素を有し、前記アンテナは、前記第1極性において及び前記第2極性において前記RF信号を送信する。
例1624は、モバイルデバイスの装置であって:第1層及び第2層を有する基板と;該基板の前記第1層に取り付けられる無線フロントエンドモジュール(RFEM)であり、無線周波数(RF)信号を生成するよう構成される集積回路(IC)を有する前記RFEMと;前記ICを覆い、前記基板の前記第1層に取り付けられ、前記ICを干渉から保護するよう構成される導電性シールドと;該導電性シールドに隣接して前記基板へ結合される表面実装型デバイス(SMD)と;前記RFEMへ接続され且つ前記基板に垂直に前記SMD内に延在する金属ビアを有する第1アームを含む少なくとも1つの指向性モノポールアンテナとを有し、前記指向性モノポールアンテナは、前記基板の部分であって前記ICへ結合される少なくとも1つの給電機構によって給電され、前記指向性モノポールアンテナは、前記RFEMから外に向かう方向において第1極性で前記RF信号を送信するよう構成され、前記導電性シールドは、前記指向性モノポールアンテナのための反射体である、前記装置である。
例1625で、例1624の対象において、任意に、前記ビアは、前記SMDを通って前記SMDの上面へ延在する。
例1626で、例1625の対象において、任意に、前記第1アームは、前記SMDの最上層に構成されるメタルトレースを更に有し、該メタルトレースは、前記SMDを通って前記SMDの上面へ延在する前記ビアに垂直であり且つ該ビアへ接続される。
例1627は、モバイルデバイスの装置であって:第1層及び第2層を有する基板と;該基板の前記第1層に取り付けられ、無線周波数(RF)信号を生成するよう構成される集積回路(IC)と;該ICを覆い、前記基板の前記第1層に取り付けられ、前記ICを干渉から保護するよう構成される導電性シールドと;複数の指向性モノポールアンテナ素子のための反射体である前記導電性シールドに隣接して前記複数の指向性モノポールアンテナ素子を夫々有する複数の第1アンテナアレイと;複数の指向性ダイポールアンテナ素子のための接地面である前記第2層と平行な前記複数の指向性ダイポールアンテナ素子を夫々有する複数の第2アンテナアレイとを有し、前記複数の指向性モノポールアンテナ素子及び前記複数の指向性ダイポールアンテナ素子は、夫々が互いに隣接して配置され、前記複数の指向性モノポールアンテナ素子の夫々は、第1偏波において前記RF信号を送信するよう構成され、前記複数の指向性ダイポールアンテナ素子の夫々は、前記第1偏波に直交する第2偏波において前記RF信号を送信するよう構成される、前記装置である。
例1628で、例1627の対象において、任意に、前記複数の指向性モノポールアンテナ素子の夫々は、前記基板に垂直な各々の表面実装型デバイス(SMD)内に延在する金属ビアを有する第1アームを含み、前記複数の指向性モノポールアンテナ素子の夫々は、前記基板の部分として構成され且つRF信号を前記複数の指向性モノポールアンテナ素子へ供給するよう前記ICへ結合される給電機構によって給電され、前記複数の指向性ダイポールアンテナ素子は、前記基板の部分として構成され且つRF信号を前記複数の指向性ダイポールアンテナ素子へ供給するよう前記ICへ結合される給電機構によって給電される。
例1629で、例1628の対象において、任意に、前記複数の指向性モノポールアンテナ素子のうちの少なくとも1つの垂直アームは、前記複数の指向性モノポールアンテナ素子のうちの当該少なくとも1つの前記各々のSMDの上面に延在し、前記複数の指向性モノポールアンテナ素子のうちの当該少なくとも1つの前記垂直アームは、前記金属ビアに垂直に且つ該金属ビアに接続されながら前記各々のSMDの上面に構成されるメタルトレースを更に有する。
例1630は、モバイルデバイスのための装置であって:基板と;該基板へ接続される集積回路(IC)であり、第1無線周波数(RF)信号を生成するよう構成される送信器(TX)と、受信された第2RF信号を処理するよう構成される受信器(RX)とを含むトランシーバを有し、前記TX及び前記RXが異なる時点で動作し、前記TXが、第1給電機構へ結合される電力増幅器(PA)を有し、前記RXが、第2給電機構へ結合される低雑音増幅器(LNA)を有する、前記ICと;前記基板に構成され、TX給電線整合点及びRX給電線整合点を含むデュアル給電アンテナであり、前記第1給電機構が前記デュアル給電アンテナの前記TX給電線整合点へ直接接続され、前記第2給電機構が前記デュアル給電アンテナの前記RX給電線整合点へ直接接続される、前記デュアル給電アンテナとを有し、前記第1RF信号は、前記デュアル給電アンテナによって送信され、前記第2RF信号は、前記デュアル給電アンテナによって受信される、前記装置である。
例1631で、例1630の対象において、任意に、前記デュアル給電アンテナはパッチアンテナであり、前記第1給電機構は、単一偏波において前記第1RF信号を送信するよう構成される第1の単一偏波給電線路であり、前記第2給電機構は、単一偏波において前記第2RF信号を受信するよう構成される第2の単一偏波給電線路である。
例1632で、例1630乃至1631のうちのいずれか1つ以上の例の対象において、任意に、前記デュアル給電アンテナはパッチアンテナであり、前記第1給電機構は、二重直交偏波において前記第1RF信号を送信するよう構成される第1のデュアル直交給電機構を有し、前記第2給電機構は、二重直交偏波において前記第2RF信号を受信するよう構成される第2のデュアル直交給電機構を有する。
例1633は、モバイルデバイスの装置であって:基板と;該基板に構成される複数のアンテナアレイと;前記基板に取り付けられた第1部分及び該第1部分へ接続されたカバーを有する集積回路(IC)シールドと;前記基板へ接続され、前記第1部分内に位置付けられるICとを有し、前記カバーの領域は、前記アンテナアレイのゲインを改善するように前記アンテナアレイの反射体であるよう構成され、前記第1部分の一部は、前記アンテナアレイの前記反射体としての前記カバーの前記領域を広げるよう前記カバー内の空間を通って延在し、前記広げられた領域は、前記複数のアンテナアレイのうちの少なくとも1つのための反射体であるよう構成される、前記装置である。
例1634で、例1633の対象において、任意に、前記複数のアンテナアレイは、複数のパッチアンテナ素子と、複数のダイポールアンテナ素子とを有する。
例1635は、モバイルデバイスの装置であって:基板と;該基板へ接続される無線フロントエンドモジュール(RFEM)であり、無線周波数(RF)信号を生成するよう構成される集積回路(IC)を有する前記RFEMと;前記ICへ結合された給電機構によって給電され、前記RF信号を送信するよう構成されるアンテナアレイと;前記ICを覆う導電性ICシールドと;前記アンテナアレイに隣接し、アンテナアレイ送信に干渉する障害物(obstruction)と;前記基板へ結合されるインターポーザとを有し、前記アンテナアレイ及び前記導電性ICシールドは、前記インターポーザに実装され、該インターポーザは、アンテナアレイ送信を改善する高さを提供する、前記装置である。
例1636で、例1635の対象において、任意に、前記導電性シールドは、前記アンテナアレイのための反射体として構成される。
例1637で、例1635乃至1636のうちのいずれか1つ以上の例の対象において、任意に、前記アンテナアレイは、複数のパッチアンテナを有する。
例1638で、例1635の対象において、任意に、前記アンテナアレイは、複数のパッチアンテナを有する。
例1639は、例1569乃至1638の機能のうちのいずれか1つ以上を実行する手段を含むことができる対象、又はマシンによって実行される場合に、該マシンに、例1569乃至1638の機能のうちのいずれか1つ以上を実行させる命令を含むマシン読出可能な媒体を含めるよう、例1569乃至1638のうちのいずれか1つ以上の例のいずれかの部分又はいずれかの部分の組み合わせを含むことができるか、あるいは、任意に、それと組み合わされ得る。
本明細書中で参照されている出版物、特許、及び特許文献は、あたかも参照により個別的に援用されるかのように、それらの全文を参照により本願に援用される。本明細書と参照によりそのようにして援用されたそれらの文献との間に矛盾した使用が起こる場合には、援用されている参考文献におけるその使用は、本明細書のそれの補足であり、妥協できない不一致の場合には、本明細書における使用が勝る。
上記の説明は、制限ではなく、実例であるよう意図される。例えば、上記の例(又は1つ以上のそれらの態様)は、他と組み合わせて使用されてもよい。例えば、上記の説明を検討した時点で当業者によって、他の態様が使用されてもよい。要約は、技術的開示の本質を直ちに確かめることを読者に可能にするためである。それは、特許請求の範囲の適用範囲又は意味を解釈又は制限するために使用されないという理解の下で提出される。また、上記の詳細な説明において、様々な特徴は、本開示を合理化するようまとめられてもよい。なお、特許請求の範囲は、本明細書中で開示されるあらゆる特徴を、態様がそれらの特徴の一部を特徴とし得るということで、示していないことがある。更に、態様は、特定の例において開示されている特徴よりも少ない特徴を含むことがある。よって、続く特許請求の範囲は、これをもって詳細な説明に組み込まれ、請求項は、別個の態様として独立している。本明細書中で開示されている態様の適用範囲は、添付の特許請求の範囲が権利を与えられる均等の全範囲とともに、特許請求の範囲を参照して決定されるべきである。

Claims (20)

  1. 無線デバイス用の装置であって、
    少なくとも1つのアンテナと、
    前記少なくとも1つのアンテナによって受信された無線周波数(RF)信号を処理するよう構成されるトランシーバ回路であり、前記少なくとも1つのアンテナと前記トランシーバ回路とは基板の反対面に配置される、前記トランシーバ回路と、
    前記少なくとも1つのアンテナ及び前記トランシーバ回路に結合される伝送線路と、
    前記基板に埋め込まれている少なくとも一部分を有する金属構造であり、前記金属構造の前記少なくとも一部分は前記少なくとも1つのアンテナの接地を提供し、前記金属構造は前記トランシーバ回路をシールドする、前記金属構造と
    を有する装置。
  2. 前記基板内の複数の再配線層を更に有し、
    前記複数の再配線層は、前記トランシーバ回路を前記少なくとも1つのアンテナに結合する、
    請求項1に記載の装置。
  3. 複数の表面実装デバイス(SMD)を更に有し、
    前記複数のSMDと前記トランシーバ回路とは前記基板の前記反対面の一方に共在する、
    請求項1に記載の装置。
  4. 前記トランシーバ回路は、前記複数のSMDのうちの1つ以上に基づき前記RF信号を処理するよう構成される、
    請求項3に記載の装置。
  5. 成形コンパウンドを更に有し、
    前記成形コンパウンドは、前記金属構造と前記基板との間に形成された中空内に配置される、
    請求項4に記載の装置。
  6. 前記伝送線路は、前記少なくとも1つのアンテナ及び前記トランシーバ回路に結合されている少なくとも1つの金属トレースを有する、
    請求項1に記載の装置。
  7. 前記金属構造はシールドを有し、前記シールドは、前記トランシーバ回路を無線周波数干渉(RFI)から保護するよう構成される、
    請求項1に記載の装置。
  8. 前記シールドは、前記少なくとも1つのアンテナのための反射体として更に構成される、
    請求項7に記載の装置。
  9. 前記トランシーバ回路は少なくとも1つの電力増幅器(PA)を有する、
    請求項1に記載の装置。
  10. 前記トランシーバ回路は少なくとも1つの低雑音増幅器(LNA)を有する、
    請求項1に記載の装置。
  11. 前記少なくとも1つのアンテナは、給電線へ結合される駆動素子と、無給電素子とを有する無給電積層デュアルパッチアンテナとして構成される、
    請求項1に記載の装置。
  12. 水平表面実装デバイス(SMD)素子を更に有し、
    前記水平SMD素子は、前記少なくとも1つのアンテナを形成する少なくとも1つのパッチアンテナを有する、
    請求項1に記載の装置。
  13. 前記少なくとも1つのパッチアンテナは、無給電パッチ要素と、二重給電線へ結合される駆動容量型パッチアンテナ要素とを有する、
    請求項12に記載の装置。
  14. 前記トランシーバ回路は、
    デジタル出力信号に基づきベースバンド信号を生成するよう構成されるベースバンド回路と、
    前記少なくとも1つのアンテナを介して伝送される出力信号を前記ベースバンド信号に基づき生成するよう構成される無線周波数集積回路(RFIC)と
    を有する、
    請求項1に記載の装置。
  15. タッチスクリーンディスプレイと、
    インターコネクトを介して前記タッチスクリーンディスプレイに結合される信号プロセッサと
    を更に有し、
    前記信号プロセッサは、前記インターコネクトを介して前記タッチスクリーンディスプレイから受信される信号入力を検出し、該信号入力に基づいて前記デジタル出力信号を生成するよう構成される、
    請求項14に記載の装置。
  16. 無線周波数(RF)信号を処理する方法であって、
    トランシーバ回路に結合されている少なくとも1つのアンテナを介してRF信号を受信することと、
    前記トランシーバ回路の無線周波数集積回路(RFIC)を用いて前記RF信号をベースバンド信号にダウンコンバートすることであり、前記トランシーバ回路と前記少なくとも1つのアンテナとは基板の反対面に配置され、前記トランシーバ回路は、前記基板内の複数の再配線層を介して前記少なくとも1つのアンテナに結合される、ことと、
    前記ダウンコンバートする間、前記基板に埋め込まれている少なくとも一部分を有する金属構造により前記トランシーバ回路を無線周波数干渉(RFI)からシールドすることと
    を有する方法。
  17. 前記基板に配置されている複数の表面実装デバイス(SMF)のうちの1つ以上に基づき前記RF信号を処理することを更に有する、
    請求項16に記載の方法。
  18. 前記少なくとも1つのアンテナに接地を提供するよう前記金属構造を構成することを更に有する、
    請求項16に記載の方法。
  19. 無線周波数(RF)信号を処理するシステムであって、
    少なくとも1つのアンテナを有し、RF信号を受信する手段と、
    トランシーバ回路を有し、前記トランシーバ回路と前記少なくとも1つのアンテナとが基板の反対面に配置され、前記RF信号を処理する手段と、
    前記基板に埋め込まれている少なくとも一部分を有し、前記少なくとも1つのアンテナから分離しており、前記受信する間、前記トランシーバ回路を無線周波数干渉(RFI)からシールドする手段と
    を有するシステム。
  20. 前記シールドする手段は、前記少なくとも1つのアンテナに接地を提供する金属構造を有する、
    請求項19に記載のシステム。
JP2024021681A 2016-12-21 2024-02-16 無線通信技術、装置及び方法 Pending JP2024059722A (ja)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201662437385P 2016-12-21 2016-12-21
US62/437,385 2016-12-21
US201762511398P 2017-05-26 2017-05-26
US62/511,398 2017-05-26
US201762527818P 2017-06-30 2017-06-30
US62/527,818 2017-06-30
US201762570680P 2017-10-11 2017-10-11
US62/570,680 2017-10-11
JP2019532769A JP7089519B2 (ja) 2016-12-21 2017-12-20 無線通信技術、装置及び方法
PCT/US2017/067739 WO2018119153A2 (en) 2016-12-21 2017-12-20 Wireless communication technology, apparatuses, and methods
JP2022094127A JP7441269B2 (ja) 2016-12-21 2022-06-10 無線通信技術、装置及び方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2022094127A Division JP7441269B2 (ja) 2016-12-21 2022-06-10 無線通信技術、装置及び方法

Publications (1)

Publication Number Publication Date
JP2024059722A true JP2024059722A (ja) 2024-05-01

Family

ID=62627827

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019532769A Active JP7089519B2 (ja) 2016-12-21 2017-12-20 無線通信技術、装置及び方法
JP2022094127A Active JP7441269B2 (ja) 2016-12-21 2022-06-10 無線通信技術、装置及び方法
JP2024021681A Pending JP2024059722A (ja) 2016-12-21 2024-02-16 無線通信技術、装置及び方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2019532769A Active JP7089519B2 (ja) 2016-12-21 2017-12-20 無線通信技術、装置及び方法
JP2022094127A Active JP7441269B2 (ja) 2016-12-21 2022-06-10 無線通信技術、装置及び方法

Country Status (8)

Country Link
US (3) US11424539B2 (ja)
EP (1) EP3560111A4 (ja)
JP (3) JP7089519B2 (ja)
KR (1) KR102635791B1 (ja)
CN (1) CN110447146A (ja)
DE (1) DE112017006442T5 (ja)
TW (2) TWI782936B (ja)
WO (1) WO2018119153A2 (ja)

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US10965164B2 (en) 2012-07-06 2021-03-30 Energous Corporation Systems and methods of wirelessly delivering power to a receiver device
US11502551B2 (en) 2012-07-06 2022-11-15 Energous Corporation Wirelessly charging multiple wireless-power receivers using different subsets of an antenna array to focus energy at different locations
US9515690B1 (en) * 2014-08-22 2016-12-06 Interstate Electronics Corporation Receiver with multi-spectrum parallel amplification
WO2016164970A1 (en) * 2015-04-13 2016-10-20 Rfid Technologies Pty Ltd Rfid tag and reader
US10523033B2 (en) 2015-09-15 2019-12-31 Energous Corporation Receiver devices configured to determine location within a transmission field
WO2017078851A2 (en) 2015-09-18 2017-05-11 Corman David W Laminar phased array
US10038332B1 (en) 2015-12-24 2018-07-31 Energous Corporation Systems and methods of wireless power charging through multiple receiving devices
US10079515B2 (en) 2016-12-12 2018-09-18 Energous Corporation Near-field RF charging pad with multi-band antenna element with adaptive loading to efficiently charge an electronic device at any position on the pad
US10923954B2 (en) 2016-11-03 2021-02-16 Energous Corporation Wireless power receiver with a synchronous rectifier
DE102017124343B4 (de) * 2016-12-16 2021-04-08 Infineon Technologies Ag Erzeugung schneller frequenzrampen
DE112017006442T5 (de) 2016-12-21 2019-09-19 Intel Corporation Drahtlose kommunikationstechnologie, einrichtungen und verfahren
DE102017130379A1 (de) * 2017-03-30 2018-10-04 Huf Hülsbeck & Fürst Gmbh & Co. Kg Sensoreinrichtung mit kapazitivem Sensor für Kraftfahrzeuge
US10908255B2 (en) 2017-03-31 2021-02-02 Remote Sensing Solutions, Inc. Modular object-oriented digital sub-system architecture with primary sequence control and synchronization
CN110476300B (zh) * 2017-03-31 2021-03-23 三菱电机株式会社 相控阵列天线装置及测定装置、相位调整控制装置及方法
US11462949B2 (en) 2017-05-16 2022-10-04 Wireless electrical Grid LAN, WiGL Inc Wireless charging method and system
JP2018207184A (ja) * 2017-05-30 2018-12-27 パナソニックIpマネジメント株式会社 施設内伝送システム、施設内伝送方法及び基地局
US10433260B1 (en) * 2018-07-02 2019-10-01 Arris Enterprises Llc Antenna-parameter control in a distributed system
CN107765104B (zh) * 2017-09-04 2020-02-14 华为技术有限公司 一种相控阵校测的方法以及校测装置
US10425190B2 (en) * 2017-09-08 2019-09-24 Huawei Technologies Co., Ltd. Channel encoding method and apparatus in wireless communications
US10063303B1 (en) * 2017-09-18 2018-08-28 Integrated Device Technology, Inc. Fast memory access control for phase and gain
WO2019059820A1 (en) * 2017-09-19 2019-03-28 Telefonaktiebolaget Lm Ericsson (Publ) BEAM ASSIGNMENT IN A COMMUNICATIONS NETWORK
US20190103365A1 (en) * 2017-09-29 2019-04-04 Nxp Usa, Inc. Selectively shielded semiconductor package
JP7020545B2 (ja) * 2017-10-04 2022-02-16 日本電気株式会社 リモート無線ヘッド、ビームフォーミング方法及びプログラム
WO2019079341A1 (en) * 2017-10-16 2019-04-25 Huawei Technologies Co., Ltd. METHOD AND APPARATUS FOR DETERMINING DIRECT VISIBILITY (LOS)
US10985471B2 (en) * 2017-11-27 2021-04-20 Panasonic Intellectual Property Management Co., Ltd. Radar device
US10855332B2 (en) * 2017-12-06 2020-12-01 Mitsubishi Electric Corporation Signal transmission system
US11418971B2 (en) 2017-12-24 2022-08-16 Anokiwave, Inc. Beamforming integrated circuit, AESA system and method
US10615647B2 (en) 2018-02-02 2020-04-07 Energous Corporation Systems and methods for detecting wireless power receivers and other objects at a near-field charging pad
US11177567B2 (en) 2018-02-23 2021-11-16 Analog Devices Global Unlimited Company Antenna array calibration systems and methods
US11923924B2 (en) * 2018-02-26 2024-03-05 Parallel Wireless, Inc. Miniature antenna array with polar combining architecture
US11159187B2 (en) * 2018-02-26 2021-10-26 Parallel Wireless, Inc. Microcomponent massive MIMO arrays
US10847478B2 (en) * 2018-02-27 2020-11-24 Amkor Technology Singapore Holding Pte. Ltd. Method of forming an electronic device structure having an electronic component with an on-edge orientation and related structures
DE102019203172A1 (de) * 2018-04-10 2019-10-10 Globalfoundries Inc. Multibandempfänger für Millimeterwellenvorrichtungen
US11716558B2 (en) 2018-04-16 2023-08-01 Charter Communications Operating, Llc Apparatus and methods for integrated high-capacity data and wireless network services
EP3557786A1 (en) * 2018-04-16 2019-10-23 Samsung Electronics Co., Ltd. Method of testing rf integrated circuit
KR102468136B1 (ko) * 2018-04-23 2022-11-18 삼성전자 주식회사 안테나 장치 및 이를 포함하는 전자 장치
US10998640B2 (en) 2018-05-15 2021-05-04 Anokiwave, Inc. Cross-polarized time division duplexed antenna
KR102482148B1 (ko) 2018-05-16 2022-12-29 삼성전자주식회사 안테나를 포함하는 전자 장치 및 방법
US10475786B1 (en) * 2018-05-23 2019-11-12 Texas Instruments Incorporated Packaged semiconductor device
CN112351697A (zh) * 2018-05-29 2021-02-09 尤尔实验室有限公司 具有料匣的蒸发器装置
US10581164B2 (en) * 2018-06-07 2020-03-03 Futurewei Technologies, Inc. Hybrid millimeter wave FEM architecture for mobile devices
EP3811690B1 (en) * 2018-06-20 2022-10-19 Telefonaktiebolaget LM Ericsson (publ) Method and apparatus for massive mu-mimo
GB201810547D0 (en) * 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
GB201810548D0 (en) 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
US10496587B1 (en) * 2018-06-27 2019-12-03 Integrated Device Technology, Inc. Wide programmable gain receiver data path for single-ended memory interface application
US11349539B2 (en) 2018-06-29 2022-05-31 Apple Inc. Spatial thermal density reduction for MMWAVE antenna arrays
US11081453B2 (en) 2018-07-03 2021-08-03 Mediatek Inc. Semiconductor package structure with antenna
US20200021010A1 (en) * 2018-07-13 2020-01-16 Qualcomm Incorporated Air coupled superstrate antenna on device housing
CN109041094B (zh) * 2018-07-18 2021-06-25 Oppo广东移动通信有限公司 射频电路调试方法及相关装置
WO2020022180A1 (ja) * 2018-07-23 2020-01-30 株式会社村田製作所 高周波モジュール
DE112019003716T5 (de) * 2018-07-23 2021-06-02 Knowles Electronics, Llc Mikrofonvorrichtung mit induktiver filterung
CN113169767A (zh) 2018-07-30 2021-07-23 盈诺飞公司 大规模mimo通信系统和方法
US11038267B2 (en) 2018-07-31 2021-06-15 Skyworks Solutions, Inc. Apparatus and methods for electromagnetic shielding using an outer cobalt layer
KR20200014601A (ko) * 2018-08-01 2020-02-11 삼성전자주식회사 안테나 어레이를 포함하는 전자 장치
WO2020036690A1 (en) 2018-08-14 2020-02-20 Avx Antenna Inc. D/B/A Ethertronics Inc. Method and system for controlling a modal antenna
US10944171B2 (en) * 2018-08-22 2021-03-09 Psemi Corporation Fast transceiver front end serial control
US11196142B2 (en) 2018-08-31 2021-12-07 Micron Technology, Inc. Millimeter wave antenna and EMI shielding integrated with fan-out package
KR102526400B1 (ko) * 2018-09-06 2023-04-28 삼성전자주식회사 5g 안테나 모듈을 포함하는 전자 장치
US10978785B2 (en) * 2018-09-10 2021-04-13 Samsung Electro-Mechanics Co., Ltd. Chip antenna module
EP3853567A4 (en) * 2018-09-19 2022-06-15 Akash Systems, Inc. SATELLITE COMMUNICATION SYSTEMS AND METHODS
US10588089B1 (en) * 2018-09-21 2020-03-10 Qualcomm Incorporated Mitigation of calibration errors
JP7066920B2 (ja) * 2018-09-28 2022-05-13 ラム リサーチ コーポレーション プラズマチャンバの電極への電力送出を最適化するシステムおよび方法
US11129213B2 (en) 2018-10-12 2021-09-21 Charter Communications Operating, Llc Apparatus and methods for cell identification in wireless networks
KR102537318B1 (ko) 2018-10-19 2023-05-26 삼성전자 주식회사 회로 기판 어셈블리 및 그것을 포함하는 전자 장치
CN109244669B (zh) * 2018-10-26 2023-12-19 北京华镁钛科技有限公司 一种可重构相控阵天线的驱动系统、驱动方法及天线装置
US11129098B2 (en) * 2018-10-26 2021-09-21 Silicon Laboratories Inc. Multiplexed signal processing system for bluetooth and WLAN transceiver
WO2020093005A1 (en) 2018-11-01 2020-05-07 Innophase, Inc. Reconfigurable phase array
CN109408986B (zh) * 2018-11-01 2022-11-18 中国电子科技集团公司第五十四研究所 一种椭圆波束卡塞格伦天线的设计方法
US11108170B2 (en) * 2018-11-01 2021-08-31 Qualcomm Incorporated Multi-band millimeter-wave (MMW) antenna array and radio-frequency integrated circuit (RFIC) module
CN111163019B (zh) * 2018-11-07 2022-10-28 中兴通讯股份有限公司 处理数据包的方法、装置和存储介质
KR102585305B1 (ko) * 2018-11-07 2023-10-05 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
DE102018219569B3 (de) * 2018-11-15 2020-02-20 Audi Ag Rundfunkempfangsvorrichtung für ein Fahrzeug
TWI681604B (zh) * 2018-11-16 2020-01-01 大陸商東莞寶德電子有限公司 可分離的充電板
CN109684665B (zh) * 2018-11-21 2024-02-02 浙江大学城市学院 基于FinFET的三值SRAM单元电路及控制方法
BE1026802B1 (de) * 2018-11-26 2020-06-25 Phoenix Contact Gmbh & Co Steckverbinder
KR20200063019A (ko) * 2018-11-27 2020-06-04 삼성전자주식회사 안테나 반사 계수를 측정하기 위한 방법 및 장치
JP7479368B2 (ja) 2018-11-29 2024-05-08 テクノロギアン トゥトキムスケスクス ヴェーテーテー オイ 無線通信装置用のアンテナアセンブリ
US10630336B1 (en) * 2018-11-30 2020-04-21 Intel Corporation Apparatus and method for operating with a radio frequency circuitry and wireless transmission and reception in a millimeter wave range
KR102612360B1 (ko) * 2018-12-04 2023-12-12 삼성전자 주식회사 안테나를 통해 송신하고 수신된 신호에 기반하여 통신 회로의 성능을 확인하는 방법
US11100193B2 (en) * 2018-12-07 2021-08-24 Samsung Electronics Co., Ltd. Dataflow accelerator architecture for general matrix-matrix multiplication and tensor computation in deep learning
US10979117B2 (en) * 2018-12-15 2021-04-13 MMRFIC Technology Pvt. Ltd. Method, system and apparatus for beam forming in a radio frequency transceiver with reduced complexity
WO2020124979A1 (en) * 2018-12-21 2020-06-25 Huawei Technologies Co., Ltd. A portable, integrated antenna test bed with built-in turntable
CN110011027A (zh) * 2018-12-28 2019-07-12 瑞声科技(新加坡)有限公司 一种天线、天线阵列和基站
WO2020154667A1 (en) 2019-01-24 2020-07-30 Wispry, Inc. Spherical coverage antenna systems, devices, and methods
US11171682B2 (en) * 2019-01-30 2021-11-09 Swiftlink Technologies Inc. Dual polarization millimeter-wave frontend integrated circuit
EP3920428A4 (en) * 2019-01-31 2022-04-13 Mitsubishi Electric Corporation SATELLITE TRANSMITTER AND RELAY SATELLITE COMMUNICATION SYSTEM
US10447510B1 (en) * 2019-02-04 2019-10-15 Globalfoundries Inc. On-demand feed forward equalizer with distributed arithmetic architecture and method
EP3921945A1 (en) 2019-02-06 2021-12-15 Energous Corporation Systems and methods of estimating optimal phases to use for individual antennas in an antenna array
US11037915B2 (en) * 2019-02-14 2021-06-15 Facebook Technologies, Llc Integrated display devices
US11169940B2 (en) * 2019-02-20 2021-11-09 Qualcomm Incorporated Trace length on printed circuit board (PCB) based on input/output (I/O) operating speed
US11281247B2 (en) * 2019-02-26 2022-03-22 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
US11137783B2 (en) * 2019-02-26 2021-10-05 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
KR102597392B1 (ko) * 2019-02-28 2023-11-03 삼성전자주식회사 이중 대역을 지원하는 안테나 모듈 및 이를 포함하는 전자 장치
WO2020179381A1 (ja) * 2019-03-07 2020-09-10 株式会社フェニックスソリューション Rfタグおよびrfタグ付き導体
US11404779B2 (en) 2019-03-14 2022-08-02 Analog Devices International Unlimited Company On-chip phased array calibration systems and methods
US10985951B2 (en) 2019-03-15 2021-04-20 The Research Foundation for the State University Integrating Volterra series model and deep neural networks to equalize nonlinear power amplifiers
CN111725605B (zh) * 2019-03-20 2022-03-15 Oppo广东移动通信有限公司 毫米波模组和电子设备
US10950542B2 (en) 2019-03-20 2021-03-16 Analog Devices, Inc. High-performance variable gain amplifier employing laminate transmission line structures
US11777764B2 (en) * 2019-03-28 2023-10-03 Qualcomm Incorporated Sounding reference signal waveform design for wireless communications
US11569886B2 (en) * 2019-04-01 2023-01-31 Qualcomm Incorporated Network-sensitive transmit diversity scheme
EP3996289A1 (en) * 2019-04-11 2022-05-11 Nexite Ltd. Wireless dual-mode identification tag
US11018633B2 (en) * 2019-04-18 2021-05-25 Samsung Electronics Co., Ltd Method and apparatus for calibrating digital pre-distortion of cellular transmitter
DE102019110716B3 (de) * 2019-04-25 2020-01-16 Semikron Elektronik Gmbh & Co. Kg Leistungshalbleitermodul mit Leistungshalbleiterschaltern
US10903544B2 (en) 2019-04-25 2021-01-26 International Business Machines Corporation Magnetic balun/transformer with post processing adjustments
DE102019110840A1 (de) * 2019-04-26 2020-10-29 Infineon Technologies Ag Rf-vorrichtungen mit konformen antennen und verfahren zu deren herstellung
US11159197B2 (en) * 2019-04-29 2021-10-26 Qualcomm Incorporated Self-interference cancellation for in-band full-duplex wireless communication
JP2020184665A (ja) 2019-05-07 2020-11-12 株式会社村田製作所 送受信回路
US10978793B2 (en) * 2019-05-09 2021-04-13 Harada Industry Of America, Inc. Antenna with gain reduction
WO2020227926A1 (en) * 2019-05-14 2020-11-19 Telefonaktiebolaget Lm Ericsson (Publ) Radio network node with automatic gain control enhancement
US10778337B1 (en) * 2019-05-17 2020-09-15 Google Llc Phase noise tolerant coherent modulation formats for short reach optical communication systems
KR102121591B1 (ko) * 2019-05-21 2020-06-10 중앙대학교 산학협력단 안테나 어레이 보정 방법 및 이를 포함하는 전자 장치
TWI743744B (zh) * 2019-05-22 2021-10-21 啟碁科技股份有限公司 波束成型裝置、用於其之校正方法及校正系統
EP3742555A1 (en) 2019-05-23 2020-11-25 Nokia Solutions and Networks Oy Apparatus comprising a plurality of antenna devices and method of operating such apparatus
CN112187309A (zh) * 2019-06-13 2021-01-05 中兴通讯股份有限公司 一种毫米波收发信机
CN110247674B (zh) * 2019-06-19 2021-06-29 成都星联芯通科技有限公司 基于电源电压编码调制的一线通射频前端控制方法及系统
CN110265787A (zh) * 2019-06-21 2019-09-20 西安电子科技大学 基于基片集成波导siw的背腔缝隙圆极化毫米波天线
US11240696B2 (en) 2019-07-15 2022-02-01 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
US11108672B2 (en) * 2019-07-15 2021-08-31 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
US11050495B2 (en) * 2019-07-18 2021-06-29 Samsung Electronics Co., Ltd. Electronic device including transceiver for calibrating I/Q imbalance in millimeter wave communication system and method of operating same
JP7315829B2 (ja) * 2019-07-18 2023-07-27 株式会社バッファロー 無線lanアクセスポイント
JP2022541980A (ja) 2019-08-01 2022-09-29 エイブイエックス・アンテナ・インコーポレーテッド モーダル・アンテナの制御方法およびシステム
EP3982547A1 (en) * 2019-08-05 2022-04-13 Samsung Electronics Co., Ltd. Antenna module and electronic device for using the antenna module
CN110534924B (zh) 2019-08-16 2021-09-10 维沃移动通信有限公司 天线模组和电子设备
CN113475151A (zh) * 2019-08-16 2021-10-01 联发科技股份有限公司 基于两种不同参考信号的服务小区激活的自动增益控制
US10886903B1 (en) * 2019-08-20 2021-01-05 Apple Inc. Programmable clock skewing for timing closure
US11088456B2 (en) * 2019-08-20 2021-08-10 Bae Systems Information And Electronic Systems Integration Inc. Cavity backed notch antenna with additively manufactured radome
US11637365B2 (en) 2019-08-21 2023-04-25 Ticona Llc Polymer composition for use in an antenna system
US11258184B2 (en) 2019-08-21 2022-02-22 Ticona Llc Antenna system including a polymer composition having a low dissipation factor
US11431358B2 (en) 2019-08-23 2022-08-30 Samsung Electronics Co., Ltd. Device and method for upconverting signal in wireless communication system
CN114365432B (zh) 2019-08-30 2023-04-11 华为技术有限公司 天线校正装置和天线校正方法
KR102632180B1 (ko) 2019-08-30 2024-02-01 삼성전자 주식회사 안테나 및 그것을 포함하는 전자 장치
US11474228B2 (en) 2019-09-03 2022-10-18 International Business Machines Corporation Radar-based detection of objects while in motion
US11005542B2 (en) * 2019-09-04 2021-05-11 Mano D. Judd Passive beam mechanics
US11335992B2 (en) 2019-09-05 2022-05-17 Apple Inc. Integrated millimeter wave antenna modules
US11912817B2 (en) 2019-09-10 2024-02-27 Ticona Llc Polymer composition for laser direct structuring
US11555113B2 (en) 2019-09-10 2023-01-17 Ticona Llc Liquid crystalline polymer composition
WO2021055898A1 (en) 2019-09-20 2021-03-25 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
US11381118B2 (en) 2019-09-20 2022-07-05 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
CN115104234A (zh) 2019-09-20 2022-09-23 艾诺格思公司 使用多个整流器保护无线电力接收器以及使用多个整流器建立带内通信的系统和方法
US11917753B2 (en) 2019-09-23 2024-02-27 Ticona Llc Circuit board for use at 5G frequencies
US11646760B2 (en) 2019-09-23 2023-05-09 Ticona Llc RF filter for use at 5G frequencies
US10749248B1 (en) 2019-09-23 2020-08-18 Qualcomm Incorporated Antenna module placement and housing for reduced power density exposure
US20220376403A1 (en) * 2019-09-30 2022-11-24 Macdonald, Dettwiler And Associates Corporation Direct radiating array assembly of an antenna
US10693529B1 (en) * 2019-09-30 2020-06-23 Aeroantenna Technology, Inc. Method and apparatus for multiplexing several antenna subsystem signals onto a single RF coaxial cable
MX2022004344A (es) * 2019-10-10 2022-07-19 Galactic Telecom Group Llc Tarjeta de interfaz de red de banda ancha inalámbrica móvil (mwbnic) y k-net.
US20220299594A1 (en) * 2019-10-14 2022-09-22 Google Llc Millimeter wave radar on flexible printed circuit board
US11323164B2 (en) 2019-10-24 2022-05-03 Electronics And Telecommunications Research Institute Communication method and apparatus in cloud radio access network
US11721888B2 (en) 2019-11-11 2023-08-08 Ticona Llc Antenna cover including a polymer composition having a low dielectric constant and dissipation factor
CN110795864B (zh) * 2019-11-13 2022-10-14 成都天锐星通科技有限公司 一种iq电压计算实现方法、电子设备及系统
EP3823162B1 (en) 2019-11-14 2022-07-27 Imec VZW Digital rf circuitry
KR20210061576A (ko) 2019-11-20 2021-05-28 삼성전기주식회사 안테나 장치
WO2021100925A1 (ko) * 2019-11-22 2021-05-27 엘지전자 주식회사 5g 안테나 모듈과 통신 모듈을 구비하는 전자 기기
US11477664B2 (en) * 2019-11-27 2022-10-18 Qualcomm Incorporated Dynamic beam sweep procedure
DE102019132332B3 (de) * 2019-11-28 2021-01-28 Infineon Technologies Ag Verfahren zum Herstellen eines Moduls, Lötkörper mit einem erhöhten Rand zum Herstellen eines Moduls und Verwenden des Lötkörpers zum Herstellen eines Leistungsmoduls
US11165416B2 (en) 2019-12-03 2021-11-02 Apple Inc. Duty cycle and skew measurement and correction for differential and single-ended clock signals
KR20210070137A (ko) * 2019-12-04 2021-06-14 에스케이하이닉스 주식회사 반도체장치 및 반도체시스템
US11476894B2 (en) 2019-12-10 2022-10-18 AR & NS Investment, LLC Edge communication system with cascaded repeater devices over wired medium
US11038666B1 (en) * 2019-12-11 2021-06-15 Qualcomm Incorporated Open-loop, super fast, half-rate clock and data recovery for next generation C-PHY interfaces
WO2020098822A2 (en) * 2019-12-12 2020-05-22 Alipay (Hangzhou) Information Technology Co., Ltd. Determining action selection policies of an execution device
KR20210074739A (ko) 2019-12-12 2021-06-22 삼성전자주식회사 무선 통신 시스템에서 복수의 대역들에서 신호들을 송신 및 수신하기 위한 장치 및 방법
US10778148B1 (en) * 2019-12-13 2020-09-15 Raytheon Company Gain and sensitivity in a Gilbert switch stage
EP4073905A4 (en) 2019-12-13 2024-01-03 Energous Corp CHARGING PAD WITH GUIDING CONTOURS FOR ALIGNING AN ELECTRONIC DEVICE ON THE CHARGING PAD AND FOR EFFICIENTLY TRANSMITTING NEAR FIELD HIGH FREQUENCY ENERGY TO THE ELECTRONIC DEVICE
US11581640B2 (en) 2019-12-16 2023-02-14 Huawei Technologies Co., Ltd. Phased array antenna with metastructure for increased angular coverage
RU200964U1 (ru) * 2019-12-17 2020-11-20 Федеральное государственное бюджетное образовательное учреждение высшего образования "Владимирский Государственный Университет имени Александра Григорьевича и Николая Григорьевича Столетовых" (ВлГУ) Корректор межсимвольных искажений цифровых сигналов
RU200553U1 (ru) * 2019-12-18 2020-10-29 Федеральное государственное бюджетное учреждение науки Институт проблем передачи информации им. А.А. Харкевича Российской академии наук (ИППИ РАН) Устройство для передачи сигналов с помощью неортогонального множественного доступа с фазовым вращением в wi-fi сетях
US11296040B2 (en) * 2019-12-19 2022-04-05 Intel Corporation Electrostatic discharge protection in integrated circuits
EP3843098A1 (en) 2019-12-23 2021-06-30 IMEC vzw Sign switching circuitry
CN110968714B (zh) * 2019-12-23 2020-11-06 自然资源部国土卫星遥感应用中心 一种卫星遥感影像即时服务方法及即时服务平台
CN110839204B (zh) * 2019-12-23 2020-10-16 华中科技大学 一种irs辅助通信系统的通信优化方法及装置
CN111146536B (zh) * 2019-12-25 2021-02-02 南通大学 一种低通道频率固定高通道频率可调的双工器及设计方法
CN111077521B (zh) * 2019-12-25 2023-07-18 中国科学院上海微系统与信息技术研究所 一种动态检测对象的成像补偿方法、装置、设备和介质
US11424783B2 (en) * 2019-12-27 2022-08-23 Mediatek Inc. Transceiver having radio-frequency front-end circuit, dedicated radio-frequency front-end circuit, and switchable matching circuit integrated in same chip
CN114546029B (zh) * 2019-12-30 2022-12-02 珠海极海半导体有限公司 控制芯片、mcu芯片、mpu芯片及dsp芯片
US10985617B1 (en) * 2019-12-31 2021-04-20 Energous Corporation System for wirelessly transmitting energy at a near-field distance without using beam-forming control
CN113067665B (zh) * 2020-01-02 2022-03-11 海思光电子有限公司 一种编码方法、解码方法和装置
US11095014B2 (en) * 2020-01-07 2021-08-17 Aptiv Technologies Limited Waveguide antenna with integrated temperature management
IL293428A (en) 2020-01-24 2022-07-01 Kyocera Avx Components San Diego Inc A radio frequency (rf) amplifier circuit for antenna systems with a modal antenna
US11430710B2 (en) 2020-01-27 2022-08-30 International Business Machines Corporation Lid/heat spreader having targeted flexibility
US10680694B1 (en) * 2020-01-29 2020-06-09 The Florida International University Board Of Trustees Cross-mixing beamformer
US11374686B2 (en) * 2020-02-04 2022-06-28 Qualcomm Incorporated Parity check bits for non-coherent communication
WO2021156663A1 (en) * 2020-02-05 2021-08-12 Zeku Inc. Radio frequency chips having waveform generators for self-testing
US10892794B1 (en) * 2020-02-06 2021-01-12 Global Unichip Corporation Multi-channel transmission device
US11140633B2 (en) * 2020-02-10 2021-10-05 Samsung Electronics Co., Ltd. Method and apparatus for loopback gain step calibration on RF chain with phase shifter
CN111294003B (zh) * 2020-02-17 2023-05-12 电子科技大学 一种新型宽可变增益低相移可变增益放大器
EP3866356B1 (en) * 2020-02-17 2023-08-23 Rohde & Schwarz GmbH & Co. KG Method of measuring a total radiated power of a device under test as well as test system
TWI773966B (zh) * 2020-02-20 2022-08-11 瑞昱半導體股份有限公司 運作方法以及接收裝置
US11265055B2 (en) * 2020-02-21 2022-03-01 Qualcomm Incorporated Flexible beamforming using frequency-division multiplexing
JP2023515976A (ja) 2020-02-26 2023-04-17 ティコナ・エルエルシー 回路構造体
US11450952B2 (en) 2020-02-26 2022-09-20 Analog Devices International Unlimited Company Beamformer automatic calibration systems and methods
WO2021172614A1 (ko) * 2020-02-26 2021-09-02 엘지전자 주식회사 5g 통신 중계 장치
CN111327317B (zh) * 2020-03-02 2023-03-28 天津智模科技有限公司 一种数模混合神经元电路
WO2021175144A1 (zh) * 2020-03-02 2021-09-10 加特兰微电子科技(上海)有限公司 自动增益控制方法、传感器及无线电器件
TW202135173A (zh) * 2020-03-13 2021-09-16 力成科技股份有限公司 具局部外金屬層的半導體封裝結構及其製法
WO2021184232A1 (en) * 2020-03-18 2021-09-23 Telefonaktiebolaget Lm Ericsson (Publ) Removal of passive intermodulation in antenna systems
US11703881B2 (en) * 2020-03-19 2023-07-18 Logistics And Supply Chain Multi Tech R&D Centre Limited Method of controlling a guide machine and a navigation system
KR20210118615A (ko) 2020-03-23 2021-10-01 삼성전자주식회사 복수의 안테나 모듈을 포함하는 전자 장치 및 그 제어 방법
JP2021158425A (ja) * 2020-03-25 2021-10-07 株式会社村田製作所 高周波モジュール及び通信装置
JP7368291B2 (ja) * 2020-03-27 2023-10-24 京セラ株式会社 通信モジュール、通信システム及び通信モジュールの制御方法
JP2021164022A (ja) * 2020-03-31 2021-10-11 株式会社村田製作所 高周波モジュール及び通信装置
WO2021197645A1 (en) * 2020-04-02 2021-10-07 Telefonaktiebolaget Lm Ericsson (Publ) Antenna array grouping
JP2023519973A (ja) * 2020-04-02 2023-05-15 テレフオンアクチーボラゲット エルエム エリクソン(パブル) アナログビームステアリングのための方法および送信機
CN111490959B (zh) * 2020-04-03 2020-12-01 北京力通通信有限公司 宽带多通道射频收发机iq失衡校正方法、装置及电路
US11670605B2 (en) 2020-04-03 2023-06-06 Wolfspeed, Inc. RF amplifier devices including interconnect structures and methods of manufacturing
US11837457B2 (en) 2020-09-11 2023-12-05 Wolfspeed, Inc. Packaging for RF transistor amplifiers
US11356070B2 (en) * 2020-06-01 2022-06-07 Wolfspeed, Inc. RF amplifiers having shielded transmission line structures
KR102149887B1 (ko) * 2020-04-09 2020-09-01 주식회사 영윈 저전력 주파수 대역 감지회로
US11799324B2 (en) 2020-04-13 2023-10-24 Energous Corporation Wireless-power transmitting device for creating a uniform near-field charging area
MX2022012816A (es) 2020-04-14 2023-01-16 Johnstech Int Corporation Sistema de prueba de chip por aire (ota).
US20230204703A1 (en) * 2020-04-17 2023-06-29 Hewlett-Packard Development Company, L.P. Beacon transmission
US11422774B2 (en) 2020-04-21 2022-08-23 International Business Machines Corporation Multiply and accumulate using current division and switching
CN111901766A (zh) * 2020-04-27 2020-11-06 中兴通讯股份有限公司 承载配置、上下文信息管理、释放方法、装置和设备
US11625707B1 (en) * 2020-04-27 2023-04-11 Amazon Technologies, Inc. Mitigating near-field-communication (NFC) antenna interference
CN111504347B (zh) * 2020-04-28 2021-12-17 中国电子科技集团公司第二十八研究所 一种低噪声信号检测系统
CN111510503B (zh) * 2020-04-28 2021-03-26 苏州鱼得水电气科技有限公司 一种采用通信联网平台的指定范围信号控制系统及方法
US11470695B2 (en) * 2020-04-28 2022-10-11 Northrop Grumman Systems Corporation Filter with an enclosure having a micromachined interior using semiconductor fabrication
KR20210133799A (ko) 2020-04-29 2021-11-08 삼성전자주식회사 클럭 및 데이터 복구 장치를 포함하는 데이터 송수신 시스템 및 이의 동작방법
CN113594687B (zh) * 2020-04-30 2022-10-28 Oppo广东移动通信有限公司 天线模组及电子设备
US11652266B2 (en) * 2020-04-30 2023-05-16 Spire Global Subsidiary, Inc. Calibration system for satellite radio receivers operation
IL297262B1 (en) * 2020-04-30 2024-03-01 Kyocera Avx Components San Diego Inc Method and system for controlling an antenna array
KR20210135098A (ko) * 2020-05-04 2021-11-12 삼성전자주식회사 고주파 안테나 모듈 및 이를 이용하는 전자 장치
US11329857B2 (en) * 2020-05-05 2022-05-10 Nanya Technologies Corporation Cellular network
CN113645670A (zh) * 2020-05-11 2021-11-12 苹果公司 5g新无线电的组播广播服务
US11293968B2 (en) 2020-05-12 2022-04-05 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
CN111404785A (zh) * 2020-05-13 2020-07-10 袁野 基于云计算的5g移动网络检测系统
US11317502B2 (en) * 2020-05-15 2022-04-26 Raytheon Company PCB cavity mode suppression
US11962098B2 (en) * 2020-05-21 2024-04-16 Qualcomm Incorporated Wireless communications using multiple antenna arrays and a lens array
US11706637B2 (en) * 2020-05-28 2023-07-18 Starry, Inc. Nodes for high frequency fixed wireless access network
CN111769840B (zh) * 2020-06-04 2022-03-29 广州慧智微电子股份有限公司 具有多种工作模式的射频信号处理电路和射频前端单元
US11018688B1 (en) 2020-06-08 2021-05-25 Samsung Electronics Co., Ltd. DTC device and method based on capacitive DAC charging
US11581648B2 (en) 2020-06-08 2023-02-14 The Hong Kong University Of Science And Technology Multi-port endfire beam-steerable planar antenna
CN116325366A (zh) 2020-06-11 2023-06-23 斯凯吉格有限责任公司 多波束波束成形前端无线收发器用天线系统
CN111756418B (zh) * 2020-06-17 2021-10-26 电子科技大学 一种基于接收空间调制的无线数能同传方法
TWI743841B (zh) * 2020-06-17 2021-10-21 啟碁科技股份有限公司 抑制無線功率變化之方法及其裝置
CN111786082B (zh) * 2020-06-19 2021-09-07 深圳国人通信技术服务有限公司 一种小型化5g基站
CN111756897A (zh) * 2020-06-22 2020-10-09 联想(北京)有限公司 一种电子设备
US11283510B2 (en) * 2020-06-24 2022-03-22 AR & NS Investment, LLC Phase noise removal in a network of radio frequency (RF) repeaters
US11711126B2 (en) * 2020-06-24 2023-07-25 AR & NS Investment, LLC Wireless communication system based on mmWave RF repeaters
US11177872B1 (en) 2020-06-24 2021-11-16 AR & NS Investment, LLC Managing a network of radio frequency (RF) repeater devices
EP3930204A1 (en) * 2020-06-27 2021-12-29 Pharrowtech BV A structure for distributing radio frequency signals
TWI742755B (zh) * 2020-07-09 2021-10-11 台達電子工業股份有限公司 波束成形系統及波束產生器
US11373965B2 (en) 2020-07-17 2022-06-28 Northrop Grumman Systems Corporation Channelized filter using semiconductor fabrication
US20220029688A1 (en) * 2020-07-24 2022-01-27 Qualcomm Incorporated Switching between beamforming modes
CN111834735B (zh) * 2020-07-28 2022-09-27 武汉虹信科技发展有限责任公司 一种阵列天线及基站设备
JP7322832B2 (ja) 2020-07-29 2023-08-08 信越半導体株式会社 量子コンピュータ用半導体装置の製造方法
CN111816234B (zh) * 2020-07-30 2023-08-04 中科南京智能技术研究院 一种基于sram位线同或的电压累加存内计算电路
JP2022025914A (ja) * 2020-07-30 2022-02-10 株式会社ジャパンディスプレイ フェーズドアレイアンテナの駆動方法及び反射板の駆動方法
CN112165350B (zh) * 2020-08-24 2022-04-12 中国电子科技集团公司第二十九研究所 一种面向中低轨卫星下行相控阵捷变波束控制装置及方法
CN114143705B (zh) * 2020-09-02 2024-03-26 蓝色创源(北京)科技有限公司 测向方法、设备、系统及存储介质
CN111913162B (zh) * 2020-09-04 2023-02-24 内蒙古工业大学 射频干扰检测方法及装置、射频干扰抑制方法及装置
US11706828B2 (en) 2020-09-15 2023-07-18 Electronics And Telecommunications Research Institute Method and apparatus for supporting terminal relay
CN114285683B (zh) 2020-09-18 2023-03-24 华为技术有限公司 一种批量配置家居设备的方法及设备
US11700530B2 (en) * 2020-09-21 2023-07-11 Cogitari, Inc. Radio frequency threat detection
US11296802B1 (en) 2020-09-24 2022-04-05 Apple Inc. Wireless circuitry with self-calibrated harmonic rejection mixers
US11502391B2 (en) 2020-09-24 2022-11-15 Apple Inc. Electronic devices having differentially-loaded millimeter wave antennas
CN116235413A (zh) * 2020-09-28 2023-06-06 华为技术有限公司 带混频器的三相/六相lo发生器
CN112182892B (zh) * 2020-09-30 2024-01-02 西安空间无线电技术研究所 一种基于分段仿射的功率放大器行为建模方法及装置
US11863224B2 (en) 2020-10-02 2024-01-02 Apple Inc. Multi-layer matching structures for high frequency signal transmission
US11588225B2 (en) * 2020-10-14 2023-02-21 Bae Systems Information And Electronic Systems Integration Inc. Low profile antenna
KR20220050364A (ko) 2020-10-16 2022-04-25 한국전자통신연구원 셀-탈피 대규모 mimo 전송 방법 및 이를 위한 장치
TWI738551B (zh) * 2020-10-28 2021-09-01 中華汽車工業股份有限公司 可插拔的車載控制裝置、車輛控制系統及車輛控制方法
CN112350065A (zh) * 2020-10-30 2021-02-09 昆山国显光电有限公司 显示面板、显示装置和显示面板的制作方法
US11431422B2 (en) * 2020-11-05 2022-08-30 Electronics And Telecommunications Research Institute Calibration method for cooperative transmission of cell-free wireless network, and apparatus therefor
CA3178295A1 (en) * 2020-11-06 2022-05-12 Dejero Labs Inc. System and method for housing antennas
CN112421240B (zh) * 2020-11-09 2022-03-25 重庆两江卫星移动通信有限公司 一种基于法拉第旋转的单通道波束扫描装置及方法
WO2022099015A1 (en) * 2020-11-09 2022-05-12 Commscope Technologies Llc Systems and methods for planning and operating radios controlled by a spectrum access system
KR102519357B1 (ko) * 2020-11-18 2023-05-03 (주)에프알텍 O-RAN 프론트홀의 5G mmWave 광대역 빔포밍 MIMO 서비스 방법과 그 장치
CN112467399B (zh) * 2020-11-18 2021-12-28 厦门大学 正馈激励多频点新型圆极化毫米波宽带平面反射阵列天线
KR20220069587A (ko) * 2020-11-20 2022-05-27 삼성전자주식회사 복수의 안테나를 포함하는 전자 장치 및 그 운용 방법
FI130399B (en) * 2020-11-23 2023-08-14 Saab Ab Receiver system
US11539383B2 (en) 2020-11-23 2022-12-27 Swiftlink Technologies Co., Ltd. Bidirectional image-rejection active array with reduced LO requirement
CN112532307B (zh) * 2020-11-30 2022-07-29 中国空间技术研究院 一种高通量卫星有效载荷在轨测试系统及方法
WO2022120461A1 (en) * 2020-11-30 2022-06-16 Macdonald, Dettwiler And Associates Corporation Direct radiating array ("dra") antenna, method of assembling a dra antenna, and system for managing heat generated by a dra antenna
JP2023552381A (ja) * 2020-12-02 2023-12-15 テクトロニクス・インコーポレイテッド フェーズド・アレイ無線試験
KR20220078331A (ko) * 2020-12-03 2022-06-10 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
CN113113774A (zh) * 2020-12-04 2021-07-13 西安电子科技大学 一种宽带波束扫描反射阵天线
US11218225B1 (en) 2020-12-07 2022-01-04 Marvell Asia Pte, Ltd. Analog receiver equalizer architectures for high-speed wireline and optical applications
CN112615646B (zh) * 2020-12-09 2022-06-17 中国科学院新疆天文台 一种射电天文接收机使用的四通道中频均衡放大模块
CN112492648B (zh) * 2020-12-18 2021-07-02 深圳市微网力合信息技术有限公司 一种数据丢包处理方法、系统及终端
KR102405623B1 (ko) * 2020-12-24 2022-06-07 아주대학교산학협력단 안테나 모듈 및 그 제어 방법
TWI819264B (zh) * 2020-12-25 2023-10-21 立積電子股份有限公司 射頻裝置及其電壓產生與諧波抑制器
CN112738841B (zh) * 2020-12-28 2022-05-13 四川天邑康和通信股份有限公司 一种5g基站中ssb波束动态配置方法及5g基站
US20220209834A1 (en) * 2020-12-29 2022-06-30 Skyworks Solutions, Inc. Beamforming communication system with crossbar switch
US11070351B1 (en) * 2020-12-31 2021-07-20 Faraday Technology Corp. Controller and method for data communication
US11381266B1 (en) * 2020-12-31 2022-07-05 Iridium Satellite Llc Wireless communication with interference mitigation
JP2022107522A (ja) * 2021-01-08 2022-07-21 三星ディスプレイ株式會社 位相調整方法およびシステム
KR102433783B1 (ko) * 2021-01-15 2022-08-19 한국과학기술원 광대역 시스템의 단일 반송파 기반 하이브리드 빔포밍 방법 및 장치
US11480992B1 (en) 2021-01-21 2022-10-25 Qualcomm Incorporated Techniques for phase shift reduction in a single crystal multiple output clock system
JP2022112306A (ja) 2021-01-21 2022-08-02 富士通株式会社 無線通信装置
IT202100001301A1 (it) * 2021-01-25 2022-07-25 St Microelectronics Srl Dispositivo a semiconduttore e procedimento di fabbricazione corrispondente
CN112671383B (zh) * 2021-01-31 2022-08-23 山西大学 一种频率可连续调谐的激光相位快速锁定装置
TWI774231B (zh) * 2021-02-05 2022-08-11 瑞昱半導體股份有限公司 聲音輸入輸出系統及噪音消除電路
US11374614B1 (en) * 2021-02-12 2022-06-28 Charter Communications Operating, Llc In-device coexistence for new radio
US11728559B2 (en) * 2021-02-18 2023-08-15 Ticona Llc Polymer composition for use in an antenna system
KR20230147152A (ko) * 2021-02-18 2023-10-20 티코나 엘엘씨 안테나 시스템에 사용하기 위한 중합체 조성물
EP4298737A1 (en) 2021-02-24 2024-01-03 BlueHalo LLC System and method for a digitally beamformed phased array feed
TWI754551B (zh) * 2021-02-24 2022-02-01 友達光電股份有限公司 主動相位陣列
CN112910513B (zh) * 2021-03-03 2022-04-15 立讯精密工业(昆山)有限公司 智能天线系统和通信方法
CN113028238B (zh) * 2021-03-03 2022-06-14 操璐 一种无线路由器增强定向信号传送的支架
WO2022191855A1 (en) * 2021-03-12 2022-09-15 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
US20220303106A1 (en) * 2021-03-18 2022-09-22 National Taiwan University Scalable phased-array system for wireless systems
US11843175B2 (en) 2021-03-19 2023-12-12 Google Llc Three-dimensional antenna module for transmitting and receiving electromagnetic millimeter waves
US20220311131A1 (en) * 2021-03-29 2022-09-29 M2SL Corporation Communication system with portable interface mechanism and method of operation thereof
WO2022209750A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
WO2022209737A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
WO2022214974A1 (en) * 2021-04-05 2022-10-13 Radsee Technologies Ltd Antenna arrays
CN113504508B (zh) * 2021-04-13 2023-11-17 惠州市德赛西威智能交通技术研究院有限公司 一种改善雷达低频包络及rcta镜像目标检测的方法
DK4075334T3 (da) * 2021-04-14 2024-02-12 Yocto Tech S L Fremgangsmåde til trådløs modtagelse og transmission af elektromagnetisk stråling og elektronisk udstyr dertil
US11368277B1 (en) 2021-04-21 2022-06-21 Apple Inc. Accurate sign change for radio frequency transmitters
TWI763457B (zh) * 2021-04-23 2022-05-01 新唐科技股份有限公司 波形產生電路
CN113156225B (zh) * 2021-04-25 2022-05-27 上海航天测控通信研究所 一种深空高增益天线在轨指向校准方法
KR20220149273A (ko) * 2021-04-30 2022-11-08 삼성전자주식회사 무선 주파수 집적 회로 및 상기 집적 회로를 포함하는 통신 장치
CN113282524B (zh) * 2021-05-08 2022-08-16 重庆大学 一种缓存分片的配置方法、装置以及存储介质
CN113300717B (zh) * 2021-05-19 2022-06-10 西南交通大学 一种基于码率自适应的高效化ldpc编码器电路
US11411596B1 (en) 2021-05-24 2022-08-09 Apple Inc. Transmit-receive switch with harmonic distortion rejection and electrostatic discharge protection
CN113311397B (zh) * 2021-05-25 2023-03-10 西安电子科技大学 基于卷积神经网络的大型阵列快速自适应抗干扰方法
CN113471186B (zh) * 2021-05-31 2023-08-29 西南电子技术研究所(中国电子科技集团公司第十研究所) 卫星通信多通道射频收发接口单元3d集成封装架构
US11923621B2 (en) 2021-06-03 2024-03-05 Apple Inc. Radio-frequency modules having high-permittivity antenna layers
US11646501B2 (en) 2021-06-03 2023-05-09 Apple Inc. Electronic devices having antennas with hybrid substrates
KR20220163726A (ko) * 2021-06-03 2022-12-12 삼성전자주식회사 커넥터 구조체 및 이를 포함하는 전자 장치
US20230396405A1 (en) * 2021-06-14 2023-12-07 David E. Newman Polarization Encoding for High-Density 5G/6G Communication
US20220407516A1 (en) * 2021-06-17 2022-12-22 Texas Instruments Incorporated Soft handover in a coupled dual-oscillator system
CN113552539A (zh) * 2021-06-22 2021-10-26 珠海市海米软件技术有限公司 一种提高毫米波雷达收发隔离度的谐振电磁解耦构件
CN113590418A (zh) * 2021-07-06 2021-11-02 合肥联宝信息技术有限公司 一种螺丝锁附状态的检测方法、装置及存储介质
CN113688589B (zh) * 2021-07-15 2023-05-02 电子科技大学 一种电磁热可靠性寿命分析方法
CN115642925A (zh) * 2021-07-19 2023-01-24 创未来科技股份有限公司 射频传输器以及用于发射射频输出信号的方法
CN115642928A (zh) * 2021-07-19 2023-01-24 华为技术有限公司 射频收发信机、射频收发信机用连接组件及射频收发系统
US20230057702A1 (en) * 2021-08-19 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI777741B (zh) * 2021-08-23 2022-09-11 欣興電子股份有限公司 內埋元件基板及其製作方法
US20230070175A1 (en) * 2021-09-09 2023-03-09 Mobix Labs, Inc. Dual-polarized magneto-electric dipole with simultaneous dual-band operation capability
CN113708785B (zh) * 2021-09-15 2023-06-16 许昌学院 一种大数据网络传输抗干扰系统
WO2023049662A1 (en) * 2021-09-22 2023-03-30 Jabil Inc. Double back drill via for low cost pcb mmwave phased array antennas
WO2023049663A1 (en) * 2021-09-22 2023-03-30 Jabil Inc. Horn aperture for a simplified mmwave phased array antenna
WO2023048613A1 (en) * 2021-09-24 2023-03-30 Beammwave Ab An electronic device, a method, a computer program product, and a single-chip radio
WO2023057884A2 (en) * 2021-10-05 2023-04-13 Divya Gudapati Dual polarized sub-ghz 5g base station antenna for low mobility n-urban applications
TW202316824A (zh) 2021-10-14 2023-04-16 財團法人工業技術研究院 類比式陣列天線波束成型器及其運作方法
CN114256603A (zh) * 2021-10-14 2022-03-29 南京理工大学 频率可调自振荡有源天线
CN114171885B (zh) * 2021-11-30 2023-06-27 南京国睿防务系统有限公司 一种高机动的大口径米波超轻型展开天线
US11687483B1 (en) * 2021-12-05 2023-06-27 Western Digital Technologies, Inc. Embedded physical layers with passive interfacing for configurable integrated circuits
CN113866708B (zh) * 2021-12-06 2022-03-25 青岛鼎信通讯股份有限公司 一种基于智能断路器的电能表计量误差分析方法
TWI790857B (zh) 2021-12-15 2023-01-21 財團法人工業技術研究院 差動式電容裝置與差動式電容校準方法
CN114510268B (zh) * 2021-12-24 2022-09-20 中国人民解放军战略支援部队航天工程大学 一种基于gpu实现下变频中单精度浮点数累积误差控制方法
TWI833142B (zh) 2021-12-24 2024-02-21 啓碁科技股份有限公司 通訊裝置
US11916398B2 (en) 2021-12-29 2024-02-27 Energous Corporation Small form-factor devices with integrated and modular harvesting receivers, and shelving-mounted wireless-power transmitters for use therewith
CN114334919A (zh) * 2021-12-29 2022-04-12 中国工程物理研究院电子工程研究所 一种基于晶圆级封装工艺的波导过渡结构
US11901977B2 (en) * 2022-01-14 2024-02-13 Bae Systems Information And Electronic Systems Integration Inc. Delay compensated analog beam forming network
US11891808B2 (en) 2022-01-19 2024-02-06 Oatey Co. Roof flashing
WO2023146441A1 (en) * 2022-01-26 2023-08-03 Telefonaktiebolaget Lm Ericsson (Publ) Calibration for wireless communication network
WO2023149042A1 (ja) * 2022-02-03 2023-08-10 株式会社村田製作所 アンテナモジュール、アンテナシステム、及び電波受信方法
US11736320B2 (en) * 2022-02-14 2023-08-22 Ultralogic 6G, Llc Multiplexed amplitude-phase modulation for 5G/6G noise mitigation
TWI792922B (zh) * 2022-02-16 2023-02-11 和碩聯合科技股份有限公司 測試系統
KR102566968B1 (ko) * 2022-02-16 2023-08-14 한국과학기술원 단일 믹서를 구비한 무선 송수신기
WO2023155018A1 (en) * 2022-02-18 2023-08-24 Macdonald, Dettwiler And Associates Corporation Direct radiating array antenna assembly
WO2023158842A1 (en) * 2022-02-18 2023-08-24 Georgia Tech Research Corporation Hybrid complementary bi-directional amplifier and device
CN114500200B (zh) * 2022-02-22 2023-01-17 苏州大学 数字信号处理方法、动态均衡方法、装置、介质以及设备
CN114553153A (zh) * 2022-02-28 2022-05-27 电子科技大学 一种幅相独立可调的模拟预失真线性化器
US11953639B2 (en) * 2022-03-17 2024-04-09 Halliburton Energy Services, Inc. Cross-component response interpolation for coaxially oriented antennas in an electromagnetic tool
US11515993B1 (en) * 2022-03-18 2022-11-29 UTVATE Corporation Antenna lattice for single-panel full-duplex satellite user terminals
CN114710121B (zh) * 2022-03-25 2024-01-26 北京中科飞鸿科技股份有限公司 一种超宽带小型化上下变频器
CN117157893A (zh) * 2022-03-29 2023-12-01 吉欧平台有限公司 大规模mimo无线电单元的rf前端模块的系统及设计方法
WO2023187514A1 (en) * 2022-03-29 2023-10-05 Jio Platforms Limited System and design method of high speed transceiver board (hstb) of a massive mimo radio unit
WO2023187576A1 (en) * 2022-03-31 2023-10-05 Jio Platforms Limited System and design method of integrated macro next generation radio unit
TWI806542B (zh) * 2022-04-11 2023-06-21 晶豪科技股份有限公司 用於聲音訊號處理之雜訊抑制的設備
CN114726425B (zh) * 2022-04-14 2023-06-09 哈尔滨工业大学(深圳) 基于移相器开关控制的波束成形方法、装置、无线通信系统及存储介质
KR20230150690A (ko) * 2022-04-22 2023-10-31 삼성전자주식회사 안테나 어레이의 편파들 간 디커플링을 위한 정합 네트워크 및 이를 포함하는 전자 장치
CN114978384B (zh) * 2022-04-29 2023-05-30 南京邮电大学 一种超高频频段室内办公室无线信道小尺度参数建模方法
TWI822030B (zh) * 2022-05-05 2023-11-11 律芯科技股份有限公司 雜訊整型式數位自動增益控制系統
US11901909B2 (en) * 2022-05-20 2024-02-13 Qualcomm Incorporated Dynamic range adjustment for analog-to-digital converter (ADC)
TWI804325B (zh) * 2022-05-20 2023-06-01 國立勤益科技大學 可變資料之窄頻多通道通訊系統
WO2023229170A1 (ko) * 2022-05-23 2023-11-30 삼성전자 주식회사 무선 통신 시스템에서 도허티 전력 증폭기 및 이를 포함하는 전자 장치
DE102022205483A1 (de) 2022-05-31 2023-11-30 Rolls-Royce Deutschland Ltd & Co Kg Schnelles Schalten von Transistoren in einem Wandler mit begrenzter Kapazität
CN115209519B (zh) * 2022-06-02 2024-01-26 四川大学 短帧开环结构的无线时间同步设备
WO2023245274A1 (en) * 2022-06-22 2023-12-28 Huawei Technologies Canada Co., Ltd. Tightly-coupled antenna array and method thereof
CN115225114B (zh) * 2022-07-11 2024-03-22 北京航天科工世纪卫星科技有限公司 一种弹载跳频通信体制全向电扫描射频组件
CN115133280B (zh) * 2022-07-27 2024-04-19 重庆邮电大学 一种差分宽带滤波天线
CN115455886B (zh) * 2022-08-05 2023-04-11 上海移柯通信技术股份有限公司 Pcb板设计方法、pcb板、电子设备、存储介质及终端
CN115117583B (zh) * 2022-08-09 2023-09-19 广东环波新材料有限责任公司 一种基于ltcc的小型化超宽带巴伦
CN115549789B (zh) * 2022-08-12 2023-05-12 广州致远仪器有限公司 基于光纤的信号传输系统及测量设备
CN115348689B (zh) * 2022-08-19 2024-04-30 江西山水光电科技股份有限公司 一种5g基站
CN115279038B (zh) * 2022-09-26 2022-12-27 深圳国人无线通信有限公司 一种适用于高速信号传输的布线方法和pcb板
WO2024074852A1 (en) * 2022-10-07 2024-04-11 Argo Semiconductors SA Analog front end for massive multiple input, multiple output (mmimo) communication systems
TWI823731B (zh) * 2022-12-28 2023-11-21 國立清華大學 前饋等化器及使用其的電壓模態信號發射器
CN116500549B (zh) * 2023-06-28 2023-11-07 南京邮电大学 一种基于ltcc的四通道x波段三维堆叠结构tr组件
CN116707575B (zh) * 2023-07-27 2023-09-29 为准(北京)电子科技有限公司 射频端口电路和通信装置
CN117200980B (zh) * 2023-09-08 2024-04-05 广州天力能科技有限公司 一种电数字数据传输芯片电路
CN116914447B (zh) * 2023-09-14 2023-12-22 成都锐芯盛通电子科技有限公司 一种双频段多通道sip模块
CN117039459B (zh) * 2023-10-09 2023-12-12 成都智芯雷通微系统技术有限公司 一种用于毫米波有源相控阵的高集成度t/r组件
CN117543225B (zh) * 2024-01-08 2024-04-12 成都恪赛科技有限公司 一种毫米波tr组件、吸波材料切割装置及方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR408E (fr) 1902-02-08 1902-12-29 Driancourt Georges Appareil continu pour la fabrication des vins et cidres mousseux
US4838475A (en) 1987-08-28 1989-06-13 Motorola, Inc. Method and apparatus for EMI/RFI shielding an infrared energy reflow soldered device
GB9016854D0 (en) * 1990-08-01 1994-09-21 Secr Defence Radiation sensor
US5231406A (en) 1991-04-05 1993-07-27 Ball Corporation Broadband circular polarization satellite antenna
EP0542181B1 (en) 1991-11-12 2005-05-11 Dyno Nobel Inc. Cast primer and small-diameter explosive composition and process for the manufacture thereof
US6215454B1 (en) 1998-02-20 2001-04-10 Qualcomm, Inc. Multi-layered shielded substrate antenna
JP2000307322A (ja) 1999-04-20 2000-11-02 Murata Mfg Co Ltd 高周波回路装置およびそれを用いた通信機
US7994996B2 (en) * 1999-11-18 2011-08-09 TK Holding Inc., Electronics Multi-beam antenna
JP2002033419A (ja) * 2000-07-14 2002-01-31 Sharp Corp 高周波モジュールおよび高周波モジュールの製造方法
CA2438384A1 (en) * 2001-02-14 2002-08-22 Comsat Corporation Wide-band modular mems phased array
JP2003309423A (ja) * 2002-04-15 2003-10-31 Murata Mfg Co Ltd アンテナ一体型高周波回路モジュール
US6867746B2 (en) 2002-06-03 2005-03-15 Kaga Electronics Co., Ltd. Combined EMI shielding and internal antenna for mobile products
DE10242526B4 (de) * 2002-09-12 2004-12-09 Daimlerchrysler Ag Fahrzeugteile aus Kunststoff mit integrierten Antennenelementen sowie Verfahren zu deren Herstellung und Verwendung der Fahrzeugteile
KR100846872B1 (ko) 2006-11-17 2008-07-16 한국전자통신연구원 유전체 도파관 대 전송선의 밀리미터파 천이 장치
JP5175334B2 (ja) 2007-04-05 2013-04-03 テレフオンアクチーボラゲット エル エム エリクソン(パブル) 偏波依存ビーム幅調整器
SE532279C2 (sv) * 2008-04-11 2009-12-01 Powerwave Technologies Sweden Förbättrad antennisolation
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US8759950B2 (en) 2011-05-05 2014-06-24 Intel Corporation Radio- and electromagnetic interference through-silicon vias for stacked-die packages, and methods of making same
US8901688B2 (en) * 2011-05-05 2014-12-02 Intel Corporation High performance glass-based 60 ghz / mm-wave phased array antennas and methods of making same
WO2012167283A2 (en) 2011-06-02 2012-12-06 Brigham Young University Planar array feed for satellite communications
JP5730159B2 (ja) 2011-08-25 2015-06-03 京セラ株式会社 アンテナ基板およびアンテナモジュール
US8818457B2 (en) 2011-09-21 2014-08-26 Broadcom Corporation Antenna having polarization diversity
US20130293420A1 (en) * 2012-05-07 2013-11-07 Wilocity Ltd. Techniques for maximizing the size of an antenna array per radio module
US9325056B2 (en) 2012-09-11 2016-04-26 Alcatel Lucent Radiation efficient integrated antenna
US9196951B2 (en) 2012-11-26 2015-11-24 International Business Machines Corporation Millimeter-wave radio frequency integrated circuit packages with integrated antennas
EP3020091A1 (en) 2013-07-08 2016-05-18 Qualcomm Incorporated Techniques for operating phased array antennas in millimeter-wave radio modules
US10516214B2 (en) * 2013-11-05 2019-12-24 Si2 Technologies, Inc. Antenna elements and array
JP6279754B2 (ja) 2013-12-09 2018-02-14 インテル コーポレイション パッケージングされたダイ用のセラミック上アンテナ
CN203617426U (zh) * 2013-12-17 2014-05-28 北京无线电计量测试研究所 一种用于近距离主动式毫米波成像系统的天线阵列
US9620464B2 (en) * 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9917707B2 (en) 2014-09-11 2018-03-13 The Hong Kong University Of Science And Technology Adaptive cascaded equalization circuits with configurable roll-up frequency response for spectrum compensation
WO2016067969A1 (ja) 2014-10-31 2016-05-06 株式会社村田製作所 アンテナモジュール及び回路モジュール
US9461001B1 (en) 2015-07-22 2016-10-04 Advanced Semiconductor Engineering, Inc. Semiconductor device package integrated with coil for wireless charging and electromagnetic interference shielding, and method of manufacturing the same
US20170141938A1 (en) 2015-11-12 2017-05-18 Futurewei Technologies, Inc. High Performance PIM Cancellation With Feed Forward Structure
CN105356051B (zh) * 2015-11-16 2018-02-23 中国电子科技集团公司第十研究所 大功率导引头瓦式有源相控阵天线
DE112017006442T5 (de) 2016-12-21 2019-09-19 Intel Corporation Drahtlose kommunikationstechnologie, einrichtungen und verfahren

Also Published As

Publication number Publication date
WO2018119153A2 (en) 2018-06-28
US20220384956A1 (en) 2022-12-01
JP7089519B2 (ja) 2022-06-22
JP7441269B2 (ja) 2024-02-29
DE112017006442T5 (de) 2019-09-19
TW201838352A (zh) 2018-10-16
EP3560111A2 (en) 2019-10-30
US20230145401A1 (en) 2023-05-11
US20200091608A1 (en) 2020-03-19
TW202345532A (zh) 2023-11-16
KR102635791B1 (ko) 2024-02-08
JP2020507230A (ja) 2020-03-05
CN110447146A (zh) 2019-11-12
KR20190089955A (ko) 2019-07-31
WO2018119153A3 (en) 2019-03-14
JP2022130459A (ja) 2022-09-06
US11955732B2 (en) 2024-04-09
US11424539B2 (en) 2022-08-23
TWI782936B (zh) 2022-11-11
EP3560111A4 (en) 2020-12-02

Similar Documents

Publication Publication Date Title
JP7441269B2 (ja) 無線通信技術、装置及び方法
Huo et al. 5G cellular user equipment: From theory to practical hardware design
Yang et al. Digital beamforming-based massive MIMO transceiver for 5G millimeter-wave communications
Rappaport et al. State of the art in 60-GHz integrated circuits and systems for wireless communications
US9912071B2 (en) Quasi-yagi-type antenna
US11528066B2 (en) Non-orthogonal multiple-access and multi-finger beamforming
Sadhu et al. A 250-mW 60-GHz CMOS transceiver SoC integrated with a four-element AiP providing broad angular link coverage
EP3840042A1 (en) Integrated circuit dies with organic interconnect layers and related structures
US20220199556A1 (en) Package system and package
Li et al. Design and implementation of an active array antenna with remote controllable radiation patterns for mobile communications
US20220320712A1 (en) Package Antenna Apparatus and Wireless Communication Apparatus
US9602127B1 (en) Devices and methods for pyramid stream encoding
EP4033608A1 (en) Antenna structure and electronic device comprising same
De Domenico et al. Making 5G millimeter-wave communications a reality [Industry Perspectives]
Kraemer Wireless 100 Gbps and beyond: architectures, approaches and findings of German Research Foundation (DFG) Priority Programme SPP1655: preface, contents, list of tables, list of figures, bibliography
Meyer et al. The state of the art in beyond 5G distributed massive multiple-input multiple-output communication system solutions
Kraemer et al. Wireless 100 Gbps And Beyond
Charles A calibrated phase and amplitude control system for phased-array transmitters
US20230299491A1 (en) Antenna module and manufacturing method thereof
Wang Interpolation based wideband beamforming frontends for 5G millimetre wave communication
Chang et al. Implementation of Hardware and Software Platforms
KR20230036791A (ko) 안테나 모듈 및 안테나 모듈을 포함하는 전자 장치
FLORIDA UNIV GAINESVILLE OFFICE OF RESEARCH Integrated Micronode Research

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240306