DE112017006442T5 - Drahtlose kommunikationstechnologie, einrichtungen und verfahren - Google Patents

Drahtlose kommunikationstechnologie, einrichtungen und verfahren Download PDF

Info

Publication number
DE112017006442T5
DE112017006442T5 DE112017006442.2T DE112017006442T DE112017006442T5 DE 112017006442 T5 DE112017006442 T5 DE 112017006442T5 DE 112017006442 T DE112017006442 T DE 112017006442T DE 112017006442 T5 DE112017006442 T5 DE 112017006442T5
Authority
DE
Germany
Prior art keywords
signal
antenna
signals
aspects
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112017006442.2T
Other languages
English (en)
Inventor
Erkan Alpman
Arnaud Amadjikpe
Omer Osaf
Kameran Azadet
Rotem Banin
Miroslav Baryakh
Anat Bazov
Stefano Brenna
Bryan Casper
Anandaroop Chakrabarti
Gregory Chance
Emanuel Cohen
Claudio Da Silva
Sidharth Dalmia
Saeid Daneshgar
Kaushik Dasgupta
Kunal DATTA
Brandon Davis
Ofir Degani
Amit Freiman
Michael Genossar
Eran Gerson
Eyal Goldberger
Meir Gordon
Eshel Gordon
Josef Hagn
Shinwon Kang
Te-Yu Kao
Duncan Kitchin
Noam Kogan
Mikko Komulainen
Igal Kushnir
Saku Lahti
Mikko M. Lampinen
Naftali Landsberg
Wook Bong Lee
Run Levinger
Albert Molina
Resti Montoya
Tawfiq Musah
Nathan Narevsky
Hosein Nikopour
Oner Orhan
Georgios Palaskas
Stefano Pellerano
Ron Pongratz
Shmuel Ravid
Peter Sagazio
Eran Sasoglu
Lior Shakedd
Gadi Shor
Baljit Singh
Menashe Soffer
Raanan Sover
Shilpa Talwar
Nebil Tanzi
Moshe Teplitsky
Chintan Thakkar
Jayprakash Thakur
Yossi Tsfati
Marian Verhelst
Nir Weisman
Shuhei Yamada
Ana M. Yepes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112017006442T5 publication Critical patent/DE112017006442T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/10Polarisation diversity; Directional diversity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • H01L23/4855Overhang structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/52Means for reducing coupling between antennas; Means for reducing coupling between an antenna and another structure
    • H01Q1/526Electromagnetic shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/24Combinations of antenna units polarised in different directions for transmitting or receiving circularly and elliptically polarised waves or waves linearly polarised in any direction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q25/00Antennas or antenna systems providing at least two radiating patterns
    • H01Q25/001Crossed polarisation dual antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/24Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the orientation by switching energy from one active radiating element to another, e.g. for beam switching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q5/00Arrangements for simultaneous operation of antennas on two or more different wavebands, e.g. dual-band or multi-band arrangements
    • H01Q5/40Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements
    • H01Q5/45Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device
    • H01Q5/47Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device with a coaxial arrangement of the feeds
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B19/00Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source
    • H03B19/06Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes
    • H03B19/14Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes by means of a semiconductor device
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/14Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted
    • H03L7/143Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop
    • H03L7/145Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop the switched reference signal being derived from the controlled oscillator output signal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/3827Portable transceivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B15/00Suppression or limitation of noise or interference
    • H04B15/02Reducing interference from electric apparatus by means located at or near the interfering apparatus
    • H04B15/04Reducing interference from electric apparatus by means located at or near the interfering apparatus the interference being caused by substantially sinusoidal oscillations, e.g. in a receiver or in a tape-recorder
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0413MIMO systems
    • H04B7/0456Selection of precoding matrices or codebooks, e.g. using matrices antenna weighting
    • H04B7/0482Adaptive codebooks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • H04B7/0615Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal
    • H04B7/0619Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal using feedback from receiving side
    • H04B7/0636Feedback format
    • H04B7/0639Using selective indices, e.g. of a codebook, e.g. pre-distortion matrix index [PMI] or for beam selection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0216Reduction of cross-talk, noise or electromagnetic interference
    • H05K1/0218Reduction of cross-talk, noise or electromagnetic interference by printed shielding conductors, ground planes or power plane
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • H05K1/186Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/116Manufacturing methods by patterning a pre-deposited material
    • H01L2224/1161Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/1319Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/14135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14151Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14152Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/14155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/48195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4912Layout
    • H01L2224/49175Parallel arrangements
    • H01L2224/49176Wire connectors having the same loop shape and height
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81205Ultrasonic bonding
    • H01L2224/81207Thermosonic bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8185Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/07Electric details
    • H05K2201/0707Shielding
    • H05K2201/0723Shielding provided by an inner layer of PCB
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10098Components for radio transmission, e.g. radio frequency identification [RFID] tag, printed or non-printed antennas

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Physics & Mathematics (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Manufacturing & Machinery (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Waveguide Aerials (AREA)
  • Near-Field Transmission Systems (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)
  • Steroid Compounds (AREA)
  • Transceivers (AREA)
  • Circuits Of Receivers In General (AREA)

Abstract

Millimeterwellen- (mmWellen-) Technologie, Einrichtungen und Verfahren, die sich auf Sendeempfänger, Empfänger und Antennenstrukturen für drahtlose Kommunikation beziehen, sind beschrieben. Die verschiedenen Aspekte weisen unter anderem Millimeterwellen- (mmWellen-) und Nahbereichskommunikation- (NFC-) Antennen am gleichen Ort, skalierbare Funk-Sendeempfängerarchitekturen einer phasengesteuerten Gruppe (SPARTA), verteiltes Kommunikationssystem mit phasengesteuerter Gruppe mit MIMO-Unterstützung und Phasenrauschensynchronisation über ein einzelnes Koax-Kabel, Kommunizieren von RF-Signalen über Kabel (RFoC) in einem verteilten Kommunikationssystem mit phasengesteuerter Gruppe, Taktrauschenleckverlustreduktion, IF-zu-RF-Begleit-Chip für Aufwärts- und Abwärtskompatibilität und Modularität, baugruppeninterne Anpassungsnetze, skalierbare 5G-Empfänger- (Rx-) Architektur auf.

Description

  • PRIORITÄ TSANSPRUCH
  • Diese Anmeldung beansprucht den Vorteil der Priorität der folgenden vorläufigen Patentanmeldungen:
    • Vorläufige Patentanmeldung der Vereinigten Staaten Nr. 62/437,385, mit dem Titel „MILLIMETER WAVE ANTENNA STRUCTURES“ und eingereicht am 21. Dezember 2016;
    • Vorläufige Patentanmeldung der Vereinigten Staaten Nr. 62/511,398, mit dem Titel „MILLIMETER WAVE TECHNOLOGY“ und eingereicht am 26. Mai 2017;
    • Vorläufige Patentanmeldung der Vereinigten Staaten Nr. 62/527,818, mit dem Titel „ANTENNA CIRCUITS AND TRANSCEIVERS FOR MILLIMETER WAVE (MMWAVE) COMMUNICATIONS“ und eingereicht am 30. Juni 2017; und
    • Vorläufige Patentanmeldung der Vereinigten Staaten Nr. 62/570,680, mit dem Titel „RADIO FREQUENCY TECHNOLOGIES FOR WIRELESS COMMUNICATIONS“ und eingereicht am 11. Oktober 2017.
  • Jede der vorstehend genannten vorläufigen Patentanmeldungen ist hier durch Bezugnahme vollständig mit aufgenommen.
  • TECHNISCHES GEBIET
  • Einige Aspekte der vorliegenden Offenbarung gehören zu Antennen und Antennenstrukturen. Einige Aspekte der vorliegenden Offenbarung gehören zu Antennen und Antennenstrukturen für Millimeterwellenkommunikation. Einige Aspekte der vorliegenden Offenbarung gehören zu drahtlosen Kommunikationsvorrichtungen (z. B. mobilen Vorrichtungen und Basisstationen), die Antennen und Antennenstrukturen zur Kommunikation drahtloser Signale verwenden. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf Vorrichtungen, die in Übereinstimmung mit drahtlosen Systemen der 5. Generation (5G) arbeiten. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf Vorrichtungen, die in Übereinstimmung mit Protokollen der drahtlosen Gigabit-Allianz (WiGig) (z. B. IEEE 802.11ad) arbeiten. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf das Verwenden von mehrstufigem Kupfersäulenätzen. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf Millimeterwellen-(mmWellen-) und Nahbereichskommunikations- (NFC-) Antennen am gleichen Ort. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf eine skalierbare Funk-Sendeempfänger-Architektur einer phasengesteuerten Gruppe (SPARTA). Einige Aspekte der vorliegenden Offenbarung beziehen sich auf ein verteiltes Kommunikationssystem einer phasengesteuerten Gruppe mit MIMO-Unterstützung und Phasen-Rauschen-Synchronisation über ein einziges Koax-Kabel. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf das Kommunizieren von Hochfrequenz- (RF-) Signalen über Kabel (RFoC) in einem verteilten Kommunikationssystem einer phasensteuerten Gruppe. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf eine Taktrauschenleckverlustreduktion. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf einen Zwischenfrequenz- (IF-) zu-RF-Begleitchip für Abwärts- und Aufwärts-Kompatibilität und Modularität. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf baugruppeninterne Anpassungsnetze. Einige Aspekte der vorliegenden Offenbarung beziehen sich auf eine skalierbare 5G-Empfänger- (Rx-) Architektur.
  • HINTERGRUND
  • Der physikalische Raum in mobilen Vorrichtungen für drahtlose Kommunikation ist üblicherweise aufgrund der Menge der Funktionalität, die in dem Formfaktor solcher Vorrichtungen enthalten ist, sehr wertvoll. Herausfordernde Probleme entstehen unter anderem wegen der Notwendigkeit der räumlichen Abdeckung abgestrahlter Funkwellen und aus dem Aufrechterhalten der Signalstärke, wenn sich die mobile Vorrichtung zu anderen Orten bewegt, oder weil ein Benutzer von Zeit zu Zeit die mobile Vorrichtung in eine andere Orientierung bringen kann. Das kann in einigen Aspekten zu einer Notwendigkeit einer großen Anzahl von Antennen, variierenden Polaritäten, Strahlungsrichtungen, variierender räumlicher Diversity der abgestrahlten Funkwellen zu einer variierenden Zeit und zugehörigen Erfordernissen führen. Wenn Packages konstruiert werden, die Antennen aufweisen, die an Millimeterwellen- (mmWellen- oder mmW-) Frequenzen arbeiten, kann effiziente Verwendung des Platzes dazu beitragen, solche Probleme zu lösen.
  • Die Allgegenwärtigkeit drahtloser Kommunikation hat weiterhin eine Menge von herausfordernden Problemen hervorgebracht. Insbesondere haben sich Herausforderungen mit der Einführung mobiler Kommunikationssysteme wie z. B. 5G-Kommunikationssystemen entwickelt, sowohl aufgrund einer großen Vielfalt von Vorrichtungen mit unterschiedlichen Bedürfnissen als auch des Spektrums, das verwendet werden soll. Insbesondere haben die Bereiche der Frequenzbänder, die zur Kommunikation verwendet werden, zugenommen, kürzlich aufgrund der Integration von Trägeraggregation lizenzierter und nicht lizenzierter Bänder und der anstehenden Verwendung der mmWellen-Bänder.
  • Eine Herausforderung in mmWellen-Funk-Frontend-Modulen (mmWellen-RFEMs) stellt eine vollständige oder nahezu vollständige Richtungsabdeckung bereit. Millimeterwellensysteme erfordern einen hohen Antennengewinn, um die Leistungsübertragungsbilanz zu schließen, und phasengesteuerte Gruppenantennen können verwendet werden, um Strahllenkung bereitzustellen. Die alleinige Anwendung phasengesteuerter Gruppenantennen (wie z. B. eine Gruppe von planaren Patchantennen) stellt eine beschränkte Winkelabdeckung bereit. Obwohl Strahllenkung dazu beitragen kann, Energie zu dem vorgesehenen Empfänger zu lenken (und umgekehrt den Gewinn an dem Empfänger in der Richtung des vorgesehenen Senders zu erhöhen), beschränkt eine einfache Gruppe die Abdeckung der Lenkungswinkel. Zusätzlich ist die Polarisation von Hochfrequenz-Signalen (RF-Signalen) ein Hauptproblem für mmWellen. Es gibt signifikante Ausbreitungsunterschiede zwischen vertikaler und horizontaler Polarisation, und zusätzlich kann die Verwendung beider Polarisationen verwendet werden, um räumliche Diversity bereitzustellen. Angesichts der erwarteten Anwendungen dieser Technologie auf mobile Vorrichtungen wird es wichtig werden, auswählbare Polarisation in den Antennen bereitzustellen.
  • Ein weiteres Problem zunehmender Bedenken ist atmosphärischer Dämpfungsverlust. Aufgrund der hohen Streckendämpfung, die durch atmosphärische Absorption und hohe Dämpfung durch feste Materialien verursacht ist, können mächtige Mehr-Anschluss-mehr-Ausgang-Systeme (MIMO-Systeme) zur Kommunikation in den mmWellen-Bändern verwendet werden. Die Verwendung von Strahlformen, um nach nicht blockierten gerichteten räumlichen Kanälen zu suchen, und die Disparität zwischen der Sichtlinien- (LOS-) und Nicht-Sichtlinien- (NLOS-) Kommunikation kann mmWellen-Architektur im Vergleich zu der Architektur, die zur Kommunikation über ein drahtloses persönliches Netz (WPAN) oder ein drahtloses lokales Netz (WLAN) verwendet wird, verkomplizieren.
  • Figurenliste
    • 1 stellt eine beispielhafte Benutzervorrichtung gemäß einigen Aspekten dar.
    • 1A stellt ein mmWellen-System, das in Verbindung mit der Vorrichtung von 1 verwendet werden kann, gemäß einigen Aspekten dar.
    • 2 stellt einen beispielhaften Basisstations-Funkkopf gemäß einigen Aspekten dar.
    • 3A stellt eine beispielhafte Millimeterwellenkommunikationsschaltung gemäß einigen Aspekten dar.
    • 3B stellt Aspekte der in 3A dargestellten beispielhaften Sendeschaltung gemäß einigen Aspekten dar.
    • 3C stellt Aspekte der in 3A dargestellten beispielhaften Sendeschaltung gemäß einigen Aspekten dar.
    • 3D stellt Aspekte der in 3A dargestellten beispielhaften Hochfrequenzschaltung gemäß einigen Aspekten dar.
    • 3E stellt Aspekte der beispielhaften Empfangsschaltung in 3A gemäß einigen Aspekten dar.
    • 4 stellt eine beispielhafte verwendbare RF-Schaltung in 3A gemäß einigen Aspekten dar.
    • 5A stellt einen Aspekt eines beispielhaften Funk-Frontend-Moduls (RFEM) gemäß einigen Aspekten dar.
    • 5B stellt einen alternativen Aspekt eines beispielhaften Funk-Frontend-Moduls gemäß einigen Aspekten dar.
    • 6 stellt einen beispielhaften Mehrprotokoll-Basisbandprozessor, der in 1 oder 2 verwendbar ist, gemäß einigen Aspekten dar.
    • 7 stellt ein beispielhaftes Mischsignal-Basisbandteilsystem gemäß einigen Aspekten dar.
    • 8A stellt ein beispielhaftes digitales Basisbandteilsystem gemäß einigen Aspekten dar.
    • 8B stellt einen alternativen Aspekt eines beispielhaften Basisbandverarbeitungsteilsystems gemäß einigen Aspekten dar.
    • 9 stellt ein beispielhaftes digitales Signalprozessorteilsystem gemäß einigen Aspekten dar.
    • 10A stellt ein Beispiel eines Beschleunigerteilsystems gemäß einigen Aspekten dar.
    • 10B stellt ein alternatives beispielhaftes Beschleunigerteilsystem gemäß einigen Aspekten dar.
    • 11A bis 11E stellen beispielhafte periodische Funkrahmenstrukturen gemäß einigen Aspekten dar.
    • 12A bis 12C stellen Beispiele von Konstellationskonstruktionen eines Einzelträgermodulationsschemas, das gesendet oder empfangen werden kann, gemäß einigen Aspekten dar.
    • 13A bis 13B stellen alternative beispielhafte Konstellationskonstruktionen eines Einzelträgermodulationsschemas, das gesendet und empfangen werden kann, gemäß einigen Aspekten dar.
    • 14 stellt ein beispielhaftes System zum Erzeugen von Mehrträger-Basisbandsignalen zum Senden gemäß einigen Aspekten dar.
    • 15 stellt beispielhafte Betriebsmittelelemente, die in einer Gitterform abgebildet sind, gemäß einigen Aspekten dar.
    • 16A, 16B, 16C und 16D zeigen ein Beispiel der Codierung gemäß einigen Aspekten dar.
    • 17 ist eine Querschnittsansicht und eine Draufsicht eines beispielhaften Halbleiter-Dies mit metallischen Säulen gemäß einigen Aspekten.
    • 18A ist eine Querschnittsansicht und eine Draufsicht eines beispielhaften Halbleiter-Dies mit metallischen Säulen, die einen ersten Typ von Verbindungsstrukturen bilden, gemäß einigen Aspekten.
    • 18B ist eine Querschnittsansicht und eine Draufsicht eines beispielhaften Halbleiter-Dies mit metallischen Säulen, die einen zweiten Typ von Verbindungsstrukturen bilden, gemäß einigen Aspekten.
    • 18C ist eine Querschnittsansicht und eine Draufsicht eines beispielhaften Halbleiter-Dies mit metallischen Säulen, die einen dritten Typ von Verbindungsstrukturen bilden, gemäß einigen Aspekten.
    • 19 ist eine Querschnittsansicht eines beispielhaften Halbleiter-Dies mit metallischen Säulen, die Verbindungsstrukturen bilden, wobei die Säulen an einem Packagelaminat befestigt sind, gemäß einigen Aspekten.
    • 20A ist eine Seitenansicht in Schnittdarstellen eines beispielhaften Benutzervorrichtungsteilsystems, wie es in dieser Offenbarung beschrieben ist, gemäß einigen Aspekten.
    • 20B stellt einen beispielhaften Sockelteil der Laminatstruktur von 20A gemäß einigen Aspekten dar.
    • 21 stellt beispielhafte RF-Zuleitungen innerhalb des Hohlraums der Laminatstruktur von 20A gemäß einigen Aspekten dar.
    • 22 stellt beispielhafte RF-Zuleitungsleiterbahnen, die eine Öffnung in einem Abschirmungsgehäuse durchstechen, gemäß einigen Aspekten dar.
    • 23 stellt mehrere Ansichten einem beispielhaften Halbleiter-Package mit Millimeterwellen- (mmWellen-) Antennen und einer Nahbereichskommunikations- (NFC-) Antenne am gleichen Ort gemäß einigen Aspekten dar.
    • 24 stellt ein beispielhaftes Hochfrequenz-Frontend-Modul (RFEM) mit einer phasengesteuerten Antennengruppe gemäß einigen Aspekten dar.
    • 25 stellt Beispielorte eines beispielhaften RFEM in einer mobilen Vorrichtung gemäß einigen Aspekten dar.
    • 26 ist ein Blockdiagramm eines beispielhaften RFEM gemäß einigen Aspekten.
    • 27 ist ein Blockdiagramm eines beispielhaften Medienzugangssteuerungs- (MAC-) / Basisband- (BB-) Teilsystem gemäß einigen Aspekten.
    • 28 ist ein Diagramm einer beispielhaften NFC-Antennenimplementierung gemäß einigen Aspekten.
    • 29 stellt mehrere Ansichten einem beispielhaften Halbleiter-Package mit mmWellen-Antennen und einer Nahbereichskommunikations-(NFC-) Antenne am gleichen Ort auf mehreren Leiterplatten- (PCB-) Substraten gemäß einigen Aspekten dar.
    • 30 ist ein Blockdiagramm eines beispielhaften phasengesteuerten RF-Gruppensystems, das Strahlformen durch Phasenverschiebung und Kombinieren der Signale an RF implementiert, gemäß einigen Aspekten.
    • 31 ist ein Blockdiagramm eines beispielhaften phasengesteuerten Gruppensystems, das Strahlformen durch Phasenverschieben des lokalen Oszillators (LO) und Kombinieren der analogen Signale in IF/Basisband implementiert, gemäß einigen Aspekten.
    • 32 ist ein Blockdiagramm eines beispielhaften phasengesteuerten Gruppensystems mit digitaler Phasenverschiebung und Kombinieren gemäß einigen Aspekten.
    • 33 ist ein Blockdiagramm eines beispielhaften Sendeempfängerzellenelements, das in einer skalierbaren Funk-Sendeempfängerarchitektur einer phasengesteuerten Gruppe verwendet werden kann, gemäß einigen Aspekten.
    • 34 ist ein Blockdiagramm einer beispielhaften Funk-Sendeempfängerarchitektur einer phasengesteuerten Gruppe, die mehrere Sendeempfängerzellen verwendet, gemäß einigen Aspekten.
    • 35 stellt beispielhaftes Schneiden eines Halbleiter-Dies in individuelle Sendeempfängerzellen, die Funk-Sendeempfänger einer phasengesteuerten Gruppen bilden, gemäß einigen Aspekten dar.
    • 36 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe, die mit einer phasengesteuerten Gruppenantenne paketiert ist, gemäß einigen Aspekten.
    • 37 ist ein Blockdiagramm einer beispielhaften Sendeempfängerzelle mit Kommunikationsbussen gemäß einigen Aspekten.
    • 38 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in der LO-Phasenverschiebungsbetriebsart unter Verwendung eines einzelnen Analog/Digital-Umsetzers (ADC) gemäß einigen Aspekten.
    • 39 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in der LO-Phasenverschiebungsbetriebsart unter Verwendung mehrerer ADCs gemäß einigen Aspekten.
    • 40 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer Hybridbetriebsart (LO und digitale Phasenverschiebung und Kombinieren) unter Verwendung mehrerer ADCs, um mehrere digitale Signale zu erzeugen, gemäß einigen Aspekten.
    • 41 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer Betriebsart mit analoger IF/Basisband-Phasenverschiebung und Kombinieren unter Verwendung eines einzelnen ADC gemäß einigen Aspekten.
    • 42 ist ein Blockdiagramm einer beispielhaften Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer analogen IF/Basisband-Phasenverschiebungsbetriebsart unter Verwendung mehrerer ADCs, um mehrere digitale Signale zu erzeugen, gemäß einigen Aspekten.
    • 43 stellt beispielhafte Betriebsarten einer Sendeempfängerarchitektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln gemäß einigen Aspekten dar.
    • 44A stellt eine Draufsicht eines beispielhaften Substrats eines Packages eines Zwei-Package-Systems gemäß einigen Aspekten dar.
    • 44B stellt eine Unteransicht des Substrats von 44A gemäß einigen Aspekten dar.
    • 44C stellt eine Unteransicht eines beispielhaften Substrats eines zweiten Packages des Zwei-Package-Systems der 44A und 44B gemäß einigen Aspekten dar.
    • 44D stellt das erste Package und das zweite Package der 44A bis 44C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar.
    • 45A stellt eine Draufsicht eines weiteren beispielhaften Substrats eines Packages eines weiteren Zwei-Package-Systems gemäß einigen Aspekten dar.
    • 45B stellt eine Unteransicht des Substrats von 45A gemäß einigen Aspekten dar-
    • 45C stellt eine Unteransicht eines beispielhaften Substrats eines zweiten Packages des Zwei-Package-Systems der 45A und 45B gemäß einigen Aspekten dar.
    • 45D stellt das erste Package und das zweite Package der 45A bis 45C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar.
    • 46A stellt eine Draufsicht eines beispielhaften Substrats eines Packages noch eines weiteren Zwei-Package-Systems gemäß einigen Aspekten dar.
    • 46B stellt eine Unteransicht des Substrats von 45A gemäß einigen Aspekten dar-
    • 46C stellt eine Unteransicht eines beispielhaften Substrats eines zweiten Packages des Zwei-Package-Systems der 45A und 45B gemäß einigen Aspekten dar;
    • 46D stellt das erste Package und das zweite Package der 46A bis 46C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar.
    • 47A stellt eine Draufsicht eines beispielhaften Substrats eines Packages wiederum eines weiteren Zwei-Package-Systems gemäß einigen Aspekten dar.
    • 47B stellt eine Unteransicht des Substrats von 46A gemäß einigen Aspekten dar-
    • 47C stellt eine Unteransicht eines beispielhaften Substrats eines zweiten Packages des Zwei-Package-Systems der 47A und 47B gemäß einigen Aspekten dar.
    • 47D stellt das erste Package und das zweite Package der 44A bis 44C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar.
    • 48A stellt eine Draufsicht von zwei Packages eines Zwei-Packages-nebeneinander-Packagesystems gemäß einigen Aspekten dar.
    • 48B stellt eine Unteransicht der zwei Packages von 48A gemäß einigen Aspekten dar.
    • 48C stellt eine Seitenansicht der zwei Packages der 48A und 48B in einer Nebeneinander-Implementierung gemäß einigen Aspekten dar.
    • 49 ist eine beispielhafte Darstellung der verschiedenen Größen von SD-Flash-Speicherkarten.
    • 50 stellt eine dreidimensionale Ansicht einer beispielhaften Mikro-SD-Karte mit geändertem Inhalt und geänderter Funktionalität, um die Karte für drahtlosen mmWellen-Kommunikationsbetrieb umzunutzen, gemäß einigen Aspekten dar.
    • 51A stellt eine beispielhafte Mikro-SD-Karte von 50, die das Strahlungsmuster für die Dipolantennen von 2 zeigt, gemäß einigen Aspekten dar.
    • 51B stelle die Mikro-SD-Karte von 50 mit vertikal polarisierten Monopolantennenelementen, die vertikal in dem freigelegten Bereich, der in der Z-Höhe begrenzt ist, stehen, gemäß einigen Aspekten dar.
    • 51C stellt die Mikro-SD-Karte von 50 mit zurückgefalteten Dipolantennen gemäß einigen Aspekten dar.
    • 52 stellt drei beispielhafte Mikro-SD-Karten, die wie vorstehend diskutiert modifiziert sind, um mehrere Karten pro Hauptplatine bereitzustellen, gemäß einigen Aspekten dar.
    • 53A ist eine Seitenansicht eines beispielhaften Package-PCB-Teilsystems mit der Struktur einer getrennten Kugelgitteranordnung (BGA) oder Schachbrettanordnung (LGA) mit einem daran angebrachten Sendeempfängerteilsystem gemäß einigen Aspekten.
    • 53B ist ein Querschnitt in Seitenansicht des Teilsystems von 53A gemäß einigen Aspekten.
    • 53C ist eine Draufsicht des Teilsystems von 53A, die eine Draufsicht einer Abschirmung darstellt und ferner einen Ausschnitt darstellt, gemäß einigen Aspekten.
    • 53D ist eine Draufsicht des Teilsystems von 53A, die den Ausschnitt darstellt, um zu ermöglichen, dass die Antennen abstrahlen, und Kontakte darstellt, gemäß einigen Aspekten.
    • 53E zeigt eine Anordnung von beispielhaften Teilsystemen, die rund um einen Mast angeordnet sind, zur Strahlungsabdeckung in im Wesentlichen allen Richtungen, gemäß einigen Aspekten.
    • 53F stellt ein beispielhaftes Teilsystem in einer Eckform gemäß einigen Aspekten dar.
    • 53G stellt das Teilsystem von 3A gemäß einigen Aspekten dar.
    • 53H stellt eine Seitenansicht eines beispielhaften Antennenteilsystems gemäß einigen Aspekten dar.
    • 531 ist eine Draufsicht einer beispielhaften Konfiguration eines Dual-Abschirmungs-Antennenteilsystems gemäß einigen Aspekten.
    • 53J stellt eine Seitenansicht des Antennenteilsystems von 531 gemäß einigen Aspekten dar.
    • 54A stellt ein beispielhaftes 60-GHz-Ein-Package-System (60 GHz-SIP) einer phasengesteuerten Gruppe gemäß einigen Aspekten dar.
    • 54B stellt eine perspektivische Seitenansicht eines beispielhaften 60-GHz-SIP einer phasengesteuerten Gruppe gemäß einigen Aspekten dar.
    • 55 stellt ein 60-GHz-SIP platziert auf einem Selbstprüfer gemäß einigen Aspekten dar.
    • 56A stellt eine Prüfanordnung für einen ersten Teil eines Tests, um unerwünschtes Chip-internes oder Package-internes Übersprechen in einem SIP zu adressieren, gemäß einigen Aspekten dar.
    • 56B stellt eine beispielhafte Prüfanordnung für einen zweiten Teil eines Tests, um unerwünschtes Chip-internes oder Package-internes Übersprechen in einem SIP zu adressieren, gemäß einigen Aspekten dar.
    • 57 stellt ein beispielhaftes automatisiertes Prüf-Equipment, das zum Prüfen eines 60-GHz-SIP einer phasengesteuerten Gruppe geeignet ist, gemäß einigen Aspekten dar.
    • 58 stellt eine beispielhafte Komponente, die zu dem automatisierten Prüf-Equipment von 57 hinzugefügt werden soll, gemäß einigen Aspekten dar.
    • 59 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines verteilten phasengesteuerten Gruppensystems gemäß einigen Aspekten dar.
    • 60 stellt ein beispielhaftes Basisbandteilsystem (BBS) eines verteilten phasengesteuerten Gruppensystems gemäß einigen Aspekten dar.
    • 61 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung und mehreren Koax-Kabeln, die mit einem einzelnen RFEM gekoppelt sind, gemäß einigen Aspekten dar.
    • 62 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung, wobei jeder RFEM-Sendeempfänger mit einem separaten Koax-Kabel gekoppelt ist, gemäß einigen Aspekten dar.
    • 63 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung und einem einzigen Koax-Kabel, das mit einem einzelnen RFEM gekoppelt ist, gemäß einigen Aspekten dar.
    • 64 stellt beispielhaften spektralen Inhalt verschiedener Signale, die auf den einzelnen Koax-Kabel von 3 kommuniziert werden, gemäß einigen Aspekten dar.
    • 65 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit einem einzelnen BBS und mehrere RFEMs mit MIMO-Unterstützung und einem einzelnen Koax-Kabel zwischen dem BBS und jedem der RFEMs gemäß einigen Aspekten dar.
    • 66 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines verteilten phasengesteuerten Gruppensystems gemäß einigen Aspekten dar.
    • 67 stellt ein beispielhaftes Basisbandteilsystem (BBS) eines verteilten phasengesteuerten Gruppensystems gemäß einigen Aspekten dar.
    • 68 stellt ein beispielhaftes Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS kommuniziert werden, gemäß einigen Aspekten dar.
    • 69 stellt ein beispielhaftes RFEM gekoppelt mit einem beispielhaften BBS über ein einzelnes Koax-Kabel zum Kommunizieren von RF-Signalen gemäß einigen Aspekten dar.
    • 70 stellt ein genaueres Diagramm des BBS von 69 gemäß einigen Aspekten dar.
    • 71 stellt eine beispielhafte mächtige Antennengruppe (MAA) unter Verwendung mehrerer RFEMs, die mit einem einzelnen BBS gekoppelt sind, gemäß einigen Aspekten dar.
    • 72 ist eine Explosionsansicht eines Laptop-Computers, die beispielhafte Wellenleiter für RF-Signale zum Erreichen des Deckels des Laptop-Computers gemäß einigen Aspekten darstellt.
    • 73 ist eine Darstellung eines oder mehrerer beispielhafter Koaxialkabel, die aus einem Funkteilsystem eines Laptop-Computers verlaufen und durch ein Loch in einem Scharnier des Laptops eintreten, auf dem Weg zu dem Deckel des Laptops, gemäß einigen Aspekten.
    • 74 ist eine Darstellung eines oder mehrerer beispielhafter Koaxialkabel aus einem Funkteilsystem eines Laptop-Computers, die aus einem Loch in einem Scharnier eines Laptop-Deckels austreten, auf dem Weg zu einer Antenne oder einer Antennengruppe in dem Deckel, gemäß einigen Aspekten.
    • 75 ist ein Schaltbild beispielhafter Übertragungsleitungen für Signale von einer Hauptplatine eines Laptop-Computers zu dem Deckel des Laptops und zu einem Funk-Frontend-Modul (RFEM) gemäß einigen Aspekten.
    • 76 ist ein Schaltbild beispielhafter Übertragungsleitungen für Signale von einer Hauptplatine eines Laptop-Computers zu dem Deckel des Laptops und zu mehreren RFEMs gemäß einigen Aspekten.
    • Die 77A und 77B sind Darstellungen beispielhafter im Substrat integrierter Wellenleiter (SIW) gemäß einigen Aspekten.
    • 78 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines verteilten phasengesteuerten Gruppensystems mit Taktrauschenverlustreduktion gemäß einigen Aspekten dar.
    • 79 stellt ein beispielhaftes Basisbandteilsystem (BBS) eines verteilten phasengesteuerten Gruppensystems mit Taktrauschenverlustreduktion gemäß einigen Aspekten dar.
    • 80 stellt ein beispielhaftes Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS kommuniziert werden, gemäß einigen Aspekten dar.
    • 81 stellt Taktspreizer- und -entspreizerschaltungen, die in Verbindung mit der Taktrauschenverlustreduktion verwendet werden können, gemäß einigen Aspekten dar.
    • 82 stellt ein Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS unter Verwendung von Taktrauschenverlustreduktion kommuniziert werden, gemäß einigen Aspekten dar.
    • 83 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines verteilten phasengesteuerten Gruppensystems mit IF-Verarbeitung gemäß einigen Aspekten dar.
    • 84 stellt ein beispielhaftes Basisbandteilsystem (BBS) des verteilten phasengesteuerten Gruppensystems von 83 gemäß einigen Aspekten dar.
    • 85 stellt ein beispielhaftes verteiltes phasengesteuertes Mehrband-Gruppensystem mit IF-Verarbeitung innerhalb der RFEMs gemäß einigen Aspekten dar.
    • 86 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit einem RFEM, das mit einem BBS über ein einzelnes Koax-Kabel zum Kommunizieren von RF-Signalen gekoppelt ist, gemäß einigen Aspekten dar.
    • 87 stellt ein genaueres Diagramm des BBS von 86 gemäß einigen Aspekten dar.
    • 88 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem, das mehrere Arbeitsfrequenzbänder unter Verwendung mehrerer RFEMs, die mit einem einzelnen BBS gekoppelt sind, unterstützt, gemäß einigen Aspekten dar.
    • 89 stellt ein genaueres Diagramm des BBS von 88 gemäß einigen Aspekten dar.
    • 90 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem, das ein RFEM, einen Begleit-Chip und ein BBS enthält, mit IF-Verarbeitung, die in den Begleit-Chip entladen ist, gemäß einigen Aspekten dar.
    • 91 stellt ein genaueres Diagramm des Begleit-Chips und des BBS von 90 gemäß einigen Aspekten dar.
    • 92 stellt ein beispielhaftes verteiltes phasengesteuertes Mehrband-Gruppensystem mit IF-Verarbeitung innerhalb des Begleit-Chips gemäß einigen Aspekten dar.
    • 93 stellt eine beispielhafte Chip-interne Implementierung eines Zweiwege-Leistungskombinierers gemäß einigen Aspekten dar.
    • 94 stellt eine beispielhafte Chip-interne Implementierung eines großen Leistungskombinierers gemäß einigen Aspekten dar.
    • 95 stellt eine beispielhafte Chip-interne Implementierung eines Impedanztransformationsnetzes gemäß einigen Aspekten dar.
    • 96 stellt eine beispielhafte Package-interne Implementierung eines Zweiwege-Leistungskombinierers gemäß einigen Aspekten dar.
    • 97 stellt eine beispielhafte Package-interne Implementierung eines großen Leistungskombinierers gemäß einigen Aspekten dar.
    • 98 stellt eine beispielhafte Package-interne Implementierung eines Impedanztransformationsnetzes gemäß einigen Aspekten dar.
    • 99 stellt eine beispielhafte Package-interne Implementierung eines Doherty-Leistungsverstärkers gemäß einigen Aspekten dar.
    • 100A ist eine Seitenansicht eines beispielhaften nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das einen Verbinder verwendet, gemäß einigen Aspekten.
    • 100B ist eine Seitenansicht einer beispielhaften Dual-Patchantenne gemäß einigen Aspekten.
    • 100C ist ein simuliertes Diagramm einer Rückflussdämpfung der Dual-Patchantenne von 100B, wenn das Volumen der Antenne erhöht wird, gemäß einigen Aspekten.
    • 101A ist eine Seitenansicht eines beispielhaften nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das eine Litzen Verbindung verwendet, gemäß einigen Aspekten.
    • 101B ist eine Seitenansicht des nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das eine Litzen Verbindung verwendet, wobei die Litzen Verbindung in einer fotografischen Repräsentation gezeigt ist, gemäß einigen Aspekten.
    • 102 ist eine Seitenansicht eines beispielhaften eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems gemäß einigen Aspekten.
    • 103 ist eine Seitenansicht eines beispielhaften eingegossenen Package-auf-Package-Die-Funksystems gemäß einigen Aspekten.
    • 104 ist eine Seitenansicht eines eingebetteten Package-auf-Package-Die-Funksystems, das Umverteilungsschichten verwendet, gemäß einigen Aspekten.
    • 105 ist eine Seitenansicht eines eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems mit Aussparungen in den eingegossenen Schichten, um Höhe in der z-Richtung zu gewinnen, gemäß einigen Aspekten.
    • 106 ist eine Seitenansicht des eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das eine mechanische Abschirmung, die in den Guss eingebettet ist, zur EMI-Abschirmung und zur Wärmeverteilung aufweist, gemäß einigen Aspekten.
    • 107 ist eine perspektivische Ansicht eines beispielhaften gestapelten ultradünnen Ein-Package-System-Funksystems mit seitlich platzierten Antennen oder Antennengruppen gemäß einigen Aspekten.
    • Die 108A bis 108C stellen eine beispielhafte eingebettete Die-Package gemäß einigen Aspekten dar.
    • 109 stellt ein Blockdiagramm einer Seitenansicht einer beispielhaften Antennen-Package-Zelle, die einen gestapelten Ring-Resonator (SRR) verwendet, gemäß einigen Aspekten dar.
    • 110 stellt beispielhafte Ring-Resonatoren, die in einer oder mehreren Schichten der Antennen-Package-Zelle von 109 verwendet werden können, gemäß einigen Aspekten dar.
    • 111 stellt beispielhafte Ring-Resonatoren mit mehreren Speiseleitungen, die unterschiedliche Polarisation verwenden, die in einer oder mehreren Schichten der Antennen-Package-Zelle von 109 verwendet werden können, gemäß einigen Aspekten dar.
    • 112 stellt beispielhafte elektrische Feldlinien in der E-Ebene der SRR-Antenne von 109 gemäß einigen Aspekten dar.
    • 113 ist eine beispielhafte grafische Repräsentation des Reflexionskoeffizienten und des auf der Mittelachse realisierten Gewinns der SRR-Antennen-Package-Zelle von 109 gemäß einigen Aspekten.
    • 114 stellt ein Blockdiagramm einer beispielhaften Antennengruppe, die die SRR-Antennen-Package-Zelle von 109 verwendet, gemäß einigen Aspekten dar.
    • 115 stellt eine Menge von beispielhaften Schichten, die eine beispielhafte SRR-Antennen-Package-Zelle von 109 bilden, gemäß einigen Aspekten dar.
    • 116 stellt ein Blockdiagramm eines beispielhaften Aufeinanderstapelns der SRR-Antennen-Package-Zelle von 109 gemäß einigen Aspekten dar.
    • 117 stellt ein Blockdiagramm von mehreren beispielhaften Streifenleitungen, die als Speiseleitungen für die SRR-Antennen-Package-Zelle von 109 verwendet werden können, gemäß einigen Aspekten dar.
    • 118A stellt eine beispielhafte mobile Vorrichtung, die mehrere Wellenleiterantennen verwendet, gemäß einigen Aspekten dar.
    • 118B stellt ein beispielhaftes Hochfrequenz-Frontend-Modul (RFEM) mit Wellenleiterübergangselementen gemäß einigen Aspekten dar.
    • 119A und 119B stellen perspektivische Ansichten einer beispielhaften Wellenleiterstruktur zum Übergehen zwischen einer PCB und einer Wellenleiterantenne gemäß einigen Aspekten dar.
    • 120A, 120B und 120C stellen verschiedene Querschnittsansichten der Wellenleiterübergangsstruktur der 119A-119B gemäß einigen Aspekten dar.
    • 121A, 121B und 121C stellen verschiedene perspektivische Ansichten der Wellenleiterübergangsstruktur der 119A-119B, die einen beispielhaften Impedanzanpassungslufthohlraum aufweisen, gemäß einigen Aspekten dar.
    • 122 stellt eine weitere Ansicht des Lufthohlraums, wenn die PCB und der Wellenleiter über die Wellenleiterübergangsstruktur der 119A-119B montiert sind, gemäß einigen Aspekten dar.
    • 123 stellt eine grafische Repräsentation von Simulationsergebnissen von Reflexionskoeffizientenwerten in Bezug auf eine Luftspaltbreite gemäß einigen Aspekten dar.
    • 124 stellt eine beispielhafte dual polarisierte Antennenstruktur gemäß einigen Aspekten dar.
    • 125A bis 125C stellen eine beispielhafte dual polarisierte Antennenstruktur, die auf einer mehrschichtigen PCB implementiert ist, gemäß einigen Aspekten dar.
    • 126 stellt simulierte S-Parameter der in den 125A bis 125C dargestellten Antennenstruktur gemäß einigen Aspekten dar.
    • Die 127A und 127B stellen beispielhafte simulierte Fernfeldstrahlungsmuster der in den 125A bis 125C dargestellten Antennenstruktur gemäß einigen Aspekten dar.
    • 128A stellt eine Draufsicht der Antennenstruktur der 125A bis 125C mit gebohrten Oberflächenwellenlöchern in einer Konfiguration gemäß einigen Aspekten dar.
    • 128B stellt eine Draufsicht der Antennenstruktur der 125A bis 125C mit gebohrten Oberflächenwellenlöchern in einer weiteren Konfiguration gemäß einigen Aspekten dar.
    • 129 stellt eine alternative Implementierung einer beispielhaften dual polarisierten Antennenstruktur gemäß einigen Aspekten dar.
    • 130A stellt eine Draufsicht der Antenne der 129 gemäß einigen Aspekten dar.
    • Die 130B und 130C sind perspektivische Ansichten der Antenne von 129 gemäß einigen Aspekten.
    • 131A stellt eine Simulation der Gesamtstrahlungseffizienz gegen die Frequenz für die Antennenstrukturen der 130A bis 130C gemäß einigen Aspekten dar.
    • 131B stellt eine Draufsicht einer beispielhaften 4×1-Gruppe von Antennen des in den 130A bis 130C dargestellten Typs gemäß einigen Aspekten dar.
    • 131C ist eine perspektivische Ansicht der 4×1-Gruppe von Antennen des in 131B dargestellten Typs gemäß einigen Aspekten.
    • Die 131D und 131E stellen beispielhafte Simulationsstrahlungsmuster der 4×1-Antennengruppe der 131B und 131C, eine 0°-Phasenlage, gemäß einigen Aspekten dar.
    • Die 131F und 131G stellen beispielhafte Simulationsstrahlungsmuster der 4×1-Antennengruppe der 131B und 131C, eine 120°-Phasenlage, gemäß einigen Aspekten dar.
    • 132 stellt eine beispielhafte Simulation einer Kopplung im schlechtesten Fall zwischen Nachbarelementen der Antennengruppe der 131B und 131C gemäß einigen Aspekten dar.
    • 133 stellt eine Hüllenkorrelation für die 4×1-Antennengruppe der 131B und 131C an einer 0°-Phasenlage gemäß einigen Aspekten dar.
    • 134 stellt das Koordinatensystem für die nachstehend beschriebenen polaren Simulationsstrahlungsmuster gemäß einigen Aspekten dar.
    • 135 stellt ein beispielhaftes Funkteilsystem, das einen Die eingebettet innerhalb eines Primärsubstrats und abgeschirmte oberflächemontierte Vorrichtungen oberhalb des Primärsubstrats aufweist, gemäß einigen Aspekten dar.
    • 136 stellt ein beispielhaftes Funkteilsystem, das einen Die und oberflächemontierte Vorrichtungen aufweist, die oberhalb des Primärsubstrats innerhalb eines Hohlraums in einem Sekundärsubstrat platziert sind, gemäß einigen Aspekten dar.
    • 137 stellt ein beispielhafte Funksystem-Package, die einen eingebetteten Die innerhalb des Primärsubstrats und oberflächemontierte Vorrichtungen aufweist, die oberhalb des Primärsubstrats innerhalb eines Hohlraums in einem Sekundärsubstrat platziert sind, gemäß einigen Aspekten dar.
    • 138 ist eine perspektivische Ausschnittansicht eines beispielhaften Funksystem-Package, die einen eingebetteten Die innerhalb des Primärsubstrats und oberflächemontierte Vorrichtungen aufweist, die oberhalb des Primärsubstrats innerhalb eines Hohlraums in einem Sekundärsubstrat platziert sind, gemäß einigen Aspekten.
    • 138B ist eine perspektivische Ansicht des Funksystems von 138A, die eine Unterseite des Primärsubstrats gemäß einigen Aspekten darstellt.
    • 139 ist eine perspektivische Ansicht des Funksystems von 138A, die das Innere des Sekundärsubstrats gemäß einigen Aspekten darstellt.
    • 140A ist eine perspektivische Teildraufsicht des Funksystems von 138A, die Lötkontakte zur mechanischen Verbindung oder elektrischen Verbindung gemäß einigen Aspekten darstellt.
    • 140B ist eine perspektivische Teilansicht des Funksystems von 138A, die Lötkontakte, die auf einem Sekundärsubstrat so konfiguriert sind, dass sie mit den Lötkontakten von 140A zusammenpassen, gemäß einigen Aspekten darstellt.
    • 141A stellt eine beispielhafte Einzelelement-Längsstrahlungs-Antenne, die eine an einer PCB angebrachte Oberflächenkomponente enthält, gemäß einem Aspekt dar.
    • 141B stellt die Platzierung und Materialeinzelheiten der Einzelelement-Antenne von 141A gemäß einem Aspekt dar.
    • 141C stellt eine Endansicht der Einzelelement-Antenne, die in den 141A und 141B dargestellt ist, gemäß einem Aspekt dar.
    • 141D stellt eine beispielhafte Vier-Antennenelement-Gruppe, die Antennenelemente des in den 141A und 141B darstellten Typs aufweist, gemäß einem Aspekt dar.
    • 142 stellt die Bandbreite der in den 141A und 141B dargestellten Antenne für zwei unterschiedliche Längen des erweiterten Dielektrikums gemäß einem Aspekt dar.
    • 143 stellt die Gesamteffizienz über einem Frequenzbereich der in den 141A und 141B dargestellten Antenne gemäß einem Aspekt dar.
    • 144 stellt die Gesamteffizienz der in den 141A und 141B dargestellten Antenne über einen Frequenzbereich, der größer ist als der in 143 dargestellte Frequenzbereich, gemäß einem Aspekt dar.
    • 145 stellt den maximalen realisierten Gewinn über einen Frequenzbereich der in den 141A und 141B dargestellten Antenne gemäß einem Aspekt dar.
    • 146 stellt den maximalen realisierten Gewinn über einen weiteren Frequenzbereich der in 141A und 141B dargestellten Antenne gemäß einem Aspekt dar.
    • 147 stellt die beispielhafte Isolation zwischen zwei benachbarten Antennenelementen der in 141D dargestellten Antennengruppe gemäß einem Aspekt dar.
    • 148A stellt ein beispielhaftes dreidimensionales Strahlungsmuster an einer gegebenen Frequenz für das in den 141A und 141B dargestellten Antennenelement an einer ersten erweiterten Dielektrikumslänge gemäß einem Aspekt dar.
    • 148B stellt ein beispielhaftes dreidimensionales Strahlungsmuster an einer gegebenen Frequenz für das in den 141A und 141B dargestellten Antennenelement für eine zweite erweiterten Dielektrikumslänge gemäß einem Aspekt dar.
    • 148C stellt ein beispielhaftes dreidimensionales Strahlungsmuster an einer gegebenen Frequenz für die in 141D dargestellte Vier-Element-Antennengruppe, wobei jedes Antennenelement eine erste erweiterte Dielektrikumslänge aufweist, gemäß einem Aspekt dar.
    • 148D stellt ein beispielhaftes dreidimensionales Strahlungsmuster an einer gegebenen Frequenz für das in 141D dargestellte Vier-Gruppen-Antennenelement, wobei jedes Antennenelement eine zweite erweiterte Dielektrikumslänge aufweist, gemäß einem Aspekt dar.
    • 149 stellt ein beispielhaftes E-Ebenen-Co-Polarisations-Strahlungsmuster an einer gegebenen Frequenz für das in den 141A und 141B dargestellte Antennenelement gemäß einem Aspekt dar.
    • 150 stellt ein beispielhaftes E-Ebenen-Kreuzpolarisations-Strahlungsmuster an einer gegebenen Frequenz für die in 141A und 141B dargestellte Antenne gemäß einem Aspekt dar.
    • 151 stellt ein beispielhaftes H-Ebenen-Co-Polarisations-Strahlungsmuster an einer gegebenen Frequenz für die in den 141A und 141B dargestellte Antenne gemäß einem Aspekt dar.
    • 152 stellt ein beispielhaftes H-Ebenen-Kreuzpolarisations-Strahlungsmuster an einer gegebenen Frequenz für die in den 141A und 141B dargestellte Antenne gemäß einem Aspekt dar.
    • 153A stellt ein beispielhaftes Antennenelement ähnlich der in den 141A und 141B darstellten Antenne, wobei ein Teil der Oberflächenkomponente mit der PCB zusammengeführt ist, gemäß einem Aspekt dar.
    • 153B stellt das in 153A dargestellte Antennenelement mit zusätzlichen Einzelheiten, die Speisepunkte für vertikale Polarisation und horizontale Polarisation darstellen, gemäß einem Aspekt dar.
    • 154A stellt ein beispielhaftes Antennenelement ähnlich dem in den 141A und 141B dargestellten, das zwei Oberflächenkomponenten auf beiden Seiten einer PCB enthält, gemäß einem Aspekt dar.
    • 154B stellt das in 154A dargestellte Antennenelement mit zusätzlichen Einzelheiten, die eine Nahansicht der Speiseleitung enthalten, gemäß einem Aspekt dar.
    • 155A ist eine perspektivische Ansicht der Dualpolarisationsantenne von 153B nach dem Zusammenlöten der kleinen Oberflächenkomponente und der Haupt-PCB gemäß einem Aspekt.
    • 155B stellt eine transparente Sicht des in 155A dargestellten Antennenelements mit Blick in die Oberflächenkomponente, die in Bezug auf die Haupt-PCB zusammengeführt ist, gemäß einem Aspekt dar.
    • 155C stellt eine Vorderansicht des in 155A dargestellten Antennenelements mit zusätzlichen Einzelheiten gemäß einem Aspekt dar.
    • 155D stellt eine Seitenansicht des in 155A dargestellten Antennenelements gemäß einem Aspekt dar.
    • 156A stellt den Rückflussdämpfungs-S-Parameter für Dualpolarisation für das in 155A dargestellte Antennenelement gemäß einem Aspekt dar.
    • 156B stellt ein beispielhaftes 3D-Strahlungsmuster mit vertikaler Speisung für das in 155A dargestellte Antennenelement gemäß einigen Aspekten dar.
    • 156C stellt ein 3D-Strahlungsmuster mit horizontaler Speisung für das in 155A dargestellte Antennenelement gemäß einigen Aspekten dar.
    • 157A stellt E-Ebenen-Strahlungsmuster bei Speisung mit vertikaler Polarisation für die in 155A dargestellte Antenne gemäß einem Aspekt dar.
    • 157B stellt H-Ebenen-Strahlungsmuster bei Speisung mit horizontaler Polarisation für das in 155A dargestellte Antennenelement gemäß einem Aspekt dar.
    • 158 stellt einen beispielhaft realisierten Gewinn für E-Ebenen-Muster bei horizontaler Speisung der Antenne von 155A gemäß einigen Aspekten dar.
    • 159A stellt ein beispielhaftes Antennenelement mit orthogonal vertikaler und horizontaler Erregung gemäß einigen Aspekten dar.
    • 159B stellt ein beispielhaftes Antennenelement mit +45 Grad- und -45 Grad-Erregung gemäß einigen Aspekten dar.
    • 160A stellt das Erhalten vertikaler (V-) Polarisation durch Verwendung von phasengleicher Erregung für beide Anschlüsse der Antenne von 159B gemäß einigen Aspekten dar.
    • 160B stellt das Erhalten horizontaler (H-) Polarisation durch Verwendung von einhundertachtzig Grad phasenverschobener Erregung an den Anschlüssen der Antenne von 159B gemäß einigen Aspekten dar.
    • 161A stellt das Antennenelement von 159A mit vertikalen und horizontalen Erregungsanschlüssen gemäß einigen Aspekten dar.
    • 161B stellt beispielhafte simulierte Strahlungsmusterergebnisse für das Antennenelement von 161A gemäß einigen Aspekten dar.
    • 162A stellt ein beispielhaftes 4x4-Antennenschaltbild unter Verwendung orthogonal erregter Antennenelemente gemäß einigen Aspekten dar.
    • 162B stellt beispielhafte simulierte Strahlungsmusterergebnisse für die 4x4-Gruppe von 162A mit dual polarisiertem Antennenelement gemäß einigen Aspekten dar.
    • 162C stellt beispielhafte simulierte Strahlungsmusterergebnisse für eine Erregung mit +45 Grad-Abtastwinkel für die Gruppe von 162A gemäß einigen Aspekten dar.
    • 163A stellt eine beispielhafte dual polarisierte Differenz-4-Anschluss-Patchantenne in einer Gegenphasenkonfiguration gemäß einigen Aspekten dar.
    • 163B stellt die Antennenkonfiguration von 163A in Seitenansicht gemäß einigen Aspekten dar.
    • 163C stellt eine beispielhafte laminierte Strukturaufschichtung, die die Ebenen L1-L6 enthält, für die Antennenkonfigurationen der 162A und 162B gemäß einigen Aspekten dar.
    • 163D stellt beispielhafte Patchantennenpolarität in Übereinstimmung mit einigen Aspekten dar.
    • 163E stellt beispielhafte Unterdrückung von Kreuzpolarisationsebenen gemäß einigen Aspekten dar.
    • 164 stellt beispielhafte simulierte Strahlungsmusterergebnisse für den 4-Anschluss-Antennenkonfigurationsaspekt der 163A bis 163C gemäß einigen Aspekten dar.
    • 165A stellt eine beispielhafte 4-Anschluss-Erregungsantennentopologie mit Speiseleitungen von einer Speisequelle zu jedem der vier Anschlüsse gemäß einigen Aspekten dar.
    • 165B stellt die Speiseleitungen in der 4-Anschluss-Konfiguration von 165A, wobei der angesteuerte Patch der gestapelten Patchantenne auf den Speiseleitungen überlagert ist, gemäß einigen Aspekten dar.
    • 165C stellt eine beispielhafte 12-Ebenen-Aufschichtung für den Aspekt von 165B dar.
    • 116A stellt ein beispielhaftes 4x4-Antennengruppen-Schaltbild unter Verwendung von 4-Anschluss-Elementen, die in Speise-Netzen integriert sind, gemäß einigen Aspekten dar.
    • 166B und 166C stellen beispielhafte simulierte Strahlungsmusterergebnisse für die 4-Anschluss-Antennengruppe von 166A gemäß einigen Aspekten dar.
    • 167A stellt eine beispielhafte Gruppenkonfiguration unter Verwendung von dual polarisierten 2-Anschluss-Antennenelementen gemäß einigen Aspekten dar.
    • 167B und 167C stellen beispielhafte simulierte Strahlungsmusterergebnisse für die Antennengruppe von 167A gemäß einigen Aspekten dar.
    • 168A stellt eine weitere beispielhafte Gruppenkonfiguration unter Verwendung von dual polarisierten 2-Anschluss-Antennenelementen gemäß einigen Aspekten dar.
    • 168B und 168C stellen beispielhafte Simulationsergebnisse von Strahlungsmustern für 168A gemäß einigen Aspekten dar.
    • 169 stellt einen beispielhaften mastmontierten mmWellen-Antennenblock mit mehreren Antennengruppen für Verkehrsvernetzungs-Kommunikation (V2X-Kommunikation) gemäß einigen Aspekten dar.
    • 170 stellt beispielhafte Strahllenkung und Antennenumschaltung in einer Millimeterwellen-Antennengruppe, die mit einem einzelnen entwickelten Node-B (eNB) kommuniziert, gemäß einigen Aspekten dar.
    • 171 stellt beispielhafte Strahllenkung und Antennenumschaltung in einer Millimeterwellen-Antennengruppe, die mehreren entwickelten eNBs kommuniziert, gemäß einigen Aspekten dar.
    • 172 stellt beispielhafte gleichzeitige Millimeterwellen-Kommunikation mit mehreren Vorrichtungen unter Verwendung eines Antennenblocks mit mehreren Antennengruppen gemäß einigen Aspekten dar.
    • 173 stellt mehrere beispielhafte Strahlen, die zur Millimeterwellen-Kommunikation durch einen Antennenblock, der mehrere Antennengruppen enthält, verwendet werden können, gemäß einigen Aspekten dar.
    • 174 ist ein Blockdiagramm einer beispielhaften Millimeterwellen-Kommunikationsvorrichtung, die den Antennenblock mit mehreren Antennengruppen von 169 verwendet, gemäß einigen Aspekten.
    • 175A ist eine Darstellung einer beispielhaften Via-Antennengruppe, die in einem Mobiltelefon konfiguriert ist, gemäß einigen Aspekten.
    • 175B ist eine Darstellung einer beispielhaften Via-Antennengruppe, die in einem Laptop konfiguriert ist, gemäß einigen Aspekten.
    • 175C ist eine Darstellung einer beispielhaften Via-Antennengruppe, die auf einer Hauptplatinen-PCB konfiguriert ist, gemäß einigen Aspekten.
    • 176A ist eine Querschnittsansicht einer beispielhaften Via-Antenne in einer mehrschichtigen PCB gemäß einigen Aspekten.
    • 176B ist eine perspektivische Ansicht einer beispielhaften Via-Antenne gemäß einigen Aspekten.
    • 177A ist eine Darstellung einer Innenansicht einer beispielhaften PCB-Via-Antenne von der Oberseite einer PCB gemäß einigen Aspekten.
    • 177B ist eine Darstellung einer beispielhaften PCB-Via-Antenne gesehen von der Unterseite einer PCB gemäß einigen Aspekten.
    • 178A ist eine Draufsicht einer beispielhaften Via-Antennengruppe gemäß einigen Aspekten.
    • 178B ist eine Darstellung einer beispielhaften vertikalen Speisung für eine Via-Antenne gemäß einigen Aspekten.
    • 178C ist eine Darstellung einer beispielhaften horizontalen Speisung für eine Via-Antenne gemäß einigen Aspekten.
    • 179A ist eine perspektivische Ansicht beispielhafter Back-to-Back-Vias, die als eine Dipol-Via-Antenne konfiguriert sind, gemäß einigen Aspekten.
    • 179B ist eine perspektivische Ansicht eines beispielhaften Back-to-Back-Via, das als eine Dipol-Via-Antenne konfiguriert ist, die PCB-Laminatschichten darstellt, gemäß einigen Aspekten.
    • 180 ist ein Diagramm einer Antennen-Rückflussdämpfung für die Dipol-Via-Antennenkonfiguration der 179A und 179B gemäß einigen Aspekten.
    • 181A ist ein simuliertes komplanares FernfeldStrahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 27,5 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten.
    • 181B ist ein beispielhaftes simuliertes komplanares FernfeldStrahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 28 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten.
    • 181C ist ein beispielhaftes simuliertes komplanares FernfeldStrahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 29,5 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten.
    • 182 ist eine beispielhafte Zweielemente-Via-Antennengruppenkonstruktion für den Betrieb an 28 GHz für 5G-Technologie gemäß einigen Aspekten.
    • 183 ist ein simuliertes Diagramm von Antennen-Rückflussdämpfung für die Zweielemente-Via-Antennengruppenkonstruktion von 182 gemäß einigen Aspekten.
    • 184A ist ein simuliertes Strahlungsmuster der Zweielemente-Via-Antennengruppenkonstruktion von 182, die an einer Frequenz von 27,5 GHz arbeitet, gemäß einigen Aspekten.
    • 184B ist ein simuliertes Strahlungsmuster der Zweielemente-Via-Antennengruppenkonstruktion von 182, die an einer Frequenz von 29,5 GHz arbeitet, gemäß einigen Aspekten.
    • 185 ist eine perspektivische Ansicht einer beispielhaften Via-Antenne, die in einer PCB konstruiert ist, gemäß einigen Aspekten.
    • 186A ist eine Unteransicht der Masseebene [engl.: ground plane] der Via-Antenne von 185 gemäß einigen Aspekten.
    • 186B ist eine Seitenansicht der Via-Antenne von 185 gemäß einigen Aspekten.
    • 186C ist eine perspektivische Ansicht der Via-Antenne von 185 gemäß einigen Aspekten.
    • 187 ist ein simuliertes Diagramm einer beispielhaften Via-Antennen-Rückflussdämpfung für die Via-Antenne von 185 gemäß einigen Aspekten.
    • 188 ist eine Darstellung von Luftlöchern, die um eine beispielhafte Via-Antenne in einer PCB gebohrt sind, um Oberflächenwellenausbreitung zu verringern, gemäß einigen Aspekten.
    • Die 189A bis 189C stellen Komponenten einer beispielhaften modifizierten Masseebene für eine 3D-Konusantenne gemäß einigen Aspekten.
    • 189D stellt beispielhafte Konusantennen mit verschiedenen abgesetzten Masseebenen dar.
    • Die 190A bis 190C stellen ein Beispiel einer konusförmigen Monopolantennenstruktur mit unterschiedlichen Typen von Masseebenen gemäß einigen Aspekten dar.
    • Die 191A und 191B stellen einen Strahlungsmustervergleich zwischen den Antennenstrukturen der 190A bis 190C gemäß einigen Aspekten dar.
    • Die 192A und 192B sind genauere Darstellungen einiger der Antennenstrukturen der 190A bis 190C gemäß einigen Aspekten.
    • Die 193A und 193B stellen ein Draufsicht und eine Unteransicht einer beispielhaften 3D-Antennenstruktur von 190A bis 190C gemäß einigen Aspekten dar.
    • 194 ist ein grafischer Vergleich zwischen der Rückflussdämpfung der Antenne von 192A und 192B gemäß einigen Aspekten.
    • Die 195A bis 195C stellen die E-Feldverteilung für die Massestrukturen von 190A bis 190C gemäß einigen Aspekten dar.
    • Die 196A bis 196C stellen beispielhafte Fünfelemente-Konusantennengruppen ohne und mit einer modifizierten Masseebene gemäß einigen Aspekten dar.
    • Die 197A und 197B stellen einen Kreuzpolarisations-Strahlungsmustervergleich mit und ohne eine modifizierte Masseebene gemäß einigen Aspekten dar.
    • Die 198A und 198B stellen den Effekt einer Masseebene auf die Antennenstrahlung gemäß einigen Aspekten dar.
    • 199 stellt einen Vergleich der Rückflussdämpfung und Isolationsvergleich für eine beispielhafte Antennengruppe mit einer modifizierten Masseebene gemäß einigen Aspekten dar.
    • 200 stellt einen Vergleich der Rückflussdämpfung und Isolation zwischen Antennenelementen für eine beispielhafte nicht modifizierte große Antennengruppe gemäß einigen Aspekten dar.
    • Die 201A bis 201C stellen eine beispielhafte PCB mit gespaltenen modifizierten Masseebenen, die mit 3D-Antennen verwendet werden können, gemäß einigen Aspekten dar.
    • 202 stellt ein Blockdiagramm eines beispielhaften Empfängers, der in Umschalt- und Aufteilungsbetriebsart arbeitet, dar.
    • 203 stellt ein Blockdiagramm eines beispielhaften Empfängers dar, der segmentierte rauscharme Verstärker (LNAs) und segmentierte Mischer verwendet, gemäß einigen Aspekten dar.
    • 204 stellt ein Blockdiagramm eines beispielhaften Empfängers dar, der segmentierte rauscharme Verstärker (LNAs) und segmentierte Mischer verwendet, die in Aufteilungsbetriebsart arbeiten, um ein zusammenhängendes Trägeraggregationssignal zu verarbeiten, gemäß einigen Aspekten dar.
    • 205 stellt ein Blockdiagramm eines beispielhaften Empfängers, der segmentierte LNAs und segmentierte Mischer verwendet, die in der Schaltbetriebsart mit Signalaufteilung an dem LNA-Eingang arbeiten, gemäß einigen Aspekten dar.
    • 206 stellt ein Blockdiagramm eines beispielhaften Empfängers, der segmentierte LNAs und segmentierte Mischer verwendet, die in der Aufteilungsbetriebsart mit Signalaufteilung an dem LNA-Eingang arbeiten, gemäß einigen Aspekten dar.
    • 207 stellt ein Blockdiagramm einer beispielhaften Signalerzeugungsschaltung eines lokalen Oszillators (LO-Signalerzeugungsschaltung) gemäß einigen Aspekten dar.
    • 208 stellt ein Blockdiagramm eines beispielhaften Empfängers, der einen segmentierten Ausgabe-LNA und segmentierte Mischer verwendet, die in der Schaltbetriebsart mit Signalaufteilung an dem LNA-Ausgang arbeiten, gemäß einigen Aspekten dar.
    • 209 stellt ein Blockdiagramm eines beispielhaften Empfängers, der einen segmentierten Ausgabe-LNA und segmentierte Mischer verwendet, die in der Aufteilungsbetriebsart mit Signalaufteilung an dem LNA-Ausgang arbeiten, gemäß einigen Aspekten dar.
    • 210 stellt beispielhafte LO-Verteilungsschemas für Empfänger, die in einer Schaltbetriebsart arbeiten, gemäß einigen Aspekten dar.
    • 211 stellt beispielhafte LO-Verteilungsschemas für Empfänger, die in einer Aufteilungsbetriebsart arbeiten, gemäß einigen Aspekten dar.
    • 212 ist eine Seitenansicht eines nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das einen Verbinder verwendet, gemäß einigen Aspekten.
    • 213 ist eine Seitenansicht eines beispielhaften eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems gemäß einigen Aspekten.
    • 214 ist eine Seitenansicht eines beispielhaften eingegossenen Package-auf-Package-Die-Funksystems gemäß einigen Aspekten.
    • 215 stellt einen Querschnitt einer beispielhaften Rechenplattform mit eigenständigen Komponenten eines RF-Frontend gemäß einigen Aspekten dar.
    • 216 stellt einen Querschnitt einer beispielhaften Rechenplattform mit integrierten Komponenten eines RF-Frontend innerhalb eines Laminats oder Substrats gemäß einigen Aspekten dar.
    • 217 stellt eine beispielhafte intelligente Vorrichtung oder ein beispielhaftes Computersystem oder ein SoC (Einchipsystem), das teilweise in dem Laminat/Substrat implementiert ist, gemäß einigen Aspekten dar.
    • 218 ist eine Seitenansicht eines beispielhaften eingebetteten eingegossenen Package-auf-Package-Funksystems, das ultradünne Komponenten verwendet, die zwischen dem Die und der/den Antenne(n) konfiguriert sind, gemäß einigen Aspekten.
    • 219 ist eine Seitenansicht des eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems mit drei aufeinander gestapelten Packages gemäß einigen Aspekten.
    • 220 ist ein Blockdiagramm auf hoher Ebene einer beispielhaften mmWellen-RF-Architektur für 5G und WiGig gemäß einigen Aspekten.
    • 221 stellt einen Frequenzumsetzungsplan für eine beispielhafte mmWellen-RF-Architektur für 5G und WiGig gemäß einigen Aspekten dar.
    • 221A ist ein Schaltbild der Frequenzzuweisung für das 5G 40 GHz-Frequenzband gemäß einigen Aspekten.
    • 221B stellt eine beispielhafte Synthesizerquelle zum Verschieben des zweiten Frequenzbandstroms aus zwei Frequenzbandströmen über das ungenutzte 5G-Frequenzband gemäß einigen Aspekten dar.
    • 221C stellt die Phasenrauschleistung als eine Funktion der Frequenz gemäß einigen Aspekten dar.
    • 222 stellt ein beispielhaftes Sender-Aufwärtsumsetzungs-Frequenzschema für 5G in dem 40 GHz-Frequenzband gemäß einigen Aspekten dar.
    • 223 stellt ein beispielhaftes Sender-Aufwärtsumsetzungs-Frequenzschema für 5G in dem 30 GHz-Frequenzband gemäß einigen Aspekten dar.
    • 224A ist ein erster Abschnitt eines Blockdiagramms einer beispielhaften integrierten Basisbandschaltung (BBIC) gemäß einigen Aspekten.
    • 224B ist ein zweiter Abschnitt eines Blockdiagramms einer beispielhaften integrierten Basisbandschaltung (BBIC) gemäß einigen Aspekten.
    • 225 ist genaues ein Blockdiagramm einer beispielhaften integrierten Hochfrequenzschaltung (RFIC) gemäß einigen Aspekten.
    • 226A und 226B sind Blockdiagramme eines beispielhaften mmWellen- und 5G-Kommunikationssystems gemäß einigen Aspekten.
    • 227 stellt eine schematische Zuweisung von Hochfrequenz (RF), Zwischenfrequenz (IF) und Frequenz des lokalen Oszillators (LO-Frequenz) für einen Durchlauf über eine Vielzahl von Kanaloptionen gemäß einigen Aspekten dar.
    • 228 stellt ein beispielhaftes festes LO-Sender-Aufwärtsumsetzungsschema gemäß einigen Aspekten dar.
    • 229 stellt Dualumsetzung in einem beispielhaften Funksystem, die eine erste Umsetzung mit einem festen LO, gefolgt von einer zweiten Umsetzung mit einem variieren den LO enthält, gemäß einigen Aspekten dar.
    • 230 stellt eine Digital/Zeit-Umsetzer- (DTC-) Struktur in Übereinstimmung mit einigen Aspekten dar.
    • 231 stellt eine in offener Schleife kalibrierte DTC-Architektur in Übereinstimmung mit einigen Aspekten dar.
    • 232A stellt Zeitverschachtelung von DTCs, um die Taktfrequenz zu erhöhen, in Übereinstimmung mit einigen Aspekten dar; 232B stellt Taktsignale von 232A in Übereinstimmung mit einigen Aspekten dar.
    • 233 stellt einen Reiheninjektionsverriegelungsoszillator mit Impulsformung in Übereinstimmung mit einigen Aspekten dar.
    • 234 stellt ein Verfahren zum Bereitstellen eines mmWellen-Frequenzsignals in Übereinstimmung mit einigen Aspekten dar.
    • 235 stellt einen Empfänger in Übereinstimmung mit einigen Aspekten dar.
    • 236 stellt eine Basisimplementierung eines Feedforward-Entzerrers (FEE) in Übereinstimmung mit einigen Aspekten dar.
    • 237A und 237B stellen einen FFE in Übereinstimmung mit einigen Aspekten dar.
    • 238 stellt ein Verfahren zum Bereitstellen analoger Signalentzerrung gemäß einigen Aspekten dar.
    • Die 239A und 239B stellen Konfigurationen eines rekonfigurierbaren Entscheidungs-Rückkopplungs-Entzerrers (DFE) in Übereinstimmung mit einigen Aspekten dar.
    • Die 240A und 240B stellen Selektor/D-Flipflop- (DFF-) Kombinationskonfigurationen eines rekonfigurierbaren DFE in Übereinstimmung mit einigen Aspekten dar.
    • 241 ist ein Verfahren zum Konfigurieren eines DFE in Übereinstimmung mit einigen Aspekten.
    • 242 stellt eine mmWellen-Architektur in Übereinstimmung mit einigen Aspekten dar.
    • 243 stellt eine Senderhybridstrahlformungsarchitektur in Übereinstimmung mit einigen Aspekten dar.
    • 244 stellt eine Simulation der Kommunikationsrate in Übereinstimmung mit einigen Aspekten dar.
    • 245 stellt eine Simulation eines Signal-Rausch-Verhältnisses (SNR) in Übereinstimmung mit einigen Aspekten dar.
    • 246 stellt ein Verfahren zum Kommunizieren strahlgeformter mmWellen-Signale in Übereinstimmung mit einigen Aspekten dar.
    • Die 247A und 247B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar.
    • Die 248A und 248B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar.
    • 249 stellt den Stromverbrauch eines Analog/DigitalUmsetzer (ADC) mit adaptiver Auflösung in Übereinstimmung mit einigen Aspekten dar.
    • 250 stellt eine Bitfehlerraten- (BER-) Leistung in Übereinstimmung mit einigen Aspekten dar.
    • 251 stellt ein Verfahren zum Kommunizieren strahlgeformter mmWellen-Signale in Übereinstimmung mit einigen Aspekten dar.
    • Die 252A und 252B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar.
    • 253 stellt eine Gruppenstruktur in Übereinstimmung mit einigen Aspekten dar.
    • 254 stellt eine Simulation von Gitterkeulen in Übereinstimmung mit einigen Aspekten dar.
    • 255 stellt eine Simulation optimaler Phasenwerte in Übereinstimmung mit einigen Aspekten dar.
    • 256 stellt eine weitere Simulation optimaler Phasenwerte in Übereinstimmung mit einigen Aspekten dar.
    • 257 stellt einen Prozess für einen Phasenschieber in Übereinstimmung mit einigen Aspekten dar.
    • 258 stellt eine Phasenwertbestimmung in Übereinstimmung mit einigen Aspekten dar.
    • 259 stellt einen Leistungsvergleich in Übereinstimmung mit einigen Aspekten dar.
    • 260 stellt einen weiteren Leistungsvergleich in Übereinstimmung mit einigen Aspekten dar.
    • 261 stellt ein Verfahren zum Bereitstellen von Strahllenkung in einer Kommunikationsvorrichtung in Übereinstimmung mit einigen Aspekten dar.
    • Die 262A und 262B stellen einen Aspekt einer Ladungspumpe in Übereinstimmung mit einigen Aspekten dar.
    • 263 stellt einen Aspekt einer Ladungspumpe in Übereinstimmung mit einigen dar.
    • 264A stellt ein vereinfachtes Schema eines Ausgabeabschnitts der Ladungspumpe in Übereinstimmung mit einigen Aspekten dar. 264B stellt ein Zeitdiagramm von Signalen der Ladungspumpe in Übereinstimmung mit einigen Aspekten dar.
    • Die 265A bis 265C stellen den Betrieb der Ladungspumpe gemäß einigen Aspekten dar.
    • Die 266A bis 266C stellen eine Zusammenfassung des Betriebs der Ladungspumpe gemäß einigen Aspekten dar.
    • 267 stellt ein Verfahren zum Injizieren von Ladung in eine Ladungspumpe in Übereinstimmung mit einigen Aspekten dar.
    • 268 stellt eine Empfängerarchitektur in Übereinstimmung mit einigen Aspekten dar.
    • 269 stellt die Filterkennlinie eines Empfängers gemäß einigen Aspekten dar.
    • 270 stellt die BER-Leistung eines Empfängers gemäß einigen Aspekten dar.
    • 271 stellt andere Empfängerarchitekturen gemäß einigen Aspekten dar.
    • 272 stellt ein Verfahren zum Kompensieren von Störern in einem Empfänger gemäß einigen Aspekten dar.
    • Die 273A und 273B stellen Störung in Übereinstimmung mit einigen Aspekten dar.
    • 274 stellt eine Empfängerarchitektur in Übereinstimmung mit einigen Aspekten dar.
    • 275 stellt ein überabgetastetes Signal in Übereinstimmung mit einigen Aspekten dar.
    • Die 276A und 276B stellen Filterkennlinien des Empfängers in Übereinstimmung mit einigen Aspekten dar.
    • 277 stellt ein Strahlformungsmuster gemäß einigen Aspekten dar.
    • 278 stellt eine BER-Leistung gemäß einigen Aspekten dar.
    • 279 stellt ein Verfahren zum Reduzieren des Quantisierer-Dynamikbereichs in einem Empfänger gemäß einigen Aspekten dar.
    • 280 stellt ein ADC-System (ADCS) gemäß einigen Aspekten dar.
    • Die 281A und 281B stellen unterschiedliche Betriebsarbeiten eines ADCS gemäß einigen Aspekten dar.
    • 282 stellt Kern-ADC-Mittelwertbildung gemäß einigen Aspekten dar.
    • 283 stellt die Auflösungsverbesserung eines Mittelwert bildenden Systems in Übereinstimmung mit einigen Aspekten dar.
    • 284 stellt ein Verfahren zum Bereitstellen einer flexiblen ADC-Architektur in Übereinstimmung mit einigen Aspekten dar.
    • 285 stellt eine Empfängerarchitektur in Übereinstimmung mit einigen Aspekten dar.
    • 286 stellt eine Simulation einer optimalen räumlichen Antwort in Übereinstimmung mit einigen Aspekten dar.
    • 287 stellt eine Simulation von BER in Übereinstimmung mit einigen Aspekten dar.
    • 288 stellt eine Simulation von Störungszurückweisung in Übereinstimmung mit einigen Aspekten dar.
    • 289 stellt ein Verfahren zum Reduzieren des Quantisierer-Dynamikbereichs in einem Empfänger gemäß einigen Aspekten dar.
    • 290 ist ein Blockdiagramm eines Beispiels einer Architektur eines zeitverschachtelten Analog/Digital-Umsetzers (TI-ADC-Architektur) in Übereinstimmung mit einigen Aspekten, die hier benutzt werden kann und die eine Hochgeschwindigkeitsumsetzung unter Verwendung von M parallelen Niedergeschwindigkeits-ADC-Kanälen erreicht, in einigen Aspekten.
    • 291 ist ein Zeitdiagramm 29100, das darstellt, wie alle Kanäle mit derselben Abtastfrequenz FS (oder ihrer Inversen TS , die in 291 dargestellt ist) mit M gleichmäßig beabstandeten Phasen gemäß einem Beispiel-TI-ADC arbeiten.
    • 292 ist ein Blockdiagramm, das ein Beispiel eines Sendeempfängers 29200, der eine Rückschleifen-Konstruktion aufweist, gemäß einem hier offenbarten Beispiel darstellt.
    • 293 ist ein Ablaufplan, der einen Prozess gemäß einem hier offenbarten Beispiel darstellt.
    • 294 ist ein Blockdiagramm eines beispielhaften TI-ADC gemäß einigen Aspekten.
    • 295 ist ein Blockdiagramm eines Beispiels einer TI-ADC-Architektur, die eine Hochgeschwindigkeitsumsetzung erreicht, gemäß einigen Aspekten.
    • 296 ist ein Zeitdiagramm, das darstellt, wie alle Kanäle mit derselben Abtastfrequenz FS (oder ihrer Inversen TS , die in 296 dargestellt ist) mit M gleichmäßig beabstandeten Phasen gemäß arbeiten, einigen Aspekten.
    • 297 ist ein Ablaufplan, der eine Beispielimplementierung eines Prozesses zum Anwenden der Verstärkungskorrektur gemäß einigen Aspekten darstellt.
    • 298 ist ein Diagramm, das ein Beispiels einer PA-Kennlinie von AM/AM (Eingangsamplitude vs. Ausgangsamplitude) gemäß einigen Aspekten darstellt.
    • 299 ist ein Diagramm, das ein Beispiel einer PA-Kennlinie von AM/PM (Eingangsamplitude vs. Ausgangsphasenvariation) gemäß einigen Aspekten darstellt.
    • 300 ist ein Blockdiagramm eines Beispiels eines Verstärkungsmodells für einen Abschnitt eines Senders einer phasengesteuerten Gruppe gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung.
    • 301 ist ein Blockdiagramm eines Beispiels eines schaltbaren Sendeempfängerabschnitts, das das vorstehend beschriebene Sendermodell repräsentieren kann, gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung.
    • 302 ist im Wesentlichen eine Sendeempfängerabschnitts-Nachbildung des in 301 dargestellten Sendeempfängerabschnitts, jedoch mit den Schaltern in einer Empfangskonfiguration eingestellt, gemäß einem beispielhaften Aspekte der vorliegenden Offenbarung.
    • Die 303A und 303B sind Teile eines Blockdiagramms eines Beispiels eines vollständigen Sendeempfängers, der einen Sendeempfängerabschnitt enthalten kann, gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung.
    • 304 ist ein Blockdiagramm, das den Sendeempfänger einer phasengesteuerten Gruppe, der in Kommunikation mit einem externen Sendeempfänger einer phasengesteuerten Gruppe (EAPT) ist, gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung darstellt.
    • 305 ist ein Ablaufplan, der ein Beispiel eines Prozesses, der durch den Sendeempfänger verwendet werden kann, gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung darstellt.
    • 306 ist ein Ablaufplan, der ein weiteres Beispiel eines Prozesses, der durch den Sendeempfänger verwendet werden kann, gemäß einem beispielhaften Aspekt der vorliegenden Offenbarung darstellt.
    • Die 307A und 307B sind Teile eines Blockdiagramms eines Beispiels eines verteilten gesamten Empfängersystems einer phasengesteuerten Gruppe gemäß einigen Aspekten.
    • 308 ist ein Blockdiagramm eines Empfänger-Leistungsverstärkers gemäß einigen Aspekten.
    • 309 ist ein Diagramm, das für eine gegebene Verstärkungseinstellung für automatische Verstärkungssteuerung (AGC-Verstärkungseinstellung) eine EVM gegen die Empfangsleistung gemäß einigen Aspekten aufzeichnet.
    • 310 ist ein Diagramm, das die EVM vs. Empfangsleistungs-Kurve für eine Anzahl von AGC-Verstärkungseinstellungen aufweist, wobei die AGC-Verstärkungseinstellungen einen Grad der Überlappung miteinander aufweisen, gemäß einigen Aspekten.
    • 311 ist ein Diagramm, das optimale Schwellenwerte zum Aktivieren einer speziellen AGC-Verstärkungseinstellung gemäß einigen Aspekten darstellt.
    • 312 ist ein Ablaufplan, der einen Beispielprozess, der benutzt werden kann, um die optimalen Schwellenwerte zu bestimmen, gemäß einigen Aspekten darstellt.
    • 313 ist ein schematisches Blockdiagramm eines phasengesteuerten Hochfrequenz (RF) -Gruppensystems gemäß einigen Aspekten.
    • 314 ist ein schematisches Blockdiagramm, das eine weitere Topologie eines Funk-Sendeempfängers einer phasengesteuerten Gruppe, der als ein phasengesteuertes Gruppensystem mit lokalem Oszillator (LO) bezeichnet ist, gemäß einigen Aspekten darstellt.
    • 315 ist ein schematisches Blockdiagramm, das eine dritte Alternative für die Konstruktion des Funk-Sendeempfängers einer phasengesteuerten Gruppe gemäß einigen Aspekten darstellt und das als ein digitales phasengesteuertes Gruppensystem bezeichnet ist.
    • 316 ist ein Blockdiagramm eines beispielhaften Zellenelements der SPARTA-Gruppe gemäß einigen Aspekten.
    • 317 ist ein Blockdiagramm, das gekachelte SPARTA-Zellen gemäß einigen Aspekten darstellt.
    • Die 318 und 319 sind piktographische Diagramme von Wafer-Schneiden gemäß einigen Aspekten.
    • 320 ist eine piktographische Darstellung einer kombinierten SPARTA-Gruppe, die als Wafer verarbeitet werden kann und mit einer Antennengruppe kombiniert sein kann, gemäß einigen Aspekten.
    • 321 ist ein Blockdiagramm, das eine SPARTA-Zelle (die eine Implementierung der SPARTA-Zelle sein kann) darstellt, die zum Kacheln einer digitalen Phasengruppe verwendet werden kann, gemäß einigen Aspekten.
    • 322 ist ein Blockdiagramm, das ein Pipelining einer phasengesteuerten LO-Gruppe zwischen benachbarten Zellen in der Phasenkombinierungsbetriebsart eines LO gemäß einigen Aspekten darstellt.
    • 323 ist ein Blockdiagramm, das das SPARTA-Zellen-Kacheln unter Verwendung einer LO-Phasengruppe darstellt und einen aktiven Datenumsetzer-ADC gemäß einigen Aspekten darstellt.
    • 324 ist ein Blockdiagramm, das eine SPARTA-Gruppe in der Hybridbetriebsart, wobei jede Reihe in einer LO-Phasenverschiebung gekachelt ist und einen einzigen ADC gemeinsam verwendet, gemäß einigen Aspekten darstellt.
    • 325 ist ein Blockdiagramm, das das Pipelining des Kombinierens von analogen phasengesteuerten Gruppen zwischen benachbarten Zellen für die Betriebsart mit Kombinieren von analogen phasengesteuerten Gruppen gemäß einigen Aspekten darstellt.
    • 326 ist ein schematisches Diagramm, das Komponenten für eine Injektionsverriegelungs- (IL-) basierte Phasenmodulationsschaltung gemäß einigen Aspekten darstellt, die Phasenverschiebungseigenschaften eines herkömmlichen verriegelten Oszillators benutzt.
    • 327 ist ein Diagramm, das darstellt, wie sich die Ausgangsphase und die Amplitude ändern, wenn eine Mittelfrequenz des Oszillators in Bezug auf die Verriegelungsfrequenz geändert wird, während sie immer noch auf die Injektionsfrequenz verriegelt ist, gemäß einigen Aspekten.
    • 328 ist ein Zeitdiagramm, das zwei Symbole mit den Phasen φ1 und φ2, die durch Steuern des cap-DAC mit Basismodulations-Bits als die Dateneingabe erzeugt werden, gemäß einigen Aspekten darstellt.
    • 329 ist ein Blockdiagramm für eine IL-basierte Phasenmodulationsschaltung mit einer vollständigen 360°-Phasenmodulation unter Verwendung einer injektionsverriegelten Architektur mit kaskadierter Unterschwingung in Bezug auf die Trägerfrequenz gemäß einigen Aspekten.
    • 330 ist ein Kombinationsdiagramm, das ein echtes zeitverzögerungsbasierte Strahlformen, in dem die Elemente eins und zwei mit den gleichen Basisbanddatensignalen („11“, „00“) mit zwei unterschiedlichen Versatzen gespeist werden, gemäß einigen Aspekten darstellt.
    • 331 ist ein schematisches Blockdiagramm, das eine Beispielarchitektur eines Senders einer phasengesteuerten Vier-Elementegruppe, der das Kombinieren harmonischer IL-basierter Phasenmodulation mit echtzeitverzögertem Strahlformen implementiert, gemäß einigen Aspekten darstellt.
    • 332 ist ein Blockdiagramm für eine IIL-basierte Phasenmodulationsschaltung, das ein Beispiel eines injektionsverriegelten Oszillators beim Arbeiten an 1/3 der Trägerfrequenz gemäß einigen Aspekten darstellt.
    • 333 ist ein Blockdiagramm für eine IIL-basierte Phasenmodulationsschaltung, das ein Beispiel eines injektionsverriegelten Oszillators beim Arbeiten an 1/2 der Trägerfrequenz gemäß einigen Aspekten darstellt.
    • 334 ist ein piktographisches Diagramm, das Quadraturphasenumtastungs- (QPSK-) (PAM2-drahtgebunden-basierte) Modulation (zwei Bits pro Symbol) mit einem Diagramm, das eine Konstellationskarte ist, die die I/Q-Werte, die möglich sind, darstellt, gemäß einigen Aspekten darstellt.
    • 335 ist ein piktographisches Diagramm, das eine 16-QAM-(PAM4-drahtgebunden-basierte) Modulation (vier Bits pro Symbol) mit einem Diagramm, das eine Konstellationskarte ist, die die I/Q-Werte, die möglich sind, darstellt, gemäß einigen Aspekten darstellt.
    • 336 ist ein piktographisches Diagramm einer Konstruktion für PAM2- (QPSK-) Modulation gemäß einigen Aspekten.
    • 337 ist eine Tabelle von Daten und Fehlerwerten, die gemäß einigen Aspekten bereitgestellt sind.
    • 338 ist ein Diagramm, das die Verwendung der Gleichung für Z und die erste Tabelle gemäß einigen Aspekten darstellt.
    • 339 ist eine Tabelle, die eine zweite Idee, in der die Fehlerwerte alle minus eins sind, außer oberhalb der Plus-drei-Werte und unterhalb der Minus-drei-Werte, gemäß einigen Aspekten darstellt.
    • 340 ist ein Diagramm der Z-Funktion unter Verwendung der zweiten Tabelle gemäß einigen Aspekten.
    • 341 ist ein schematisches Blockdiagramm einer typischen Baudraten-CDR-Schleife für drahtgebunden gemäß einigen Aspekten.
    • 342 ist ein schematisches Blockdiagramm einer neuartigen drahtlosen CDR-Schleife, die sowohl einen In-Phasen- (I) als auch einen Quadratur- (Q) Eingang aufweist, gemäß einigen Aspekten.
    • 343 ist eine Tabelle, die verschiedene Modenwerte enthält, die verwendet werden können für die Mode der Konstruktion von 342, gemäß einigen Aspekten.
    • 344A ist ein schematisches Blockdiagramm einer beispielhaften AGC-Schaltung, die in einem Empfänger implementiert sein kann, wobei eine Amplitude des empfangenen Signals während des Betriebs des Empfängers variiert, gemäß einigen Aspekten.
    • 344B ist ein Ablaufplan eines beispielhaften AGC-Prozesses, der in einem Empfänger implementiert sein kann, wobei eine Amplitude des empfangenen Signals während des Betriebs des Empfängers variiert, gemäß einigen Aspekten.
    • 345 ist ein Konstellationsdiagramm für Quadratur-Codierung, das Quantisierungs-Bins für ADCs mit geringer Auflösung mit b = log2(2n) Bits in jeder der I/Q-Komponenten eines Empfängersignals in einem Einzelantennen-Empfängersystem gemäß einigen Aspekten darstellt.
    • 346 ist ein Konstellationsdiagramm für Quadratur-Codierung, die Quantisierungsbereiche für einen 3-Bit-ADC gemäß einigen Aspekten darstellt.
    • 347 ist ein Diagramm, das bedingte Wahrscheinlichkeitsverteilungen, wobei nur r1 und r5 monoton zunehmen und abnehmen, gemäß einigen Aspekten darstellt.
    • 348 ist ein Diagramm, das die Ableitung bedingter Wahrscheinlichkeitsverteilungen gemäß einigen Aspekten darstellt.
    • 349 ist ein Diagramm, das ein Beispiel für die Abschätzung der Leistungsfähigkeit des vorgeschlagenen Leistungsschätzungsalgortihmus im Vergleich zu der klassischen Bestimmung der mittleren Leistung gemäß einigen Aspekten darstellt.
    • 350 ist ein Diagramm, das die Latenz des neuartigen Algorithmus gemäß einigen Aspekten darstellt.
    • 351 ist ein Diagramm, das den normalisierten mittleren quadratischen Fehler (MSE) gemäß einigen Aspekten darstellt.
    • 352 ist ein Diagramm, das einen mittleren quadratischen Fehler (MSE) mit einem gleichmäßigen 45°-Phasenrauschen gemäß einigen Aspekten darstellt.
    • 353 ist ein schematisches Blockdiagramm, das ein Beispiel eines MIMO-Empfängers mit einem digitalen Prozessor gemäß einigen Aspekten darstellt.
    • 354 ist ein Blockdiagramm, das ein Beispiel einer Strahlformungsschaltung mit N gleichen Sendeempfängerscheiben und N Antennenelementen gemäß einigen Aspekten darstellt.
    • 355 ist ein Diagramm, das SNDR vs. Eingangsleistung an der Antenne in dem Fall aufzeichnet, in dem der Antennengewinn konstant gehalten ist, gemäß einigen Aspekten.
    • 356 ist ein Diagramm, das SNDR vs. Eingangsleistung an der Antenne in dem Fall aufzeichnet, in dem der Antennengewinn variiert wird, um Gewinnsteuerung zu ermöglichen, gemäß einigen Aspekten.
    • 357 ist ein Diagramm, das die abgestrahlte Leistung und die relativen Stromaufnahme versus der Anzahl aktiver Elemente in der Antennengruppe gemäß einigen Aspekten darstellt.
    • 358 ist ein Diagramm, das Betriebsbedingungskompromisse für Rx gemäß einigen Aspekten darstellt.
    • 359 ist ein Diagramm, das Betriebsbedingungskompromisse für Tx gemäß einigen Aspekten darstellt.
    • 360 ist ein Ablaufplan, der ein Beispiel eines Empfangsprozesses, der verwendet werden kann, gemäß einigen Aspekten darstellt.
    • 361 ist ein Ablaufplan, der ein Beispiel eines Sendeprozesses, der verwendet werden kann, gemäß einigen Aspekten darstellt.
    • 362 ist ein schematisches Diagramm einer DAC-Architektur gemäß einigen Aspekten.
    • 363 ist ein schematisches Diagramm einer hierarchischen Struktur gemäß einer Implementierung einer hier beschriebenen Vorrichtung.
    • 364 ist ein kombiniertes piktographisches Diagramm, das ein Paar von Graphen aufweist, die Co-Polarisation und Kreuzpolarisation, wenn eine Sendeantenne und eine Empfangsantenne ausgerichtet (d. h. parallel) sind, gemäß einigen Aspekten darstellen.
    • 365 ist ein kombiniertes piktographisches Diagramm, das ein Paar von Graphen aufweist, die Co-Polarisation und Kreuzpolarisation, wenn eine Sendeantenne und eine Empfangsantenne nicht ausgerichtet (d. h. nicht parallel) sind, gemäß einigen Aspekten darstellen.
    • 366 ist ein Beispiel eines Empfängers unter Verwendung der MSFFPE-Konstruktion gemäß einigen Aspekten.
    • 367 ist ein Schaltplan, der einen herkömmlichen Summierer darstellt.
    • 368 ist ein Schaltplan, der eine Integration eines DFE-Summierers, wobei die relevanten Unterschiede hervorgehoben sind, gemäß einigen Aspekten darstellt.
    • 369 ist ein schematisches Diagramm, das mehr Einzelheiten über die DFE-Summierer-Konstruktion gemäß einigen Aspekten bereitstellt.
    • 370 ist ein Diagramm, das sich auf die DFE-Summierer-Konstruktion bezieht, das das Taktsignal in Bezug auf das Ausgangssignal des summierenden Verstärkers und das Strong-Arm-1-Signal gemäß einigen Aspekten darstellt.
    • 371 ist eine schematische Darstellung eines Blockdiagramms einer RF-Vorrichtung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 372 ist eine schematische Darstellung eines Blockdiagramms einer RF-Vorrichtung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 373 ist eine schematische Darstellung einer bidirektionalen Verstärkerschaltung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 374 ist eine schematische Darstellung einer bidirektionalen Verstärkerschaltung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 375 ist eine schematische Darstellung einer bidirektionalen Verstärkerschaltung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 376 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers, der eine Kaskoden-Topologie eines aktiven bidirektionalen Splitters und Kombinierers (ABDSC) enthält, in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 377 ist eine schematische Darstellung eines Schaltplans einer Topologie mit gemeinsamer Source eines ABDSC in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 378 ist eine schematische Darstellung einer Topologie mit gemeinsamem Gate eines ABDSC in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 379 ist eine schematische Darstellung einer Topologie mit gemeinsamem Gate / gemeinsamer Source (CS/CG) eines ABDSC in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 380 ist eine schematische Darstellung eines Blockdiagramms einer Architektur eines Senders in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 381A ist eine schematische Darstellung einer elektronischen Schaltung eines Verstärkers mit Steuerung durch gestapeltes Gate in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 381B ist eine schematische Darstellung einer elektronischen Schaltung eines Verstärkers mit Steuerung durch gestapeltes Gate in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 382 ist eine schematische Darstellung eines Blockdiagramms eines Senders, der einen durch gestapeltes Gate modulierten digitalen Leistungsverstärker (PA) aufweist, in Übereinstimmung mit einigen anschaulichen Aspekten.
    • Die 383A und 383B sind schematische Darstellungen einer dynamischen Realisierung einer Mehrebenen-Hochgeschwindigkeits-Augendiagramms in Übereinstimmung mit einigen anschaulichen Aspekten.
    • Die 384A und 384B bilden ein Leistungsverbesserungsdiagramm (384A) und ein Leistungsreduktionsdiagramm (384B) ab, die einem Eingangsreihenschaltverstärker entsprechen, in Übereinstimmung mit einigen anschaulichen Aspekten ab.
    • 385A und 385B bilden ein Amplitudenauflösungsdiagramm (385A) und ein Leistungseffizienzdiagramm (385B), die einem digitalen N-Bit-PA entsprechen, in Übereinstimmung mit einigen anschaulichen Aspekten ab.
    • 386 bildet eine Drain-Effizienz versus Leistungssättigung eines durch gestapeltes Gate gesteuerten Verstärkers mit einem vorgeschalteten Treiberverstärker in Übereinstimmung mit einigen anschaulichen Aspekten ab.
    • 387 ist eine schematische Darstellung eines Blockdiagramms eines Senders in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 388 ist eine schematische Darstellung eines Blockdiagramms eines Zweistufen-Doherty-Verstärkers, der ein Balun unterhalb der Viertelwellenlänge (SQWL-Balun) einsetzen kann, in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 389 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 390 ist eine schematische Darstellung eines Blockdiagramms eines Senders in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 391 ist eine schematische Darstellung eines Blockdiagramms eines Outphasing-Verstärkers, der ein SQWL-Balun als eine Last einsetzt, in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 392 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 393 ist eine schematische Darstellung eines elektronischen Schaltplans einer Phasenverschiebungsschaltung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 394 ist eine schematische Darstellung eines ersten Quadranten einer Konstellationspunktkarte in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 395 ist eine schematische Darstellung eines Diagramms, das eine Verstärkungsvariation von Konstellationspunkten versus idealer phasenverschobener Konstellationspunkte in Übereinstimmung mit einigen anschaulichen Aspekten abbildet.
    • 396 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 397 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 398 ist eine schematische Darstellung eines Generators für einen lokalen Quadratur-Oszillator (Quadratur-LO-Generators) in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 399 ist eine schematische Darstellung eines passiven Quadratur-LO-Generators in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 400 ist eine schematische Darstellung eines Blockdiagramms eines Senders in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 401 ist eine schematische Darstellung eines Bandplans von mehreren Kanälen, die mehreren Kanalbandbreiten entsprechen, die in Übereinstimmung mit einigen anschaulichen Aspekten implementiert sein können.
    • 402 ist eine schematische Darstellung eines Diagramms, das eine Verstärkungsantwort eines Niederbandverstärkers und eines Hochbandverstärkers in Übereinstimmung mit einigen anschaulichen Aspekten abbildet.
    • 403 ist eine schematische Darstellung Transformators in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 404 ist eine schematische Darstellung eines Blockdiagramms einer drahtlosen Kommunikationseinrichtung in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 405 ist eine schematische Darstellung eines Impedanzanpassungsschalters in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 406 ist eine schematische Darstellung eines Blockdiagramms eines Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 407 ist eine schematische Darstellung eines Blockdiagramms eines Halbduplex-Sendeempfängers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 408 ist eine schematische Darstellung eines bidirektionalen Mischers in Übereinstimmung mit einigen anschaulichen Aspekten.
    • 409A stellt einen Sendeempfänger einer phasengesteuerten Gruppe gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 409B stellt eine Antennengruppe mit einem ursprünglichen reduzierten Abdeckungswinkel gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 409C stellt eine Linse, die zusammen mit einer Antenne einer phasengesteuerten Gruppe verwendet wird, um die abgestrahlten Strahlen abzulenken und den Abdeckungswinkel zu erweitern, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 409D stellt einen konkaven Reflektor, der zusammen mit einer phasengesteuerten Gruppe verwendet wird, um die abgestrahlten Strahlen abzulenken und den Abdeckungswinkel zu erweitern, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 410 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer ersten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 411 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der ersten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 412 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer zweiten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 413 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der zweiten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 414 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer dritten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 415 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der dritten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 416 stellt eine Draufsicht einer Sektorisierung, die von mehreren phasengesteuerten Gruppen herrührt, die zusammen mit einer Reflektorantenne verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 417 stellt das Abtasten in jedem Sektor der sektorierten Abtastbereiche gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 418 stellt ein Package, innerhalb der Antennen innerhalb eines Benutzergeräts ausgeführt sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 419 stellt ein Diagramm des realisierten Gewinns einer 1x4-Dipolgruppe, die in dem Package von 418 realisiert ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 420 stellt Strahlungsmuster, die dem Diagramm von 419 zugeordnet sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 421 stellt die Verwendung einer Abschirmung einer integrierten Schaltung (IC-Abschirmung) als eine Antennenmasseebene und einen Reflektor für eine gestapelte Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 422 stellt eine Seitenansicht der in 421 dargestellten Monopolantenne, die einen unsymmetrischen Via-Speisemechanismus zeigt, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • Die 422A-422C stellen spezielle Abmessungen der in 421 dargestellten Monopolantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 423 stellt Patchelemente der Monopolantenne der 421 und 422 in einer Antennengruppenkonfiguration mit einer mobilen Plattform gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 424A stellt eine Dipolantenne mit einer Antenne einer oberflächenmontierten Vorrichtung (SMD-Antenne), die die Dipolantenne zu einem Dipol mit einem Monopol überleitet, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 424B ist eine perspektivische Ansicht des Dipolabschnitts der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 424C stellt eine kombinierte Dipol- und Monopolantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 424D stellt eine perspektivische Ansicht des Monopolteils der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 424E ist eine Seitenansicht der Antenne der 424A und 424D gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 425 stellt ein Strahlungsmuster der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 426A stellt einen Höhenschnitt des Strahlungsmusters der Antenne von 424A dar.
    • 426B stellt ein Strahlungsmuster der Antenne von 424B gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 427A stellt eine Seitenansicht eines L-förmigen SMD-Dipols mit einer IC-Abschirmung, die als ein Reflektor verwendet ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 427B stellt eine perspektivische Ansicht des L-förmigen SMD-Dipols mit einer IC-Abschirmung, die als ein Reflektor verwendet ist, die in 427A dargestellt ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 428 stellt eine perspektivische Ansicht einer Gruppe aus vier L-förmigen SMD-Dipolen gemäß einem Aspekt dar.
    • 429A stellt die Gruppe von 428 für vertikale Polarisation dar, wobei sich die Felder auslöschen, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 429B stellt die Gruppe von 428 für vertikale Polarisation dar, wobei sich die Felder addieren, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 430A stellt die Gruppe von 428 für horizontale Polarisation dar, wobei sich die Felder addieren, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 430B stellt die Gruppe von 428 für horizontal Polarisation dar, wobei sich die Felder auslöschen, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 431 stellt ein dreidimensionales Strahlungsmuster für vertikale (Theta-) Polarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 432 stellt ein dreidimensionales Strahlungsmuster für horizontale (Phi-) Polarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 433 stellt einzelne SMD-Monopolantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 434 stellt ein dreidimensionales Strahlungsmuster gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 435 stellt eine Impedanzauftragung eines einzelnen Monopols gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 436 stellt die Rückflussdämpfung eines einzelnen Monopols über der Frequenz gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 437 stellt realisierten vertikalen Polarisations- (θ-) Gewinn in der X-Z-Ebene aus einem einzelnen Monopol gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 438 stellt realisierten vertikalen Polarisations- (θ-) Gewinn über der Frequenz, bei 15° oberhalb der Längsstrahlung, aus einem einzelnen Monopol gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 439 stellt eine Zweielemente-Monopol- und eine Zweielemente-Dipolgruppe gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 440 stellt ein dreidimensionales Strahlungsmuster der Zweidipolgruppe von 439 bei 60 GHz gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 441 stellt realisierten horizontalen Polaritäts- (Ø-) Gewinn über der Frequenz in der Längsstrahlungsrichtung aus der Zweidipolgruppe von 439 gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 442 stellt ein dreidimensionales Strahlungsmuster der Zweimonopolgruppe von 439 bei 60 GHz gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 443 stellt die realisierte vertikale Polarität (θ) gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 444 stellt eine vertikale Einzel-Patch-, Dualspeisung-, Dualpolarisations-SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 445 stellt eine vertikale gestapelte Patch-, Einzelspeisung-, Einfachpolarisations-SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 446 stellt eine horizontale SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 447 stellt eine vertikale SMD-Patchantenne unter Verwendung eines Schraffurmusters gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 448 stellt eine SMD-Spiralantenne mit Zirkularpolarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 449 stellt eine Implementierung einer Spiralantenne innerhalb einer SMD gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 450 stellt das Koppeln von Strahlung an Direktoren auf einem Gehäuse gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 451A ist eine perspektivische Ansicht eines IC-Abschirmwandausschnitts, der eine Antenne bildet, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 451B ist eine Seitenansicht eines Wandausschnitts, der die in 451A dargestellte Antenne umfasst, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 451C ist eine perspektivische Ansicht einer IC-Abschirmung mit einem Wandausschnitt und einem oberen Ausschnitt, die Antennenelemente einer Antennengruppe umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 451D ist eine perspektivische Ansicht einer IC-Abschirmung mit einem ersten Wandausschnitt und einem zweiten Wandausschnitt, die Antennenelemente einer Antennengruppe umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 452A stellt eine Patchantenne und eine RF-Speiseleitungsverbindung, die einen Sende/Empfangs- (TR-) Schalter für eine Einfachpolarisationskonstruktion aufweist, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 452B stellt eine Patchantenne und eine RF-Speiseleitungsverbindung, die einen TR-Schalter für eine Dualpolarisationskonstruktion aufweist, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 452C stellt eine Patchantenne in einer Einfachpolarisationskonstruktion dar, wobei die Antennenspeiseleitung für den RX-Speiseleitungsanpassungspunkt im Vergleich zu dem TX-Speiseleitungsanpassungspunkt geringfügig zu einer Seite versetzt ist, gemäß einigen Aspekten der vorliegenden dar.
    • 452D stellt eine Patchantenne in einer Dualpolarisationskonstruktion dar, wobei die Antennenspeiseleitungen für den RX-Speiseleitungsanpassungspunkt für beide Polarisationen im Vergleich zu dem TX-Speiseleitungsanpassungspunkt leicht zu einer Seite versetzt ist, gemäß einigen Aspekten der vorliegenden dar.
    • 453A stellt eine Einfachpolarisationsimplementierung einer TX-Speiseleitung und einer RX-Speiseleitung, die direkt mit den Antennenspeiseleitungsanpassungspunkten verbunden sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 453B stellt eine Dualpolarisationsimplementierung einer TX-Speiseleitung und RX-Speiseleitung mit horizontaler Polarisation und einer TX-Speiseleitung und RX-Speiseleitung mit vertikaler Polarisation, die direkt mit Antennenspeiseleitungsanpassungspunkten verbunden sind, gemäß einigen Aspekten vorliegenden Offenbarung dar.
    • 454A stellt eine IC-Abschirmung gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 454B stellt eine IC-Abschirmung mit einer Wölbung oder Erweiterung, um den Antennengewinn und die Richtwirkung zu verbessern, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 454C stellt die Verwendung einer gefalteten Erweiterung mit einer IC-Abschirmung, um den Gewinn einer Gruppe von Dipolantennenelementen zu verbessern, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 454D stellt ein Loch, das in der Abschirmungsstruktur wegen der Wölbung auftritt, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 454E ist eine perspektivische Nahansicht der Wölbung und des Lochs von 54D gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 455 ist eine Draufsicht einer kombinierten Patchantennen- und Dipolantennengruppe mit einem Abschirmungsreflektor gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 456 ist eine Seitenansicht der Antennengruppe von 455 gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 457 ist eine perspektivische Ansicht eines Interposers, der mit einer Patchgruppe verwendet wird, um große Hindernisse in einer Benutzervorrichtung zu umgehen, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 458A ist eine perspektivische Ansicht des Interposers von 457, die einen IC-Abschirmungsdeckel darstellt, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 458B ist eine vertikale Ansicht des Strahlungsmusters für die Dipolantennengruppe von 458A, wobei die Längsstrahlungsrichtung bei minus neunzig (-90) Grad dargestellt ist, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 459 stellt den realisierten Gewinn der Patchantenne der 457 und 458A als eine Funktion der Höhe des Interposers in verschiedenen Richtungen gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 460A ist eine perspektivische Ansicht einer kombinierten Patch- und Schlitzantenne für Dualband-Dualpolarisationsbetrieb gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 460B ist eine Seitenansicht der kombinierten Patch- und Schlitzantenne von 460A gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 461A ist eine Explosionsansicht der Antenne-auf-einem Chip (AOC) gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 461B ist eine Unteransicht der Antennen, die die AOC von 461A umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 461C ist eine Seitenansicht der AOC von 461A gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 462 ist eine weitere Unteransicht der AOC von 461A, die Abmessungen enthält, für einige Aspekten der vorliegenden Offenbarung.
    • 463 ist ein Strahlungsmuster für die Antenne auf einem Chip der 461A-461C und 462 gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 464A stellt eine weitere Ansicht einer AOC für einen eingebetteten Die in einer Package-auf-Package-Implementierung gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 464B ist eine Darstellung der Strahlungseffizienz als eine Funktion der Höhe des Siliziums dividiert durch die Höhe der Patches gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 464C ist eine Darstellung des realisierten Gewinns in dBi als eine Funktion der Höhe der Siliziums dividiert durch die Höhe der Patches gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 465 ist eine weitere Darstellung einer AOC, die symbolisch eine Chip-Übersicht zeigt und die Beziehung der Antennen und der Schaltung auf dem Chip enthält, gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 466 stellt ein Blockdiagramm einer Beispielmaschine, auf die irgendeine oder mehrere der Techniken oder Methodiken, die hier diskutiert sind, ausgeführt werden können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 467 stellt Protokollfunktionen, die in einer drahtlosen Kommunikationsvorrichtung implementiert sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 468 stellt verschiedene Protokollentitäten, die in Verbindung mit einer drahtlose Kommunikationsvorrichtung oder einem drahtlosen Kommunikationssystem implementiert sei können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 469 stellt eine Medienzugangssteuerungs- (MAC-) Entität, die verwendet werden kann, um Medienzugangssteuerungsschichtfunktionen zu implementieren, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • Die 470A und 470B stellen Formate von PDUs, die durch die MAC-Entität von 469 codiert und decodiert werden können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • Die 470C, 470D und 470E stellen verschiedene Sub-Header, die in Verbindung mit der MAC-Entität von 469 verwendet werden können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 471 stellt Funktionen, die in einer Funkstreckensteuerungs-(RLC-) Schichtentität enthalten sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 472A stellt eine TMD-PDU gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • Die 472B und 472C stellen UMD-PDUs gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • Die 472D und 472E stellen AMD-PDUs gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 472F stellt eine STATUS-PDU gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 473 stellt Aspekte von Funktionen, die in einer Paketdatenkonvergenzprotokoll- (PDCP-) Schichtentität enthalten sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 474 stellt eine PDCP-PDU, die durch eine PDCP-Entität gesendet und empfangen werden kann, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 475 stellt Aspekte der Kommunikation zwischen Instanzen der Funkbetriebsmittelsteuerungs- (RRC-) Schicht gemäß einigen Aspekten der vorliegenden Offenbarung dar.
    • 476 stellt Zustände einer RRC, die in einem Benutzer-Equipment (User Equipment, UE) implementiert sein kann, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Mit dem Fortschreiten der 5G-mmWellen-basierten Kommunikation haben sich mehrere Herausforderungen entwickelt, wie z. B. ein begrenzter Kommunikationsbereich, die Richtungsabhängigkeit der Antennensysteme, das Erreichen gewünschter Richtungsabhängigkeit und Strahlformen mit großen Antennengruppen, Signaldämpfung aufgrund des atmosphärischen Dämpfungsverlusts und hohe Dämpfung durch kompakte Materialien. Hier beschriebene Techniken können in Verbindung mit digitaler Basisbandschaltung, Sendeschaltung, Empfangsschaltung, Hochfrequenzschaltung, Protokollverarbeitungsschaltung und Antennengruppen verwendet werden, um die Herausforderungen, die mit der 5G-mmWellen-basierten Kommunikation einhergehen, zu adressieren.
  • Diskussionen, die hier Begriffe wie beispielsweise „Verarbeiten“, „Rechnen“, „Berechnen“, „Bestimmen“, „Aufbauen“, „Analysieren“, „Überprüfen“ oder dergleichen benutzen, können sich auf Operation(en) und/oder Prozess(e) eines Computers, einer Rechenplattform, eines Rechensystems oder einer anderen elektronischen Rechenvorrichtung beziehen, die Daten, die als physikalische (z. B. elektronische) Größen innerhalb den Registern und/oder Speichern des Computers repräsentiert sind, in andere Daten, die auf ähnliche Weise als physikalische Größen innerhalb der Register und/oder Speicher des Computers oder eines anderen Datenspeichermediums, das Anweisungen speichern kann, um Operationen und/oder Prozesse auszuführen, zu manipulieren und/oder zu transformieren.
  • Der Begriff „mehrere“, wie er hier verwendet ist, enthält beispielsweise „mehrfach“ oder „zwei oder mehr“. Beispielsweise enthalten „mehrere Elemente“ zwei oder mehr Elemente.
  • Bezugnahmen auf „einen Aspekt“, „einen Beispielaspekt“, „einige Aspekte“, „anschaulicher Aspekt“, „verschiedene Aspekte“ usw. geben an, dass der/die so beschriebene Aspekt(e) ein/e spezielle/s Merkmal, Struktur oder Eigenschaft aufweisen kann, jedoch nicht jeder Aspekt notwendigerweise das/die spezielle Merkmal, Struktur oder Eigenschaft aufweist. Ferner bezieht sich die wiederholte Verwendung des Ausdrucks „in einem Aspekt“ nicht notwendigerweise auf denselben Aspekt, obwohl es so sein kann.
  • Wie hier verwendet gibt, sofern nicht anders spezifiziert, die Verwendung der Ordnungsadjektive „erster“, „zweiter“, „dritter“ usw., um ein allgemeines Objekt zu beschreiben, lediglich an, dass auf unterschiedliche Instanzen ähnlicher Objekte Bezug genommen wird, und sie sollen nicht implizieren, dass die so beschriebenen Objekte in einer gegebenen Reihenfolge sein müssen, weder zeitlich, räumlich, in der Rangfolge noch auf eine andere Weise.
  • Einige Aspekte können zusammen mit verschiedenen Vorrichtungen und Systemen verwendet sein, beispielsweise einem Benutzer-Equipment (UE), einer mobilen Vorrichtung (MD), einer drahtlosen Station (STA), einem Personalcomputer (PC), einem Desktop-Computer, einem mobilen Computer, einem Tablet-Computer, einem Server-Computer, einem tragbaren Computer, einer Sensorvorrichtung, einer Vorrichtung im Internet der Dinge (IoT), einer wearable Vorrichtung, einer tragbaren Vorrichtung, einer Vorrichtung eines persönlichen digitalen Assistenten (PDA), einer tragbaren PDA-Vorrichtung, einer bordeigenen Vorrichtung, einer externen Vorrichtung, eine Hybridvorrichtung, einer Fahrzeugvorrichtung, einer Vorrichtung, die nicht zu einem Fahrzeug gehört, einer mobilen oder tragbaren Vorrichtung, einer Verbrauchervorrichtung, einer nicht mobilen oder nicht tragbaren Vorrichtung, einer drahtlosen Kommunikationsstation, einer drahtlosen Kommunikationsvorrichtung, einem drahtlosen Zugangspunkt (AP), einem drahtgebundenen oder drahtlosen Router, eine drahtgebundenen oder drahtlosen Modem, einer Videovorrichtung, einer Audiovorrichtung, einer Audio-VideoVorrichtung (A/V-Vorrichtung), einem drahtgebundenen oder drahtlosen Netz, einem drahtlosen Bereichsnetz, einem drahtlosen Videobereichsnetz (WVAN), einem lokalen Netz (LAN), einem drahtlose LAN (WLAN), einem persönlichen Netz (PAN), einem drahtlosen PAN (WPAN) und dergleichen.
  • Einige Aspekte können beispielsweise zusammen mit Vorrichtungen und/oder Netzen verwendet werden, die in Übereinstimmung mit existierenden IEEE 802.11-Standards (einschließlich des IEEE 802.11-2016 (IEEE 802.11-2016, IEEE-Standards für Informationstechnologie - Telekommunikation und Informationsaustausch zwischen Systemen lokale und Regionalnetze - Spezifische Anforderungen Teil 11: Spezifikationen für drahtlose LAN-Medienzugangssteuerung (MAC) und Bitübertragungsschicht (PHY), 7. Dezember 2016); IEEE802.11ay (P802.11ay-Standard für Informationstechnologie - Telekommunikation und Informationsaustausch zwischen Systemen lokale und Regionalnetze - Spezifische Anforderungen Teil 11: Spezifikationen für drahtlose LAN-Medienzugangssteuerung (MAC) und Bitübertragungsschicht (PHY) - Zusatz: Verbesserter Durchsatz für den Betrieb in lizenzfreien Bändern oberhalb von 45 GHz)) und/oder zukünftigen Versionen und/oder Ableitungen davon, Vorrichtungen und/oder Netzen, die in Übereinstimmung mit existierenden WiFi-Allianz- (WFA-) Peer-to-Peer- (P2P-) Spezifikation arbeiten (einschließlich der technischen Spezifikation für WiFi P2P, Version 1.5, 4. August 2015) und/oder zukünftigen Versionen und/oder Ableitungen davon, Vorrichtungen und/oder Netzen, die in Übereinstimmung mit existierenden Spezifikationen der Drahtlos-Gigabit-Allianz (WGA) arbeiten (einschließlich Drahtlos-Gigabit-Allianz, Inc WiGig MAC und PHY-Spezifikation Version 1.1, April 2011, endgültige Spezifikation) und/oder zukünftigen Versionen und/oder Ableitungen davon, Vorrichtungen und/oder Netzen, die in Übereinstimmung mit existierenden zellularen Spezifikationen und/oder Protokollen arbeiten, z. B. dem Partnerschaftsprojekt der 3. Generation (3GPP), 3GPP-Generation-Langzeitentwicklung (LTE) und/oder zukünftigen Versionen und/oder Ableitungen davon, Einheiten und/oder Vorrichtungen, die Teil der vorstehenden Netze sind, und dergleichen.
  • Einige Aspekte können verwendet werden zusammen mit Einweg- und/oder Zweiweg-Funkkommunikationssystemen, zellularen Funktelefon-Kommunikationssystemen, einem Mobiltelefon, einem zellularen Telefon, einem drahtlosen Telefon, einer Vorrichtung eines persönlichen Kommunikationssystems (PCS), einer PDA-Vorrichtung, die eine drahtlose Kommunikationsvorrichtung integriert, einer mobilen oder tragbaren Vorrichtung des globalen Positionsbestimmungssystems (GPS), einer Vorrichtung, die einen GPS-Empfänger oder Sendeempfänger oder Chip integriert, eine Vorrichtung, die ein/en RFID-Element oder -Chip integriert, einem/einer Mehr-Eingang-mehr-Ausgang- (MIMO-) Sendeempfänger oder Vorrichtung, einem/einer Ein-Eingang-mehr-Ausgang- (SIMO-) Sendeempfänger oder Vorrichtung, einem/einer Mehr-Eingang-ein-Ausgang-(MISO-) Sendeempfänger oder Vorrichtung, einer Vorrichtung, die eine oder mehrere interne Antennen und/oder externe Antennen aufweist, digitalen Video-Rundsende- (DVB-) Vorrichtungen oder Systemen, Mehrstandard-Funkvorrichtungen oder -Systemen, einer drahtgebundenen oder drahtlosen tragbaren Vorrichtung, z. B. einem Smartphone, einer Vorrichtung nach dem drahtlosen Anwendungsprotokoll (WAP) oder dergleichen.
  • Einige Aspekte können verwendet werden zusammen mit einem oder mehreren Typen drahtloser Kommunikationssignale und/oder Systeme, beispielsweise Hochfrequenz (RF), Infrarot (IR), Frequenzmultiplex (FDM), orthogonalem FDM (OFDM), orthogonalem Frequenzmehrfachzugriff (OFDMA), räumlichem Mehrfachzugriff (SDMA), FDM-Zeitmultiplex (TDM), Zeitmehrfachzugriff (TDMA), Mehrbenutzer-MIMO (MU-MIMO), erweitertem TDMA (E-TDMA), allgemeinem Paketfunkdienst (GPRS), erweitertem GPRS, Codemehrfachzugriff (CDMA), Breitband-CDMA (WCDMA), CDMA 2000, Einzelträger-CDMA, Mehrträger-CDMA, Mehrträgermodulation (MDM), diskretem Mehrfachton (DMT), Bluetooth, globalem Positionsbestimmungssystem (GPS), Wi-Fi, Wi-Max, ZigBeeTM, Ultrabreitband (UWB), Globalem System für Mobilkommunikation (GSM), 2G, 2.5G, 3G, 3.5G, 4G, mobilen Netzen der fünften Generation (5G), 3GPP, Langzeitentwicklung (LTE), LTE-advanced, verbesserten Datenraten für GSM-Weiterentwicklung (EDGE) oder dergleichen. Andere Aspekte können in verschiedenen anderen Vorrichtungen, Systemen und/oder Netzen verwendet werden.
  • Der Begriff „drahtlose Vorrichtung“, wie er hier verwendet ist, enthält beispielsweise eine Vorrichtung, die zu drahtloser Kommunikation fähig ist, eine Kommunikationsvorrichtung, die zur drahtlosen Kommunikation fähig ist, eine Kommunikationsstation, die zur drahtlosen Kommunikation fähig ist, eine tragbare oder nicht tragbare Vorrichtung, die zur drahtlose Kommunikation fähig ist, oder dergleichen. In einigen anschaulichen Aspekten kann eine drahtlose Vorrichtung ein Peripheriegerät, das in einem Computer integriert ist, oder ein Peripheriegerät, das an einen Computer angeschlossen ist, sein oder aufweisen. In einigen anschaulichen Aspekten kann der Begriff „drahtlose Vorrichtung“ einen drahtlosen Dienst enthalten.
  • Der Begriff „Kommunizieren“, wie er hier in Bezug auf ein Kommunikationssignal verwendet ist, enthält das Senden des Kommunikationssignals und/oder Empfangen des Kommunikationssignals. Beispielsweise kann eine Kommunikationseinheit, die zum Kommunizieren eines Kommunikationssignals fähig ist, einen Sender zum Senden des Kommunikationssignals zu wenigstens einer weiteren Kommunikationseinheit und/oder einen Kommunikationsempfänger zum Empfangen des Kommunikationssignals von wenigstens einer weiteren Kommunikationseinheit aufweisen. Das Verb kommunizieren kann verwendet sein, um die Aktion zum Senden und/oder die Aktion zum Empfangen zu bezeichnen. In einem Beispiel kann sich der Ausdruck „Kommunizieren eines Signals“ auf die Aktion zum Senden des Signals durch eine erste Vorrichtung beziehen und kann nicht notwendigerweise die Aktion zum Empfangen des Signals durch eine zweite Vorrichtung enthalten. In einem weiteren Beispiel kann sich der Ausdruck „Kommunizieren eines Signals“ auf die Aktion zum Empfangen des Signals durch eine erste Vorrichtung beziehen und kann nicht notwendigerweise die Aktion zum Senden des Signals durch eine zweite Vorrichtung enthalten.
  • Einige anschauliche Aspekte können zusammen mit einem WLAN, z. B. einem WiFi-Netz, verwendet werden. Andere Aspekte können zusammen mit irgendeinem anderen geeigneten drahtlosen Kommunikationsnetz verwendet werden, beispielsweise einem drahtlosen Bereichsnetz, einem „Piconetz“, einem WPAN, einem WVAN und dergleichen.
  • Einige anschauliche Aspekte können zusammen mit einem drahtlosen Kommunikationsnetz, das über ein Frequenzband oberhalb von 45 Gigahertz (GHz), z. B. 60 GHz, kommuniziert, verwendet werden. Andere Aspekte können jedoch unter Nutzung anderer geeigneter Frequenzbänder für drahtlose Kommunikation implementiert sein, beispielsweise einem Band für extrem hohe Frequenz (EFH) (dem Millimeterwellen- (mmWellen-) Frequenzband), z. B. einem Frequenzband innerhalb des Frequenzbands zwischen 20 GHz und 300 GHz, einem Frequenzband oberhalb von 45 GHz, einem Frequenzband unterhalb von 20 GHz, z. B. einem Sub-1-GHz- (SiG-) Band, einem 2,4 GHz-Band, einem 5 GHz-Band, einem WLAN-Frequenzband, einem WPAN-Frequenzband, einem Frequenzband gemäß der WGA-Spezifikation und dergleichen.
  • Wie er hier verwendet ist, kann sich der Begriff „Schaltung“ beispielsweise auf eine anwendungsspezifische integrierte Schaltung (ASIC), eine integrierte Schaltung, eine elektronische Schaltung, einen Prozessor (gemeinsam verwendet, dediziert oder Gruppe) und/oder Speicher (gemeinsam verwendet, dediziert oder Gruppe), die ein oder mehrere Software- oder Firmware-Programme ausführen, eine kombinatorische Logikschaltung und/oder andere geeignete Hardware-Komponenten, die die beschriebene Funktionalität bereitstellen, beziehen, ein Teil davon sein oder sie/ihn enthalten. In einigen Aspekten kann eine Schaltung Logik enthalten, die wenigstens teilweise in Hardware ausführbar ist. In einigen Aspekten kann die Schaltung als Teil einer und/oder in der Form einer virtuellen Funk-Maschine (RVM) implementiert sein, beispielsweise als Teil eines Funkprozessors (RP), der konfiguriert ist, Code für konfigurierte eine oder mehrere Operationen und/oder Funktionalitäten einer oder mehrerer Funkkomponenten auszuführen.
  • Der Begriff „Logik“ kann sich beispielsweise auf Rechenlogik, die in einer Schaltung einer Recheneinrichtung eingebettet ist, und/oder Rechenlogik, die in einem Speicher einer Recheneinrichtung gespeichert ist, beziehen. Beispielsweise kann die Logik durch einen Prozessor der Recheneinrichtung zugänglich sein, um die Rechenlogik auszuführen, um Rechenfunktionen und/oder Operationen auszuführen. In einem Beispiel kann Logik in verschiedene Typen von Speicher und/oder Firmware eingebettet sein, z. B. Siliziumblöcke verschiedener Chips und/oder Prozessoren. Logik kann in verschiedener Schaltung, z. B. Funkschaltung, Empfängerschaltung, Steuerschaltung, Senderschaltung, Sendeempfängerschaltung, Prozessorschaltung und/oder dergleichen enthalten und/oder als Teil davon implementiert sein. In einem Beispiel kann Logik in einem flüchtigen Speicher und nichtflüchtigen Speicher eingebettet sein, der Direktzugriffsspeicher, Festwertspeicher, programmierbaren Speicher, magnetischen Speicher, Flash-Speicher, persistenten Speicher und/oder dergleichen enthält. Logik kann durch einen oder mehrere Prozessoren unter Verwendung von Speicher, z. B. Registern, Puffern, Stacks und dergleichen, die mit dem einen oder mehreren Prozessoren gekoppelt sind, wie es z. B. notwendig ist, um die Logik auszuführen, ausgeführt werden.
  • Der Begriff „Antenne“, wie er hier verwendet ist, kann irgendeine geeignete Konfiguration, Struktur und/oder Anordnung eines oder mehrerer Antennenelemente, Komponenten, Einheiten, Zusammenstellungen und/oder Gruppen enthalten. In einigen Aspekten kann die Antenne Sende- und Empfangs-Funktionalitäten unter Verwendung getrennter Sende- und Empfangs-Antennenelemente implementieren. In einigen Aspekten kann die Antenne Sende- und Empfangs-Funktionalitäten unter Verwendung gemeinsamer und/oder integrierter Sende/Empfangs-Elemente implementieren. Die Antenne kann beispielsweise eine phasengesteuerte Gruppenantenne, eine Einzelelementantenne, eine Menge von Antennen mit geschaltetem Strahl und/oder dergleichen enthalten.
  • Der Begriff „Peer-to-Peer- (PTP-) Kommunikation“, wie er hier verwendet ist, kann sich auf eine Vorrichtung-zu-Vorrichtung-Kommunikation über eine drahtlose Verbindungsstrecke („Peer-to-Peer-Verbindungsstrecke“) zwischen Vorrichtungen beziehen. Die PTP-Kommunikation kann beispielsweise eine WiFi-Direct- (WFD-) Kommunikation z. B. eine WFD-Peer-to-Peer- (P2P-) Kommunikation, eine drahtlose Kommunikation über eine direkte Verbindungsstrecke innerhalb einer Dienstgüte- (QoS-) Basisdienstgruppe (BSS), eine Verbindungsstrecke mit getunneltem Direktverbindungsstreckenaufbau (TDLS), eine STA-zu-STA-Kommunikation in einer unabhängigen Basisdienstgruppe (IBSS) oder dergleichen enthalten.
  • Einige anschauliche Aspekte sind hier mit Bezug auf WiFi-Kommunikation beschrieben. Andere Aspekte können jedoch in Bezug auf irgendein anderes Kommunikationsschema, Netz, Standard und/oder Protokoll implementiert sein.
  • In einigen anschaulichen Aspekten kann eine drahtlose Kommunikationsvorrichtung ein Millimeterwellen- (mmWellen-) Funk-Frontend-Modul (RFEM) z. B. wie nachstehend beschrieben implementieren.
  • Millimeterwelle kann als ein Frequenzbereich definiert sein, der von etwa 30 GHz bis etwa 300 GHz überspannt und in der Praxis derzeit mehrere diskrete lizenzierte und nicht lizenzierte Frequenzbänder abdeckt.
  • Das nicht lizenzierte mmWellen-Frequenzband, das derzeit verfügbar ist, ist in der Nähe von 60 GHz. Lizenzierte Frequenzbänder enthalten wahrscheinlich 28 GHz, 39 GHz, 73 GHz und 120 GHz. Die Verfügbarkeit dieser Bänder und der spezifische Frequenzbereich variieren jeweils aufgrund regulativer Rechtsprechung, und in einigen Fällen (insbesondere für den Betrieb lizenzierter Bänder) gibt es bezüglich der Regulierungen in einigen Ländern immer noch eine signifikante Unsicherheit. Herausforderungen, die zu mmWellen-basierter zellularer Kommunikation gehören, enthalten eine begrenzte Reichweite, die Richtwirkung von Antennen der Reichweite, Signalverlust aufgrund der Verwendung normaler Kabel anstatt von Leiterbahnen und Herausforderungen mit der Integration mehrerer Antennen zum Strahlformen. Diese Herausforderungen sind in diesem Patent adressiert, wie nachstehend in Übereinstimmung mit einigen Aspekten diskutiert, und können die Verwendung von Polarisationsinnovationen, Verwendung von Leiterbahnen und anderen Leitungen zum Vermeiden von Signalverlust und eine verbesserte Fähigkeit zum Verwenden bei der Strahlformung enthalten.
  • 1 stellt eine beispielhafte Benutzervorrichtung gemäß einigen Aspekten dar. Die Benutzervorrichtung 100 kann eine mobile Vorrichtung in einigen Aspekten sein und enthält einen Anwendungsprozessor 105, einen Basisbandprozessor 110 (auch als ein Basisbandteilsystem bezeichnet), ein Funk-Frontend-Modul (RFEM) 115, Speicher 120, ein Konnektivitätsteilsystem 125, eine Nahbereichskommunikations- (NFC-) Steuereinheit 130, einen Audiotreiber 135, einen Kameratreiber 140, einen berührungssensitiven Bildschirm 145, einen Anzeigetreiber 150, Sensoren 155, herausnehmbaren Speicher 160, eine integrierte Energiemanagementschaltung (PMIC) 165 und eine intelligente Batterie 170.
  • In einigen Aspekten kann der Anwendungsprozessor 105 beispielsweise einen oder mehrere Kerne der zentralen Verarbeitungseinheiten (CPU-Kerne) und eines oder mehrere aus Cache-Speicher, Spannungsregler mit geringem Ausfall (LDOs), Unterbrechungs-Steuereinheiten, serielle Schnittstellen wie z. B. SPI, I2C oder universelles programmierbares serielles Schnittstellenteilsystem, Echtzeittakt (RTC), Zeitgeber-Zähler, die Intervall- und Watchdog-Zeitgeber enthalten, Allzweck-IO, Speicherkarten-Steuereinheiten wie z. B. SD/MMC oder dergleichen, USB-Schnittstellen, MIPI-Schnittstellen und/oder „Joint Test Access Group“- (JTAG-) Testzugangsanschlüsse enthalten.
  • In einigen Aspekten kann der Basisbandprozessor 110 beispielsweise als ein eingelötetes Substrat implementiert sein, das eine oder mehrere integrierte Schaltungen aufweist, eine einzelne paketierte integrierte Schaltung, die an eine Hauptplatine gelötet ist, oder ein Mehrchipmodul, das zwei oder mehr integrierte Schaltungen aufweist, implementiert sein.
  • Anwendungen der mmWellen-Technologie können beispielsweise WiGig und zukünftig 5G enthalten, die mmWellen-Technologie kann jedoch auf eine Vielzahl von Telekommunikationssystemen anwendbar sein. Die mmWellen-Technologie kann insbesondere für Nahbereichs-Telekommunikationssysteme attraktiv sein. WiGig-Vorrichtungen arbeiten in dem nicht lizenzierten 60 GHz-Band, während erwartet wird, dass 5G-mmWelle anfangs in den lizenzierten 28 GHz- und 39 GHz-Bändern arbeitet. Ein Blockdiagramm eines beispielhaften Basisbandteilsystems 110 und RFEM 115 in einem mmWellen-System ist in 1A gezeigt.
  • 1A stellt ein mmWellen-System 100A, das in Verbindung mit der Vorrichtung 100 von 1 verwendet werden kann, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das System 100A enthält zwei Komponenten: ein Basisbandteilsystem 110 und ein oder mehrere Funk-Frontend-Module (RFEMs) 115. Das RFEM 115 kann mit dem Basisbandteilsystem 110 durch ein einzelnes Koaxialkabel 190 verbunden sein, das ein moduliertes Zwischenfrequenz- (IF-) Signal, Gleichstrom, Taktsignale und Steuersignale zuführt.
  • Das Basisbandteilsystem 110 ist nicht vollständig gezeigt, sondern 1A zeigt vielmehr eine Implementierung eines analogen Frontends. Dieses enthält einen Sender- (TX-) Abschnitt 191A mit einem Aufwärtsumsetzer 173 auf die Zwischenfrequenz (IF) (um 10 GHz in derzeitigen Implementierungen), einen Empfänger- (RX-) Abschnitt 191B mit Abwärtsumsetzung 175 von IF in das Basisband, Steuer- und Multiplexschaltung 177, die einen Kombinierer enthält, zum Multiplexen/Demultiplexen von Sende- und Empfangssignalen auf ein einziges Kabel 190. Zusätzlich ist eine Strom-T-Schaltung 192 (die diskrete Komponenten aufweist) auf der Basisbandleiterplatte enthalten, um Gleichstrom für das RFEM 115 bereitzustellen. In einigen Aspekten kann die Kombination des TX-Abschnitts und des RX-Abschnitts als ein Sendeempfänger bezeichnet sein, mit dem eine oder mehrere Antennen oder Antennengruppen der hier beschriebenen Typen gekoppelt sein können.
  • Das RFEM 115 kann eine kleine Leiterplatte sein, die eine Anzahl gedruckter Antennen und eine oder mehrere RF-Vorrichtungen, die mehrere Funkketten beinhalten, aufweist, die sowohl Aufwärtsumsetzung/Abwärtsumsetzung 174 auf Millimeterwellenfrequenzen, Leistungskombinierer/teiler 176, programmierbare Phasenverschiebung 178 und Leistungsverstärker (PA) 180, rauscharme Verstärker (LNA) 182 als auch Steuerungs- und Leistungsmanagementschaltung 184A und 184B enthalten. Diese Anordnung kann von Wi-Fi oder zellularen Implementierungen verschieden sein, die im Allgemeinen alle RF- und Basisbandfunktionalität in eine einzige Einheit integriert und nur Antennen, die entfernt über Koaxialkabel verbunden sind, aufweisen.
  • Dieser architektonische Unterschied kann durch sehr große Leistungsverluste in Koaxialkabeln an Millimeterwellenfrequenzen getrieben werden. Diese Leistungsverluste können die Sendeleistung an der Antenne reduzieren und die Empfangsempfindlichkeit reduzieren. Um dieses Problem zu vermeiden, können in einigen Aspekten die PAs 180 und LNAs 182 zu dem RFEM 115 mit integrierten Antennen verlagert werden. Zusätzlich kann das RFEM 115 Aufwärtsumsetzung/Abwärtsumsetzung 174 enthalten, so dass die IF-Signale über das Koaxialkabel 190 an einer niedrigeren Frequenz sein können. Zusätzlicher Systemkontext für mmWellen-5G-Einrichtungen, Techniken und Merkmale ist hier nachstehend diskutiert.
  • 2 stellt einen beispielhaften Basisstations- oder Infrastruktur-Equipment-Funkkopf gemäß einigen Aspekten dar. Der Basisstations-Funkkopf 200 kann eines oder mehrere aus einem Anwendungsprozessor 205, Basisbandprozessoren 210, ein oder mehrere Funk-Frontend-Module 215, Speicher 220, integrierte Energiemanagementschaltung (PMIC) 225, Leistungs-T-Schaltung 230, Netzsteuereinheit 235, Netzschnittstellenverbinder 240, Satellitennavigationsempfänger (z. B. GPS-Empfänger) 245 und Benutzerschnittstelle 250 enthalten.
  • In einigen Aspekten kann der Anwendungsprozessor 205 beispielsweise einen oder mehrere CPU-Kerne und eines oder mehrere aus Cache-Speicher, Spannungsregler mit geringem Ausfall (LDOs), Interrupt-Steuereinheiten, serielle Schnittstellen wie z. B. SPI, I2C oder universelle programmierbare serielle Schnittstelle, Echtzeittakt (RTC), Zeitgeber-Zähler, die Intervall- und Watchdog-Zeitgeber enthalten, Allzweck-IO, Speicherkarten-Steuereinheiten wie z. B. SD/MMC oder Ähnliches, USB-Schnittstellen, MIPI-Schnittstellen und „Joint Test Access Group“- (JTAG-) Testzugangsanschlüsse aufweisen.
  • In einigen Aspekten kann der Basisbandprozessor 210 beispielsweise als ein eingelötetes Substrat implementiert sein, das eine oder mehrere integrierte Schaltungen, eine einzelne paketierte integrierte Schaltung, die an eine Hauptplatine gelötet ist, und/oder ein Mehrchipteilsystem, das zwei oder mehr integrierte Schaltungen enthält, implementiert sein.
  • In einigen Aspekten kann der Speicher 220 eines oder mehrere aus flüchtigem Speicher, der dynamischen Direktzugriffsspeicher (DRAM) und/oder synchronen DRAM (SDRAM) enthält, und nichtflüchtigem Speicher (NVM), der elektrisch löschbaren Hochgeschwindigkeitsspeicher (gewöhnlich als Flash-Speicher bezeichnet), Phasenwechsel-Direktzugriffsspeicher (PRAM), magnetoresistiven Direktzugriffsspeicher (MRAM) und/oder einen dreidimensionalen Kreuzungspunktspeicher enthält. Der Speicher 220 kann als eines oder mehrere aus eingelöteten gepackten integrierten Schaltungen, gesockelten Speichermodulen und Einsteck-Speicherkarten implementiert sein.
  • In einigen Aspekten kann die integrierte Energiemanagementschaltung 225 eines oder mehrere aus Spannungsreglern, Überspannungsschutzeinrichtungen, Leistungsalarmdetektionsschaltung und eine oder mehrere Reservestromquellen wie z. B. eine Batterie oder einen Kondensator aufweisen. Die Leistungsalarmdetektionsschaltung kann einen oder mehrere aus Spannungseinbruchs- (Unterspannungs-) und Stromstoß-(Überspannungs-) Zuständen detektieren.
  • In einigen Aspekten kann die Leistungs-T-Schaltung 230 elektrische Leistung bereitstellen, die aus einem Netzkabel gezogen wird. Die Leistungs-T-Schaltung 230 kann sowohl Stromversorgung als auch Datenkonnektivität zu dem Basisstations-Funkkopf 200 unter Verwendung eines einzigen Kabels bereitstellen.
  • In einigen Aspekten kann die Netzsteuereinheit 23 5 Konnektivität zu einem Netz unter Verwendung eines Standard-Netzschnittstellenprotokolls wie z. B. Ethernet bereitstellen. Die Netzkonnektivität kann unter Verwendung einer physikalischen Verbindung, die eines aus elektrisch (gewöhnlich als KupferVerbindung bezeichnet), optisch oder drahtlos sein kann, bereitgestellt werden.
  • In einigen Aspekten kann der Satellitennavigationsempfänger 245 eine Schaltung aufweisen, um Signale zu empfangen und zu decodieren, die durch eine oder mehrere Navigationssatellitenkonstellationen wie z. B. das globale Positionsbestimmungssystem (GPS), das Globalnaya Navigationsnaya Sputnikovaya Sistema (GLONASS), Galileo und/oder BeiDou gesendet werden. Der Empfänger 245 kann für den Anwendungsprozessor 205 Daten bereitstellen, die eines oder mehrere aus Positionsdaten oder Zeitdaten aufweisen können. Zeitdaten können durch den Anwendungsprozessor 205 verwendet werden, um Operationen mit anderen Funkbasisstationen oder Infrastruktur-Equipment zu synchronisieren.
  • In einigen Aspekten kann die Benutzerschnittstelle 250 eine oder mehrere Tasten enthalten. Die Tasten können eine Rücksetztaste enthalten. Die Benutzerschnittstelle 250 kann außerdem ein oder mehrere Kennzeichen wie z. B. LEDs und einen Anzeigebildschirm aufweisen.
  • 3A stellt eine beispielhafte mmWellen-Kommunikationsschaltung gemäß einigen Aspekten dar; die 3B und 3C stellen Aspekte der in 3A gezeigten Sendeschaltung gemäß einigen Aspekten dar; 3D stellt Aspekte der in 3A gezeigten Hochfrequenzschaltung gemäß einigen Aspekten dar; 3E stellt Aspekte der Empfangsschaltung in 3A gemäß einigen Aspekten dar. Die in 3A gezeigte Millimeterwellenkommunikationsschaltung 300 kann alternativ gemäß den Funktionen gruppiert sein. Die in 3A dargestellten Komponenten sind hier zu anschaulichen Zwecken vorgesehen und können andere Komponenten aufweisen, die in 3A nicht gezeigt sind.
  • Die Millimeterwellenkommunikationsschaltung 300 kann eine(n) Protokollverarbeitungsschaltung 305 (oder -prozessor) oder andere Mittel zum Verarbeiten aufweisen. Die Protokollverarbeitungsschaltung 305 kann unter anderem eine oder mehrere aus Medienzugangssteuerungs- (MAC-), Funkstreckensteuerungs- (RLC-), Paketdatenkonvergenzprotokoll- (PDCP-), Funkbetriebsmittelsteuerungs- (RRC-) und Nichtzugangsschicht- (NAS-) Funktionen aufweisen. Die Protokollverarbeitungsschaltung 305 kann einen oder mehrere Verarbeitungskerne zum Ausführen von Anweisungen und eine oder mehrere Speicherstrukturen zum Steuern von Programm- und Dateninformationen aufweisen.
  • Die Millimeterwellenkommunikationsschaltung 300 kann ferner eine digitale Basisbandschaltung 310 enthalten. Die digitale Basisbandschaltung 310 kann Bitübertragungsschicht- (PHY-) Funktionen implementieren, die eines oder mehrere aus hybridautomatischen Wiederholungsanforderungs- (HARQ-) Funktionen, Verwürfelung und/oder Entwürfelung, Codierung und/oder Decodierung, Schichtabbildung und/oder -rückabbildung, Modulationssymbolabbildung, Bestimmung empfangener Symbole und/oder Bit-Metrik, Mehrantennenanschlussvorcodierung und/oder -decodierung, die eines oder mehrere aus Raum-Zeit-, Raum-Frequenz- oder räumlicher Codierung enthalten kann, Referenzsignalerzeugung und/oder -detektion, Vorspannsequenzerzeugung und/oder -decodierung, Synchronisationssequenzerzeugung und/oder -detektion, Steuerkanalsignal-Blinddecodierung und andere zugehörige Funktionen enthalten.
  • Die Millimeterwellenkommunikationsschaltung 300 kann ferner eine Sendeschaltung 315, eine Empfangsschaltung 320 und/oder eine Antennengruppenschaltung 330 aufweisen. Die Millimeterwellenkommunikationsschaltung 300 kann ferner eine RF-Schaltung 325 enthalten. In einigen Aspekten kann die RF-Schaltung 325 eine oder mehrere parallele RF-Ketten zum Senden und/oder Empfangen aufweisen. Jede der RF-Ketten kann mit einer oder mehreren Antennen der Antennengruppenschaltung 330 verbunden sein.
  • In einigen Aspekten kann die Protokollverarbeitungsschaltung 305 eine oder mehrere Instanzen der Steuerschaltung enthalten. Die Steuerschaltung kann Steuerfunktionen für eine oder mehrere aus der digitalen Basisbandschaltung 310, der Sendeschaltung 315, der Empfangsschaltung 320 und/oder der RF-Schaltung 325 bereitstellen.
  • Die 3B und 3C stellen Aspekte der in 3A gezeigten Sendeschaltung gemäß einigen Aspekten dar. Die in 3B gezeigte Sendeschaltung 315 kann eines oder mehrere aus Digital/Analog-Umsetzern (DACs) 340, analoger Basisbandschaltung 345, Aufwärtsumsetzungsschaltung 350 und/oder Filter- und Verstärkungsschaltung 355 aufweisen. Die DACs 340 können digitale Signale in analoge Signale umsetzen. Die analoge Basisbandschaltung 345 kann mehrere Funktionen wie nachstehend angegeben ausführen. Die Aufwärtsumsetzungsschaltung 350 kann Basisbandsignale aus der analogen Basisbandschaltung 345 auf RF-Frequenzen (z. B. mmWellen-Frequenzen) aufwärtsumsetzen. Die Filter- und Verstärkungsschaltung 355 kann analoge Signale filtern und verstärken. Steuersignale können zwischen der Protokollverarbeitungsschaltung 305 und einem oder mehreren aus den DACs 340, der analogen Basisbandschaltung 345, der Aufwärtsumsetzungsschaltung 350 und/oder der Filter- und Verstärkungsschaltung 355 zugeführt werden.
  • Die in 3C gezeigte Sendeschaltung 315 kann eine digitale Sendeschaltung 365 und eine RF-Schaltung 370 aufweisen. In einigen Aspekten können Signale aus der Filter- und Verstärkungsschaltung 355 für die digitale Sendeschaltung 365 bereitgestellt werden. Wie vorstehend können Steuersignale zwischen der Protokollverarbeitungsschaltung 305 und einer oder mehreren aus der Sendeschaltung 365 und der RF-Schaltung 370 zugeführt werden.
  • 3D stellt Aspekte der in 3A gezeigten Hochfrequenzschaltung gemäß einigen Aspekten dar. Die Hochfrequenzschaltung 325 kann eine oder mehrere Instanzen einer Funkkettenschaltung 372 aufweisen, die in einigen Aspekten einen oder mehrere Filter, Leistungsverstärker, rauscharme Verstärker, programmierbare Phasenschieber und Stromversorgungen aufweisen können.
  • Die Hochfrequenzschaltung 325 kann in einigen Aspekten außerdem eine Leistungskombinierungs- und -teilungsschaltung 374 aufweisen. In einigen Aspekten kann die Leistungskombinierungs- und -teilungsschaltung 374 bidirektional arbeiten, so dass dieselbe physikalische Schaltung konfiguriert sein kann, als ein Leistungsteiler zu arbeiten, wenn die Vorrichtung sendet, und als ein Leistungskombinierer zu arbeiten, wenn die Vorrichtung empfängt. In einigen Aspekten kann die Leistungskombinierungs- und -teilungsschaltung 374 eine oder mehrere vollständig oder teilweise separate Schaltungen aufweisen, um Leistungsteilung auszuführen, wenn die Vorrichtung sendet, und Leistungskombinierung auszuführen, wenn die Vorrichtung empfängt. In einigen Aspekten kann die Leistungskombinierungs- und -teilungsschaltung 374 eine passive Schaltung aufweisen, die einen oder mehrere Zweiwege-Leistungsteiler/kombinierer aufweist, die in einem Baum angeordnet sind. In einigen Aspekten kann die Leistungskombinierungs- und -teilungsschaltung 374 eine aktive Schaltung aufweisen, die Verstärkerschaltungen aufweist.
  • In einigen Aspekten kann die Hochfrequenzschaltung 325 mit der Sendeschaltung 315 und der Empfangsschaltung 320 in 3A verbunden sein. Die Hochfrequenzschaltung 325 kann mit der Sendeschaltung 315 und der Empfangsschaltung 320 über eine oder mehrere Funkkettenschnittstellen 376 und/oder eine kombinierte Funkkettenschnittstelle 378 verbunden sein. In einigen Aspekten können eine oder mehrere Funkkettenschnittstellen 376 eine oder mehrere Schnittstellen für eines oder mehrere aus Empfangen oder Senden von Signalen, von denen jede einer einzelnen Antennenstruktur zugeordnet ist, bereitstellen. In einigen Aspekten kann die kombinierte Funkkettenschnittstelle 378 eine einzige Schnittstelle für eines oder mehrere aus Empfangen oder Senden von Signalen, von denen jede einer Gruppe von Antennenstrukturen zugeordnet ist, bereitstellen.
  • 3E stellt Aspekte der Empfangsschaltung in 3A gemäß einigen Aspekten dar. Die Empfangsschaltung 320 kann eine oder mehrere einer parallelen Empfangsschaltung 382 und/oder eine oder mehrere einer kombinierten Empfangsschaltung 384 aufweisen. In einigen Aspekten können die eine oder die mehreren parallelen Empfangsschaltungen 382 und die eine oder mehreren kombinierten Empfangsschaltungen 384 eines oder mehrere aus einer Zwischenfrequenz- (IF-) Abwärtsumsetzungsschaltung 386, IF-Verarbeitungsschaltung 388, Basisbandabwärtsumsetzungsschaltung 390, Basisbandverarbeitungsschaltung 392 und Analog/Digital-Umsetzer- (ADC-) Schaltung 394 aufweisen. Wie er hier verwendet ist, bezieht sich der Begriff „Zwischenfrequenz“ auf eine Frequenz, auf die eine Trägerfrequenz (oder ein Frequenzsignal) als ein Zwischenschritt bei Senden, Empfangen und/oder Signalverarbeitung verschoben wird. Die IF-Abwärtsumsetzungsschaltung 386 kann empfangene RF-Signale auf die IF umsetzen. Die IF-Verarbeitungsschaltung 388 kann die IF-Signale verarbeiten, z. B. über Filtern und Verstärkung. Die Basisbandabwärtsumsetzungsschaltung 390 kann die Signale aus der IF-Verarbeitungsschaltung 388 auf das Basisband umsetzen. Die Basisbandverarbeitungsschaltung 392 kann die Basisbandsignale verarbeiten, z. B. über Filtern und Verstärkung. Die ADC-Schaltung 394 kann die verarbeiteten analogen Basisbandsignale in digitale Signale umsetzen.
  • 4 stellt die beispielhafte RF-Schaltung von 3A gemäß einigen Aspekten dar. In einem Aspekt kann die RF-Schaltung 325 in 3A (in 4 unter Verwendung des Bezugszeichens 425 abgebildet) eines oder mehrere aus der IF-Schnittstellenschaltung 405, der Filterschaltung 410, der Aufwärtsumsetzungs- und Abwärtsumsetzungsschaltung 415, der Synthesizer-Schaltung 420, der Filter- und Verstärkungsschaltung 424, der Leistungskombinierungs- und -teilungsschaltung 430 und der Funkkettenschaltung 435 aufweisen.
  • 5A und 5B stellen Aspekte eines Funk-Frontend-Moduls, das in der in 1 und 2 gezeigten Schaltung verwendet werden kann, gemäß einigen Aspekten dar. 5A stellt einen Aspekt eines Funk-Frontend-Moduls (RFEM) gemäß einigen Aspekten dar. Das RFEM 500 integriert ein Millimeterwellen-RFEM 505 und eine oder mehrere integrierte Hochfrequenzschaltungen 515 oberhalb von sechs Gigahertz (Oberhalb-sechs-Gigahertz-RFICs) und/oder einige oder mehrere Unterhalb-sechs-Gigahertz-RFICs 522. In diesem Aspekt können die eine oder mehreren Unterhalb-sechs-Gigahertz-RFICs 515 und/oder eine oder mehreren Unterhalb-sechs-Gigahertz-RFICs 522 von dem Millimeterwellen-RFEM 505 physikalisch getrennt sein. Die RFICs 515 und 522 können eine Verbindung zu einer oder mehreren Antennen 520 aufweisen. Das RFEM 505 kann mehrere Antennen 510 aufweisen.
  • 5B stellt einen alternativen Aspekt eines Funk-Frontend-Moduls gemäß einigen Aspekten dar. In diesem Aspekt können sowohl Millimeterwellen- als auch Unterhalb-sechs-Gigahertz-Funkfunktionen in demselben physikalischen Funk-Frontend-Modul (RFEM) 530 implementiert sein. Das RFEM 530 kann sowohl Millimeterwellenantennen 535 als auch Unterhalb-sechs-Gigahertz-Antennen 540 integrieren.
  • 6 stellt einen Mehrprotokoll-Basisbandprozessor 600, der in dem/der in 1 oder 2 gezeigten System und Schaltung verwendet werden kann, gemäß einigen Aspekten dar. In einem Aspekt kann der Basisbandprozessor ein oder mehrere digitale Basisbandteilsysteme 640A, 640B, 640C, 640D enthalten, hier auch gemeinsam als digitale Basisbandteilsysteme 640 bezeichnet, aufweisen.
  • In einem Aspekt können das eine oder die mehreren digitalen Basisbandteilsysteme 640A, 640B, 640C, 640D über ein Verbindungsteilsystem 665 mit einem oder mehreren aus einem CPU-Teilsystem 670, einem Audioteilsystem 675 und einem Schnittstellenteilsystem 680 gekoppelt sein. In einem Aspekt können das eine oder die mehreren digitalen Basisbandteilsysteme 640 über das Verbindungsteilsystem 645 mit einem oder mehreren aus jedem aus der digitalen Basisbandschnittstelle 660A, 660B und dem Mischsignal-Basisbandteilsystem 635A, 635B gekoppelt sein.
  • In einem Aspekt kann das Verbindungsteilsystem 665 und 645 jeweils eines oder mehrere jeweils aus Bus-Punkt-zu-Punkt-Verbindungen und Netz-auf-Chip- (NOC-) Strukturen aufweisen. In einem Aspekt kann das Audioteilsystem 675 eines oder mehrere aus digitaler Signalverarbeitungsverarbeitungsschaltung, Pufferspeicher, Programmspeicher, Sprachverarbeitungsbeschleunigerschaltung, Datenumsetzerschaltung wie z. B. Analog/Digital- und Digital/Analog-Umsetzerschaltung und analoger Schaltung, die einen oder mehrere Verstärker und Filter aufweist, aufweisen.
  • 7 stellt ein Beispiel eines Mischsignal-Basisbandteilsystems 700 gemäß einigen Aspekten dar. In einem Aspekt kann das Mischsignal-Basisbandteilsystem 700 eines oder mehrere aus einer IF-Schnittstelle 705, einem analogen IF-Teilsystem 710, einem Abwärtsumsetzer- und Aufwärtsumsetzer-Teilsystem 720, einem analogen Basisbandteilsystem 730, einem Datenumsetzerteilsystem 735, einem Synthesizer 725 und einem Steuerteilsystem 740 aufweisen.
  • 8A stelle ein digitales Basisbandverarbeitungsteilsystem 801 gemäß einigen Aspekten dar. 8B stellt einen alternativen Aspekt eines digitalen Basisbandverarbeitungsteilsystems 802 gemäß einigen Aspekten dar.
  • In einem Aspekt von 8A kann das digitale Basisbandverarbeitungsteilsystem 801 eines oder mehrere von jedem aus einem digitalen Signalprozessor- (DSP-) Teilsystem 805A, 805B, ...805N, einem Verbindungsteilsystem 835, einem Boot-Laderteilsystem 810, einem gemeinsam verwendeten Speicherteilsystem 815, einem digitalen I/O-Teilsystem 820 und einem digitalen Basisbandschnittstellenteilsystem 825 aufweisen.
  • In einem Aspekt von 8B kann das digitale Basisbandverarbeitungsteilsystem 802 eines oder mehrere von jedem aus einem Beschleunigerteilsystem 845A, 845B, ... 845N, einem Pufferspeicher 850A, 850B, ... 850N, einem Verbindungsteilsystem 835, einem gemeinsam verwendeten Speicherteilsystem 815, einem digitalen I/O-Teilsystem 820, einem Steuereinheitteilsystem 840 und einem digitalen Basisbandschnittstellenteilsystem 825 aufweisen.
  • In einem Aspekt kann das Boot-Laderteilsystem 810 digitale Logikschaltung aufweisen, die konfiguriert ist, die Konfiguration des Programmspeichers und des Ablaufstatus, der jedem der einen oder mehreren DSP-Teilsysteme 805 zugeordnet ist, auszuführen. Die Konfiguration des Programmspeichers jeder des einen oder der mehreren DSP-Teilsysteme 805 kann das Laden von ausführbarem Programmcode aus einem Speicher außerhalb der digitalen Basisbandverarbeitungsteilsysteme 801 und 802 enthalten. Die Konfiguration des Ablaufstatus, der jedem des einen oder der mehreren DSP-Teilsysteme 805 zugeordnet ist, kann einen oder mehrere aus den folgenden Schritten enthalten: Einstellen des Zustands wenigstens eines DSP-Kerns, der in jedes des einen oder der mehreren DSP-Teilsysteme 805 integriert sein kann, auf einen Zustand, in dem er nicht abläuft, und Einstellen des Zustands wenigstens eines DSP-Kerns, der in jedes des einen oder der mehreren DSP-Teilsysteme 805 integriert sein kann, in einen Zustand, in dem er mit dem Ausführen von Programmcode beginnend ab einem vordefinierten Speicherort beginnt.
  • In einem Aspekt kann das gemeinsam verwendete Speicherteilsystem 815 einen oder mehrere aus Festwertspeicher (ROM), statischem Direktzugriffsspeicher (SRAM), eingebettetem dynamischem Direktzugriffsspeicher (eDRAM) und/oder nichtflüchtigem Direktzugriffsspeicher (NVRAM) aufweisen.
  • In einem Aspekt kann das digitale I/O-Teilsystem 820 eine oder mehrere serielle Schnittstellen wie z. B. eine Inter-integrierte Schaltung (I2C), eine serielle periphere Schnittstelle (SPI) oder andere serielle 1-, 2- oder 3-Draht-Schnittstellen, parallele Schnittstellen wie z. B. Allzweck-Eingabe-Ausgabe (GPIO), Registerzugriffsschnittstellen und Speicherdirektzugriff (DMA) aufweisen. In einem Aspekt kann eine Registerzugriffsschnittstelle, die in einem digitalen I/O-Teilsystem 820 implementiert ist, einem Mikroprozessor außerhalb des digitalen Basisbandverarbeitungsteilsystems 801 ermöglichen, eines oder mehrere aus Steuer- und Datenregister und Speicher zu lesen oder zu beschreiben. In einem Aspekt kann die DMA-Logikschaltung, die in dem digitalen I/O-Teilsystem 820 implementiert ist, die Übertragung zusammenhängender Datenblöcke zwischen Speicherorten, die Speicherorte innerhalb und außerhalb des digitalen Basisbandverarbeitungsteilsystems 801 enthalten, ermöglichen.
  • In einem Aspekt kann das digitale Basisbandschnittstellenteilsystem 825 die Übertragung digitaler Basisbandabtastwerte zwischen dem Basisbandverarbeitungsteilsystem und der Mischsignalbasisband- oder Hochfrequenzschaltung außerhalb des digitalen Basisbandverarbeitungsteilsystems 801 bereitstellen. In einem Aspekt können die durch das digitale Basisbandschnittstellenteilsystem 825 übertragenen digitalen Basisbandabtastwerte In-Phasen- und Quadratur- (I/Q-) Abtastwerte aufweisen.
  • In einem Aspekt kann das Steuereinheitteilsystem 840 eines oder mehrere von jedem aus Steuer- und Statusregistern und Steuerungszustandsautomaten enthalten. In einem Aspekt kann auf die Steuer- und Statusregister über eine Registerschnittstelle zugegriffen werden, und sie können eines oder mehrere aus dem Folgenden bereitstellen: Starten und Anhalten des Betriebs der Steuerungszustandsautomaten, Zurücksetzen der Steuerungszustandsautomaten auf einen Standardzustand, Konfigurieren optionaler Verarbeitungsmerkmale und/oder Konfigurieren der Erzeugung von Interrupts und Berichten des Status von Operationen. In einem Aspekt kann jeder aus dem einen oder den mehreren Steuerungszustandsautomaten die Folge von Operationen jedes aus dem einen oder den mehreren Beschleunigerteilsystemen 845 steuern. Es können Beispiele von Implementierungen sowohl von 8A als auch von 8B in demselben Basisbandteilsystem vorhanden sein.
  • 9 stellt ein digitales Signalprozessor-Teilsystem (DSP-Teilsystem) 900 gemäß einigen Aspekten dar.
  • In einem Aspekt kann das DSP-Teilsystem 900 eines oder mehrere von jedem aus einem DSP-Kernteilsystem 905, einem lokalen Speicher 910, einem Speicherdirektzugriff- (DMA-) Teilsystem 915, einem Beschleunigerteilsystem 920A, 920B...920N, einem externen Schnittstellenteilsystem 925, einer Leistungsmanagementschaltung 930 und einem Verbindungsteilsystem 935 aufweisen.
  • In einem Aspekt kann der lokale Speicher 910 eines oder mehrere von jedem aus einem Festwertspeicher, einem statischen Direktzugriffsspeicher oder einem eingebetteten dynamischen Direktzugriffsspeicher aufweisen.
  • In einem Aspekt kann das DMA-Teilsystem 915 Register und eine Steuerungszustandsautomatenschaltung bereitstellen, die ausgelegt sind, Datenblöcke zwischen Speicherorten, die Speicherorte innerhalb und außerhalb des DSP-Teilsystems 900 enthalten, zu übertragen.
  • In einem Aspekt kann das externe Schnittstellenteilsystem 925 den Zugriff durch ein Mikroprozessorsystem außerhalb des DSP-Teilsystems 900 auf einen oder mehrere aus dem Speicher, den Steuerregistern und Statusregistern, die in dem DSP-Teilsystem 900 implementiert sein können, bereitstellen. In einem Aspekt kann das externe Schnittstellenteilsystem 925 die Übertragung von Daten zwischen dem lokalen Speicher 910 und einem Speicher außerhalb des DSP-Teilsystems 900 unter der Steuerung eines oder mehrerer aus dem DMA-Teilsystem 915 und dem DSP-Kernteilsystem 905 bereitstellen.
  • 10A stellt ein Beispiel eines Beschleunigerteilsystems 1000 gemäß einigen Aspekten dar. 10B stellt ein Beispiel eines Beschleunigerteilsystems 1000 gemäß einigen Aspekten dar.
  • In einem Aspekt kann das Beschleunigerteilsystem 1000 eines oder mehrere von jedem aus einem Steuerungszustandsautomaten 1005, Steuerregistern 1010, einer Speicherschnittstelle 1020, einem Notizblockspeicher 1025, einer Berechnungs-Engine 1030A... 1030N und einer Datenflussschnittstelle 1035A, 1035B aufweisen.
  • In einem Aspekt können die Steuerregister 1010 den Betrieb des Beschleunigerteilsystems 1000 konfigurieren und steuern, der eines oder mehrere aus dem Folgenden enthalten kann: Aktivieren oder Deaktivieren des Betriebs mit Hilfe eines Aktivierungsregister-Bit, Anhalten einer prozessinternen Operation durch Schreiben in ein Anhalteregister-Bit, Bereitstellen von Parametern zum Konfigurieren von Berechnungsoperationen, Bereitstellen von Speicheradresseninformationen, um den Ort einer oder mehrerer Steuer- und Datenstrukturen zu identifizieren, Konfigurieren der Erzeugung von Interrupts und andere Steuerfunktionen.
  • In einem Aspekt kann der Steuerungszustandsautomat 1005 die Folge von Operationen des Beschleunigerteilsystems 1000 steuern.
  • Die 11A-11D stellen Rahmenformate gemäß einigen Aspekten dar.
  • 11A stellt eine periodische Funkrahmenstruktur 1100 gemäß einigen Aspekten dar. Die Funkrahmenstruktur 1100 weist eine vorbestimmte Dauer auf und wiederholt sich periodisch mit einem Wiederholungsintervall gleich der vorbestimmten Dauer. Die Funkrahmenstruktur 1100 ist in zwei oder mehr Unterrahmen 1105 aufgeteilt. In einem Aspekt können die Unterrahmen 1105 von einer vorbestimmten Dauer sein, die ungleich sein kann. In einem alternativen Aspekt können die Unterrahmen 1105 von einer Dauer sein, die dynamisch bestimmt wird und zwischen aufeinanderfolgenden Wiederholungen der Funkrahmenstruktur 1100 variiert.
  • 11B stellt eine periodische Funkrahmenstruktur unter Verwendung von Frequenzduplex (FDD) gemäß einigen Aspekten dar. In einem Aspekt von FDD wird eine Abwärtsstreckenfunkrahmenstruktur 1110 durch eine Basisstation oder ein Infrastruktur-Equipment zu einer oder mehreren mobilen Vorrichtungen gesendet, und eine Aufwärtsstreckenfunkrahmenstruktur 1115 wird durch eine Kombination aus einer oder mehreren mobilen Vorrichtungen zu einer Basisstation gesendet.
  • Ein weiteres Beispiel einer Funkrahmenstruktur, die in einigen Aspekten verwendet sein kann, ist in 11D gezeigt. In diesem Beispiel weist der Funkrahmen 1100 eine Dauer von 10 ms auf. Der Funkrahmen 1100 ist in Schlitze 1125, 1135 aufgeteilt, jeder mit einer Dauer von 0,1 ms und nummeriert von 0 bis 99. Zusätzlich ist jedes Paar benachbarter Schlitze 1125, 1135, die als 2i und 2i+l nummeriert sind, wobei i eine Ganzzahl ist, als ein Unterrahmen bezeichnet.
  • In einigen Aspekten können Zeitintervalle in Einheiten von Ts , wobei Ts als 1/(75.000 × 2048) Sekunden definiert ist, repräsentiert sein. In 11D ist ein Funkrahmen so definiert, dass er eine Dauer 1.536.600xTs aufweist, und ein Schlitz ist so definiert, dass er eine Dauer 15.366xTs aufweist.
  • In einigen Aspekten, die das Funkrahmenformat von 11D verwenden, kann jeder Unterrahmen eine Kombination aus einem oder mehreren aus Abwärtsstreckensteuerinformationen, Abwärtsstreckendateninformationen, Aufwärtsstreckensteuerinformationen und/oder Aufwärtsstreckendateninformationen aufweisen. Die Kombination aus Informationstypen und Richtung kann unabhängig für jeden Unterrahmen ausgewählt werden.
  • Ein Beispiel einer Funkrahmenstruktur, die in einigen Aspekten verwendet werden kann, ist in 11E gezeigt, die einen Abwärtsstreckenrahmen 1150 und einen Aufwärtsstreckenrahmen 1155 darstellt. Gemäß einigen Aspekten können der Abwärtsstreckenrahmen 1150 und der Aufwärtsstreckenrahmen 1155 eine Dauer von 10 ms aufweisen, und der Aufwärtsstreckenrahmen 1155 kann mit einem Zeitvorlauf 1160 in Bezug auf den Abwärtsstreckenrahmen 1150 gesendet werden.
  • Gemäß einigen Aspekten können der Abwärtsstreckenrahmen 1150 und der Aufwärtsstreckenrahmen 1155 jeweils in zwei oder mehr Unterrahmen 1165, deren Dauer 1 ms sein kann, aufgeteilt sein. Gemäß einigen Aspekten kann jeder Unterrahmen 1165 aus einem oder mehreren Schlitzen 1170 bestehen.
  • In einigen Aspekten können gemäß den Beispielen von 11D und 11E Zeitintervalle in Einheiten von Ts repräsentiert sein.
  • Gemäß einigen Aspekten des in 11D dargestellten Beispiels kann Ts als 1/(30.720 × 1000) Sekunden definiert sein. Gemäß einigen Aspekten von 11D kann ein Funkrahmen so definiert sein, dass er eine Dauer von 30.720. Ts, aufweist, und ein Schlitz kann definiert sein, dass er eine Dauer von 15.360. Ts aufweist.
  • Gemäß einigen Aspekten des in 11E dargestellten Beispiels kann Ts =1/(Δfmax. Nf) definiert sein, mit Δfmax = 480 × 103 und Nf = 4.096.
  • Gemäß einigen Aspekten des in 11E dargestellten Beispiels kann die Anzahl von Schlitzen basierend auf einem Numerologieparameter bestimmt werden, der sich auf einen Frequenzabstand zwischen Unterträgern eines Mehrträgersignals, das zum Senden verwendet wird, bezieht.
  • 12A bis 12C stellen Beispiele von Konstellationskonstruktionen eines Einzelträgermodulationsschemas, das gesendet oder empfangen werden kann, gemäß einigen Aspekten dar. Die Konstellationspunkte 1200 sind auf orthogonalen In-Phasen- und Quadratur-Achsen gezeigt, die jeweils Amplituden von Sinuskurven an der Trägerfrequenz repräsentieren und in der Phase um 90 Grad voneinander getrennt sind.
  • 12A repräsentiert eine Konstellation, die zwei Punkte 1200 aufweist, die als Zweiphasenumtastung (BPSK) bekannt ist. 12B repräsentiert eine Konstellation, die vier Punkte 1200 aufweist, die als Quadratur-Phasenumtastung (QPSK) bekannt ist. 12C repräsentiert eine Konstellation, die 16 Punkte 1200 aufweist, die als Quadratamplitudenmodulation (QAM) mit 16 Punkten (16QAM oder QAM16) bekannt ist. Konstellationen für Modulation höherer Ordnung, die beispielsweise 64, 256 oder 1024 Punkte umfassen, können ähnlich konstruiert sein.
  • In den in den 12A-12C abgebildeten Konstellationen sind den Punkten 1200 der Konstellation Binärcodes 1220 zugewiesen unter Verwendung eines Schemas, so dass den nächstgelegenen Nachbarpunkten 1200, das heißt Paare von Punkten 1200, die voneinander durch den kleinsten Euklidischen Abstand getrennt sind, ein Binärcode 1220 zugewiesenen ist, der sich um nur eine Binärziffer unterscheidet. Beispielsweise weist in 12C der Punkt mit dem zugewiesenen Code 1000 die nächsten Nachbarpunkte mit den zugewiesenen Codes 1001, 0000, 1100 und 1010 auf, die sich jeweils von 1000 nur um ein Bit unterscheiden.
  • 13A bis 13B stellen Beispiele von alternativen Konstellationskonstruktionen eines Einzelträgermodulationsschemas, das gesendet und empfangen werden kann, gemäß einigen Aspekten dar. Die Konstellationspunkte 1300 und 1315 von 13A sind auf orthogonalen In-Phasen- und Quadratur-Achsen gezeigt, die jeweils Amplituden von Sinuskurven an der Trägerfrequenz repräsentieren und in der Phase um 90 Grad voneinander getrennt sind.
  • In einem Aspekt können die Konstellationspunkte 1300 des Beispiels, das in 13A dargestellt ist, in einem Quadratgitter angeordnet sein und können so angeordnet sein, dass ein gleicher Abstand auf der phasengleichen und Quadratur-Ebene zwischen jedem Paar nächster Nachbar-Konstellationspunkte vorhanden ist. In einem Aspekt können die Konstellationspunkte 1300 so gewählt sein, dass ein vorbestimmter maximaler Abstand von dem Ursprung der phasengleichen und Quadratur-Ebene jeder der erlaubten Konstellationspunkte vorhanden ist, wobei der maximale Abstand durch einen Kreis 1310 repräsentiert ist. In einem Aspekt kann die Menge erlaubter Konstellationspunkte diejenigen ausschließen, die in die quadratischen Bereiche 1305 an den Ecken eines Quadratgitters fallen würden.
  • Die Konstellationspunkte 1300 und 1315 von 13B sind auf orthogonalen phasengleichen und Quadratur-Achsen gezeigt, die jeweils Amplituden von Sinuskurven an der Trägerfrequenz repräsentieren und in der Phase um 90 Grad voneinander getrennt sind. In einem Aspekt sind die Konstellationspunkte 1315 in zwei oder mehr Mengen von Konstellationspunkten gruppiert, wobei die Punkte jeder Menge so angeordnet sind, dass sie einen gleichen Abstand zu dem Ursprung der phasengleichen und Quadratur-Ebene aufweisen und auf einem aus einer Menge von Kreisen 1320, deren Mittelpunkt auf dem Ursprung ist, liegen.
  • 14 stellt ein Beispiel eines Systems zum Erzeugen von Mehrträger-Basisbandsignalen zum Senden gemäß einigen Aspekten dar. In dem Aspekt können Daten 1430 in einen Codierer 1400 eingegeben werden, um codierte Daten 1435 zu erzeugen. Der Codierer 1400 kann eine Kombination aus einem oder mehreren von Fehlerdetektieren, Fehlerkorrigieren, Ratenanpassen und Verschachteln ausführen. Der Codierer 1400 kann ferner einen Schritt zum Verwürfeln ausführen.
  • In einem Aspekt können die codierten Daten 1435 in eine Modulationsabbildungseinheit 1405 eingegeben werden, um komplexwertige Modulationssymbole 1440 zu erzeugen. Die Modulationsabbildungseinheit 1405 kann Gruppen, die eine oder mehrere Binärziffern enthalten, die aus codierten Daten 1435 ausgewählt sind, auf komplexwertige Modulationssymbole gemäß einer oder mehreren Abbildungstabellen abbilden.
  • In einem Aspekt können die komplexwertigen Modulationssymbole 1440 in eine Schichtabbildungseinheit 1410 eingegeben werden, um auf eine oder mehrere auf Schichten abgebildete Modulationssymbolströme 1445 abgebildet zu werden. Bei Repräsentieren eines Stroms komplexwertiger Modulationssymbole 1440 als d(i), wobei i einen Folgenummerindex repräsentiert, und des einen oder der mehreren Ströme 1445 von auf Schichten abgebildeten Symbolen als x(k)(i), wobei k einen Stromnummerindex repräsentiert und i einen Folgenummerindex repräsentiert, kann die Schichtabbildungsfunktion für eine einzelne Schicht ausgedrückt sein als: x ( 0 ) ( i ) = d ( i )
    Figure DE112017006442T5_0001
    und die Schichtabbildung für zwei Schichtenkann ausgedrückt sein als: x ( 0 ) ( i ) = d ( 2 i )
    Figure DE112017006442T5_0002
    x ( 1 ) ( i ) = d ( 2 i + 1 )
    Figure DE112017006442T5_0003
  • Die Schichtabbildung kann auf ähnliche Weise für mehr als zwei Schichten repräsentiert sein.
  • In einem Aspekt können ein oder mehrere Ströme von auf Schichten abgebildeten Modulationssymbolströmen 1445 in einen Vorcodierer 1415 eingegeben werden, der einen oder mehrere Ströme vorcodierter Symbole 1450 erzeugt. Das Repräsentieren des einen oder der mehreren Ströme 1445 von auf Schichten abgebildeten Symbolen als einen Block von Vektoren: [ x ( 0 ) ( i ) x ( v 1 ) ( i ) ] T
    Figure DE112017006442T5_0004
    wobei i einen Folgenummerindex des Bereichs 0 bis M symb layer 1
    Figure DE112017006442T5_0005
    repräsentiert und die Ausgabe als ein Block von Vektoren repräsentiert ist: [ z ( 0 ) ( i ) z ( P 1 ) ( i ) ] T
    Figure DE112017006442T5_0006
    wobei i einen Folgenummerindex in dem Bereich 0 bis M symb ap 1
    Figure DE112017006442T5_0007
    repräsentiert.
  • Die Vorcodierungsoperation kann so konfiguriert sein, dass sie eines aus direkter Abbildung unter Verwendung eines einzelnen Antennenanschlusses, Sende-Diversity unter Verwendung von Raum-Zeit-Blockcodierung oder räumliches Multiplexen enthält.
  • In einem Aspekt kann jeder Strom vorcodierter Symbole 1450 in eine Betriebsmittelabbildungseinheit 1420 eingegeben werden, die einen Strom von auf Betriebsmitteln abgebildeten Symbolen 1455 erzeugt. Die Betriebsmittelabbildungseinheit 1420 kann vorcodierte Symbole auf Frequenzdomänen-Unterträger und Zeitdomänen-Symbole gemäß einer Abbildung, die Abbildung auf zusammenhängende Blöcke, zufällige Abbildung oder dünn besetzte Abbildung gemäß einem Abbildungscode enthalten kann, abbilden.
  • In einem Aspekt können auf Betriebsmittel abgebildete Symbole 1455 in einen Mehrträgergenerator 1425 eingegeben werden, der das Zeitdomänen-Basisbandsymbol 1460 erzeugt. Der Mehrträgergenerator 1425 kann Zeitdomänensymbole unter Verwendung beispielsweise einer inversen diskreten Fourier-Transformation (DFT), die gewöhnlich als eine inverse schnelle Fourier-Transformation (FFT) implementiert ist, oder einer Filterbank, die einen oder mehrere Filter aufweist, erzeugen. In einem Aspekt, in dem die auf Betriebsmittel abgebildeten Symbole 1455 als sk(i) repräsentiert sind, wobei k ein Unterträgerindex ist und i ein Symbolnummernindex ist, kann ein komplexes Zeitdomänen-Basisbandsymbol x(t) als x(t) = ∑k sk (i)pT(t - Tsym)exp[j2πfk(t - Tsym - τk)] repräsentiert sein, wobei pT(t) eine Prototypfilterfunktion ist, Tsym die Startzeit der Symbolperiode ist, τk eine unterträgerunabhängiger Zeitversatz ist und fk die Frequenz des Unterträgers k ist.
  • Prototypfunktionen pT(t) können beispielsweise Zeitdomänen-Rechteckimpulse, Gaußsche Zeitdomänenimpulse oder irgendeine andere geeignete Funktion sein.
  • In einigen Aspekten kann eine Unterkomponente eines gesendeten Signals, die einen Unterträger in der Frequenzdomäne und ein Symbolintervall in der Zeitdomäne aufweist, als ein Betriebsmittelelement bezeichnet sein.
  • 15 stellt Betriebsmittelelemente 1505, die in einer Gitterform abgebildet sind, gemäß einigen Aspekten dar. In einigen Aspekten können Betriebsmittelelemente in rechteckige Blöcke gruppiert sein, die mehrere Unterträger (z. B. 12 Unterträger) in der Frequenzdomäne und die Anzahl, P, von Symbolen, die in einem Schlitz in der Zeitdomäne enthalten sind, aufweisen. Die Anzahl P kann 6, 7 oder irgendeine andere geeignete Anzahl von Symbolen sein. In der Abbildung von 15 kann jedes Betriebsmittelelement 1505 innerhalb des Betriebsmittelblocks 1500 als (k, 1) indexiert sein, wobei k die Indexnummer des Unterträgers ist, im Bereich von 0 bis NxM-1, wobei N die Anzahl der Unterträger in einem Betriebsmittelblock ist, und M die Anzahl von Betriebsmittelblöcken ist.
  • 16A, 16B, 16C und 16D stellen ein Beispiel der Codierung gemäß einigen Aspekten dar. 16A stellt ein Beispiel eines Codierprozesses 1600 dar, der in einigen Aspekten verwendet sein kann. Der Codierprozess 1600 kann einen oder mehrere physikalische Codierprozesse 1605 enthalten, die verwendet werden können, um Codieren für einen physikalischen Kanal bereitzustellen, der Daten oder Steuerinformationen codieren kann. Der Codierprozess 1600 kann außerdem Multiplexen und Verschachteln 1635 enthalten, das kombinierte codierte Informationen durch Kombinieren von Informationen aus einer oder mehreren Quellen erzeugt, die eines oder mehrere aus Dateninformationen und Steuerinformationen enthalten können und die durch einen oder mehrere physikalische Codierprozesse 1605 codiert worden sein können. Kombinierte codierte Informationen können in eine Verwürfelungseinheit 1640 eingegeben werden, die verwürfelte codierte Informationen erzeugen kann.
  • Der physikalische Codierprozess 1605 kann eines oder mehrere aus einem CRC-Anschlussblock 1610, Codeblocksegmentierung 1615, Kanalcodierung 1620, Ratenanpassung 1625 und Codeblockverkettung 1630 enthalten. Der CRC-Anschlussblock 1610 kann Paritäts-Bits, die als {p0,p1, ... , pL-1} bezeichnet sind, aus Eingabe-Bits, die als {a0, a1, ... aA-1} bezeichnet sind, berechnen, um eine Folge von Ausgabe-Bits {b0, b1, ..., bA+L-1} zu erzeugen, so dass das Polynom über das endliche Feld GF(2) in der Variablen D, das die ausgegebenen Folge-Bits als Koeffizienten verwendet (d. h. das Polynom b0DA+L-1 + b1DA+L-2 + ··· + bA+L-2D1 + bA+L-1), einen vorbestimmten Rest aufweist, wenn es durch ein vorbestimmtes Generator-Polynom g(D) der Ordnung L dividiert wird. In einem Aspekt kann der vorbestimmte Rest null sein, L kann 24 sein, und das vorbestimmte Polynom g(D) kann D24 + D23 + D18 + D17 + D14 + D11 + D10 + D7 + D6 + D5 + D4 + D3 + D + 1 sein.
  • In einigen Aspekten kann der Prozess der Codeblocksegmentierung 1615 einen oder mehrere segmentierte Codeblöcke erzeugen, von denen jeder einen Abschnitt der Daten enthält, die in die Codeblocksegmentierung 1615 eingegeben werden. Die Codeblocksegmentierung 1615 kann Einschränkungen für eine kleinste und eine größte Blockgröße als Parameter aufweisen, die gemäß einem ausgewählten Kanalcodierungsschema ausgewählt sind. Die Codeblocksegmentierung 1615 kann Auffüll-Bits zu einem oder mehreren ausgegebenen segmentierten Codeblöcken hinzufügen, um sicherzustellen, dass die Einschränkung für die kleinste Blockgröße erfüllt ist. Die Codeblocksegmentierung 1615 kann Daten, die in den Prozess eingegeben werden, in Blöcke aufteilen, um sicherzustellen, dass die Einschränkung für die größte Blockgröße erfüllt ist. In einigen Aspekten kann die Codeblocksegmentierung 1615 Paritäts-Bits an jeden segmentierten Codeblock anhängen. Ein solches Anhängen von Paritäts-Bits kann basierend aus einem oder mehreren aus dem ausgewählten Codierungsschema und ob die Anzahl von segmentierten Codeblöcken, die erzeugt werden soll, größer als eins ist, bestimmt werden.
  • In einigen Aspekten kann der Prozess der Kanalcodierung 1620 Codewörter aus segmentierten Codeblöcken gemäß einem oder mehreren aus einer Anzahl von Codierungsschemas erzeugen. Als ein Beispiel kann Kanalcodierung 1620 eines oder mehrere aus Faltungscodierung, „Tail biting“-Faltungscodierung, paralleler verketteter Faltungscodierung und Polarcodierung verwenden.
  • Der Codierer 1620, der verwendet werden kann, um Daten gemäß einem aus einem Faltungscode und einem „Tail-biting“ Faltungscode gemäß einigen Aspekten zu erzeugen, ist in 16B dargestellt.
  • Gemäß einigen Aspekten können Eingabedaten 1645 durch jedes aus zwei oder mehr Verzögerungselementen 1650, die ein Datenwort erzeugen, das aus Elementen besteht, die die aktuellen Eingabedaten und zwei oder mehr Kopien der aktuellen Eingabedaten enthalten, wobei jede Kopie jeweils durch eine unterschiedliche Anzahl von Zeiteinheiten verzögert ist, sukzessive verzögert werden. Gemäß einigen Aspekten kann der Codierer 1620 eine oder mehrere Ausgaben 1660, 1665 und 1670 erzeugen, von denen jede durch Berechnen einer Linearkombination der Elemente eines Datenworts erzeugt wird, das durch Kombinieren von Eingabedaten 1645 und der Ausgaben von zwei oder mehr Verzögerungselementen 1650 erzeugt wird.
  • Gemäß einigen Aspekten können die Eingabedaten Binärdaten sein, und die Linearkombination kann unter Verwendung einer oder mehrerer Exclusive-Or-Funktionen 1655 berechnet werden. Gemäß einigen Aspekten kann der Codierer 1620 unter Verwendung von Software, die auf einem Prozessor abläuft, implementiert sein, und die Verzögerungselemente 1650 können durch Speichern von Eingabedaten 1645 in einem Speicher erzeugt werden.
  • Gemäß einigen Aspekten kann ein Faltungscode durch Verwenden eines Faltungscodierers 1620 und Initialisieren von Verzögerungselementen 1650 auf einen vorbestimmten Wert, der nur Nullen oder irgendein anderer geeigneter Wert sein kann, erzeugt werden. Gemäß einigen Aspekten kann ein „Tail-biting“-Faltungscode durch Verwenden des Faltungscodierers 1620 und Initialisieren von Verzögerungselementen 1650 auf die letzten N Bits eines Datenblocks, wobei N die Anzahl von Verzögerungselementen 1650 ist, erzeugt werden.
  • Ein Codierer 16C100, der gemäß einigen Aspekten verwendet werden kann, um Daten gemäß einem parallelen verketteten Faltungscode (PCCC) zu codieren, der als ein Turbocode bezeichnet sein kann, ist in 16C dargestellt.
  • Gemäß einigen Aspekten kann der Codierer 16C100 einen Verschachteler 16C110, einen oberen Codiererbestandteil 16C115 und einen unteren Codiererbestandteil 16C117 aufweisen. Gemäß einigen Aspekten kann der obere Codiererbestandteil 16C115 einen oder mehrere codierte Datenströme 16C140 und 16C145 aus Eingabedaten 16C105 erzeugen. Gemäß einigen Aspekten kann der Verschachteler 16C110 verschachtelte Eingabedaten 16C119 aus Eingabedaten 16C105 erzeugen. Gemäß einigen Aspekten kann der untere Codiererbestandteil 16C117 einen oder mehrere codierte Datenströme 16C150 und 16C155 aus verschachtelten Eingabedaten 16C105 erzeugen.
  • Gemäß einigen Aspekten kann der Verschachteler 16C110 verschachtelte Ausgabedaten 16C119 ausgeben, die eine oder mehrere Beziehungen mit den Daten aufweisen, die in den Eingabedaten 16C105 enthalten sind, wobei jedoch die Daten in einer anderen zeitlichen Reihenfolge angeordnet sind. Gemäß einigen Aspekten kann der Verschachteler 16C110 ein Blockverschachteler sein, der als Eingabe einen oder mehrere Blöcke von Eingabedaten 16C105, die als {c0, c1, ..., cK-1} repräsentiert sein können, wobei jedes ci ein Eingabedatenbit ist und K die Anzahl von Bits in jedem Block ist, verwendet und eine Ausgabe erzeugt, die jedem aus dem einen oder den mehreren solcher Eingabeblöcke entspricht, die als {cΠ(1), cΠ(2), ..., cΠ(K-1)} repräsentiert sein kann. Π(ί) ist eine Permutationsfunktion, die von quadratischer Form sein kann und die durch Π(i) = (f1i + f2i2) mod K repräsentiert sein kann, wobei f1 und f2 Konstanten sind, die von dem Wert der Blockgröße K abhängen können.
  • Gemäß einigen Aspekten kann jeder aus dem oberen Codiererbestandteil 16C115 und dem unteren Codiererbestandteil 16C117 einen Eingabe-Bit-Selektor 16C118 aufweisen, der einen ausgewählten Eingabe-Bit-Strom 16C119 erzeugen kann, der aus einem Codierer-Eingabe-Bit-Strom während einer Datencodierungsphase und einer Linearkombination gespeicherter Bits während einer Trellis-Abschlussphase ausgewählt sein kann. Gemäß einigen Aspekten kann jeder aus dem oberen Codiererbestandteil 16C115 und dem unteren Codiererbestandteil 16C117 Bits in zwei oder mehr Verzögerungselementen 16C120, die ausgelegt sind, um als Schieberegister zu funktionieren, speichern, wobei die Eingabe in das Schieberegister aus einer Linearkombination aus einem Bit aus einem ausgewählten Eingabe-Bit-Strom 16C119 und früher gespeicherten Bits besteht, wobei die gespeicherten Bits vor einer Codierungsphase auf einem vorbestimmten Wert initialisiert werden und am Ende einer Trellis-Abschlussphase einen vorbestimmten Wert aufweisen. Gemäß einigen Aspekten kann jeder aus dem oberen Codiererbestandteil 16C115 und dem unteren Codiererbestandteil 16C117 eine oder mehrere Ausgaben 16C140 und 16C145 erzeugen, von denen jede eines aus einem ausgewählten Eingabe-Bit-Strom 16C119 und einer Linearkombination gespeicherter Bits sein kann.
  • Gemäß einigen Aspekten kann jeder aus dem oberen Codiererbestandteil 16C115 und dem unteren Codiererbestandteil 16C117 eine Übertragungsfunktion während einer Codierungsphase aufweisen, die als H ( z ) = [ 1, 1 + z 1 + z 3 1 + z 2 + z 3 ]
    Figure DE112017006442T5_0008
    repräsentiert sein kann.
  • Gemäß einigen Aspekten kann der Codierer 16C100 als Software-Anweisungen, die auf einem Prozessor ablaufen, in Kombination mit einem Speicher, um Daten, die in einen Verschachteler 16C110 eingegeben werden, und gespeicherte Bits des oberen Codiererbestandteils 16C115 und des unteren Codiererbestandteils 16C117 zu speichern, implementiert sein.
  • Ein Codierer 16D200, der verwendet werden kann, um Daten-Bits gemäß einem Code mit Paritätsprüfung mit geringer Dichte (LDPC-Code) gemäß einigen Aspekten zu codieren, ist in 16D dargestellt.
  • Gemäß einigen Aspekten können Daten-Bits 16D230, die in den Codierer 16D200 eingegeben werden, in dem Datenspeicher 16D210 gespeichert werden, gespeicherte Daten-Bits können in den Paritäts-Bit-Generator 16D220 eingegeben werden, und codierte Bits 16D240 können durch den Paritäts-Bit-Generator 16D220 ausgegeben werden.
  • Gemäß einigen Aspekten können Daten-Bits, die in den LDPC-Codierer 16D200 eingegeben werden, als c = {c0, c1, ..., cK-1} repräsentiert sein, codierte Daten-Bits 16D240 können als d = {c0, c2, ..., cK-1, p0, p1, ..., pD-K-1} repräsentiert sein, und Paritäts-Bits pi können so ausgewählt sein, dass H.dT = 0, gilt, wobei H eine Paritätsprüfmatrix ist, K die Anzahl von Bits in dem Block ist, der codiert werden soll, D die Anzahl codierter Bits ist und D-K die Anzahl von Paritätsprüf-Bits ist.
  • Gemäß einem Aspekt kann die Paritätsprüfmatrix H repräsentiert sein als: H = [ P a 0,0 P a 0,1 P a 0,2 P a 0, M 2 P a 0, M 1 P a 1,0 P a 1,1 P a 1,2 P a 0, M 2 P a 0, M 1 P a 2,0 P a 2,1 P a 2,2 P a 2, M 2 P a 1, M 2 P a N 1,0 P a N 1,1 P a N 1,2 P a N 1, M 2 P a N 1, M 1 ] ,
    Figure DE112017006442T5_0009
    wobei pa i,j eine aus einer Nullmatrix oder einer Matrix mit zyklischer Permutation ist, die aus der Z × Z-Einheitsmatrix durch zyklisches Verschieben der Spalten nach rechts um ai,j, erhalten wird, Z die Größe der einzelnen Permutationsmatrix ist, die Anzahl codierter Bits D gleich ZM ist und die Anzahl von Bits K in dem Block, der codiert werden soll, gleich ZN ist.
  • Digitale polare Sender (DTxs), deren Eingaben Amplitude und Phase sein können, können eine vielversprechende Architektur für Funkgeräte mit integriertem komplementärem Metalloxidhalbleiter (CMOS-Funkgeräte) sein, die in Vorrichtungen verwendet werden, die über Systeme der nächsten Generation kommunizieren, da solche Vorrichtungen beispielsweise das Potential für höhere Effizienz und Ein-Chip-System-Integration (SoC-Integration) bieten. DTxs können Amplitudenvariation und Phasenvariation eines Ausgabesignals verwenden, um Daten bereitzustellen. DTxs sind jedoch, wie andere Sender, aufgrund der Herausforderungen sowohl zum Implementieren von Breitbandphasenmodulatoren an den mmWellen-Frequenzen, die in den Systemen der nächsten Generation verwendet werden, als auch zum Implementieren von DTxs mit mmWellen-Geschwindigkeiten auf niedrigere Frequenzen (typischerweise < 6 GHz) beschränkt gewesen. Die Kanalbandbreite für die Systeme der nächsten Generation kann in der Größenordnung von 100 MHz-GHz sein und Einzelträger- (SC-) und/oder auf orthogonalem Frequenzmultiplexen (OFDM) basierende Modulation einsetzen. Das heißt, dass während eine grundlegende Oszillation über die verschiedenen Kanalfrequenzen produziert werden kann, das Anpassen der Amplitude und Phase an den höheren Frequenzen eine Überlegung ist.
  • Zusätzlich kann mit der Verwendung von mmWellen-Frequenzen die Leistungseffizienz der DTxs an solchen Frequenzen aufgrund der Diskrepanz der Amplitudenvariation und entsprechenden Spitzenleistungseffizienz zwischen mmWellen-Frequenzsignalen und Signalen an niedrigerer Frequenz wesentlich reduziert sein. OFDM kann zusätzliche spektrale Einschränkungen für die durch die DTxs produzierten Phasenmodulationssignale auferlegen. Um das Strecken-Budget mit den höheren Ausbreitungsverlusten an den höheren mmWellen-Frequenzen zu erfüllen, können solche Strecken auf phasengesteuerten Gruppen und Mehrbenutzer-Mehr-Eingang-mehr-Ausgang (Mehrbenutzer-MIMO) beruhen, um die Verwendung räumlicher Kanäle über mehrere Benutzer zu optimieren. In praktischer Hinsicht kann die Verwendung phasengesteuerte Gruppen bedeuten, dass mehrere Sende- und Empfangsketten auf jeder Vorrichtung verwendet werden, was die verwendete Sendeleistung weiter erhöht, zusätzlich zu dem Treffen auf die vorstehenden Leistungsineffizienzen. Deshalb könnte es vorteilhaft sein, die DTx-Effizienz an mmWellen-Frequenzen zu verbessern.
  • In einem Aspekt ist, um dazu beizutragen, diese Probleme zu verbessern, eine Breitbandphasenmodulatorarchitektur bereitgestellt, die sowohl für Einzelträger- als auch OFDM-basierte mmWellen-DTxs geeignet sein kann. Die Breitbandphasenmodulatorarchitektur kann mehrere parallele Sendeketten für phasengesteuerte Gruppen und MIMO/MU-MIMO aufweisen. Phasenmodulatoren können Phasenverschiebungen zum Implementieren der phasengesteuerten Gruppe integrieren.
  • In einem Aspekt kann der DTx Phasen- und Amplitudenextraktion verwenden, die Polarzerlegung mit niedriger Operatorsummen-Repräsentation (OSR) von Breitband-RF-Signalen unterstützt. Ein Digital/Zeit-Umsetzer- (DTC-) basierter Phasenmodulator, der in dem niedrigen GHz-Band getaktet ist, kann aus praktischen Überlegungen (Machbarkeit, Zeitspielräume, Leistungsverlust usw.) verwendet werden. Zeitverschachtelung kann zwischen mehreren DTCs verwendet werden um die Taktfrequenz auf bis zu etwa 10 GHz zu steigern. Zusätzlich kann Unterschwingungs-Reiheninjektion in mmWellen-LC-Oszillatoren verwendet werden, um die Modulation auf RF-Frequenzen aufwärtsumzusetzen.
  • RF-Kommunikationssysteme nutzen häufig Teilsysteme (z. B. spannungsgesteuerte Oszillatoren (VCOs), Leistungsverstärker), die auf einem Halbleiter-Die gebildet sind. Insbesondere sind verschiedene elektronische Elemente (z. B. Kondensatoren und Induktivitäten) solcher Teilsysteme auf dem Halbleiter-Die aufgedruckt. Der Widerstand, der in dem Silizium des Halbleiter-Dies inhärent ist, reduziert jedoch den Qualitäts- (Q-) Faktor (Verhältnis von Induktivität zu Widerstand) der Induktivitäten, die auf dem Die aufgedruckt sind, signifikant.
  • 17 ist eine Querschnittsansicht 1702 und eine Draufsicht 1704 eines Halbleiter-Dies mit metallischen Säulen gemäß einigen Aspekten. Bezug nehmend auf 17 enthält der Halbleiter-Die 1706 mehrere Säulen 1708. Der Halbleiter-Die 1706 kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl der Halbleiter-Die 1706 nicht darauf beschränkt ist.
  • In einem Aspekt können die Säulen 1708 Kupfersäulen sein, die für RF-Verbindungen mit dem Die verwendet werden können. Insbesondere können die Kupfersäulen als metallische Strukturen verwendet werden, um den Halbleiter-Die 1706 mit einer Halbleiter-Die-Package (nicht dargestellt) zu verbinden. In einigen Aspekten können andere metallische Strukturen als Säulen 1708 verwendet werden, wie z. B. Lötmetallbasierte Höcker und Perlen. Die Kupfersäulen 1708 können an dem Halbleiter-Die 106 über Metallisierungskontaktstellen (oder Kontakte) 1710 angeschlossen sein. In einigen Aspekten können die Kupfersäulen 1708 in einem kontinuierlichen Ätzprozess erzeugt werden, wobei das unnötige Kupfer weggeätzt wird, was nur Kupfersäulen 1708 übrig lässt, die an den metallisierten Diekontakten 1710 angeschlossen sind.
  • 18A stellt eine Querschnittsansicht 1802A und eine Draufsicht 1804A eines Halbleiter-Dies 1806 mit metallischen Säulen 1808, die einen ersten Typ von Verbindungsstrukturen bilden, gemäß einigen Aspekten bereit. Bezug nehmend auf die Querschnittsansicht 1802A können die metallischen Säulen 1808 in Übereinstimmung mit einem mehrstufigen Aufbau- und Ätzprozess gebildet sein. Insbesondere können die metallischen Säulen 1808 in Schritten auf metallisierten Die-Kontakten 1810 aufgebaut und geätzt werden, wobei eine separate metallisierte Schicht während jedes Aufbau- und Ätzschritts erzeugt wird. Wie in 18A zu sehen ist, wird während eines ersten Ätzschritts eine metallisierte Schicht 1812 erzeugt. Während eines zusätzlichen Aufbau- und Ätzschritts können Verbindungsstrukturen zwischen wenigstens 2 der Säulen erzeugt werden. Beispielsweise kann während eines Ätzschritts, der die metallisierte Schicht 1814 erzeugt, eine Verbindungsstruktur 1822A durch das metallisierte Material, das für die Schicht 1814 verwendet wird, gebildet werden. Während eines solchen Ätzschritts wird das metallisierte Material für die Schicht 1814 zwischen wenigstens zwei der Säulen nicht geätzt, so dass eine Verbindungsstruktur durch die Schicht 1814 die die wenigstens zwei Säulen verbindet, gebildet wird.
  • Während eines nachfolgenden Aufbau- und Ätzschritts wird eine metallisierte Schicht 1816 oben auf der Schicht 1814 angeordnet (der Schicht 1816 sind keine metallisierten Verbindungsstrukturen zugeordnet). Während eines nachfolgenden Aufbau- und Ätzschritts, der die metallisierte Schicht 1818 erzeugt, kann eine Verbindungsstruktur 1824A durch das metallisierte Material, das für die Schicht 1818 verwendet wird, gebildet werden. Während eines letzten Ätzschritts wird eine metallisierte Schicht 1820 oben auf der Schicht 1818 angeordnet, wobei der Schicht 1820 keine metallisierten Verbindungsstrukturen zugeordnet sind.
  • In einigen Aspekten können die Verbindungsstrukturen 1822A und 1824A als induktive Elemente mit hohem Qualitäts- (Q-) Faktor dienen, die mit dem Kontakten des Halbleiter-Dies 1806 direkt verbunden sind, und können der RF-Schaltung dienen, die von solchen Hoch-Q-Induktivitäten profitieren kann. Die Beispiel-RF-Schaltung kann Oszillatoren, Leistungsverstärker, rauscharme Verstärker und andere Schaltung aufweisen, die teilweise oder vollständig in dem Halbleiter-Die 1806 integriert sein können.
  • In einigen Aspekten kann sich die Verbindungsstruktur 1822A an der Position 1832 befinden, entfernt und getrennt von der Verbindungsstruktur 1824A. In einem weiteren Beispiel kann die Verbindungsstruktur 1822A daneben und/oder teilweise überlappend angeordnet sein, wie an Position 1830 zu sehen ist. In einigen Aspekten kann die Auswahl der Verbindungsstruktur, die an Position 1830 oder 1832 sein soll, auf der resultierenden Kopplung und gegenseitigen Induktivität, die den Verbindungsstrukturen 122A und 1824A zugeordnet sind, basieren. In diesem Fall wird, wenn die beiden Verbindungen nebeneinander und/oder teilweise überlappend angeordnet sind, eine Kopplungszone 1826 zwischen den Verbindungsstrukturen erzeugt. Eine solche Kopplungszone kann zum Konstruieren von induktiven Elementen mit hohem Q verwendet werden, die wenigstens teilweise durch die Verbindungsstrukturen, die den metallischen Säulen 1808 zugeordnet sind, implementiert sind.
  • In einigen Aspekten kann seitliche Parallelkopplung (z. B. 1826) erreicht werden, wenn die Verbindungsstrukturen (z. B. 1824A und 1822A) unter Verwendung derselben Säulenschicht (oder demselben Ätzschritt) erzeugt werden oder die Verbindungsstrukturen unter Verwendung unterschiedlicher Säulenschichten erzeugt werden.
  • In einigen Aspekten können mehr als zwei Verbindungsstrukturen unter Verwendung einer oder mehrerer der Schichten 1812 bis 1820, die den Säulen 1808 zugeordnet sind, gebildet werden. Zusätzlich können die Verbindungsstrukturen durch Luftspalte getrennt sein, wie in 18A dargestellt ist. Insbesondere ist die Verbindungsstruktur 1822A durch einen Luftspalt 1807 von dem Halbleiter-Die 1806 getrennt. Die Verbindungsstruktur 1822A ist außerdem von der Verbindungsstruktur 1824A durch einen weiteren Luftspalt 1809, der innerhalb der Schicht 1816 gebildet ist, getrennt.
  • In einigen Aspekten kann eine Verbindungsstruktur unter Verwendung der letzten Schicht 1820 von Säulen 1808 gebildet sein. In diesem Zusammenhang wird, wenn eine Verbindungsstruktur auf der letzten Schicht 1820 angeordnet ist, die Verbindungsstruktur in direktem Kontakt mit dem Packagelaminat (das in 19 als 1902 dargestellt ist) sein, auf dem der Die angebracht ist, oder die Verbindungsstrukturen können von dem Laminat isoliert sein und können eine Schaltung direkt auf dem Die schließen.
  • 18B ist eine Querschnittsansicht 1802B und eine Draufsicht 1804B eines beispielhaften Halbleiter-Dies 1806 mit metallischen Säulen 1808, die einen zweiten Typ von Verbindungsstrukturen bilden, gemäß einigen Aspekten. Der Prozess zum Erzeugen der metallisierten Säulen, der in 18B dargestellt ist, kann der gleiche sein wie der mit Bezug auf 18A beschriebene, außer dass die Verbindungsstrukturen 1822B und 1824B im Vergleich zu den Verbindungsstrukturen 1822A und 1824A andere Formen und Orte auf dem Halbleiter-Die 1806 aufweisen können.
  • Bezug nehmend auf 18B können die Verbindungsstrukturen 1822B und 1824B wicklungsähnliche induktive Elemente bilden, die mit verschiedenen induktiven Implementierungen einschließlich Transformatorimplementierungen verwendet werden können. In einigen Aspekten können die Verbindungsstrukturen 1824B und 1822B Elemente innerhalb einer Primär- und/oder einer Sekundärwicklung eines Transformators sein. Zusätzlich können die Verbindungsstrukturen 1822B und 1824B teilweise oder vollständig überlappen, so dass eine Kopplungszone 1834 erzeugt wird.
  • 18C ist eine Querschnittsansicht 1802C und eine Draufsicht 1804C eines Halbleiter-Dies 1806 mit metallischen Säulen, die einen dritten Typ von Verbindungsstrukturen 1822C und 1824C bilden, gemäß einigen Aspekten. Insbesondere können die Verbindungsstrukturen 1822C und 1824C auf denselben Schichten 1814 bzw. 1818 wie in 18A dargestellt angeordnet sein. Die Verbindungsstrukturen 1822C und 1824C können sich jedoch überkreuzen.
  • 19 ist eine Querschnittsansicht 1900 eines Halbleiter-Dies mit metallischen Säulen, die Verbindungsstrukturen bilden, wobei die Säulen an einem Packagelaminat befestigt sind, gemäß einigen Aspekten. Insbesondere kann der Halbleiter-Die 1906 die metallischen Säulen 1908 aufweisen, die durch die Schichten 1912, 1914, 1916, 1918 und 1920 gebildet sind. Der Halbleiter-Die 1906 kann die Verbindungsstrukturen 1822A und 1824A enthalten, die wie in 18A dargestellt gebildet sind. Die metallischen Säulen 1908 können an dem Halbleiter-Die 1906 unter Verwendung von Verbindungspfaden 1910 angebracht sein. Zusätzlich können die metallischen Säulen 1808 an einem Packagelaminat 1902 unter Verwendung von Verbinderkontaktstellen 1904 angebracht sein.
  • Der physikalische Raum in mobilen Vorrichtungen für drahtlose Kommunikation ist wegen der Menge der Funktionalität, die in dem Formfaktor solcher Vorrichtungen enthalten ist, sehr wertvoll. Herausfordernde Probleme treten unter anderem wegen der Notwendigkeit auf, räumliche Abdeckung abgestrahlter Funkwellen bereitzustellen und die Signalstärke aufrecht zu erhalten, wenn die mobile Vorrichtung zu unterschiedlichen Orten bewegt wird, und auch weil ein Benutzer die mobile Vorrichtung von Zeit zu Zeit anders orientieren kann, was in einigen Aspekten zu der Notwendigkeit von variierenden Polaritäten und variierender räumlicher Diversity der abgestrahlten Funkwelle zu variierenden Zeiten führt.
  • Wenn Packages konstruiert werden, die Antennen aufweisen, die an Millimeterwellen- (mmWellen-) Frequenzen arbeiten, kann effizientes Verwenden des Raums dazu beitragen, Probleme wie z. B. die Anzahl benötigter Antennen, ihre Strahlungsrichtung, ihre Polarisation und ähnliche Anforderungen zu lösen. Effiziente Verwendung einer mehrschichtigen Laminatstruktur wie z. B. einer PCB, innerhalb des Gehäuses einer mobilen Vorrichtung für drahtlose Kommunikation kann effektiv durch Aufnehmen eines Hohlraums innerhalb der Laminatstruktur zum Platzieren des RFIC-Sendeempfänger-Dies und vielleicht zum Platzieren diskreter Komponenten der Vorrichtung verwendet werden. In einigen Aspekten kann der Die ein Flip-Chip-(FC-) Die sein. Die Laminatstruktur kann ein Teilsystem enthalten, in dem Antennen in der Schichtstruktur eingebettet sein können, und kann oben auf dem, unter dem und auf Seiten des Teilsystems zur größeren räumlichen Abdeckung implementiert sein.
  • 20A ist eine seitliche Querschnittsansicht eines Benutzervorrichtungsteilsystems, wie es in dieser Offenbarung beschrieben ist, gemäß einigen Aspekten. Das Benutzervorrichtungsteilsystem ist als 2000 gekennzeichnet. Das Benutzervorrichtungsteilsystem 2000 kann in der RF-Schaltung 325 und in der Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das Benutzervorrichtungsteilsystem 2000 nicht darauf beschränkt ist.
  • In einigen Aspekten enthält die Laminatstruktur 2001 einen Hohlraum 2003. Der Hohlraum, in dem die RFIC und die begleitenden Komponenten angeordnet sein können, kann durch Stapeln von Laminatschichten mit Fensteröffnungen auf anderen Laminatschichten mit dem FC-Die und diskreten Komponenten, bis der Zwischenraum gewünschter Höhe oberhalb des FC-Dies und der diskreten Komponenten erreicht ist, gebildet werden. Dann kann er mit einer oder mehreren vollständigen Schichten bedeckt werden, um den Hohlraum zu schließen, was dem Hohlraum ein „Dach“ gibt. Richtungsbegriffe wie z. B. „oben“, „unten“, „Seiten“ und „Dach“ werden hier relativ zu der Orientierung der Zeichnung verwendet. Der Hohlraum kann groß genug sein, um zu ermöglichen, dass der FC-Die und irgendwelche diskreten Komponenten in den Hohlraum passen, während er auch Herstellungskonstruktionsregeln (z. B. Montagegenauigkeit) berücksichtigt. Jedes Montagehaus kann unterschiedliche Konstruktionsregeln besitzen, die auch eine Funktion der tatsächlich beteiligten Materialien sein können. Beispielsweise könnten die Regeln für ein Bismalimidtriazin- (BT-) Laminatmaterial von denjenigen eines FR4-Laminatmaterials sehr verschieden sein.
  • In einigen Aspekten ist der RFIC-Die 2006 innerhalb eines Hohlraums 2003 implementiert, und in einigen Aspekten am Boden des Hohlraums durch Lotperlen 2005 befestigt, die in einigen Aspekten Rückflusslotperlen sein können. Andere Typen von Perlen können verwendet werden, wie z. B. Thermosonic-, Thermokompressions- und adhäsiv gebondete Perlen. In einigen Aspekten dienen diese auch als die elektrische Schnittstelle des RFIC-Dies 2006 zu der auf Laminat gedruckten Schaltung. In einigen Aspekten kann nach oben gerichtetes Drahtbonden ebenfalls verwendet werden, um die RFIC mit der aufgedruckten Schaltung in dem Laminat elektrisch zu verbinden. Die diskreten Komponenten 2007 können ebenfalls in den Hohlraum aufgenommen sein, falls es für die Implementierung sinnvoll ist.
  • In einigen Aspekten umgibt ein Massekäfig 2008 den Die und die diskreten Komponenten, wie nachstehend genauer beschrieben ist, der als eine Abschirmung verwendet werden kann, um die Schaltung vor Hochfrequenzstörung (RFI) und elektromagnetischer Störung (EMI) zu schützen. Die RFIC, die in dem Hohlraum platziert ist, würde in dem beschriebenen Massekäfig mit Hilfe von metallisierten Masseschichten, Masseebenen und Durchkontakierungen, die zwischen den Schichten verlaufen, eingeschlossen, um vor RFI/EMI zu schützen. Typischerweise müssen RF-Chips und Schaltungen aus dem Gesichtspunkt von RFI/EMI abgeschirmt werden, um gesetzliche Anforderungen zu erfüllen. Hier zieht die Implementierung einen Vorteil aus der Tatsache, dass die RF-Schaltung in einem Hohlraum eingebettet ist, der durch Metallisierung unter Verwendung von Schichten der Laminatvorrichtung und Durchkontakierungen wie jeweils geeignet umgeben werden kann, und so ein Faraday-Käfig gebildet wird, der eine Abschirmung bildet.
  • Mit den Komponenten, die innerhalb des Hohlraums, der abgeschirmt ist, eingebettet sind, können die Antennen um die Außenseite des abgeschirmten Gehäuses wie nachstehend diskutiert implementiert sein, und dadurch wird ein Vorteil aus der Tatsache gezogen, dass diese Antennen auf oder in der PCB von mehreren Seiten eingebettet/aufgedruckt oder montiert werden können, um eine größere räumliche Abdeckung der Antennen zu ermöglichen. Aus Sicht der Antenne könnte der Abschirmungskäfig in der Laminatstruktur als die Antennenmasse oder als ein Reflektor zum Erhöhen des Antennengewinns und Erzeugen eines besser gerichteten Strahlungsmusters dienen. Zusätzlich dient der Hohlraum als ein physikalischer Schutz sowohl der RFIC selbst als auch irgendeiner anderen Schaltung innerhalb des Hohlraums.
  • Die Antennenelemente 2011A bis 2011G sind gemäß einigen Aspekten innerhalb des Teilsystems implementiert. Die Antennen könnten von verschiedenen Typen sein. Beispielsweise können Patchantennen oben und unten an der Struktur implementiert sein, die nach oben bzw. nach unten weisen, mit Dipolantennen auf den Seiten, wie z. B. 2011G. Andere Antennentypen sind möglich. In einigen Aspekten könnten die Seitenantennen auf drei Seiten implementiert sein, da die freigelegten elektrischen Kontakte auf einer Seite sein könnten, wie weiter unten diskutiert ist.
  • In einigen Aspekten sind die Antennenelemente 2011A-2011C nach „unten“ weisend implementiert. Die Antennenelemente 2011D-2011F sind oben auf der Struktur nach „oben“ weisend platziert. Jede der Antennen 2011A-2011G könnte mehrere Antennenelemente sein. Beispielsweise können 2011A1 bis 2011AN verwendet werden, um die Antennenelemente 2011A als N Antennenelemente zu kennzeichnen, die eine Gruppe sein können, in einigen Aspekten. Mit anderen Worten kann eine Antenne, die beispielsweise als 2011A dargestellt ist, auch eine N-Elemente-Antennengruppe sein wie z. B. 2011A-1, ... , 2011AN. Ferner können die Gruppen 2011D1-2011DN vorhanden sein. Weiterhin können die Antennenelemente in solchen Gruppen sowohl auf der Oberseite als auch der Unterseite der Laminatstruktur 2001 in unterschiedlichen Formationen verteilt sein, wie z. B. so, dass einige der Antennenelemente 2011C1-2011CN und 2011E1-2011EN eine einzige Gruppe sind.
  • In einigen Aspekten kann das Antennenelement 2011G seitlich platziert sein und kann für Querstrahlung oder Längsstrahlung konfiguriert sein. Die Nomenklatur 2011G1-2011GN könnte verwendet werden, um anzugeben, dass N Antennenelemente 2011G vorhanden sind (mit Blick „in“ die Zeichenebene oder aus der Zeichenebene heraus, verdeckt durch die Schnittdarstellung), die in einer Gruppe sein können. Die Übertragungsleitungen 2009A-2009G können Leiterbahnen sein, die RF-Verbindung von der RFIC zu/von den Antennen bereitstellen. Falls die Antenne, die gespeist wird, tatsächlich eine Antennengruppe ist, beispielsweise 2011A1-2011AN, könnten die RF-Leiterbahnen, die die Gruppe speisen, eine Gruppe von RF-Leiterbahnen sein, die als 2009A1, ... , 2009AN bezeichnet sein können, in einigen Aspekten. RF-Leiterbahnen aus der RFIC können die verschiedenen Antennenelemente über die Schichtstruktur sowohl seitlich entlang einer gegebenen Schicht oder über Durchkontakierungen, um andere Schichten zu erreichen, speisen. Die RF-Leiterbahnen können Mikro-Streifen, Streifenleitungen oder andere geeignete Leiter sein. Die RF-Leiterbahnen zu den Antennen können in einigen Aspekten durch Öffnungen in dem abgeschirmten Hohlraum 2003 verlaufen. Einige Abschnitte dieser RF-Zuleitungen können innerhalb des Hohlraums und einige außerhalb sein in einigen Aspekten. Obwohl sie hier so angezeigt sind, dass sie außerhalb des Hohlraums verlaufen, können alternative Aspekte die RF-Leiterbahnen zuerst innerhalb des Hohlraums 2003, selbst vertikal, verlaufen lassen und dann durch eine Öffnung (Durchgangsloch oder seitliche Leiterbahn) in dem Abschirmungskäfig an der Oberseite (oder Seite) durchdringen, um ein Antennenelement zu erreichen. Das ist nachstehend mit zusätzlichen Einzelheiten in Bezug auf die 20B und 21 diskutiert.
  • Die Schicht 2013 der mehrschichtigen Laminatstruktur gibt eine Schicht an, an der elektrische Kontakte, die die RFIC mit geeigneten Teilen des Systems zu dem Äußeren des Hohlraums elektrisch verbinden, gemäß einigen Aspekten implementiert sein können. Diese Kontakte sind nachstehend in Verbindung mit 20B diskutiert. In diesem Fall wären die elektrischen Kontakte (bei 2013 von 20A nicht gezeigt) in die Zeichenebene oder aus der Zeichenebene heraus (beispielsweise hinter der Schnittansicht verdeckt).
  • 20B stellt einen Sockelteil der Laminatstruktur von 20A gemäß einigen Aspekten dar. 20B stellt den vorstehend kurz diskutierten Sockel 2021 dar. Die Schnittdarstellung von 20A ist mit Bezug auf den in 20B dargestellten Schnitt 20A-20A genommen. Die in 20B zu sehenden elektrischen Kontakte 2023 sind die gleichen elektrischen Kontakte, die wie an der Schicht 2013 in 20A diskutiert implementiert sind, in einigen Aspekten. Andere Schichten können für diese Implementierung verwendet werden.
  • Der Hohlraum 2003 ist in der verdeckten Linie als innerhalb der Laminatstruktur angeordnet gezeigt, dargestellt als innerhalb des Sockels 2021 konfiguriert. Der Sockel kann als die Oberfläche für elektrische Kontakte dienen und kann als das Anschlussverfahren an eine Hauptplatine (MB), mit der die Laminatstruktur verbunden sein kann, verwendet sein. Die elektrischen Kontakte 2023 können außerdem als die Wärmeleitung von dem Teilsystem zu dem MB dienen. Die MB würde die geeigneten komplementären Kontakte aufweisen, die wie vorstehend in Bezug auf die Schicht 2013 (als ein Beispiel) von 20A diskutiert platziert sind, gemäß einigen Aspekten, so dass das Teilsystem leicht an der MB angeschlossen werden kann und geeignete Schnittstellen mit der MB bilden kann, sowohl elektrisch als auch thermisch. Die elektrischen Kontakte, die in einen geeigneten Sockel eingesteckt würden, sind in einigen Aspekten die einzige mechanische Verbindung von dem RFIC-Die zu der MB. Alternativ könnten diese direkt durch Löten an der MB mit den geeigneten komplementären Kontakten angeschlossen sein. Allgemein benötigt Wärme ein gutes Metall zum Leiten, und diese freigelegten elektrischen Kontakte 2023 können auch als der Wärmesenkenpfad dienen, der Wärme aus dem Die innerhalb des Hohlraums entlang der Metallisierung der Streckenführung zieht, in vielen Fällen unter Verwendung der Masseschichten der mehrschichtigen Struktur, in einigen Aspekten. Obwohl auch eine bestimmte Wärmemenge durch das PCB-Material geleitet wird, ist dieser Typ des Wärmeaustauschs nicht so effizient wie die metallisierten Kontakte zur Wärmeübertragung.
  • Wie vorstehend kurz diskutiert, können die RF-Leiterbahnen, die die Antennen speisen, durch Öffnung in dem abgeschirmten Hohlraum 2003 verlaufen. Einige Abschnitte dieser RF-Zuleitungen können innerhalb des Hohlraums und einige außerhalb sein. Obwohl sie hier so angezeigt sind, dass sie außerhalb des Hohlraums verlaufen, können alternative Aspekte die RF-Leiterbahnen zuerst innerhalb des Hohlraums 2003, selbst vertikal, verlaufen lassen und dann durch eine Öffnung (Durchgangsloch oder seitliche Leiterbahn) in dem Abschirmungskäfig an der Oberseite (oder der Seite) dringen, um ein Antennenelement zu erreichen, gemäß einigen Aspekten. Das ist in den 21 und 22 zu sehen. 21 stellt RF-Zuleitungen innerhalb des Hohlraums der Laminatstruktur von 20A gemäß einigen Aspekten dar. Der Hohlraum 2103 ist ähnlich dem Hohlraum 2003 in der Laminatstruktur von 20A. Die Masseebenenschicht 2113, die die Abschirmung 2108 an Masse legen kann, ist eine Masseschicht oben auf der in der Zeichnung dargestellten Struktur, wie Kontakt mit vertikalen Durchkontakierungen, die zur Verdeutlichung nicht gezeigt sind, herstellen. Die Masseschicht 2108 ist in einer gepunkteten Linie dargestellt, um ihr Vorhandensein in der dargestellten Laminatstruktur anzugeben.
  • In einigen Aspekten befinden sich vertikale Masse-Vias 2110 um die Umfangsfläche des Hohlraums 2103 und können Teil des vorstehend diskutierten Faraday-Käfigs sein. Die RF-Leiterbahnen 2109A, 2109B, 2109C, 2109D und 2109E sind elektrisch verbunden mit dem RFIC-Die 2106 konfiguriert, der unterhalb der Masseebene auf einer weiteren Schicht innerhalb des Hohlraums 2103 sein kann. Die RF-Leiterbahnen enthalten RF-Zuleitungen für Antennen, die auf oder innerhalb der Laminatstruktur 2001 von 20A konfiguriert sind. Die RF-Leiterbahnen 2009A, 2009B und 2009C können innerhalb des Hohlraums 2003 verlaufen und können seitlich aus dem Massekäfig (beschrieben in 20A) zwischen den Durchkontakierungen heraustreten, um die Antennenelemente 2011A, 2011B und 2011C zu speisen, gemäß einigen Aspekten.
  • Diese Antennenelemente 2011A, 2011B und 2011C können Querstrahlungs-Antennenelemente sein, die in einem Beispiel als Dipole dargestellt sind. Die RF-Leiterbahnen 2109D und 2109E durchdringen die Masseabschirmung unter Verwendung der Durchkontakierungen 2112D und 2112E gemäß einigen Aspekten. Das ist in 22 deutlicher zu sehen. 22 stellt RF-Zuleitungsleiterbahnen, die vertikal durch die Masseebenenschicht verlaufen, gemäß einigen Aspekten dar. Die RF-Leiterbahnen 2209D und 2209E durchdringen die Masseebenenschicht mit Hilfe von Löchern oder Öffnungen 2212D2, 2212E2 in der Metallisierung, um der Signal-Via zu ermöglichen, hindurch zu gehen, um von dem Die 2206 zu den Antennen oder Antennenelementen 2211D bzw. 2211E zu reichen (in einigen Aspekten mit Hilfe der Durchkontakierungen 2212D1 und 2212E1). Die Antennen oder Antennenelemente 2211D und 2211E sind mit gepunkteten Linien gezeigt, um anzugeben, dass sie auf einer geeigneten Ebene der Laminatstruktur 2001 sein können, gemäß einigen Aspekten. Die Antennen oder Antennenelemente 2211D und 2211E sind als Patchantennen dargestellt, können jedoch irgendein/e geeignete/s Antenne oder Antennenelement sein. Die Durchkontakierungen 2212D1 und 2212E1 sind übergroß dargestellt, um anzugeben, dass jede mit der geeigneten Ebene der Laminatstruktur 2001 verbinden kann, um die Antennen 2211D und 2211E zu speisen, entweder direkt oder in einigen Aspekten über eine zusätzliche RF-Leiterbahn, die die Durchkontakierung mit der Antenne verbindet.
  • RF-Kommunikationssysteme nutzen häufig Teilsysteme (z. B. spannungsgesteuerte Oszillatoren (VCOs), Leistungsverstärker, Sendeempfänger, Modems und so weiter), die auf einem Halbleiter-Die gebildet sind. Häufig weist der gepackte Chip einen begrenzten Raum auf, um Antennenelemente aufzunehmen, insbesondere in Fällen, in denen mehrere Typen von Signalkommunikationssystemen auf einem einzelnen Chip implementiert sind.
  • 23 stellt mehrere Ansichten eines Halbleiter-Packages 2300 mit mmWellen-Antennen und einer Nahbereichskommunikations- (NFC-) Antenne am gleichen Ort gemäß einigen Aspekten dar. Das Halbleiter-Package 2300 kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das Halbleiter-Package 2300 nicht darauf eingeschränkt ist.
  • Bezug nehmend auf 23 kann das Halbleiter-Package 2300 auf einem PCB-Substrat 2302 implementiert sein. Das PCB-Substrat kann eine Komponentenseite 2302A und eine gedruckte Seite 2302B aufweisen. In einigen Aspekten kann die Komponentenseite 2302A eine oder mehrere Schaltungen (oder Teilsysteme) enthalten, die Signalverarbeitungsfunktionalitäten ausführen. Beispielsweise kann die Komponentenseite 2302A ein RF-Frontend-Modul (RFEM) 2310 und ein Basisbandteilsystem (BBS) 2312 aufweisen. Das RFEM 2310 und das BBS 2312 sind in 26 bzw. 27 genauer dargestellt. In einigen Aspekten kann das PCB-Substrat auch das Nahbereichskommunikations-(NFC-) Teilsystem 2318, das konfiguriert sein kann, NFC-Signale zu empfangen und zu senden, aufweisen.
  • In einigen Aspekten kann das RFEM 2310 geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann konfiguriert sein, ein oder mehrere Zwischenfrequenz- (IF-) Signale, die durch das BBS 2312 erzeugt werden, zum Senden unter Verwendung einer phasengesteuerten Antennengruppe zu verarbeiten. Das RFEM 2310 kann außerdem konfiguriert sein, ein oder mehrere RF-Signale über die phasengesteuerte Antennengruppe zu empfangen und die RF-Signale in IF-Signale zur weiteren Verarbeitung durch das BBS 2312 umzusetzen.
  • In einigen Aspekten kann das RFEM 2310 konfiguriert sein, mmWellen-Signale in einem oder mehreren mmWellen-Bändern zu verarbeiten. Zusätzlich kann die phasengesteuerte Antennengruppe (oder eine Teilmenge der phasengesteuerten Antennengruppe) als Antennengruppe 2316 auf der gedruckten Seite 2302B des PCB-Substrats 2302 implementiert sein. Obwohl vier Patchantennen als die phasengesteuerte Antennengruppe 2316 dargestellt sind, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und andere Typen (und eine andere Anzahl) von Antennen können als die phasengesteuerte Antennengruppe 2316 verwendet werden. Zusätzlich kann die phasengesteuerte Antennengruppe 2316 verwendet werden, um mmWellen-Signale oder andere Typen drahtloser Signale zu senden und zu empfangen.
  • In einigen Aspekten kann sich die phasengesteuerte Antennengruppe 2316 am gleichen Ort wie eine Nahbereichskommunikations-(NFC-) Antenne 2314 befinden. Wie in 23 zu sehen ist, kann die NFC-Antenne 2314 als ein Induktivitätselement, das um die phasengesteuerte Antennengruppe 2316 angeordnet ist, auf der gedruckten Seite 2302B des PCB-Substrats 2302 implementiert sein. In einigen Aspekten kann die NFC-Antenne 2314 mehrere Induktivitätselemente (z. B. eine mehrschichtige Induktivität) aufweisen, die sich am gleichen Ort mit der phasengesteuerten Antennengruppe 2316 befinden können.
  • In einigen Aspekten können das RFEM 2310 und das BBS 2312 zum Verarbeiten drahtloser Signale in Verbindung mit einem oder mehreren Drahtlos-Standards oder Protokollen in einem oder mehreren Kommunikationsnetzen verwendet werden. Beispiele für Kommunikationsnetze können unter anderen ein lokales Netz (LAN), ein Weitbereichsnetz (WAN), ein Paketdatennetz (z. B. das Internet), Mobiltelefonnetze (z. B. zellulare Netze), herkömmliche Telefonnetze (POTS-Netze) und drahtlose Datennetze (z. B. Netze, die die Standard-Familie 802.11 des „Institute of Electrical and Electronics Engineers“ (IEEE) verwenden, bekannt als Wi-Fi®, die IEEE 802.16-Standard-Familie, bekannt als WiMax®, die IEEE 802.15.4-Standard-Familie, eine Langzeitentwicklungs- (LTE-) Standard-Familie, 5G-Drahtloskommunikationsstandards oder -protokolle (die Kommunikation in dem 28 GHz-, 37 GHz- und 39 GHz-Kommunikationsbändern enthalten), eine Standard-Familie des universellen Mobiltelekommunikationssystems (UMTS), Peer-to-Peer-Netze (P2P) enthalten.
  • 24 stellt ein Hochfrequenz-Frontend-Modul (RFEM) mit einer phasengesteuerten Antennengruppe gemäß einigen Aspekten dar. Bezug nehmend auf 24 ist das RFEM 2310 unter Verwendung einer beispielhaften phasengesteuerten Antennengruppe, die auf beiden Seiten des PCB-Substrats 2302 implementiert ist, dargestellt. Insbesondere kann die phasengesteuerten Antennengruppe 2400 erste mehrere Antennen 2402 - 2408, zweite mehrere Antennen 2410 - 2414, dritte mehrere Antennen 2416 - 2422, vierte mehrere Antennen 2424 - 2428, fünfte mehrere Antennen 2432 und sechste mehrere Antennen 2434 aufweisen.
  • In einigen Aspekten können die Antennen 2402 bis 2428 und 2432 auf einer Seite des PCB-Substrats 2302 angeordnet sein. Die sechsten mehreren Antennen 2434 können auf einer entgegengesetzten Seite des PCB-Substrats 2302 (z. B. ähnlich der in 23 dargestellten Antennengruppe 2316) angeordnet sein. In einigen Aspekten können die ersten, zweiten, dritten und vierten mehreren Antennen 2402 - 2428 entlang der vier entsprechenden Kanten des PCB-Substrats 2302 angeordnet sein (wie in 24 zu sehen ist). Die fünften mehreren Antennen 2432 können in einem Bereich angeordnet sein, der von den Kanten des PCB-Substrats 2302 entfernt ist. Das PCB-Substrat 2302 kann außerdem einen Verbindungsanschluss 2430 aufweisen, der als Speiseleitung für die phasengesteuerte Antennengruppe 2400 verwendet werden kann. In diesem Zusammenhang kann die phasengesteuerte Antennengruppe, die die Antennen 2402 - 2428, 2432 und 2434 aufweist, Signalabdeckung in einer Nord-, Süd-, West-, Ost-, Aufwärts- und Abwärts-Richtung relativ zu dem PCB-Substrat 2302 bereitstellen.
  • In einigen Aspekten kann die phasengesteuerte Antennengruppe, die die Antennen 2402 - 2428, 2432 und 2434 aufweist, unterschiedliche Typen von Antennen wie z. B. Dipolantennen und Patchantennen aufweisen. In einigen Aspekten kann die phasengesteuerte Antennengruppe auch unter Verwendung anderer Typen von Antennen implementiert sein. In einigen Aspekten können eine oder mehrere der Antennen der phasengesteuerten Antennengruppe 2400 als Teil des RFEM 2310 implementiert sein. Zusätzlich kann das PCB-Substrat 2302 eine NFC-Antenne (in 24 nicht dargestellt) aufweisen, die sich am gleichen Ort mit einer oder mehreren der Antennen der phasengesteuerten Antennengruppe 2400 befinden kann. Beispielsweise kann sich die NFC-Antenne am gleichen Ort mit den Antennen 2434 auf derselben Seite des PCB-Substrats 2302 befinden.
  • 25 stellt beispielhafte Orte eines RFEM in einer mobilen Vorrichtung gemäß einigen Aspekten dar. Bezug nehmend auf 25 ist eine mobile Vorrichtung 2500 dargestellt, die mehrere RFEMs 2502 aufweist. Jedes RFEM 2502 kann eine NFC-Antenne und eine mmWellen-Antenne einer phasengesteuerten Gruppe aufweisen, wie z. B. in 23 dargestellt ist. Wie in 25 zu sehen ist, kann jedes RFEM 2502 entfernt von der Bildschirmfläche (z. B. in einer Einfassungsfläche) sein, so dass die Antennenabdeckung in Fällen, in denen ein anderes RFEM durch eine menschliche Hand abgedeckt ist, aus einem RFEM bereitgestellt wird.
  • 26 ist ein Blockdiagramm eines beispielhaften RFEM gemäß einigen Aspekten. Bezug nehmend auf 26 ist das RFEM 2310 mit dem BBS 2612 über ein Koax-Kabel 2612 gekoppelt. Das RFEM 2610 kann eine phasengesteuerte Antennengruppe 2602, einen RF-Empfänger 2604, einen RF-Sender 2606, einen LO-Generator 2608, einen Triplexer 2610 und einen Schalter 2603 aufweisen. Der RF-Empfänger 2604 kann mehrere Leistungsverstärker 2616, mehrere Phasenschieber 2618 und einen Addierer 2620 und einen Verstärker 2622 und einen Verstärker 2626 und einen Multiplizierer 2624 aufweisen. Der RF-Sender 2606 kann einen Multiplizierer 2638, die Verstärker 2636 und 2640, einen Addierer 2634, mehrere Phasenschieber 2632 und mehrere Verstärker 2630 aufweisen. Das RFEM 2310 kann ferner die Zwischenfrequenz-(IF-) Verstärker 2627 und 2641 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 2603 Empfängerkettenverarbeitung aktivieren. Die phasengesteuerte Antennengruppe 2602 kann zum Empfangen von mehreren Signalen 2614 verwendet werden. Die Empfangssignale 2614 können durch die Verstärker 2616 verstärkt werden, und die Phase kann durch die entsprechenden Phasenschieber 2618 angepasst werden. Jeder der Phasenschieber 2618 kann ein separates Phasenanpassungssignal (in 26 nicht dargestellt) von einer Steuerschaltung empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren, wenn die über die phasengesteuerte Antennengruppe 2602 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 2618 können durch den Addierer 2620 summiert und dann durch den Verstärker 2622 verstärkt werden. Der LO-Generator 2608 kann ein LO-Signal erzeugen, das durch den Verstärker 2626 verstärkt und dann mit der Ausgabe des Verstärkers 2622 unter Verwendung des Multiplizierers 2624 multipliziert werden kann, um ein IF-Ausgabesignal zu erzeugen. Das IF-Ausgabesignal kann durch den Verstärker 2627 verstärkt werden und dann zu dem BBS 2312 über den Triplexer 2610 und das Koax-Kabel 2612 kommuniziert werden.
  • In einer beispielhaften Sendeoperation kann der Schalter 2603 Senderkettenverarbeitung aktivieren. Das RFEM 2310 kann ein IAF-Signal von dem BBS 2312 über das Koax-Kabel 2612 und den Triplexer 2610 empfangen. Das IAF-Signal kann durch den Verstärker 2641 verstärkt und dann zu dem Multiplizierer 2638 kommuniziert werden. Der Multiplizierer 2638 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 2608 und dem Verstärker 2640 empfangen. Das verstärkte LO-Signal wird durch den Multiplizierer 2638 mit dem empfangenen IF-Signal multipliziert. Das multiplizierte Signal wird dann durch den Verstärker 2636 verstärkt und zu dem Addierer 2634 kommuniziert. Der Addierer 2634 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 2632. Die mehreren Phasenschieber 2632 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 2630 verstärkt werden können. Die mehreren Verstärker 2630 erzeugen mehrere Signale 2628 zum Senden durch die phasengesteuerte Antennengruppe 2602.
  • In einigen Aspekten kann der LO-Generator 2608 für das Verarbeiten drahtloser mmWellen-Signale (oder anderer Typen von Signalen) durch das RFEM 2310 und das Verarbeiten von NFC-Signalen durch das NFC-Teilsystem 2318 gemeinsam verwendet werden. Beispielsweise kann das NFC-Teilsystem 2318 dieses LO-Erzeugungssignal an dem Ausgang des LO-Generators 2608 (nach seinem Aufteilen) je nach Bedarf zur Aufwärtsumsetzung oder Abwärtsumsetzung verwenden. In einem weiteren Beispiel kann das NFC-Teilsystem 2318 das LO-Erzeugungssignal zur direkten Erzeugung der NFC-Daten unter Verwendung des LO-Signals (z. B. durch Multiplizieren des LO-Signals mit den NFC-Daten) verwenden.
  • In einigen Aspekten können andere Schaltungen/Teilsysteme innerhalb des RFEM 2310 oder des BBS 2312 mit dem NFC-Teilsystem 2318 gemeinsam verwendet werden. Beispielsweise können das RFEM 2310 oder das BBS 2312 eine Leistungsmanagementeinheit (PMU) (nicht dargestellt) aufweisen, die mit dem NFC-Teilsystem 2318 gemeinsam verwendet werden kann. In einigen Aspekten kann die PMU ein DC-zu-DC-Teilsystem (z. B. DC-Regulierer), Spannungsregulierer, Bandabstandsspanungsreferenz und Stromquellen und so weiter aufweisen, die mit dem NFC-Teilsystem 2318 gemeinsam verwendet werden können.
  • Selbst wenn der RF-Empfänger 2604 und der RF-Sender 2606 so dargestellt sind, dass sie Zwischenfrequenz- (IF-) Signale ausgeben bzw. empfangen, ist diese Offenbarung in dieser Hinsicht nicht eingeschränkt. Insbesondere können der RF-Empfänger 2604 und der RF-Sender 2606 konfiguriert sein, RF-Signale auszugeben bzw. zu empfangen (z. B. Super-Überlagerungs- oder Direktumsetzungs-Architektur).
  • 27 ist ein Blockdiagramm eines Medienzugangssteuerungs-(MAC-) / Basisband- (BB-) Teilsystem gemäß einigen Aspekten. Bezug nehmend auf 27 kann das BBS 2312 einen Triplexer 2702, einen IF-Empfänger 2704, ein Modem 2724, einen Kristalloszillator 2730, einen Synthesizer 2728 und einen Teiler 2726 aufweisen. Der Synthesizer 2728 kann ein Signal aus dem Kristalloszillator 2730 verwenden, ein Taktsignal erzeugen, das durch den Teiler 2726 aufgeteilt werden kann, um ein Ausgabetaktsignal zur Kommunikation zu dem RFEM 2310 zu erzeugen. In einigen Aspekten kann das erzeugte Taktsignal eine Frequenz von 1,32 GHz aufweisen.
  • Der IF-Empfänger 2704 kann einen Verstärker 2708, Mischer 2710, Filter 2712 und ADC-Blöcke 2714 aufweisen. Der IF-Sender 2706 kann DAC-Blöcke 2722, Tiefpassfilter 2720, Mischer 2718 und einen IF-Verstärker 2716 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein IF-Signal von dem RFEM 2310 über den Triplexer 2702 empfangen und wird durch den Verstärker 2708 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 2710 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 2712 gefiltert und durch die ADC-Blöcke 2714 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 2724 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 2724 ausgegeben wird, durch die DAC-Blöcke 2722 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 2720 gefiltert und dann durch die Mischer 2817 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal wird dann durch den IF-Verstärker 2716 verstärkt und dann über den Triplexer 2702 und das Koax-Kabel 2612 zu dem RFEM 2310 gesendet.
  • In einigen Aspekten kann das Koax-Kabel verwendet werden, um IF-Signale oder RF-Signale zu kommunizieren (z. B. RF-über-Koax- oder RFoC-Kommunikation). In diesem Zusammenhang können ein oder mehrere Teilsysteme zum Verarbeiten von IF- oder RF-Signalen zwischen dem RFEM 2310 und dem BBS 2312 zur zusätzlichen Signalverarbeitung angeordnet sein.
  • In einigen Aspekten können sich das RFEM 2310, das BBS 2312, das NFC-Teilsystem 2318, die phasengesteuerte Antennengruppe 2316 und die NFC-Antenne 2314 innerhalb desselben Package befinden, oder es kann eine verteilte Herangehensweise verwendet werden, wobei ein oder mehrere Teilsysteme auf einem separaten Package implementiert sein können.
  • 28 ist ein Diagramm einer beispielhaften NFC-Antennenimplementierung gemäß einigen Aspekten. Bezug nehmend auf 23 und 28 kann das RFEM 2310, da es mit der Antennengruppe 2316 und der NFC-Antenne 2314 am gleichen Ort implementiert ist, außerdem eine Signalabschirmungsabdeckung 2802 aufweisen. In einigen Aspekten kann die NFC-Antenne 2314 auf der Signalabschirmungsabdeckung 2802 angeordnet sein. Wie in 28 zu sehen ist, kann die NFC-Antenne 2314 als eine Induktionsspule 2808 implementiert sein. Insbesondere kann der folgende Stapel auf die Signalabschirmungsabdeckung 2802 angewandt sein: ein Polyesterband 2814, eine Magnetfolie 2812 und ein Klebeband 2810, die Induktionsspule 2808, ein Basisfilm 2806 und ein Klebeband 2804 Obwohl 28 einen spezifischen Bandstapel darstellt, der die Spule 2808 enthält, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und andere Aspekte einer NFC-Antenne, die mit einer phasengesteuerten Millimeterwellen-Antennengruppe am gleichen Ort ist, sind ebenfalls möglich, und andere Typen von Schichten/Folien und Schicht-Reihenfolge können anstelle der Schichten und der Reihenfolge, die in 28 dargestellt sind ebenfalls verwendet werden.
  • 29 stellt mehrere Ansichten einer Halbleiterbaugruppe mit mmWellen-Antennen und einer Nahbereichskommunikations- (NFC-) Antenne am gleichen Ort auf mehreren PCB-Substraten gemäß einigen Aspekten dar. Bezug nehmend auf 29 kann das Package 2902 mehrere PCB-Substrate aufweisen. Beispielsweise kann das Package 2902 ein erstes Substrat 2904 und ein zweites Substrat 2906 aufweisen. Das erste Substrat 2904 kann eine erste Seite 2904A (z. B. eine bedruckte Seite) und eine zweite Seite 2904B (z. B. eine Komponentenseite) aufweisen. Die Komponentenseite 2904B kann eine oder mehrere Komponenten 2908 aufweisen, wie z. B. ein RFEM (z. B. 2310), ein BBS (z. B. 2312) und ein NFC-Teilsystem (z. B. 2318). Die bedruckte Seite 2904A kann eine phasengesteuerte Antennengruppe 2910 aufweisen. Beispielsweise kann die phasengesteuerte Antennengruppe 2910 durch das auf der Komponentenseite 2904B implementierte RFEM verwendet werden. In einigen Aspekten kann die bedruckte Seite 2904A eine NFC-Antenne 2914 am gleichen Ort enthalten. Die NFC-Antenne 2914 kann als die NFC-Antenne 2914A (neben der phasengesteuerten Antennengruppe 2910) oder als NFC-Antenne 2914B, die um die phasengesteuerte Antennengruppe 2910 angeordnet ist, implementiert sein.
  • In einigen Aspekten kann eine Teilmenge der phasengesteuerten Antennengruppe, die durch das RFEM verwendet wird, das auf dem Substrat 2904 implementiert ist, auf dem zweiten Substrat 2906 angeordnet sein. Beispielsweise kann, wie in 29 zu sehen ist, das Substrat 2906 eine phasengesteuerte Antennengruppe 2912 aufweisen. Sowohl die phasengesteuerte Antennengruppe 2910 als auch die phasengesteuerte Antennengruppe 2912 kann Antennen mit horizontaler und/oder vertikaler Polarisation aufweisen. In einigen Aspekten kann das zweite Substrat 2906 eine NFC-Antenne 2914C am gleichen Ort aufweisen, die neben der phasengesteuerten Antennengruppe 2912 angeordnet sein kann. Alternativ kann die NFC-Antenne als Antenne 2914D implementiert sein, die eine Induktivität ist, die um die phasengesteuerte Antennengruppe 2912 angeordnet ist.
  • In einigen Aspekten kann das erste Substrat 2904 Lotperlen 2916 enthalten, die zur Kopplung zwischen dem ersten Substrat 2904 und dem zweiten Substrat 2906 verwendet werden können.
  • Funksenderempfänger der phasengesteuerten Gruppe können in Millimeterwellenfunkkommunikationsschaltungen verwendet werden, um den Antennengewinn zu erhöhen, um die signifikante Streckendämpfung zu adressieren, die einer kleineren Antennenapertur an diesen Frequenzen zugeordnet ist. Die Funksenderempfänger der phasengesteuerten Gruppe nutzen jedoch einen Rekombinationspunkt, an dem die Summe aller Empfänger- (oder Sender-) Signale der phasengesteuerten Gruppe miteinander kombiniert werden. Dieser Kombinationsknoten ist häufig ein Engpass in Empfängern der phasengesteuerten Gruppe hinsichtlich der Leistungsfähigkeit und Komplexität. Zusätzlich kann es in Anwendungen, in denen eine andere Größe der phasengesteuerten Gruppe erwünscht ist, notwendig sein, dass der Kombinationsknoten neu konstruiert wird, was die Konstruktionskomplexität signifikant erhöht und ein Hindernis für die Skalierbarkeit phasengesteuerter Gruppen ist.
  • 30 ist ein Blockdiagramm eines phasengesteuerten RF-Gruppensystems, das Strahlformen durch Phasenverschiebung und Kombinieren der Signale in RF implementiert, gemäß einigen Aspekten. Das dargestellte phasengesteuerte RF-Gruppensystem kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das phasengesteuerte RF-Gruppensystem nicht darauf beschränkt ist.
  • Bezug nehmend auf 30 ist ein Funk-Sendeempfänger 3000 einer phasengesteuerten Gruppe dargestellt. Der Sendeempfänger arbeitet durch Modifizieren der Verstärkung und einer Phase jedes empfangenen Elements auf eine solche Weise, dass ein gesendetes (oder empfangenes) Signale aus der kohärenten Vektorsumme mehrerer (in der Amplitude) schwächerer Signale gebildet wird. Der Sendeempfänger 3000 arbeitet als phasengesteuertes RF-Gruppensystem. Insbesondere weist der Sendeempfänger 3000 die Anzahl N von Sender/Empfänger-Ketten auf, die die Antennen 3002_1 - 3002_N, die Verstärker 3004_1 - 3004_N, die Phasenschieber 3006_1 - 3006_N, die Verstärker mit variabler Verstärkung 3008_1 - 3008_N, einen Addierer (oder Kombinierer) 3010, einen Mischer 3012, ein Filter 3016 und einen Analog/Digital-Umsetzer (ADC) 3018 aufweisen. In Fällen, in denen Signale zum Senden verarbeitet werden, kann der Block 3018 ein Digital/Analog-Umsetzer sein.
  • Im Betrieb werden sowohl die Phasenschieber 3006 1 - 3006 N als auch die Verstärker mit variabler Verstärkung 3008_1 - 3008_N verwendet, um jedes gesendete oder empfangene Signal anzupassen. Die Vorteile des phasengesteuerten RF-Gruppensystems in 30 sind Einfachheit, da nur ein Mischer und eine Basisbandkette benötigt werden können. Nachteile des phasengesteuerten RF-Gruppensystems in 30 können das Fehlen der Skalierbarkeit (Hinzufügen mehrerer Pfade an RF-Frequenzen bildet einen Bandbreitenengpass), hinzugefügter Rauschfaktor in dem Empfänger (da eine rauschbehaftete phasengesteuerte Gruppe und Verstärker mit variabler Verstärkung nahe den Antennen hinzugefügt sind) und hinzugefügter Energieverbrauch (die Phasen- und Verstärkungsanpassungsblöcke arbeiten mit Millimeterwellenfrequenzen und können zusätzlichen Signalverlust hinzufügen).
  • 31 ist ein Blockdiagramm eines phasengesteuerten Gruppensystems, das Strahlformen durch Phasenverschieben des lokalen Oszillators (LO) und Kombinieren der analogen Signale im IF/Basisband implementiert, gemäß einigen Aspekten. Bezug nehmend auf 31 ist ein Funk-Sendeempfänger 3100 einer phasengesteuerten Gruppe dargestellt, der als ein phasenverschiebendes phasengesteuertes Gruppensystem mit lokalem Oszillator (LO) konfiguriert ist. Der Sendeempfänger 3100 kann die Antennen 3102_1 - 3102_N, die Verstärker 3104_1 - 3104_N, die Verstärker mit variabler Verstärkung 3106_1 - 3106_N, die Mischer 3108_1 - 3108_N, die Phasenschieber 3110_1 - 3110_N, einen Addierer (oder Kombinierer) 3114, ein Filter 3116 und einen ADC 3118 aufweisen. Wie in 31 zu sehen ist, verwendet das phasengesteuerte Gruppensystem 3100 mit LO Verstärker mit variabler Verstärkung in dem Signalpfad, die Phasenschieber 3110 werden jedoch innerhalb des Pfads des lokalen Oszillators verwendet, um die Phase des LO-Signals 3112 zu verschieben. Der Vorteil dieser Topologie gegenüber dem phasengesteuerten RF-Gruppensystem von 30 ist ein reduziertes Rauschprofil. Das phasengesteuerte Gruppensystem 3100 mit LO verwendet jedoch mehr Mischer. Zusätzlich kann das Lenken von LO-Signalen, die an Millimeterwellenfrequenzen arbeiten, schwierig sein.
  • In einigen Aspekten kann das phasengesteuerte Gruppensystem 3100 mit LO konfiguriert sein, die Phasenverschiebung unter Verwendung aller digitalen PLLs (ADPLLs) auszuführen, und die Phasenverschiebung kann digital innerhalb der ADPLL-Schleife erreicht werden. Das kann die Notwendigkeit von RF-Phasenschiebern eliminieren, die hinsichtlich des Energieverbrauchs aufwändig sind und Verzerrung und Einfügungsverlust in die Signalpfade einführen. Phasenverschiebung innerhalb des ADPLL entfernt außerdem die Notwendigkeit eines expliziten Phasenschiebers, der auf dem LO-Signalpfad hinzugefügt wird.
  • 32 ist ein Blockdiagramm eines phasengesteuerten Gruppensystems mit digitaler Phasenverschiebung und Kombinieren gemäß einigen Aspekten. Bezug nehmend auf 32 ist ein digitales phasengesteuertes Gruppensystem 3200 dargestellt. Der Sendeempfänger 3200 kann die Antennen 3202A - 3202N, die Verstärker 3204A - 3204N, die Verstärker mit variabler Verstärkung 3206A - 3206N, die Mischer 3208A - 3208N, die Filter 3212A - 3212N, die ADCs 3214A - 3214N und einen Addierer 3216 aufweisen.
  • Wie in 32 zu sehen ist, ist die gesamte Sendeempfängerkette für jede Antenne repliziert, einschließlich der Datenumsetzer 3214A - 3214N. Die Signalphasenanpassung und die Signalkombination können auf der digitalen Signalausgabe 3218 nach dem Addierer 3216 ausgeführt werden. Das Ausführen der Kombination der phasengesteuerten Gruppe in der digitalen Domäne kann jedoch zu einer Zunahme der Komplexität und des Energieverbrauchs führen. Ein Vorteil des digitalen phasengesteuerten Gruppensystems 3200 ist seine Fähigkeit, mehrere Benutzer gleichzeitig zu unterstützen, wobei jeder Benutzer den Vorteil aus dem Gewinn der vollständigen Antennengruppe zieht, durch Erzeugen getrennter digitaler Ströme, von denen jeder mit einer unterschiedlichen Menge von Strahlformungskoeffizienten (sowohl Gewinn als auch Phase) erzeugt wird.
  • In den in den 30-32 dargestellten beispielhaften Sendeempfängern wird ein Rekombinationspunkt verwendet, an dem die Summe aller Empfänger- (oder Sender-) Signale der phasengesteuerten Gruppe mit unterschiedlichen Amplitudengewichtungen und/oder Phasenverschiebungen miteinander kombiniert werden. Dieser Kombinationsknoten kann häufig ein Engpass in Empfängern der phasengesteuerten Gruppe hinsichtlich der Leistungsfähigkeit und Komplexität sein. Zusätzlich kann, falls eine unterschiedliche Größe der phasengesteuerten Gruppe erwünscht ist, der Kombinationsknoten neu konstruiert werden, was die Konstruktionskomplexität des Sendeempfängers signifikant erhöhen kann und die Skalierbarkeit der Gruppe wesentlich einschränkt.
  • In einigen Aspekten kann eine skalierbare Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe verwendet werden, wie hier diskutiert, die die Skalierbarkeits- und Komplexitäts-Probleme, die den in den 30-32 dargestellten Sendeempfängern zugeordnet sind, verringert. Die skalierbare Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe kann mehrere Sendeempfängerkacheln (oder Zellen) verwenden, die die Wiederverwendbarkeit dieser Architektur für mehrere Anwendungen und Produkte unterstützt und die Zeit bis zur Markteinführung reduziert. Zusätzlich ist die vorgeschlagene skalierbare Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe selbstkonfigurierend, was die Programmierbarkeit der Sendeempfängervorrichtung vereinfacht. Die skalierbare Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe kann mehrere Betriebsarten unterstützen, die einen besseren Gewinn der phasengesteuerten Gruppe oder geringen Energieverbrauch optimiert für den spezifischen Anwendungsfall ermöglichen, wie hier nachstehend diskutiert ist.
  • 33 ist ein Blockdiagramm eines Sendeempfängerzellenelements, das in einer skalierbaren Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe gemäß einigen Aspekten verwendet werden kann. Bezug nehmend auf 33 kann die Sendeempfängerzelle (TRX) 3300 die Sender- (TX) Schaltung 3302, die Empfänger- (RX) Schaltung 3304, eine Schaltung eines lokalen Oszillators (LO) 3306, eine digitale Schaltung (DIG) 3308, eine Eingabe/Ausgabe- (I/O) Schaltung 3310 und eine Phasenanpassungsschaltung 3312 aufweisen. In einigen Aspekten kann eine Gruppe von Multiplexern und Demultiplexern auf den vier Rändern 3320 - 3326 der Sendeempfängerzelle 3300 gekachelt sein, um Kommunikation mit benachbarten Zellen zu ermöglichen. Die vier Ränder der Sendeempfängerzelle 3300 können als ein Nord- (N-) Rand 3320, ein Ost- (E-) Rand 3322, ein Süd- (S-) Rand 3324 und ein West- (W-) Rand 3326 bezeichnet sein. Die I/O-Schaltung 3310 kann sowohl analoge als auch digitale parallele Busse aufweisen, die die Sendeempfängerzelle 3300 mit Nachbarzellen verbinden, was die Kachelung der Zellen in eine Sendeempfängergruppe ermöglicht. In einigen Aspekten können die TX-Schaltung 3302 und die RX-Schaltung 3304 entweder einzelne oder mehrere Sender bzw. Empfänger aufweisen, was es ermöglicht, dass mehrere Empfänger- und Sender-Ketten ein einziges Signal eines lokalen Oszillators gemeinsam verwenden, um Energie zu sparen. In einigen Aspekten kann ein Kristalloszillatorsignal, das verwendet werden kann, um das Signal des lokalen Oszillators innerhalb jeder Sendeempfängerzelle zu erzeugen, gepuffert und von mehreren Sendeempfängerzellen gemeinsam verwendet werden. In einigen Aspekten kann eine Rückschleife verwendet werden, um eine Verzögerung, die durch die Kristalloszillatorpuffer in jeder Sendeempfängerzelle eingeführt werden, zu messen und auszukalibrieren. Die Sendeempfängerzelle 3300 kann außerdem eine Steuerschaltung (in 33 nicht dargestellt) aufweisen, die verwendet werden kann, um sowohl Steuersignale, die die Sendeempfängerzelle 3300 mit anderen Nachbarzellen verbinden, als auch globale Steuersignale, die statisch sind, zu verarbeiten. In einigen Aspekten kann die Steuerschaltung als ein Teil der digitalen Schaltung 3308 enthalten sein.
  • In einigen Aspekten können die TX-Schaltung 3302 und die RX-Schaltung 3304 Verstärker, Verstärker mit variabler Verstärkung, Mischer, Basisbandfilter, Analog/Digital-Umsetzer, Digital/Analog-Umsetzer und andere Signalverarbeitungsverarbeitungsschaltung aufweisen. In einigen Aspekten kann die digitale Schaltung 3308 eine Schaltung aufweisen, die sowohl digitale Signalverarbeitung, Filtern als auch digitale Signalkombination und Phasenanpassung ausführt. In einigen Aspekten können die Phasenanpassung und Signalkombination durch die Phasenanpassungsschaltung 3312 in der analogen oder auch in der digitalen Domäne ausgeführt werden.
  • 34 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe, die mehrere Sendeempfängerzellen verwendet, gemäß einigen Aspekten. Bezug nehmend auf 34 kann die Sendeempfängergruppe 3400 mehrere Sendeempfängerzellen aufweisen, die zusammen in einer Gruppe gekachelt sind. Insbesondere kann jede der Sendeempfängerzellen 3402 - 3412 eine exakte Kopie voneinander sein, und jede der Sendeempfängerzellen 3402 - 3412 kann Funktionsblöcke aufweisen, wie sie mit Bezug auf 33 beschrieben sind. Die Kommunikation zwischen den einzelnen Sendeempfängerzellen 3402 - 3412 kann analoge und digitale Busse aufweisen. In einigen Aspekten kann die Breite der Busse gleich der Anzahl gleichzeitiger Benutzer sein, die das phasengesteuerte Gruppensystem unterstützen kann, wie hier nachstehend weiter erläutert ist. Wie in 34 zu sehen ist, kann jede Sendeempfängerzelle nur mit benachbarten Sendeempfängerzellen verbunden sein, was die Skalierbarkeit der Sendeempfänger-Architektur, die mehrere Sendeempfängerkacheln verwendet, sicherstellt.
  • In einigen Aspekten kann die Sendeempfänger-Architektur, die mehrere Sendeempfängerkacheln verwendet, auf einem einzigen Halbleiter-Die implementiert sein, was das Schneiden des Halbleiterwafers in unterschiedliche Formen und Gruppengrößen für unterschiedliche Anwendungen ermöglichen kann, wie in 35 dargestellt ist.
  • 35 stellt Schneiden eines Halbleiter-Dies in individuelle Sendeempfängerzellen, die Funk-Sendeempfänger einer phasengesteuerten Gruppe bilden, gemäß einigen Aspekten dar. Bezug nehmend auf 35 sind die Halbleiterwafer 3500 und 3502 dargestellt. Die Wafer 3500 und 3502 können so hergestellt sein, dass sie mehrere Sendeempfängerkacheln (oder Zellen) aufweisen, die während des Herstellungsprozesses miteinander verbunden werden. In Verbindung mit dem Wafer 3500 können unterschiedliche Funksenderempfänger einer phasengesteuerten Gruppe aus dem Wafer 3500 für unterschiedliche Anwendungen geschnitten werden. Beispielsweise kann eine 10×3-Gruppe 3510, mehrere 1×2-Gruppen 3512, eine einzige 3×18-Gruppe 3514, mehrere 3×3-Gruppen 3516, mehrere 3×9-Gruppen 3518, mehrere 1×4-Gruppen 3520 und eine einzige 2×10-Gruppe 3522 aus dem Halbleiterwafer 3500 geschnitten und für unterschiedliche Anwendungen mit geringer Leistung mit variierenden Anforderungen auf Systemebene verwendet werden.
  • In einigen Aspekten kann in Hochleistungssystemen (z. B. Basisstationsanwendungen) der einzelne Halbleiter-Die 3502 so geschnitten werden, dass eine einzelne Sendeempfängergruppe 3530 erhalten wird. In diesem Zusammenhang kann derselbe Halbleiterwafer mit mehreren Kopien der gleichen Sendeempfängerzelle (z. B. 3300) gefüllt sein, und dann kann der Halbleiterwafer geschnitten werden, um Sendeempfängergruppen mit unterschiedlichen Formfaktoren zu erhalten.
  • 36 ist ein Blockdiagramm einer Architektur eines Funk-Sendeempfängers einer phasengesteuerten Gruppe, die mit einer phasengesteuerten Gruppenantenne paketiert ist, gemäß einigen Aspekten. Bezug nehmend auf 36 kann das Package 3600 der Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe die Sendeempfängergruppe 3610 mit gekachelten Sendeempfängerzellen, die auf einem Halbleiter-Die 3602 angeordnet sind, aufweisen. Die Sendeempfängergruppe 3610 kann mit einer Antennenschicht 3604 aus Antennen in einer Antennengruppe 3612 kombiniert sein, die in die Sendeempfängergruppe 3610 integriert sein kann, um das Package 3600 der Architektur der Funk-Sendeempfänger der phasengesteuerten Gruppe zu bilden. In einigen Aspekten kann ein Abstand der einzelnen Sendeempfängerzellen innerhalb der Sendeempfängergruppe 3610 gleich einem Abstand der einzelnen Antennen in der Antennengruppe 3612 sein.
  • In einigen Aspekten kann ein konfigurierbares Sendeempfängersystem einer phasengesteuerten Gruppe, das mehrere gleiche Sendeempfängerzellen (z. B. Sendeempfängergruppe 3400 mit mehreren Sendeempfängerzellen wie z. B. Zelle 3300) aufweist, selbstwahrnehmende konfigurierbare Strukturen zum Ausführen von Selbstkonfigurierung aufweisen. Insbesondere kann eine Prozessorschaltung, die der Sendeempfängergruppe 3400 zugeordnet ist, (oder eine Prozessorschaltung innerhalb einer oder mehrerer der einzelnen Sendeempfängerzelle 3300) Selbstkonfigurierung beim Einschalten ausführen. Beispielsweise können Kennungen (IDs) für jede der Sendeempfängerzellen innerhalb der Sendeempfängergruppe 3400 beim Einschalten bestimmt werden, z. B. durch einen ID-Zuweisungsalgorithmus. Dadurch, dass sie zugeordnete ID-Nummern für jede Sendeempfängerzelle besitzt, kann die Sendeempfängergruppe 3400 Konfigurationsinformationen bereitstellen, die die Anzahl und/oder den Ort einzelner Sendeempfängerzellen angeben, die innerhalb der Sendeempfängergruppe 3400 aktiviert sind, so dass jede gleiche Zelle zur Steuerung und Konfiguration individuell adressiert werden kann.
  • Die vier Seiten des Sendeempfängergruppen-Chips können als Norden (N), Süden (S), Westen (W) und Osten (E) bezeichnet sein. Beim Einschalten kann ID Nr. 1 der Zelle in der NW-Ecke zugewiesen werden, z. B. der Sendeempfängerzelle 3402. Die NW-Ecke der Sendeempfängergruppe 3400 kann durch Ortsverbindungsanschlüsse bestimmt werden, die detektieren können, ob der Anschluss offen ist oder mit einem andern Anschluss kurzgeschlossen ist.
  • Beispielsweise kann die Prozessorschaltung bestimmen, dass sowohl der N- als auch der W-Anschluss der Sendeempfängerzelle 3402 offen sind, und deshalb wird die initiale ID Nr. 1 dieser Zelle zugewiesen. Die Sendeempfängerzelle 3402 kann dann die Nummerierungsfolge initiieren, wobei die ID-Nummer um eins inkrementiert werden und zu der benachbarten Sendeempfängerzelle im Osten weitergegeben werden kann. Falls eine aktuelle Zelle keine E-Anschluss-Verbindung aufweist (z. B. Zelle 3406) und sie ihre ID-Nummer von der Westzelle empfangen hat, dann gibt sie die ID-Nummer zu der Südzelle weiter. Falls die aktuelle Zelle keine E-Anschluss-Verbindung aufweist und sie ihre ID-Nummer von der Nordzelle empfangen hat, dann gibt sie die ID-Nummer zu der Westzelle weiter (falls sie verbunden ist, andernfalls gibt sie die ID-Nummer ebenfalls zu der Südzelle weiter). Ein ähnlicher Prozess kann für die Westgrenze der Gruppe verwendet werden. Das wird fortgesetzt, bis eine SE- oder SW-Eckzelle erreicht wird. An diesem Punkt ist die ID-Nummerierung vollständig. Zusätzlich kann, wenn die ID-Nummer einer Zelle zugewiesen wird, die Zelle eine lokale Amplituden- und Phasen-Kalibrierung sowohl der Sende- und der Empfangsamplitude als auch der Phasenwerte durchlaufen. Sobald der Selbstkalibrierungsprozess beendet ist und jede Sendeempfängerzelle innerhalb der Sendeempfängergruppe eine zugewiesene ID-Nummer aufweist, können die ID-Nummern verwendet werden, um die Gruppe ferner zur Verarbeitung von Signalen, die der unterschiedlichen Anzahl von Benutzern zugeordnet sind, zu konfigurieren. In der Beispielgruppe 3400 von 34 kann das Zuweisen der ID / Nummerierung bei Zelle 3402 starten, dann der Reihe nach nach rechts bis zur Zelle 3406 weitergehen, dann nach unten gehen und nach links weiter gehen bis zur Zelle 3408 und dann nach unten gehen und nach rechts weiter gehen, und so weiter.
  • In einigen Aspekten kann die skalierbare Architektur des Funk-Sendeempfängers der phasengesteuerten Gruppe, wie z. B. der Sendeempfängergruppe 3400, mehrere Betriebsarten unterstützen. Beispiele der Betriebsart enthalten eine LO- Betriebsart (oder Strahlformungs-Betriebsart) der phasengesteuerten Gruppe, eine digitale Betriebsart (oder Strahlformungs-Betriebsart) der phasengesteuerten Gruppe, eine analoge Betriebsart (oder Strahlformungs-Betriebsart) der phasengesteuerten Gruppe und eine HybridBetriebsart (oder Strahlformungs-Betriebsart) der phasengesteuerten Gruppe. Jede der Betriebsarten kann unter Verwendung der vorstehend diskutierten Sendeempfängerzelle (z. B. 3402 oder 3300) implementiert sein, was größenskalierbare/n Betrieb und Konfiguration der Gruppe 3400 ermöglicht.
  • 37 ist ein Blockdiagramm einer Sendeempfängerzelle mit Kommunikationsbussen gemäß einigen Aspekten. Bezug nehmend auf 37 kann die Sendeempfängerzelle 3700 gleich der Sendeempfängerzelle 3300 sein, die vorstehend mit Bezug auf 33 diskutiert ist.
  • Während einer beispielhaften digitalen Strahlformungs-Betriebsart können Sendeempfänger-bezogene Elemente innerhalb der Sendeempfängerzelle 3700 verwendet werden. Beispielsweise kann in einer Empfangsbetriebsart das Empfangssignal in ein digitales Signal umgesetzt werden, dann ein Vektor innerhalb der Sendeempfängerzelle 3700 mit einem digitalen Signal, das von einer benachbarten Sendeempfängerzelle mit der vorhergehenden ID-Nummer empfangen wird, summiert werden. Um die Skalierbarkeit zu erhalten, kann die Summierung zwischen jedem Schritt in einer Pipeline ausgeführt werden, um die Last auf den Datenbusleitungen zu begrenzen. Zusätzlich kann, um insgesamt K Benutzer (oder äquivalent K unabhängige Strahlen für die phasengesteuerte Gruppe) zu unterstützen, die Anzahl K von Busleitungen verwendet werden, eine für jede Benutzer.
  • In einigen Aspekten kann die Anzahl von Busleitungen in Hardware festgelegt sein, und jede Sendeempfängerzelle kann deshalb mit der Hardware konstruiert sein, um die maximale Anzahl von Benutzern (oder Strahlen) während des digitalen Betriebs der phasengesteuerten Gruppe zu unterstützen. Da die Datenleitungen in einer Pipeline eingeordnet sind, kann ein internes Pipeline-Register der Tiefe ND gehalten werden. Die Pipeline-Tiefe ND kann die maximale Größe der Sendeempfängergruppe begrenzen, wobei die individuellen Sendeempfängerzellen für eine digitale Betriebsart der phasensteuerten Gruppe verbunden sind. Eine größere Gruppengröße (oder Anzahl gleicher Sendeempfängerzellen) erfordert eine größere Tiefe ND des Pipeline-Registers.
  • Wie in 37 zu sehen ist, ist die Sendeempfängerzelle 3700 für die digitale Strahlformungs-Betriebsart unter Verwendung von K digitalen Bussen konfiguriert, um mit Nachbarzellen zu kommunizieren. Beispielsweise kann die Anzahl K digitaler Busse 3702, 3704, 3706 und 3708 verwendet werden, um mit den Sendeempfängerzellen zu kommunizieren, die sich im Wesen, Norden, Osten bzw. Süden befinden. Die Sendeempfängerzelle 3700 kann einen Senderblock 3722 und einen Empfängerblock 3724 aufweisen. Der Senderblock 3722 und der Empfängerblock 3724 können mit der Anzahl K von digitalen Bussen über digitale Multiplexer 3710 - 3712, 3714 - 3716 und 3718 - 3720, die zur Auswahl digitaler Eingaben von einer spezifischen benachbarten Sendeempfängerzelle verwendet werden können, gekoppelt sein. Die empfangenen digitalen Signale von einer Nachbarzelle können addiert und dann zu den nachfolgenden Nachbarzellen auf Art einer Pipeline weitergegeben werden.
  • 38 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in der LO-Phasenverschiebungsbetriebsart unter Verwendung eines einzelnen Analog/Digital-Umsetzers (ADC) gemäß einigen Aspekten. Bezug nehmend auf 38 kann der Sendeempfänger 3800 der phasengesteuerten Gruppe mehrere Sendeempfängerzellen 3802 - 3818 aufweisen. Die Sendeempfängerzellen 3802 - 3818 können gleich der in 33 dargestellten Sendeempfängerzelle 3300 sein.
  • In einer beispielhaften LO-Betriebsart der phasengesteuerten Gruppe kann jede Sendeempfängerzelle 3802 - 3818 ein Phasenverschiebungssignal von einer zentralen Steuereinheit (in 38 nicht dargestellt) empfangen. Die zentrale Steuereinheit kann ein Prozessor sein, der durch die Sendeempfängergruppe 3800 verwendet wird, oder sie kann ein oder mehrere Prozessoren innerhalb einer individuellen Sendeempfängerzelle sein. In dem Empfangspfad können die Phasenverschiebungssignale auf ein Signal eines lokalen Oszillators angewandt werden, um ein phasenverschobenes LO-Signal zu erzeugen. Die Ausgaben aller Mischerstufen können in der analogen Domäne summiert werden, unter Umgehung irgendeiner Analog/Digital-Umsetzung. Insbesondere kann, nachdem das empfangene drahtlose Signal unter Verwendung des phasenverschobenen LO-Signals abwärtsumgesetzt worden ist, das resultierende Signal mit einem Signal, das von einer Nachbarzelle (z. B. einer Sendeempfängerzelle entlang dem Westrand) empfangen wird, summiert werden und dann zu einer weiteren benachbarten Sendeempfängerzelle (z. B. einer Sendeempfängerzelle entlang einem Ostrand) weitergegeben werden.
  • Mit Bezug auf die Sendeempfängergruppe 3800 von 38 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 3806 kommuniziert. Der Analog/Digital-Umsetzer 3820 innerhalb der Sendeempfängerzelle 3806 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 3822 kommuniziert werden kann. In diesem Zusammenhang würde nur ein einzelner ADC die kombinierten analogen Signalausgaben aller Sendeempfängerzellen 3802 - 3818 aufnehmen und die kombinierte analoge Signalausgabe in ein digitales Signal umwandeln. Die Kombination der mehreren analogen Signale aus jeder der Sendeempfängerzellen 3802 - 3818 kann über eine analoge Busleitung ausgeführt werden, die eine Schnittstelle zwischen den benachbarten Sendeempfängerzellen ist. Durch Verwenden eines einzelnen ADC innerhalb der Sendeempfängergruppe 3800 kann eine signifikante Energiereduktion erreicht werden, da der ADC einer der Blöcke mit dem größten Energieverbrauch in einem phasenverschobenen Gruppensystem ist.
  • 39 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in der LO-Phasenverschiebungsbetriebsart unter Verwendung mehrerer ADCs gemäß einigen Aspekten. Bezug nehmend auf 39 kann die Sendeempfängergruppe 3900 mehrere Sendeempfängerzellen 3902 - 3918 aufweisen. Die Sendeempfängerzellen 3902 - 3918 können gleich der in 33 dargestellten Sendeempfängerzelle 3300 sein. In einer beispielhaften LO-Betriebsart der phasengesteuerten Gruppe mit mehreren Untergruppen kann jede Sendeempfängerzelle 3902 - 3918 ein Phasenverschiebungssignal von einer zentralen Steuereinheit (in 39 nicht dargestellt) empfangen. Die zentrale Steuereinheit kann ein Prozessor sein, der durch die Sendeempfängergruppe 3900 verwendet wird, oder sie kann ein oder mehrere Prozessoren innerhalb einer individuellen Sendeempfängerzelle sein.
  • Wie in 39 zu sehen ist, können mehrere benachbarte Sendeempfängerzellen innerhalb einer Reihe der Sendeempfängergruppe 3900 eine Untergruppe bilden. Beispielsweise können die Sendeempfängerzellen 3902 - 3906 eine Sendeempfängeruntergruppe bilden. Ähnliche Untergruppen können durch die Sendeempfängerzellen 3908 - 3912 und 3914 - 3918 gebildet sein. In dem Empfangspfad für jede der Untergruppen können die Phasenverschiebungssignale auf ein Signal eines lokalen Oszillators angewandt werden, um ein phasenverschobenes LO-Signal zu erzeugen. Die Ausgaben aller Mischerstufen innerhalb einer Untergruppe können in der analogen Domäne summiert werden, unter Umgehung irgendeiner Analog/Digital-Umsetzung, und dann zu einem einzigen ADC, der der Untergruppe zugeordnet ist, kommuniziert werden. Insbesondere kann, nachdem ein empfangenes drahtloses Signal unter Verwendung des phasenverschobenen LO-Signals abwärtsumgesetzt worden ist, das resultierende Signal mit einem Signal, das von einer Nachbarzelle (z. B. einer Sendeempfängerzelle entlang dem Westrand) innerhalb der Untergruppe von Zellen 3902-3906 empfangen wird, summiert werden und dann zu einer weiteren benachbarten Sendeempfängerzelle (z. B. einer Sendeempfängerzelle entlang dem Ostrand) innerhalb der Untergruppe weitergegeben werden.
  • Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 3902-3906 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 3906 kommuniziert. Ein Analog/Digital-Umsetzer 3920 innerhalb der Sendeempfängerzelle 3906 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 3926 kommuniziert werden kann.
  • Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 3908-3912 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 3912 kommuniziert. Ein Analog/Digital-Umsetzer 3922 innerhalb der Sendeempfängerzelle 3912 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 3928 kommuniziert werden kann.
  • Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 3914-3918 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 3918 kommuniziert. Ein Analog/Digital-Umsetzer 3924 innerhalb der Sendeempfängerzelle 3918 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 3930 kommuniziert werden kann.
  • Im Vergleich zu der Sendeempfängergruppe 3800 von 38, in der alle Sendeempfängerzellenelemente innerhalb der Gruppe verwendet werden, um analoge Signale zu erzeugen, und ein einzelner ADC innerhalb der Gruppe verwendet wird, um ein digitales Ausgabesignal zu erzeugen, verwendet die Sendeempfängergruppe 3900 in 39 einen ADC pro Untergruppe, was die Erzeugung mehrerer digitaler Signale ermöglicht, die mehrere Benutzer versorgen (z. B. können M Benutzer versorgt werden, falls die Sendeempfängergruppe 3900 in M Untergruppen geteilt ist, jede mit ihrer eigenen digitalen Signalausgabe). Jeder Benutzer wird jedoch nur einen Bruchteil (1/M) der gesamten Gruppenapertur verwenden.
  • 40 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer Hybridbetriebsart (LO und digitale Phasenverschiebung und Kombinieren) unter Verwendung mehrerer ADCs, um mehrere digitale Signale zu erzeugen, gemäß einigen Aspekten. Bezug nehmend auf 40 kann ein Sendeempfänger 4000 einer phasengesteuerten Gruppe mehrere Sendeempfängerzellen 4002 - 4018 aufweisen. Die Sendeempfängerzellen 4002 - 4018 können gleich der in 33 dargestellten Sendeempfängerzelle 3300 sein. In einer beispielhaften Hybridbetriebsart der phasengesteuerten Gruppe kann jede der Sendeempfängerzellen 4002 - 4018 ein Phasenverschiebungssignal von einer zentralen Steuereinheit (in 40 nicht dargestellt) empfangen. Die zentrale Steuereinheit kann ein Prozessor sein, der durch die Sendeempfängergruppe 4000 verwendet wird, oder sie kann ein oder mehrere Prozessoren innerhalb einer individuellen Sendeempfängerzelle sein.
  • Wie in 40 zu sehen ist, können mehrere benachbarte Sendeempfängerzellen innerhalb einer Reihe der Gruppe 4000 eine Untergruppe bilden. Beispielsweise können die Sendeempfängerzellen 4002 - 4006 eine Sendeempfängeruntergruppe bilden. Ähnliche Untergruppen können durch die Sendeempfängerzellen 4008 - 4012 und 4014 - 4018 gebildet sein. In dem Empfangspfad für jede der Untergruppen können die Phasenverschiebungssignale auf ein Signal eines lokalen Oszillators angewandt werden, um ein phasenverschobenes LO-Signal zu erzeugen. Die Ausgaben aller Mischerstufen innerhalb einer Untergruppe können in der analogen Domäne summiert werden, unter Umgehung irgendeiner Analog/Digital-Umsetzung, und dann zu einem einzigen ADC, der der Untergruppe zugeordnet ist, kommuniziert werden. Insbesondere kann, nachdem das empfangene drahtlose Signal unter Verwendung des phasenverschobenen LO-Signals abwärtsumgesetzt worden ist, das resultierende Signal mit einem Signal, das von einer Nachbarzelle (z. B. einer Sendeempfängerzelle entlang dem Westrand) innerhalb der Untergruppe von Zellen 4002-1106 empfangen wird, summiert werden und dann zu einer weiteren benachbarten Sendeempfängerzelle (z. B. einer Sendeempfängerzelle entlang dem Ostrand) innerhalb der Untergruppe weitergegeben werden. Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 4002-4006 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 4006 kommuniziert. Eine Analog/Digital-Umsetzer-Schaltung (ADC-Schaltung) 4020 innerhalb der Sendeempfängerzelle 4006 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 4026 kommuniziert werden kann.
  • Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 4008-4012 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 4012 kommuniziert. Ein Analog/Digital-Umsetzer 4022 innerhalb der Sendeempfängerzelle 4012 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 4028 kommuniziert werden kann.
  • Mit Bezug auf die Sendeempfängeruntergruppe der Zellen 4014-4018 werden die analogen abwärtsumgesetzten Signale summiert, wenn sie zwischen benachbarten Zellen weitergegeben werden, und ein letztes summiertes analoges Signal wird zu der Sendeempfängerzelle 4018 kommuniziert. Eine Analog/Digital-Umsetzer-Schaltung (ADC-Schaltung) 4024 innerhalb der Sendeempfängerzelle 4018 kann verwendet werden, um das analoge Signal in ein digitales Signal umzusetzen, das dann zur Verarbeitung zu der Basisbandschaltung 4030 kommuniziert werden kann.
  • In einer beispielhaften Hybridbetriebsart kann jede der Basisbandschaltungen 4026, 4028 und 4030 einen oder mehrere Gewichtswerte (oder Koeffizienten) zum Zweck der Erzeugung von Strahlformungssignalen anwenden. Insbesondere können die Koeffizienten H1 , H2 , ..., HN einem gewünschten Strahl 4037 zugeordnet werden. Ähnlich können die Koeffizienten W1 , W2 , ..., WN einem gewünschten Strahl 4033 zugeordnet werden. Die Basisbandschaltungen 4026, 4028 und 4030 können die Koeffizienten H1 , H2 , ..., HN auf die digitalen Signale, die von den ADC-Schaltungen 4020, 4022 und 4024 empfangen werden, anwenden. Die gewichteten Signale können durch den Addierer 4036 summiert werden, um den gewünschten Strahl 4037 zu erzeugen.
  • Ähnlich können die Basisbandschaltungen 4026, 4028 und 4030 die Koeffizienten W1 , W2 , ..., WN auf die digitalen Signale, die von den ADC-Schaltungen 4020, 4022 und 4024 empfangen werden, anwenden. Die gewichteten Signale können durch den Addierer 4032 summiert werden, um den gewünschten Strahl 4033 zu erzeugen. Die Strahlen 4037 und 4032 können durch die Basisbandschaltung 4038 bzw. 4034 weiter verarbeitet werden.
  • Obwohl 40 die Erzeugung von zwei Strahlen unter Verwendung von zwei Addierern in der digitalen Domäne darstellt, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt. In einigen Aspekten kann nur eine einzige Gruppe von Gewichten auf die digitalen Ausgaben der ADC-Schaltungen angewandt werden, und nur ein einziger Addierer kann verwendet werden, um einen einzelnen Strahl für einen einzelnen Benutzer zu erzeugen.
  • 41 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer Betriebsart mit analoger IF/Basisband-Phasenverschiebung und Kombinieren unter Verwendung eines einzelnen ADC gemäß einigen Aspekten. Bezug nehmend auf 41 kann die Sendeempfängergruppe 4100 konfiguriert sein, in einer analogen Phasenverschiebungs- (Strahlformungs-) Betriebsart zu arbeiten. Wie in 41 zu sehen ist, enthält jede der Sendeempfängerzellen 4102A, 4102B, 4102C und 4102D lokale Oszillatoren 4106, Mischer 4104 und Phasenschieber 4108. Nachdem ein empfangenes drahtloses Signal durch die Mischer 4104 abwärtsumgesetzt worden ist, können die Phasenschieber 4108 eine Phasenverschiebung, die durch die Steuerschaltung innerhalb der Sendeempfängergruppe 4100 spezifiziert sein kann, anwenden. Phasenverschobene analoge Signale können zu benachbarten Sendeempfängerzellen kommuniziert werden, wo sie summiert werden können, was zu einem endgültigen kombinierten Signal 4110 führt. Das kombinierte phasenverschobene analoge Basisband-Signal kann durch einen einzigen ADC innerhalb der Sendeempfängergruppe 4100 in ein digitales Signal umgesetzt werden. Beispielsweise kann das kombinierte Signal 4110 zu dem ADC 4112B innerhalb der Sendeempfängerzelle 4102B kommuniziert werden, der ein digitales Signal 4114 zur weiteren Verarbeitung durch die Basisbandschaltung 4116 erzeugen kann.
  • 42 ist ein Blockdiagramm einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln in einer analogen IF/Basisband-Phasenverschiebungsbetriebsart mit Verwendung mehrerer ADCs, um mehrere digitale Signale zu erzeugen, gemäß einigen Aspekten. Bezug nehmend auf 42 kann die Sendeempfängergruppe 4200 die Sendeempfängerzellen 4202A, 4202B, 4202C und 4202D aufweisen. Jede der Sendeempfängerzellen 4202 kann entsprechende Mischer 4204 (4204A - 4204D) und lokale Oszillatorgeneratoren 4206 (4206A - 4206D) aufweisen.
  • In einigen Aspekten können die analogen Basisbandsignale an dem Ausgang des Mischers 4204 verwendet werden, um mehrere Ausgabesignale zu erzeugen. Insbesondere kann eine Menge analoger Koeffizienten unter Verwendung eines analogen Multiplizierers und der Ausgabe jedes Mischers angewendet werden, um ein gewichtetes Signal aus jeder Sendeempfängerzelle zu erzeugen, das summiert und durch ein ADC-Teilsystem in ein digitales Signal umgesetzt werden kann. Wie in 42 zu sehen ist, kann eine erste Menge A1(S) analoger Koeffizienten (4208A - 4208D) jeweils auf die Ausgabe der Mischer 4204A - 4204D angewendet werden. Die gewichteten Signale können summiert werden, um ein kombiniertes Signal 4214 zu erzeugen, das zu dem ADC 4212B innerhalb der Sendeempfängerzelle 4202B kommuniziert werden kann. Der ADC 4212B kann ein digitales Ausgabesignal 4216 zur nachfolgenden Verarbeitung durch die digitale Basisbandschaltung 4218 erzeugen.
  • Ähnlich kann eine zweite Menge A2(S) analoger Koeffizienten (4210A - 4210D) jeweils auf die Ausgabe der Mischer 4204A - 4204D angewendet werden. Die gewichteten Signale können summiert werden, um ein kombiniertes Signal 4220 zu erzeugen, das zu dem ADC 4212D innerhalb der Sendeempfängerzelle 4202D kommuniziert werden kann. Der ADC 4212D kann ein digitales Ausgabesignal 4222 zur nachfolgenden Verarbeitung durch die digitale Basisbandschaltung 4224 erzeugen. In diesem Zusammenhang können durch Anwenden von zwei separaten parallelen Mengen analoger Koeffizienten auf jede Ausgabe eines Sendeempfängerzellenmischers zwei separate digitale Ausgabesignale, die zwei separaten Strahlen entsprechen, für zwei separate Benutzer verwendet werden. Obwohl nur zwei digitale Ausgabesignale in 42 dargestellt sind, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und eine andere Anzahl von Menge paralleler analoger Koeffizienten kann ebenfalls verwendet werden.
  • 43 stellt Betriebsarten einer Sendeempfänger-Architektur einer phasengesteuerten Gruppe mit Sendeempfängerkacheln gemäß einigen Aspekten dar. Bezug nehmend auf 43 stellt die Tabelle 4300 eine Zusammenfassung der Anzahl paralleler Mengen analoger Koeffizienten, Datenkonvergenz und paralleler Mengen digitaler Koeffizienten bereit, die für verschiedene Betriebsarten einer skalierbaren Architektur eines Funk-Sendeempfängers einer phasengesteuerten Gruppe verwendet werden können, wie hier beschrieben ist.
  • Bezug nehmend auf die erste Zeile in Tabelle 4300 kann eine vollständige Apertur (z. B. eine vollständige Gruppengröße) für die LO-Strahlformungs-Betriebsart in einer Sendeempfängergruppe verwendet werden. Diese Betriebsart ist in 38 zu sehen, wobei die gesamte Gruppe verwendet ist (vollständige Apertur), keine Mengen analoger Koeffizienten verwendet werden (da Phasenverschiebung mit der LO-Phasenverschiebung implementiert ist und nicht in dem analogen Basisbandsignal nach dem Mischer) und ein einziger ADC verwendet wird, um ein einzelnes digitales Ausgabesignal zu erzeugen, ohne dass irgendwelche parallelen Mengen digitaler Koeffizienten zur nachfolgenden Verarbeitung verwendet werden.
  • Bezug nehmend auf die zweite Zeile in Tabelle 4300 kann die vollständige Sendeempfängergruppe für die digitale Strahlformungs-Betriebsart verwendet werden. Die analogen Ausgaben jeder Sendeempfängerzelle können summiert und die Anzahl N digitaler Umsetzer innerhalb der Gruppe kann verwendet werden, um N digitale Signale ohne die Verwendung irgendwelcher paralleler Mengen analoger Koeffizienten zu erzeugen. Die N digitalen Ausgaben der Datenumsetzer können mit der Anzahl M paralleler Mengen digitaler Koeffizienten verwendet werden, um eine endgültige Anzahl M von Ausgangsstrahlen, die M Benutzer versorgen, zu erzeugen. Die Anwendung von Mengen digitaler Koeffizienten ist in 40 dargestellt, wo zwei Mengen digitaler Koeffizienten für die Ausgabe von N digitalen Umsetzern verwendet werden, um zwei endgültige Ausgangsstrahlen, die zwei Benutzer versorgen, zu erzeugen.
  • Bezug nehmend auf die dritte Zeile in Tabelle 4300 wird 1/M der Apertur der Sendeempfängergruppe verwendet, um M Benutzer zu versorgen. Dieses Beispiel ist in 39 dargestellt, wo Untergruppenverarbeitung mit der Anzahl M von Analog/Digital-Umsetzern verwendet wird (unter der Annahme, dass die Gruppe 3900 M Reihen aufweist). Die M digitalen Ausgaben aus den Analog/Digital-Umsetzern können nachfolgend unter Verwendung von bis zu der Anzahl M paralleler Mengen digitaler Koeffizienten verarbeitet werden (z. B. wie in 40 zu sehen ist).
  • Bezug nehmend auf die vierte Zeile in Tabelle 4300 kann eine vollständige Apertur der Sendeempfängergruppe mit der analogen Betriebsart der phasengesteuerten Gruppe verwendet werden. Beispielsweise und wie in 42 zu sehen ist, kann die Anzahl M paralleler Mengen analoger Koeffizienten zusammen mit der Anzahl M digitaler Umsetzer verwendet werden, um eine Anzahl M von Ausgangssignalen zu erzeugen. Mit Bezug auf 42 ist M gleich zwei, so dass zwei parallele Mengen analoger Koeffizienten pro Sendeempfängerzelle mit zwei digitalen Umsetzern verwendet werden, die zwei Ausgangsstrahlsignale erzeugen. Bis zu M parallele Mengen digitaler Koeffizienten können nachfolgenden mit den Strahlsignalausgaben der Datenumsetzer verwendet werden.
  • Frühere Konstruktionen drahtloser Antennengruppe für Benutzervorrichtungen haben wenigstens drei Probleme aufgebracht. Ein Problem ist, dass die früheren Konstruktionen einen abgeschirmten Silizium-Die, der die Antennengruppen speist, integriert haben, wobei die Abschirmung eine diskrete Metallabschirmung ist und wobei die Gruppen eine oder mehrere Ebenen eines oder eine oder mehrere Seiten eines Substrats sind, das den abgeschirmten Silizium-Die aufweist. Das erforderte ein Substrat mit einem relativ großen Bereich für den abgeschirmten Die, diskrete Schaltung und Antennengruppen auf einer oder mehreren Ebenen oder einer oder mehrere Seiten des Substrats. Eine Konstruktion, die ein Substrat mit einem großen Bereich erfordert, impliziert aufwändigere Substrate. In den Konstruktionen des vorstehenden Typs ist es nicht ungewöhnlich, dass das Substrat sich dem nähert, dual so teuer zu sein wie der Silizium-Die, aus dem die Antennengruppen gespeist werden. In einigen Aspekten kann das Substrat eine Laminatstruktur sein. Obwohl hier Laminatstrukturen beschrieben werden, können in anderen Aspekten auch andere Substrate verwendet werden.
  • Ein zweites Problem, das in den Konstruktionen des vorstehenden Typs auftrat, ist die Streckenführung langer Speiseleitungen von dem Die zu einigen der Antennenelemente wegen der beteiligten großen Bereiche. Das führt zu Leistungsverlust, in einigen Fällen bis zu 3 dB Verlust, oder einem Verlust von nahezu der Hälfte der Leistung, bei dem Speisen einiger der Antennenelemente.
  • Drittens könnte, obwohl solche Konstruktionen gute Abstrahlung der phasengesteuerten Gruppe in einigen Bereichen des Substrats bereitstellen können, in anderen Bereichen die Strahlung aus Antennenelementen oder aus der gesamten Antennengruppe blockiert sein wegen der Abschirmung, die den Die und die diskreten Komponenten abdeckt, um sie vor Hochfrequenzstörung (RFI) und elektromagnetische Störung (EMI) zu schützen.
  • Deshalb es ist wünschenswert Lösungen für die vorstehenden drei Probleme zu finden. Eine Lösung beinhaltet eine Konstruktion, die mehrere Packages wie z. B. Substrate oder Laminatstrukturen verwendet. Hier ist eine Lösung beschrieben, die zwei Baugruppen, wie es in den 44A bis 44D beschrieben ist, in einer Package-auf-Package- (POP-) Implementierung gemäß einigen Aspekten verwendet.
  • 44A stellt eine Draufsicht eines Package eines Zweibaugruppensystems gemäß einigen Aspekten dar. Ein Package, die allgemein bei 4400 und spezifisch bei 4401 angegeben ist, kann ein Substrat sein, das in einigen Aspekten parallele metallisierte Schichten mit einer metallisierten oberen Schicht und einer metallisierten unteren Schicht aufweist. Das Package 4400 kann in der RF-Schaltung 325 und in der Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das Package 4400 nicht darauf beschränkt ist. Teile der oder alle aus den einen oder mehreren metallisierten Schichten können so verarbeitet sein, dass sie bei Bedarf frei von der Metallisierung sind, in einigen Aspekten.
  • In einigen Aspekten weist das Substrat 4401 eine Gruppe von sechs Patchantennen 4403, 4404 auf. Die Bezeichnung 4403 repräsentiert Patchantennen mit einem einzelnen Abstimmungspunkt, der durch einen einzelnen Punkt angegeben ist, und die eine einzelne Patchantenne sein kann. Die Bezeichnung 4404 nur repräsentiert Patchelemente mit zwei Abstimmungspunkten, die durch zwei Punkte angegeben sind, und die ein gestapeltes Dualpatchantennenelemten sein können. Diese Konstruktion ist nur eine aus einer Anzahl von Konfigurationen und Typen von Antennenelementen, die verwendet werden könnten, und ist nur für einige Aspekte repräsentativ. Um die Umfangsfläche des Substrats 4501 befinden sich sechs Antennenelemente 4505 gemäß einigen Aspekten. Diese können aufgedruckte Antennenelemente sein, die für Längsstrahlungsbetrieb angeordnet sind, gemäß einigen Aspekten. Obwohl bei 4505 Dipolantennenelemente dargestellt sind, können andere Typen von Antennenelementen verwendet werden. In der Beschreibung können hier einige der oder alle Antennengruppen als intelligente Antennengruppen bezeichnet sein.
  • Die Begriffe „intelligente Antenne“ oder „intelligente Antennengruppen“ finden ihre Bedeutung in der Art, in der die Antennen oder die Antennengruppen gesteuert werden. In einigen Aspekten können Antennengruppen mit verschiedenen Typen von Polaritäten, wie z. B. vertikaler, horizontaler oder zirkularer Polarisationen, implementiert sein. Als ein Beispiel kann, wenn Antennengruppen für vertikale Polarität und horizontale Polarität implementiert sind, die gesendete Polarität zu einer gegebenen Zeit, und deshalb welche Antenne oder Gruppe zu einer gegebenen Zeit feuert, algorithmisch basierend auf einer Angabe der Polarität des Signals, das mit der größten Stärke an der drahtlosen Benutzervorrichtung empfangen wird, und somit intelligent gesteuert werden. Diese Informationen können kontinuierlich zu einem drahtlosen Sender wie z. B. einem Zellenturmempfänger von der Benutzervorrichtung in einigen Aspekten rückgemeldet werden. Diese Operation kann dann implementiert werden, um eine übertragene Polarisation zu erreichen, die mit der Polarisation an dem Empfänger der Benutzervorrichtung, die ein Mobiltelefon sein kann, übereinstimmt. Die Antennen der Benutzervorrichtung werden in einigen Aspekten auf ähnliche Weise ebenfalls algorithmisch gesteuert. Ähnliche algorithmische Steuerung erhält in einigen Aspekten räumliche Diversity.
  • 44B stellt eine Unteransicht des Substrats 4401 von 44A gemäß einigen Aspekten dar. In 44B ist die Struktur allgemein bei 4402 dargestellt und weist den Silizium-Die 4409 und diskrete Komponenten, von denen eine als 4411 bezeichnet ist, auf. Die diskreten Komponenten können in einigen Aspekten Kondensatoren, Widerstände und/oder Induktivitäten sein. Der Die wird von Kontakten 4407 umgeben, die in einigen Fällen Lotperlen sein können.
  • 44B stellt eine Unteransicht des Substrats von 44A gemäß einigen Aspekten dar. 44C stellt eine Unteransicht eines Substrats einer zweiten Baugruppe des Zweibaugruppensystems der 44A und 44B gemäß einigen Aspekten dar. Die Struktur 4419 von 44C kann ein Substrat wie z. B. eine PCB-Platine sein, wie es das Substrat 4401 von 44A sein kann, gemäß einigen Aspekten. Die Struktur 4419 ist von der Länge L, die in einigen Aspekten im Wesentlichen die gleiche Länge der Reihe von Kontakten 4407 von 44B ist, wobei diese Kontakte nachstehend diskutiert sind. Auf der Struktur 4419 sind vier Antennenelemente 4421 dargestellt, die hier als gestapelte Dualpatchantennen gezeigt sind, jede mit zwei Anpassungspunkten, die durch die zwei Punkte auf jedem Antennenelement angegeben sind. Wie für das Substrat 4401 ist diese Konstruktion von Antennen auf dem oder innerhalb des Substrats 4401 eine aus einer Anzahl von Konfigurationen und Typen von Antennenelementen, die verwendet werden könnten, und ist nur für einige Aspekte repräsentativ.
  • 44D stellt die Packages von 44A und 44C aufeinander montiert gemäß einigen Aspekten dar. Die erste Baugruppe 4401 und die zweite Baugruppe 4419 sind aufeinander als eine Package-auf-Package-Implementierung aufeinander montiert oder gestapelt. Das Montieren kann unter Verwendung verschiedener Montageprozesse ausgeführt werden. Wie durch den POP-Aspekt 4406 zu sehen ist, sind die Antennenelemente 4421 auf dem oder innerhalb des Substrats 4419 auf dem „oberen“ Substrat oder der „oberen“ Baugruppe des POP-Aspekts und zeigen „nach oben“. Die Antennen 4403, 4404 sind auf der oder innerhalb der „Unterseite“ des Substrats 4401 oder der „unteren Baugruppe“ des POP-Aspekts und zeigen gemäß einigen Aspekten „nach unten“. Das Verbindungselement 4417 und die Komponenten 4413 können durch Guss oder Einkapselung, 4414, befestigt sein und robust gemacht sein, was nachstehend diskutiert ist. Die Ebene 4423 enthält metallisierte Schichten, die in einigen Aspekten mehrere metallisierte Schichten sein können, die für Antennen und für Speiseleitungen verwendet werden.
  • Allgemein ausgedrückt bezieht sich das Konzept von POP auf vertikales Stapeln von Packages, die in früheren Aspekten zum Stapeln nicht fähig waren, und umfasst 3-dimensionales (3D-) Stapeln von Antennen, Dieen und Komponenten in Packages. Einige Faktoren, die für das 3-D-Stapeln berücksichtigt werden müssen, enthalten Antennenvolumen und Antennengröße. Frühere Konstruktion waren planar, was zu der Konstruktion mit abgeschirmtem Die führte, wobei die X-Abmessung und die Y-Abmessung (z. B. die Breite und Länge) von einer Größenordnung waren, die zu der vorstehend diskutierten großen Substratbereichen führten, mit den Problemen der Substratkosten, des Speiseleitungs-Leistungsverlusts und dem Verlust verfügbaren Raums und Blockieren der Strahlung durch die Abschirmung und andere diskrete Komponenten. Frühere Konstruktionen basierten primär auf der Annahme, dass das Volumen des Packages wichtiger ist als die X-Abmessung und Y-Abmessung des Packages, wegen der Wichtigkeit der Z-Höhenabmessung des Volumens, da eine spezielle Z-Höhe oder „Bauhöhe“-Einschränkung für die Packages von Benutzervorrichtungen vorhanden war. Diese Annahme führte jedoch zu einem immer größeren X-Y-Bereich, um die Z-Abmessung zu verringern, was zu den vorstehenden Problemen führte. Es ist jedoch diskutiert worden, dass das Stapeln von Package-auf-Package zur Lösung dieser Probleme führen kann, was zu weniger teuren Substraten, einer Reduktion des Leistungsverlusts durch die Streckenführung der Speiseleitungen (beispielsweise im 5G-mmWellen-Betrieb sehr wichtig) und weniger Strahlungsblockierung führt. Diese hier beschriebenen Aspekte fokussieren auf das Volumen im Gegensatz zum Fokussieren auf die Fläche. Mit anderen Worten ist durch das Stapeln gefunden worden, dass verringerte X-Abmessung und die Y-Abmessung wichtig sind, und die Z-Höhe etwas weniger kritisch ist als früher angenommen.
  • Es kann anfangs scheinen, dass Aspekte die Z-Höhe etwas erhöhen, weil die Aspekte tatsächlich mehr Komponenten aufeinander stapeln können. Das Ergebnis ist jedoch eine große Reduktion der X-Abmessung und der Y-Abmessung, was zu der Lösung der oder der Reduktion der negativen Effekte der vorstehenden Probleme der Substratkosten, des Leistungsverlusts durch lange Speiseleitungen und der Strahlung, die durch die Abschirmungen und andere Hindernisse der Vorrichtung blockiert wird, führt.
  • Ferner ist angenommen, dass die Z-Höhe des POP-Stapels tatsächlich die Anforderungen aktueller und zukünftiger drahtloser Benutzervorrichtungen erfüllen wird. Weiterhin nimmt ferner die Netzfläche unterhalb oder oberhalb des Siliziums, die für intelligente Antennengruppen verwendet wird, wie z. B. die Antennenelemente 4403, 4404 und 4405, gesehen in Draufsicht in den 44A und 44C und in der Seitenansicht von in 44D, signifikant weniger Raum ein und erfordert insgesamt weniger Streckenführung für Speiseleitungen als in früheren Konstruktionen gemäß einigen Aspekten. Mit anderen Worten sind in den Aspekten von 44D die Antennen 4403, 4404 „unter“ dem und in unmittelbarer Nähe zu dem Die 4409, und die Antennen 4421 sind „oberhalb“ des und in unmittelbarer Nähe zu dem Die. Die Nähe ist derart, dass die Speiseleitungen, die die Signale senden, eine sehr kleine Distanz durchlaufen haben, was weniger, und in einigen Aspekten signifikant weniger, Leistungsverlust bedeutet als aufgrund der Streckenführung langer Speiseleitungen in früheren Konstruktionen vorhanden war.
  • Ferner können einige diskrete Komponenten, von denen eine als 4413 benannt ist, und das Verbindungselement 4417, die in dem Antennenspeiseprozess nicht benötigt werden, seitlich an den Antennen platziert sein, was in dem Aspekt der 44B und 44D außen links zu den Antennen und dem Die ist, so dass mit der gesamten POP-Implementierung die Speiseleitungen, die den Die mit den Antennen oben und unten auf dem Package verbinden, eine kürzere Distanz zu den Antennen durchlaufen. Das Substrat 4401 ist zum Zweck der Darstellung der Antennenelemente als koextensiv mit der Länge der Kontakte 4407 von 44B dargestellt, das Substrat 4401 erstreckt sich jedoch, wie in 44D zu sehen ist, über die Gesamtheit der Komponenten und des Verbindungselements.
  • Wie vorstehend erwähnt waren in früheren Konstruktionen der Die und die diskreten Komponenten unter einer Metallabschirmung platziert, so dass die diskreten Komponenten am gleichen Ort wie der Die waren, mit der Metallabschirmung auf beiden. Diese Kombination ist tatsächlich höher als die hier offenbarten POP-Aspekte aufgrund der Tatsache, dass bei Package-auf-Package die größeren diskreten Komponenten wie z. B. 4413 in einigen Aspekten von dem Die versetzt sein können, und außerdem weil das Volumen der Z-Abmessung, die in früheren Konstruktionen nutzlos war, zu einem nutzbaren Raum wird. Das ist als der nutzbare Raum 4425 in 44D zu sehen, der jetzt für die Platzierung intelligenter Antennen oder intelligenter Antennengruppen verfügbar ist, wie z. B. der Antennen 4421 und der Antennengruppen, von denen sie einen Teil bilden.
  • Wie vorstehend erwähnt ist in 44B und in 44D der Die von Kontakten 4407 umgeben, die in einigen Aspekten Lotperlen sein können. Diese Kontakte, beispielsweise wie erwähnt Lotperlen, kontaktieren wenigstens eine metallisierte Schicht des Substrats 4401. Das ist in den 44B und 44D zu sehen. In dem Ausschnitt von 44D ist zu sehen, dass die Lotperlen 4407 außerdem sowohl eine metallisierte Schicht des Substrats 4401 als auch eine metallisierte Schicht des Substrats 4419 kontaktieren. Deshalb wirken in einigen Aspekten, falls die Lotperlen, die den Die umgeben, mit einer hohen Dichte beabstandet sind, die Kombination aus den Lotperlen und dieser zwei metallisierten Schichten, oben und unten, als ein Faraday-Käfig, der zu einer Abschirmung für den Die 4409 wird, ohne die Größe und Höhe der diskreten Metallabschirmung, die in früheren Konstruktionen verwendet wurden, zu benötigen. In einigen Aspekten können die Kontakte metallisierte Vias sein und können auch, falls sie mit hoher Dichte beabstandet sind, in Kontakt mit einer oberen und einer unteren metallisierten Schicht als ein Faraday-Käfig wirken.
  • In einigen Aspekten können die Vias senkrecht zu den Substraten sein. In einigen Aspekten können die Vias in einer in Bezug auf die Substrate schrägen Richtung sein. In jedem Fall ist die Dichte der Beabstandung der Kontakte, wie z. B. der Vias, oder die Dichte der Abstände zwischen den Kontakten ungefähr λ/20 oder weniger, wobei X die Wellenlänge der Arbeitsfrequenz ist. Mit Blick auf den beschriebenen Faraday-Käfig kann die mechanische Abschirmung der früheren Konstruktionen in den beschriebenen Aspekten fehlen, was die Z-Höhe noch kleiner macht.
  • Zusätzlich müssen die Antennenelemente 4403, 4404 und die Antennenelemente 4421 der Packages 4401 bzw. 4419 nicht in demselben Sendeempfänger sein. Ein wichtiger Vorteil gestapelter Packages ist es zu ermöglichen, dass mehrere Funkeinrichtungen und mehrere Systeme aufeinander oder nebeneinander gestapelt sein können. In einigen Aspekten können die Antennen 4403, 4404 mit einer Funkeinrichtung in einem Wi-Fi-System, das innerhalb eines Wi-Fi-Frequenzbands arbeitet, gekoppelt sein, und die Antennen 4421 können mit einer Funkeinrichtung in einem drahtlosen mmWellen-Gigabit-(WiGig-) System gekoppelt sein, wobei der Die 4409 in einigen Aspekten eine Wi-Fi-Systemkonfiguration und eine mmWellen-WiGig-Systemkonfiguration aufweist.
  • In einigen Aspekten kann der Die 4409 tatsächlich mehrere Diee aufweisen, beispielsweise einen Die, der für Wi-Fi-Betrieb konfiguriert ist und der mit einer Gruppen von Antennen wie z. B. 4403, 4404 verbunden ist, und ein zweiter Die, der für mmWellen-WiGig-Betrieb konfiguriert ist und der mit einer weiteren Gruppe von Antennen wie z. B. 4421 verbunden ist. Ferner kann, falls Antennengruppen wie z. B. die Patchelemente 4403, 4404 und 4421 wegen der Überlagerung von Antennenelementen wie z. B. in der POP-Konfiguration von 44D einander elektrisch entgegengesetzt sind, und falls die Antennen gesteuert werden, um zusammen zu feuern, die Strahlung in einigen Aspekten seitlich in dem Querstrahler-Betrieb sein, wie es allgemein bei 4420 in 44D angegeben ist.
  • Weiterhin kann in einigen Aspekten das Feuern der Antennengruppen auf entgegengesetzten Seiten des Packages algorithmisch gesteuert werden, um in entgegengesetzten Richtungen zu feuern, selbst in einer Winkel-Opposition von einhundertachtzig Grad (180°); und in einigen Aspekten kann das Feuern der Antennengruppen auf entgegengesetzten Seiten des Packages in der gleichen Richtung sein.
  • Wie in den 45A bis 45D und den 46A bis 46D zu sehen ist, kann die Anzahl von Antennen in unterschiedlichen Aspekten aufgrund des Stapelns in einigen Aspekten variieren. In früheren Konstruktionen war die Antennenplatzierung aufgrund des Raums, der durch die diskrete Metallabschirmung eingenommen wurde, nur auf spezifische Orte des Packages beschränkt. Wegen der Verbesserungen aufgrund der hier beschriebenen Stapeltechnologie gibt es jedoch normalerweise keine solche Einschränkung. Ferner verursachte, wie vorstehend erwähnt, die Metallabschirmung früherer Konstruktionen die Blockierung der Strahlung, was die Platzierung der Antennen zusätzlich einschränkt. Diese Einschränkung ist in POP-Konstruktionen weitgehend eliminiert. Infolgedessen können in einigen Aspekten die Anzahl von Antennen und die Größe und die Form der Antennengruppe gemäß den Anforderungen der Vorrichtung, in die die spezielle Baugruppe integriert wird, angepasst werden.
  • Der in den 45A bis 45D dargestellt Aspekt stellt eine Variation des Aspekts von 44A bis 44D dar, wobei sich ähnliche Bezugszeichen auf ähnliche Zeichnungselemente in beiden Figurengruppen beziehen. 45A stellt eine Draufsicht eines Substrats eines Package eines weiteren Zweibaugruppensystems gemäß einigen Aspekten dar. 44B stellt eine Unteransicht des Substrats von 44A gemäß einigen Aspekten dar. 44C stellt eine Unteransicht eines Substrats einer zweiten Baugruppe des Zweibaugruppensystems der 44A und 44B gemäß einigen Aspekten dar.
  • 45A stellt das Package 4500 dar, die das Substrat 4501 und Antennen, von denen eine als 4504 gekennzeichnet ist, dar. Die Antennen sind als Patchdualantennen durch die zwei Anpassungspunkte, die durch zwei Punkte auf jedem Antennenelement angegeben sind, dargestellt. Das Substrat 4501 ist in Draufsicht dargestellt. 45B ist die Unterseite des in 45A dargestellten Substrats 4501. In 45B sind der RFIC-Die 4509 und diskrete Komponenten, von denen eine als 4511 gekennzeichnet ist, dargestellt. Die Kontakte 4507, die in einigen Aspekten Lotperlen sind, umgeben die Umfangsfläche des Dies und der diskreten Komponenten und kontaktieren wenigstens eine Schicht des Substrats 4501. Die horizontale Abmessung L2 des Packages 4504 besitzt in einigen Aspekten die im Wesentlichen gleiche horizontale Länge wie die Kontakte 4507, die einen Faraday-Käfig bilden.
  • In den 45A bis 45D können Antennen, wie z. B. die Patchantennen 4504, die eine Antennengruppe auf dem Substrat 4501 bilden, und die Patchantennen 4521, die eine Gruppenantenne auf dem Substrat 4519 bilden, symmetrisch und vertikal einander gegenüberliegend platziert sei, wie es in einigen Aspekten erwünscht sein kann. Das wird es ermöglichen, dass die Antennenelemente so gesteuert werden, dass sie zusammen feuern und Strahlung in einer oder mehreren Richtungen bereitstellen, wie z. B. Strahlung in entgegengesetzten Richtungen bereitstellen, normal zu dem Substrat 4519 durch die Gruppe, die die Antennenelemente 4521 aufweist, und normal zu dem Substrat 4501 durch die Gruppe, die die Antennenelemente 4504 aufweist. In einigen Fällen kann, abhängig von der Feuersequenz, die Strahlung der zwei vorstehend genannten Gruppen seitlich im Querstrahlerbetrieb sein, wie bei 4520 dargestellt ist.
  • 45D stellt die erste Baugruppe und die zweite Baugruppe der 45A bis 45C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar. Der Aspekt 4506 von 45D ist weitgehend derselbe wie der von 44D. Wie in 44D ist das Stapeln nicht nur vorteilhaft für die Verbesserung der Z-Höhe, es sind auch Vorteile durch die Fähigkeit vorhanden, den X-Y-Bereich zu verwenden, um bessere Antennenstrahlung bereitzustellen. Solche Vorteile waren in einigen früheren Konstruktionen nicht verfügbar, wie vorstehend erläutert ist.
  • Der in den 46A bis 46D dargestellte Aspekt ist eine weitere Variation des Aspekts von 44A bis 44D, wobei sich ähnliche Bezugszeichen auf ähnliche Zeichnungselemente in beiden Figurengruppen beziehen. Die horizontale Abmessung L3 des Dies 3604 von 46C ist, wie in 45C, die im Wesentlichen gleiche horizontale Länge wie die horizontale Länge der dicht gepackten Kontakte 4607, die einen Teil eines Faraday-Käfigs bilden, um den Die 4609 abzuschirmen. Die diskreten Komponenten 4611 sind seitlich separat von dem Die 4609 platziert worden und sind in einigen Aspekten durch eine Einkapselung 4614 in der Package-auf-Package-Konfiguration von 46D geschützt. Das Verwenden einer Einkapselung innerhalb von Packages oder in einem Package-auf-Package-Aspekt ist nachstehend mit Bezug auf 47D genauer erläutert.
  • Die 47A bis 47D stellen ein Beispiel einer eingekapselten POP-Implementierung gemäß einigen Aspekten dar. 47A stellt eine Draufsicht eines Substrats eines Package wiederum eines weiteren Zweibaugruppensystems gemäß einigen Aspekten dar. 47B stellt eine Unteransicht des Substrats von 46A gemäß einigen Aspekten dar. Die Antennenelemente 4704, 4721, die in einigen Aspekten Patchantennen sind, sind im Wesentlichen derselbe Typ von Antennenelementen wie in den 44A bis 44D, außer dass acht Antennenelemente 4704 und vier Antennenelemente 4721 vorhanden sind. Die Anzahl und der Typ der Antennenelemente sind insofern nicht kritisch, als mehrere Typen und Anzahl von Antennenelementen in Übereinstimmung mit den Bedürfnissen und der Spezifikation der vorhandenen Baugruppe verwendet werden können.
  • In einigen Aspekten können die Antennenelemente 4704 und 4721 zwei Gruppen, wie in den 47A und 47C angegeben ist, an unterschiedlichen Platzierungen auf den jeweiligen Packages gemäß einigen Aspekten bilden. 47C stellt eine Unteransicht eines Substrats einer zweiten Baugruppe des Zweibaugruppensystems der 47A und 47B gemäß einigen Aspekten dar. Die Tatsache ist bemerkenswert, dass sich Antennenelemente 4721 seitlich von ihrer Position in den früheren Figuren befinden, was erneut die Vielseitigkeit der Antennenplatzierung darstellt, die durch die Technologie mit gestapelten Packages ermöglicht ist, wobei diese Vielseitigkeit in früheren Konstruktionen mit einer diskreten Metallabschirmung, die die Platzierung und die Strahlung der Antennenelemente behindert, nicht verfügbar war. 47D stellt die erste Baugruppe und die zweite Baugruppe der 44A bis 44C, gestapelt in einer Package-auf-Package-Implementierung, gemäß einigen Aspekten dar.
  • In 47D ist die Einkapselung, oder der Guss, 4724 bemerkenswert, die den Die 4709 und die diskreten Komponenten 4711 bedeckt. Die Einkapselung kann Gussmasse, Harz, ein Klebemittel und dergleichen sein. Die Gussmasse durchdringende Vias 4715 verbinden die Antennenelemente des Substrats 4701 und die Antennenelemente des Substrats 4719 mit dem Die 4709 und funktionieren in einigen Aspekten als Antennenspeisungen wie z. B. mit Hilfe der Streifenleitungen 4712, 4714. Die Gussmasse durchdringende Vias können von verschiedenen Typen sein, z. B. Kupferstifte, Lotperlen, Durchgangslöcher, die mit leitfähigem Epoxid beschichtet sind, oder irgendein anderer geeigneter Leiter. Die Einkapselung kann ein vollständig definierbares Material sein wie z. B. Epoxid, das ein lasermechanisch bohrbares Material sein kann. Alternativ kann die Gussmasse gemäß einigen Aspekten ein fluides Material sein, das tatsächlich um die Stifte gegossen wird. Als ein Beispiel könnten die die Gussmasse durchdringenden Vias vertikale säulenähnliche Stäbe oder Stifte sein, und die Einkapselung kann so fluide sein, dass sie alle Stäbe (oder Stifte) einhüllen kann. Deshalb könnten die die Gussmasse durchdringenden Vias vom Stifttyp zuerst platziert werden, und danach kann dann die Einkapselung hinzugefügt werden. Alternativ kann die Einkapselung zuerst hinzugefügt werden und die die Gussmasse durchdringenden Vias können durch Bohren durch die Einkapselung und Hinzufügen der leitfähigen Vias nach dem Bohren durch die Einkapselung hinzugefügt werden. Ein Vorteil der Einkapselung ist es, dass, obwohl die Antennen nahe an dem Die bleiben, wie vorstehend diskutiert, die Gussmasse dem Die einen signifikanten zusätzlichen Schutz verleiht, was erhöhte Zuverlässigkeit und Robustheit hinzufügt, ohne den Abstand von den Antennenelementen zu dem Die signifikant zu vergrößern, anders als der vergrößerte Abstand aufgrund der Platzierung der Antennen, der Teil der Anforderungen für die vorhandene Baugruppenlösung sein könnte.
  • Eine zusätzliche signifikante Verwendung sowohl des X- und Y-Raums als auch der Z-Höhe in mobilen Vorrichtungen ist die Verwendung eines Verbindungselements, häufig eines Schnapp-Verbindungselements. Deshalb würde das Beibehalten der benötigten elektrischen Verbindung von der Elektronik zu der Außenwelt, jedoch gleichzeitiges Entfernen der Notwendigkeit eines Verbindungselements wesentlichen und wertvollen X-Y-Grundfläche und Z-Höhe in einer Baugruppe für eine mobile Vorrichtung einsparen. Es wurde das Löten des flexiblen Koaxialkabels oder eines Kabels einer anderen Technologie, das die elektrische Verbindung bereitstellen und dadurch das Verwenden eines Verbindungselements vermeidet, in Betracht gezogen. In einigen Aspekten kann das flexible Kabel an Ort und Stelle gelötet werden und dann in das Package eingegossen werden, auf weitgehend die gleiche Weise wie das Eingießen von Komponenten durch Verwendung einer Einkapselung, wie vorstehend beschrieben. In einigen Aspekten kann das Koaxialkabel 4722 von 47D an die geeigneten Verbindungspunkte gelötet sein, wie z. B. bei 4720, und ist in einigen Aspekten außerdem durch die Einkapselung 4724 befestigt. Eine Einkapselung, wie z. B. eine Gussmasse, Epoxid oder eine andere Einkapselung, ermöglicht es, dass das Koaxialkabel mit dem Substrat als eine abgedichtete Lösung verbunden sein kann, die dann mit einem Typ eines leitfähigen Materials spritzmetallisiert werden kann, um die gesamte Kombination abzuschirmen. Auf diese Weise gelötete und eingegossene Koaxialkabel sollten eine ausreichende Stärke aufweisen, um die elektrische Verbindung aufrechtzuerhalten, ohne dass das übliche Verbindungselement benötigt wird, wobei die Einkapselung die Koaxialkabelverbindung ausreichend robust in dem Package macht, um eine Lösung für die Notwendigkeit der elektrischen Verbindung von dem Inneren des Packages zu der Außenwelt bereitzustellen, ohne ein eigentliches Verbindungselement zu benötigen. In einigen Aspekten kann das Löten wie bei 4720 nicht notwendig sein, und die Einkapselung wird für die benötigte Robustheit ausreichend sein. Das führt zu der wesentlichen Einsparung von XYZ-Raum, die vorstehend kurz diskutiert ist. In einigen Aspekten kann dieses flexible Kabel die benötigte Verbindung unter Verwendung eines Platinen-zu-Platinen-Verbindungselements bereitstellen.
  • In einigen Aspekten ist eine Notwendigkeit vorhanden, dass Antennen sowohl auf der Oberseite als auch auf der Unterseite eines Substrats, das einen Die aufweist, vorhanden sind, und außerdem sowohl die Z-Höhe als auch die Y-Abmessung des Packages zu reduzieren. Eine Lösung, die die vorstehende Notwendigkeit bereitstellt, verwendet zwei nebeneinander liegende Packages. 48A stellt eine Draufsicht von zwei Packages eines Zweibaugruppen-nebeneinander-Baugruppensystems gemäß einigen Aspekten dar. 48A stellt zwei unterschiedliche Packages 4800, 4802 in einer Nebeneinanderkonfiguration gemäß einigen Aspekten dar. Die allgemein in 48A zu sehende Baugruppe 4800 enthält ein Substrat 4801. In einer Draufsicht („OBEN“) der Die 4801 ist ein Element 4808 zu sehen, das eine Teildraufsicht einer Metallabschirmung ist, die den RFIC-Die 4809 und die zugehörigen Komponenten zum Schutz vor RFI/EMI bedeckt. Versetzt zu beiden Seiten der Abschirmung 4808 sind diskrete Komponenten des Typs, die keine Abschirmung erfordern, von denen eine bei 4811 bezeichnet ist, und Kontakte, wie z. B. Lotperlen, 4810. 48B stellt eine Unteransicht der zwei Packages von 48A gemäß einigen Aspekten dar. Auf der Unterseite des Substrats 4801 des Packages 4800 sind Antennenelemente als Dualpatchantennen dargestellt, von denen eine als 4804 gekennzeichnet ist. Außerdem dargestellt sind Längsstrahler-Antennen wie z. B. die Dipole 4805. Obwohl der aktuelle Aspekt Patchantennen und Dipolantennen darstellt, können andere Aspekte andere Antennentypen verwenden, abhängig von der benötigten Lösung.
  • Ein zweites Package ist allgemein bei 4802 in 48A dargestellt. Dargestellt ist eine Draufsicht („OBEN“) des Packages 4819 gemäß einigen Aspekten. Das Package 4819 weist die Kontakte 4810' auf, die in einigen Aspekten Lotperlen sind, diskrete Komponenten, von denen eine als 4813 gekennzeichnet ist, und ein gelötetes und/oder eingekapseltes Kabel 4817, das nachstehend genauer diskutiert ist. Die Unteransicht („UNTEN“) des Packages 4819, die in 48B dargestellt ist, weist gemäß einigen Aspekten Dualpatchantennenelemente auf, von denen eines bei 4821 gekennzeichnet ist, die in einer Gruppe angeordnet sind. Aufgedruckte Dipolantennen, von denen eine als 4820 gekennzeichnet ist, sind für Längsstrahler-Betrieb konfiguriert, gemäß einigen Aspekten.
  • 48C stellt die Packages 4800, 4802 dar, die nebeneinander konfiguriert sind. Das Kabel 4817 und die diskreten Komponenten 4813 des Packages 4802 sind durch eine Einkapselung 4824 eingekapselt. Die diskreten Komponenten, eine bei 4811, und die Abschirmung 4808 (in der Zeichnung aus Gründen der Platzeinsparung nicht gezeigt) und der Die 4809 sind ebenfalls durch eine Einkapselung 4814 eingekapselt. Die Tatsache ist bemerkenswert, dass das Package 4800 „umgedreht“ worden ist. Mit anderen Worten ist, während das Package 4802 mit ihrer Oberseite („OBEN“) oben in 48C und ihrer Unterseite („UNTEN“) unten in 48C angeordnet ist, ist das Package 4800 dem Package 4802 gegenübergestellt, wobei das Package 4800 mit ihrer Oberseite („OBEN“) unten in 48C und ihrer Unterseite („UNTEN“) oben in 48C angeordnet. Die zwei Packages sind durch Kontakte wie z. B. Lotperlen bei 4810-4810', die zusammen gebondet sind, befestigt. Das führt dazu, dass die Antennen 4821 (die auf der UNTEN-Seite des Packages sind) nach unten weisen und die Antennen 4804, die auf der UNTEN-Seite des Packages 4019 sind, tatsächlich nach oben weisen, um die benötigte Lösung bereitzustellen, nämlich die Z-Höhe zu reduzieren und die Y-Abmessung des Packages zu reduzieren, wie vorstehend erwähnt.
  • Die Reduktion der Z-Höhe kann aus der Tatsache gesehen werden, dass die Nebeneinanderkonstruktion kein vertikales Stapeln auf die Art der vorstehenden POP-Konstruktionen verwendet. Die Reduktion der Y-Abmessung ist aus den 48C und 48D zu sehen. In beiden Figuren sind die Abmessungen der Antennen 4804 und 4821 extrem klein. Ferner sind in 48A die Abmessungen des Dies ebenfalls extrem klein. Diese beiden Faktoren führen zu einer kleineren Y-Abmessung, was es ermöglicht, dass die Konstruktion nahe an dem Rand (der Y-Abmessung) der Benutzervorrichtung platziert wird, was in einigen Aspekten zusätzlichen X-Y-Raum dafür lässt, dass die Anzeigevorrichtung einer mobilen Benutzervorrichtung nahezu den Rand der mobilen Vorrichtung in der Y-Abmessung erreicht. Beide Mengen von Antennen 4821, 4804 werden durch den Die 4809 gespeist. Die Antennen 4804 werden wegen der Nähe dieser Antennen zu dem Die die gewünschten extrem kurzen Speiseleitungen von dem Die 4809 aufweisen. Die Antennen 4821 werden etwas längere Speiseleitungen aufweisen aufgrund des Versatzes, was in dem vorliegenden Fall annehmbar ist, um in eine spezifische mechanische Konstruktion der mobilen Vorrichtung zu passen, was in diesem Beispiel die Y- und Z-Abmessungen in einem sehr engen Raum zwischen dem Anzeigebildschirm und dem Ende des Deckels einer mobilen Vorrichtung verringert.
  • Wenigstens einige der vorstehend beschriebenen Anforderungen für variierende Polaritäten und variierende räumliche Diversity abgestrahlter Funkwellen zu variierenden Zeiten können durch Umnutzen der Karte mit dem Standard-Mikro-SD-Formfaktor erfüllt werden, so dass sie eine mmWellen-Antenne und eine Sendeempfängervorrichtung oder einen anderen Die aufweist, für Benutzervorrichtungen zur drahtlosen Kommunikation wie z. B. mobile Vorrichtungen, in einigen Aspekten. Der Vorteil dieser Umnutzung ist, dass dieser Formfaktor in mobilen Vorrichtungen verwendet werden kann. Weil das Mikro-SD-Format die richtige Größe ist, um eine Anzahl von einer bis einigen wenigen mmWellen-Antennen zu integrieren, und dafür, dass eine RIFIC in einen bereits existierenden Formfaktor platziert werden kann, gibt es keine Notwendigkeit, einen neuen Formfaktor zu konstruieren. Stattdessen bietet die Erkenntnis, dass dieser existierende Formfaktor schnell eine Lösung implementieren werden kann, die in tragbaren / Telefon-Lösungen akzeptiert ist, eine gewaltige Kosteneinsparung und möglicherweise einen Betriebsvorteil. Ferner stellt die Tatsache, dass die Karte mit dem Micro-SD-Formfaktor in eine Benutzervorrichtung steckbar ist, einen Formfaktor-Marktvorteil, weil sie nach Belieben installiert werden kann oder in der Installation zurückbehalten werden kann, wie es für einen Aspekt geeignet ist.
  • Die Karte mit dem Micro-SD-Formfaktor kann eine Besetzung / Entfernung von Antennen und Funktechnologie nach Bedarf mit austauschbaren Frequenzbereichen, um unterschiedliche Geographien zu unterstützen, ermöglichen. Beispielsweise können unterschiedliche Geographien aus regulatorischer Sicht unterschiedliche Frequenzbänder zur Verwendung verfügbar machen. Falls die Mikro-SD-Karten für ein Frequenzband definiert sind, können sie nach Bedarf in eine Benutzervorrichtung ein- und ausgewechselt werden, um in dem gewünschten Frequenzband, das für diese spezielle Geographie geeignet ist, zu arbeiten.
  • Solche Formfaktor-Karten können leicht nahe den Enden der mobilen Plattform platziert werden, so dass die Antennen nach außen weisen. Die Formfaktor-Karte weist bereits einen Bereich auf, der für RF freigelegt und nicht durch Sockelmetallisierung, die häufig auf Substraten zu finden ist, bedeckt ist. Dieser freigelegte Bereich kann dafür verwendet werden, dass eine Antenne oder eine kleine Gruppe innerhalb der Karte eingebettet werden. Angesichts der ultrakleinen Größe von Antennen, die an mmWellen-Frequenzen arbeiten, sind kleine Antennen und/oder kleine Antennengruppen, die in solche Bereiche passen, sehr effektiv.
  • In einigen Aspekten können mehrere Instanzen einer solchen Karte angeordnet sein, um eine mächtige Antennengruppe (MAA) zu bilden. Ferner können mehrere Sockel (die außerhalb des für RF freigelegten Bereichs der Karte platziert sind) auch die Unterstützung von Teilsystemen mit unterschiedlichem Frequenzbereich ermöglichen. Antennen könnten in einigen Aspekten Antennen vom Längsstrahler-Typ sein, der freigelegte Abschnitt außerhalb der Sockelmetallstruktur könnte jedoch andere Typen von Antennen ermöglichen, die in andere Richtungen abstrahlen. Anders ausgedrückt und wie nachstehend mit Bezug auf 50 diskutiert wird, weist die Mikro-SD-Karte einen freigelegten Bereich auf, der nicht durch die dem Sockel, in dem die Mikro-SD-Karte eingesteckt ist, zugeordnete Metallisierung bedeckt ist. Antennen unterschiedlicher Typen können in diesem Bereich platziert sein, um Abstrahlung in unterschiedlichen Richtungen zu ermöglichen. In einigen Aspekten können Längsstrahler-Antennen verwendet werden, weil die Längsstrahler-Strahlungsmusterrichtung seitlich zu der Orientierung der Mikro-SD-Karte wäre. Es können jedoch auch andere Antennentypen mit anderer Strahlungsmusterabdeckung verwendet werden.
  • Wie sie in dieser Offenbarung verwendet sind, sind Begriffe wie „vorne“, „hinten, „oben“, „unten“, „Seite“ und dergleichen relativ zu der Orientierung der Zeichnung verwendet. 49 ist eine Darstellung der verschiedenen Größen von SD-Flash-Speicherkarten. Die SD-Flash-Speicherkarten können in die Sendeschaltung 315, die Empfangsschaltung 320, die RF-Schaltung 325 und die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist integriert sei, obwohl die SD-Flash-Speicherkarten nicht darauf beschränkt sind. Die verschiedenen Größen des SD-Formfaktors sind allgemein bei 4900 zu sehen. Die Karte mit Standard-SD-Formfaktor ist in einer Vorderansicht 4901A und einer Rückansicht 4901B zu sehen. Elektronische Kontakte sind bei 4903 zu sehen. Die Abmessungen der Karte mit Stand-SD-Formfaktor sind in Millimetern dargestellt. Der Mini-SD-Formfaktor ist bei 4905 in Vorder- und Rückansicht und ebenfalls mit in Millimetern angegebenen Abmessungen zu sehen. Der Mikro-SD-Formfaktor und seine Abmessungen sind bei 4907 zu sehen, ebenfalls in Vorder- und Rückansicht.
  • In einigen Aspekten kann die Karte mit Micro-SD-Formfaktor effektiv für mmWellen-Kommunikation mit einer Änderung des Inhalts und der Funktionalität, um die Karte für mmWellen-Betrieb in drahtlosen Kommunikationsvorrichtungen anzupassen, verwendet werden. Ein Grund dafür ist, dass, wie vorstehend kurz diskutiert, die Größe des Mikro-SD-Kartenformats ermöglicht, dass sie für mmWellen-Betrieb verwendet wird, insbesondere da der Platz in einer drahtlosen Kommunikationsvorrichtung sehr wertvoll ist und die Größe des Mikro-SD-Formats einen Platzvorteil zur Verwendung in mobilen Vorrichtung, wo Platz rar ist, bereitstellt. Ferner ist, angesichts dessen, dass die Mikro-SD-Karte elektronische Kontakte an einem „hinteren“ Bereich 4909 aufweist, der „vordere“ Bereich 4911A, 4911B der Abschnitt der Mikro-SD-Karte, der freigelegt ist und nicht durch die Metallisierung des Sockels, in dem die Mikro-SD-Karte eingesteckt ist, bedeckt ist. Das macht sie für Millimeterwellenfrequenzteilsysteme mit Antennen attraktiv, weil die Antennen in dem freigelegten Bereich sein können, während andere Teile wie der Sendeempfänger durch Metallisierung, die als eine Abschirmung wirkt, bedeckt sein können. In einigen Aspekten sind Antennen in dem Innenbereich der Karte bei 4911A und 4911B platziert, was nachstehend genauer diskutiert ist. Antennen erfordern nicht metallisierte Bereiche, wo sie aus dem drahtlosen Teilsystem ausstrahlen können. Da sie nicht metallisiert sind, sind die Innengebiete von 4911A und 4911B ideal für die Platzierung von Antennen.
  • 50 stellt eine dreidimensionale Ansicht einer Mikro-SD-Karte mit geändertem Inhalt und geänderter Funktionalität, um die Karte für drahtlosen mmWellen-Kommunikationsbetrieb umzunutzen, gemäß einigen Aspekten dar. Die Karte mit Mikro-SD-Karten-Formfaktor weist die Karte 5001 auf, gesehen in einer dreidimensionalen Ansicht mit der Vorderseite 5001 der Karte in vollständiger Ansicht. Die elektrischen Kontakte 5003 auf der Rückseite der Karte sind in verdeckter Ansicht dargestellt. Als Teil der Änderung des Inhalts und der Funktionalität, auf die vorstehend hingewiesen ist, ist die RFIC 5005 innerhalb der Mikro-SD-Karte dargestellt und deshalb ebenfalls in verdeckter Ansicht gezeigt. Der Innenteil der Karte, gesehen entlang dem Schnitt XX-XX, zeigt Antennen, die in der Figur als Dipolantennen 5107A, 5107B dargestellt sind, und ist ebenfalls in verdeckter Ansicht insofern, als sie zur Vorderseite der Karte 5009 innenliegend sind, gemäß einigen Aspekten. Mit anderen Worten müssen die Antennen freigelegt sein, um von der Plattform, in der sie platziert sind, nach außen abzustrahlen.
  • Dass das metallisierte Verbindungselement für diese Typen von SD-Karten an der Rückseite 5003 ist, lässt den dicken Abschnitt 5009 der Mikro-SD-Karte 5001 ohne Metall, das ihn bedeckt, so dass der dicke Abschnitt ideal zur Antennenplatzierung ist. Da sie so klein ist, ist die Karte auch gut für mmWellen-Frequenzen geeignet, da die Antennen kleiner wären als der verfügbare Bereich und somit mehr als eine Antenne aufgenommen werden kann, um eine Gruppe zu bilden, und/oder Antennen-Diversity enthalten sein kann. Diese Tatsache bietet einen zusätzlichen Vorteil, dass die Antennen für Mehr-Eingabe-Mehr-Ausgabe- (MIMO-) Betrieb verwendet werden können. Anders ausgedrückt können mehrere Antennen auf unterschiedliche Weisen in Funksystemen verwendet werden. Sie können einfach kombiniert sein, sie können verwendet werden, um einen Strahl elektrisch zu lenken, und sie können verwendet werden, um MIMO zu unterstützen, wodurch unterschiedliche Antennen eine separate Funkkette unterstützen, die verwendet werden kann, um einen separaten Datenstrom zu senden/empfangen, unabhängig von den anderen Antennen in der Lösung, und es können auch zusätzliche Funktionen implementiert sein.
  • Als ein Beispiel für den MIMO-Betrieb kann gemäß einigen Aspekten die Antenne 5107A verwendet werden, um einen MIMO-Strom zu unterstützen, und die Antenne 5107B kann verwendet werden, um einen zweiten MIMO-Strom zu unterstützen. Das kann auch unter Verwendung von Antennen unterschiedlicher Polarisation implementiert sein. Die RFIC 5005 wäre konstruiert, um diese Konfigurationen und die Anzahl von Strömen zu unterstützen. In diesem Aspekt sind zwei Antennen 5107A und 5107B dargestellt, dieses Schema ist jedoch nicht auf nur zwei beschränkt.
  • Die RFIC 5005 und die Antennen 5107A, 5107B können gemäß einigen Aspekten geätzt, aufgedruckt oder auf andere Weise auf oder innerhalb einer PCB innerhalb des Teilsystems bei 5009 konfiguriert sein, das in die gewünscht Mikro-SD-Kartenform eingegossen sein kann. Die Dicke des Abschnitts 5009 kann in einigen Aspekten verwendet werden, um höhere Antennenstrukturen wie diejenigen, die für Antennen mit vertikaler Polarisation benötigt werden, zu integrieren. Die Unterseite der PCB würde die Randkartenkontakte an der Unterseite aufweisen, die den Kontakt zu den Federkontakten in dem Mikro-SD-Sockel herstellen. Die bei 5107A, 5107B dargestellten Antennen sind, wie vorstehend erwähnt, Dipolantennen und können ein halbkugelförmiges Muster abstrahlen, während andere Typen von Antennen sektoriellere Muster aufweisen können. Die Dipolantennen können insofern als Querstrahler betrachtet werden, als sie auch auf derselben Ebene abstrahlen wie die PCB und die Mikro-SD-Karte, selbst wenn sie auch nach oben und nach unten abstrahlen. Da der freigelegte Teil 5009 der Karte 5001 an dem Rand ist, ist es wahrscheinlicher, dass Querstrahler-Antennen in diesem Formfaktor verwendet werden, wie in 52 zu sehen ist, die nachstehend diskutiert ist. Dieser Formfaktor fällt auch mit dem Typ der Plattform zusammen, in das dieser integriert sein könnte, wie z. B. Telefone. Mit anderen Worten sind Mikro-SD-Karten bereits der aktuelle Formfaktor aktueller Standardspeichermodule für Telefone, weil sie relativ klein sind, jedoch die Fähigkeit aufweisen, auch Speicher mit hoher Speicherkapazität zu unterstützen.
  • Ferner kommen, wenn sie in einer Gruppenformation mit mehreren Instanzen solcher Mikro-SD-Karten angeordnet sind, mehr Optionen ins Spiel, und es können unterschiedliche Antennentypen, die in unterschiedliche Richtungen abstrahlen, verwendet werden. Dass sie eine sehr kleine Karte ist bedeutet, dass die Karte Antennen mit einer Größe der gleichen Größenordnung unterstützen kann, die mit Frequenzen in dem mmWellen-Bereich gleichzusetzen sind. Nur als ein Beispiel gibt es drahtlose WiFi-Lösungen in dem Mini-SD-Karten-Formfaktor, weil diese Größe größer ist und größere Antennen unterstützen kann, die mit dem Frequenzbereich wie demjenigen des WiFi-Frequenzbereichs (Zentimeterwellen) zusammenfallen. Da die Mikro-SD kleiner ist, kann sie eine kleinere Antenne, die an mmWellen-Frequenzen verwendbar ist, oder einige wenige solcher Antennen unterstützen, was bedeutet, dass die Antennen verwendet werden können, um Gruppen zu bilden, wenn sie in geeigneten Abständen voneinander platziert sind, wobei die Abstände eine Funktion der Frequenz sind.
  • 51A stellt eine Mikro-SD-Karte von 50, die das Strahlungsmuster für die Dipolantennen von 50 zeigt, gemäß einigen Aspekten dar. Die Strahlung aus den Dipolen 5107A, 5107B ist in Art eines halben Kreisrings, der seitlich abstrahlt, jedoch auch nach oben und nach unten abstrahlt. Die andere Hälfte des Strahlungsmusters kann durch das Telefon / die tragbare Vorrichtung oder die Metallisierung des Mikro-SD-Sockels blockiert sein. 51B stelle die Mikro-SD-Karte von 50 mit vertikal polarisierten Monopolantennenelementen, die vertikal in dem freigelegten Bereich 5109B stehen, der in der Z-Höhe begrenzt ist, gemäß einigen Aspekten dar. Andere halb umwickelnde vertikal polarisierte Elemente können ebenfalls verwendet werden. Es können auch gefaltete Dipole verwendet werden. 51C stellt die Mikro-SD-Karte von 50 mit zurückgefalteten Dipolantennen 5107AC, 5107BC gemäß einigen Aspekten dar. Die 51A, 51B und 51C stellen nur einige der verschiedenen Typen von Antennenelemente dar, die in verschiedenen Aspekten, sowohl einzeln und in Gruppen, verwendet werden können.
  • 52 stellt drei Mikro-SD-Karten gemäß einigen Aspekten dar, die wie vorstehend diskutiert modifiziert sind, um mehrere Instanzen einer solchen Karte bereitzustellen, von denen jede mehrere Antennen pro Karte aufweisen kann. In 52 ist allgemein eine Kombination aus einer Hauptplatine 5201, an der drei Mikro-SD-Karten 5203, 5205, 5207 angeschlossen sind, zu sehen, wobei die Karten von der üblichen Flash-Speicher-Funktion modifiziert sind, wie vorstehend diskutiert ist. Die Antennen können in einigen Aspekten Dipolantennen 5107A, 5107B in jeder Karte sein, wie vorstehend diskutiert, und strahlen in Längsstrahler-Richtung ab, wie durch die Pfeile, die von jeder Karte verlaufen, dargestellt ist. Wie in anderen Figuren hier dargestellt und mit Bezug auf sie diskutiert ist, können unterschiedliche Typen von Antennen in einigen Aspekten verwendet werden, um Antennen zu implementieren, die verschiedene Anforderungen erfüllen, gemäß der vorhandenen Lösung. Obwohl drei Gruppen dargestellt sind, kann das in jeder Richtung erweitert werden durch Hinzufügen zusätzlicher Karten entlang der X-Achse, um die Größe der Gruppen zu vergrößern. Tatsächlich kann das auch in der Z-Richtung gestapelt sein, um die Gruppe sowohl in der X- als auch der Z-Abmessung zu erweitern, wie durch das Koordinatensystem von 50 dargestellt ist, abhängig von dem verfügbaren Volumen. Durch Hinzufügen vieler Mikro-SD-Karten nebeneinander oder aufeinander gestapelt mit den richtigen Abständen von Antenne zu Antenne und dem verfügbaren Volumen kann eine mächtige Antennengruppe (MAA) konfiguriert werden. Die Anzahl von Antennen auf jeder Karte kann von einer Antenne bis mehreren Antennen auf jeder Karte sein, abhängig von der Betriebsfrequenz und deshalb der Wellenlänge λ.
  • Der Raum in mobilen Vorrichtungen für drahtlose Kommunikation ist üblicherweise wegen der Menge der Funktionalität, die in dem Formfaktor solcher Vorrichtungen enthalten ist, sehr wertvoll. Herausfordernde Probleme entstehen unter anderem wegen der Notwendigkeit der räumlichen Abdeckung abgestrahlter Funkwellen und aus dem Aufrechterhalten der Signalstärke, wenn sich die mobile Vorrichtung zu anderen Orten bewegt, oder weil ein Benutzer von Zeit zu Zeit die mobile Vorrichtung in eine andere Orientierung bringen kann. Das kann in einigen Aspekten zu der Notwendigkeit variierender Polaritäten und variierender räumlicher Diversity der abgestrahlten Funkwellen zu variierenden Zeiten führen. Wenn Packages konstruiert werden, die Antennen aufweisen, die an Millimeterwellen-(mmWellen-) Frequenzen arbeiten, kann effizientes Verwenden des Raums dazu beitragen, Probleme wie z. B. die Anzahl benötigter Antennen, ihre Strahlungsrichtung, ihre Polarisation und ähnliche Anforderungen zu lösen. Wenigstens einige dieser Anforderungen können durch eine PCB mit Kugelgitteranordnung (BGA) oder Schachbrettanordnung (LGA) mit einem Bereich, der gegebenenfalls insbesondere frei von Perlen oder LGA-Kontaktstellen ist, um zu ermöglichen, dass Antennenelemente von verschiedenen Seiten der PCB, die einen angeschlossenen Millimeterwellen-(mmWellen-) Sendeempfänger aufweist, abstrahlen, in einigen Aspekten.
  • 53A ist eine Seitenansicht eines Packagen-PCB mit getrenntem BGA- oder LGA-Muster mit einem angeschlossenen Sendeempfänger-Teilsystem gemäß einigen Aspekten. Die Baugruppen-PCB mit getrenntem BGA- oder LGA-Muster kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Baugruppen-PCB mit getrenntem BGA- oder LGA-Muster nicht darauf beschränkt ist. Die BGA- oder LGA-PCB weist die üblichen Schichten auf, die im Wesentlichen parallel sind. Typischerweise besetzen BGA- und LGA-Baugruppen die Perlen und Kontaktstellen in einer relativ gleichmäßigen Verteilung über das gesamte Teilsystem um das Teilsystem auf einer Hauptplatine (MB) zu befestigen. Es sind die BGA-Perlen 5305, 5306 dargestellt. Ein Bereich 5303, der frei von Perlen und/oder LGA-Kontaktstellen ist, ist absichtlich erzeugt, so dass dieser freie Bereich für einen Antennenabschnitt verwendet werden kann, in dem die Antennenelemente nach außen abstrahlen können, falls eine geeignete Öffnung auf der MB, an der die PCB 5301 angeschlossen ist, hergestellt wird. Mit anderen Worten sollte der Bereich 5303, manchmal als eine „Lücke“ bezeichnet, „kontaktfrei“ sein, um die Antennenelemente zu platzieren, um zu ermöglichen, dass die Antennen frei abstrahlen können. Anders ausgedrückt ermöglicht es die Lücke 5303 in den BGA/LGA-Anschlusspunkten, dass die Antennenelemente in der Lücke platziert sind und durch die Lücke, oder seitlich, falls die Antennenelemente vom Querstrahler-Typ sind, abstrahlen.
  • Wie sie in diesem Patent verwendet sind, sind die Begriffe „oben“, „unten“, „nach oben“, „nach unten“, „zur Seite“ in Bezug auf die Orientierung der Zeichnung verwendet und sollen die Strahlungsrichtung nicht einschränken, wenn das Package in einer mobilen oder anderen Vorrichtung, die in irgendeiner Richtung orientiert sein kann, implementiert ist. Somit ist die hier beschriebene Strahlung in der Praxis in einer Richtung nach außen, unabhängig von der Orientierung des Packages in einer Benutzervorrichtung.
  • In einem Aspekte strahlen nach unten (nach außen) weisende Antennenelemente 5315, 5316, 5319 und 5321, die hier als Patchantennen dargestellt sind, die in einigen Aspekten eine Gruppe von Patchantennen oder anderen Antennen sein können, nach unten. Das ist in der Zeichnung durch die Wellenmuster 5316, 5318, 5320 und 5322 dargestellt. Ein RFIC-Sendeempfänger 5307 kann oben auf dem Teilsystem befestigt sein und wird durch die Abschirmung 5309 vor Hochfrequenzstrahlung (RFI) und elektromagnetischer Störung (EMI) geschützt, sub sine Aspekte. Die Antennenelemente 5311, 5313, die in der Zeichnung nach oben weisen, können jeweils in der Richtung 5312, 5314 nach oben (nach außen) abstrahlen. Die Fähigkeit, aus einer Plattform aus mehreren Richtungen abzustrahlen, schafft Vorteile.
  • Beispielsweise könnten, obwohl die Strahlung in entgegengesetzten Richtungen dargestellt ist, die dargestellten Patchantennen durch andere Antennentypen ersetzt werden, die zur Seite abstrahlen, wie z. B. Längsstrahler- oder Querstrahler -Antennen, und können an den Rändern des Teilsystems platziert sein. Somit kann das beschriebene Teilsystem unterschiedliche Typen von Antennen verwenden, die unterschiedliche Typen von Vorteilen aufweisen, die die Richtung der Abstrahlung und die Polarisation enthalten.
  • Als ein Beispiel weisen die dargestellten Patchantennen einen Vorteil auf, dass jede zwei orthogonale Speisepunkte aufweisen können, um zwei Polarisationen zu erzeugen, ihre Strahlung jedoch ihrer Natur nach quer ist, so dass sie in der dargestellten Konfiguration gut funktionieren würden. Viele Antennenelemente, die auf dem Modul angeordnet sind, können in einigen Aspekten zur Strahllenkung in einer Gruppe verwendet werden. Ferner kann dieser Typ der Teilsystemanordnung in Mehr-Eingabe-Mehr-Ausgabe- (MIMO-) Antennengruppen und Gruppen, die für räumliche Diversity konfiguriert sind, Anwendung finden. Räumliche Diversity kann dadurch erreicht werden, dass sie Antennen aufweist, die Strahlungsmuster in unterschiedlichen Richtungen aufweisen. Beispielsweise strahlen Patchantennen auf der Oberseite nach oben, und Patches auf der Unterseite strahlen nach unten. Andere Antennentypen können eingeführt werden, um zur Seite zu strahlen, wie Querstrahler-Antennentypen, und somit wird in einigen Aspekten räumliche Diversity erreicht.
  • Obwohl sie in 53A in Seitenansicht als die Antennenelemente 5311, 5313 dargestellt sind, wird 53C zeigen, dass mehrere solche Antennen, wie z. B. 5330, 5331 und 5332, 5333, vorhanden sein können, wie nachstehend diskutiert ist. Obwohl eine spezielle Anzahl von Antennen beschrieben ist, kann die Anzahl der Antennenelemente von Aspekt zu Aspekt variieren, wie durch einen normalen Fachmann verstanden wird. Die Frequenz des Betriebs und die Antennengröße bestimmen, wie viele Antennen tatsächlich in die/den gegebene/n Fläche/Platz passen können, so dass sie in einer Gruppe effektiv sind. Außerdem können auch der Typ (Monopol oder gestapelte Patchantennen, Dipolantennen und andere Typen) und ihre Anordnung, beispielsweise in Gruppen, variieren. Ferner wird in vielen Vorrichtungen mit kleinem Formfaktor, weil Fläche/Platz so wertvoll ist, ein Teilsystem, das in mehrere Richtungen abstrahlen kann, wie vorstehend diskutiert, eine hoch effektive Verwendung von Fläche/Platz mit großer (oder vielleicht, in einigen Aspekten, größter) Abdeckung aufweisen.
  • 53B ist ein Querschnitt in Seitenansicht des Teilsystems von 53A gemäß einigen Aspekten. 53B stellt die MB 5323 dar, mit einem Ausschnitt 5304, der implementiert ist, um zu ermöglichen, dass die Antennenelemente 5315, 5317, 5319, 5321 nach außen zum Abstrahlen freigelegt sind. Mit anderen Worten ermöglicht das separate Muster 5303 in dem Package die Antennenabstrahlung von der Seite der Befestigung einer mmWellen-Antenne und eines Sendeempfänger-Teilsystems. Wegen des Ausschnitts in diesem Bereich können die Antennenelemente 5315, 5317, 5319 und 5321 frei abstrahlen, wobei sie im Wesentlichen nichts blockiert, und das ermöglicht eine weitere Richtung der Abstrahlung in der/dem begrenzten Fläche/Volumen der Lösung. Die Antennenelemente 5315, 5317, 5319 und 5321 sind auf der gleichen Seite wie die Befestigungsseite der BGA/LGA 5301 (die Seite, an der die BGA/LGA an der MB angeschlossen ist) in einigen Aspekten. In einigen Aspekten ist der Ausschnitt wie umrissen implementiert, wobei der herausgearbeitete Ausschnitt durch die Oberfräse hergestellt ist, die entlang des PCB-Umrisses läuft.
  • Es sind außerdem die Antennen 5311 und 5313 auf der Oberseite des Teilsystems dargestellt. In einigen Aspekten können diskrete elektronische Komponenten, die eine Z-Höhe erfordern, in den Ausschnitt 5304 der PCB passen. In einigen Aspekten kann die abgeschirmte RFIC selbst in den Ausschnitt an dem kontaktfreien Bereich zum Betrieb platziert werden und weiter an der Gesamt-Z-Höhe der Lösung einsparen. Anders ausgedrückt sind Chips mit integrierter Schaltung wie z. B. RFICs typischerweise von diskreten Komponenten begleitet, die diese Chips ergänzen, beispielsweise Entkopplungskondensatoren und auch andere Funktionen. Diese Komponenten könnten in einigen Aspekten in der Lücke 5304 platziert sein, anstatt dass die Antennenelemente in der Lücke sind. Falls jedoch die Komponenten Teil der Funk-Sendeempfänger-Schaltung sind, die in der Lücke positioniert ist, sollte eine geeignete RFI/EMI-Abschirmung implementiert sein, worauf vorstehend hingewiesen ist.
  • 53C ist eine Draufsicht des Teilsystems 5301, die eine Draufsicht der Abschirmung 5309 darstellt und ferner den Ausschnitt oder die Lücke 5304 darstellt. Wie zu sehen ist und wie vorstehend kurz diskutiert ist, sind die nach oben weisenden Antennen 5330, 5331 und 5332, 5333 in einigen Aspekten zwei Gruppen aus jeweils zwei Antennenelementen. Andere Konfigurationen von Antennenelementen sind in Übereinstimmung mit einer gegebenen Konstruktion durch einen normalen Fachmann möglich, um eine Lösung zu implementieren, die für die Anforderungen einer gegebenen Situation geeignet sind.
  • Obwohl die vorstehende Beschreibung die Verwendung des Teilsystems in einer mobilen Vorrichtung diskutiert, kann das Teilsystem auch in einer Basisstation verwendet werden, obwohl eine Basisstationsimplementierung nicht davon profitieren könnte, dass sie Strahlung in beiden oder mehreren Richtungen aufweist. Obwohl eine Gruppengröße einer Basisstation in einer Achse eingeschränkt sein kann, kann Modularität dazu beitragen, die Teilsysteme in gewünschten Richtungen anzuordnen, was eine Anordnung rund um einen Mast enthält. 53E zeigt eine Anordnung von Teilsystemen, die rund um einen Mast angeordnet sind, zur Strahlungsabdeckung in im Wesentlichen allen Richtungen gemäß einigen Aspekten. Die Teilsysteme 5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348 sind an dem Mast 5341 angebracht. Jedes Teilsystem könnte so sein, wie in 53A dargestellt ist, wobei das BGA/LGA-Laminat 5301 an der Hauptplatine 5323 angebracht ist. Die Strahlungsrichtung wäre dann wie durch die Pfeile angegeben in allen oder im Wesentlichen allen Richtungen.
  • Obwohl ein rechteckig geformtes Teilsystem gezeigt ist, sind andere Formen möglich, wie beispielsweise ein Quadrat oder eine Eckform. 53D zeigt einen U-förmigen Ausschnitt in der PCB, um zu ermöglichen, dass die Antennen durch den Ausschnitt abstrahlen, in Übereinstimmung mit einigen Aspekten. Die Gruppe von Kontaktstellen 5324, 5326, die in einigen Aspekten Goldkontaktstellen sind, sind die elektrischen Kontakte, die für die Signalisierung zu dem Teilsystem verwendet werden und außerdem als die mechanische Befestigung dienen, wenn das Teilsystem auf sie gelötet ist.
  • 53F stellt ein Teilsystem in einer Eckform gemäß einigen Aspekten dar. In der Draufsicht ist das Teilsystem 5350 mit vier Antennenelementen 5351 dargestellt. Eines der vier Antennenelemente ist mit gestrichelter Linie gezeichnet, um darzustellen, dass Antennenelemente auf beiden Seiten des Teilsystems sein könnten. Das Teilsystem 5350 ist so dargestellt, dass es in dem dargestellten Aspekt λ × λ groß ist, weil dann, wenn die Antenne selbst λ/2 ist (wie weiter unten diskutiert ist), die mit Überhang und Masseanbindung in der gesamten Umgebung der Elemente die realistische Größe eines Teilsystems mit einer 2x2-Antennengruppe, wie dargestellt, ungefähr λ × λ wäre. Die Unteransicht stellt die abgeschirmte RFIC dar, wobei die Abschirmung 5356 und die RFIC 5355, die in einer Ansicht mit durchgezogener Linie zur Verdeutlichung der Darstellung dargestellt sind, in der Lücke 5304E sitzen. BGA-Perlen oder LGA-Perlen sind bei 5354 dargestellt. Die Antennenelemente 5351 sind als Querstrahlerelemente gezeigt, wie z. B. Patchantennen, sie könnten jedoch durch Längsstrahlerelemente wie z. B. Dipole, zur Längsstrahlungs-Abdeckung in einigen Aspekten ersetzt werden.
  • 5G stellt das Teilsystem von 53A dar, das in einer Ecke der Hauptplatine 5323 platziert ist, wobei 5361 in verdeckter Ansicht die RFIC-Abschirmung ist und die Antennenelemente 5362 sind, wobei nur ein Antennenelemente im Interesse der Platzeinsparung in der Zeichnung nummeriert ist. 53H stellt eine Seitenansicht des Teilsystems 5364 dar, das an der Hauptplatine 5323 durch BGA-Perlen 5306 angebracht ist, die die Antennenelemente 5362, 5263 in Seitenansicht in Blickrichtung in die Zeichenebene und die abgeschirmte RFIC 5367 mit diskreten Komponenten ebenfalls innerhalb der Abschirmung 5368 darstellt.
  • 531 ist eine Draufsicht einer Konfiguration eines Teilsystems 5370 mit dualer Abschirmung, das eine Form zum Gebrauch in einer Ecke aufweist, gemäß einigen Aspekten. Das Teilsystem 5370 ist mit einem abgeschnittenen Eckrand 5376 dargestellt. Vier Querstrahlerantennenelemente 5371 sind benachbart den Seiten der Abschirmung 5374 platziert, die die RFIC oder andere integrierte Schaltung 5375 abschirmt, die mit durchgezogenen Linien zum Zweck der Darstellung gezeigt ist, jedoch tatsächlich innerhalb der Abschirmung 5374 ist. Die Längsstrahler-Antennenelemente 5372 sind um die Umfangsfläche des Teilsystems platziert. Die Abmessungen sind wie für die Antennenelemente 5377 dargestellt, ähnlich den Antennenelementen 5371 und mit Bezug darauf. 53J stellt eine Seitenansicht des Teilsystems von 531A gemäß einigen Aspekten dar. Es ist eine obere Abschirmung 5383 mit der integrierten Schaltung 5382 und eine untere Abschirmung 5384 mit der integrierten Schaltung 5385 dargestellt. Die Antennenelemente 5386, 5387 und 535388, 5389 erscheinen auf entgegengesetzten Seiten des Teilsystems 5300. Das Teilsystem ist an der MB 5323 durch Löten oder andere geeignete Befestigung angebracht wie dargestellt.
  • Die BGA-Perlen (oder LGA-Kontaktstellen) an den zwei Enden der Anordnung weisen einen zusätzlichen Vorteil währen der Montage auf, weil keine zusätzliche Stütze erforderlich ist, wenn das Teilsystem an die MB gelötet wird. Bei Betrachtung des Falls, in dem das Teilsystem an der Ecke einer PCB gelötet oder auf andere Weise daran befestigt ist, wie in den 3C und 4B, gibt es nichts, um das Teilsystem im Raum festzuhalten, während die Perlen oder LGA-Kontaktstellen entlang der Ecke „L“ gelötet werden. Das Teilsystem würde aufgrund seines eigenen Gewichts während des Prozesses herunterfallen. Mit dem rechteckigen Teilsystem mit den Perlen oder LGA-Kontaktstellen an den entfernten Enden, wie z. B. in 53B, gibt es keine Befürchtung, dass das Teilsystem irgendwo anders hinfallen würde als an den Ort, an dem es sein sollte, aufgrund der Schwerkraft.
  • In einem PCB-Montageprozess kann die PCB auf einem Förderband platziert sein. Es kann dann Lötmetall aufgetragen werden, und dann werden durch Pick-and-Place (oder manuell) Komponenten an ihren Positionen über den Kontaktstellen, auf denen Lötmetall aufgetragen ist, platziert. Dann durchläuft die PCB einen Ofen und das Lötmetall schmilzt unter den Komponenten, was sie an die PCB lötet. Die PCB wird dann gekühlt und gereinigt, was eine montierte PCB ergibt. In einigen Fällen werden einige Komponenten vor dem Lötprozess an Ort und Stelle verklebt, so dass sie sich nicht verschieben. In dem Fall einer Ecke kann das jedoch nicht signifikant helfen, weil die Schwerkraft das Teilsystem von der PCB ziehen kann, bevor es gelötet wird. In solchen Fällen sollte ein spezieller Mechanismus hinzugefügt werden, um den Teil zu stützen, der wahrscheinlich „herunter fällt“, und ihn an Ort und Stelle zu halten.
  • Das Prüfen der Produktion eines 60 GHz-Einbaugruppensystems SIP ist wahrscheinlich sehr teuer oder möglicherweise unbezahlbar für eine große Verbreitung von 60 GHz- oder 5G-Technologie. Signale würden im Millimeterwellen- (mmWellen-) Frequenzbereich wie z. B. 60 GHz für einige Aspekte ausgestrahlt und empfangen, aber es sind für andere Aspekte auch 28 GHz-, 73 GHz- und andere mmWellen-Bänder verfügbar. Allgemein gesagt sollte das Prüfen Antennenprüfen aufgrund der Komplexität der SIP und irgendeiner zugeordneten Anordnung enthalten. Deshalb wäre die Prüfung eine Strahlungsprüfung. Chip-eigene „eingebaute Selbstprüfung“ (BIST) kann verwendet werden, um bei diesem Prüfen zu helfen, aber BIST wird wahrscheinlich nicht das Prüfen der Antennenelemente enthalten.
  • Typischerweise weist eine Vorrichtung im Test, hier ein SIP, eine phasengesteuerte Antennengruppe auf, und somit müssten mehrere Antennen und Sendeempfängerelemente getestet werden. Diese Anforderungen machen herkömmliche Prüfeinrichtungen ungeeignet, da ihre Betriebsfrequenzen viel niedriger sind als mmWellen-Frequenzen und typischerweise solche Prüfeinrichtungen keine Strahlungsprüfungen enthalten. Stattdessen wird typischerweise Leitfähigkeits- oder Kontaktprüfen, wie z. B. Sondierung, verwendet. 60 GHz-Systeme sind jedoch extrem empfindlich gegenüber selbst sehr kleinen nicht idealen Zuständen. Beispielsweise falls eine 60 GHz-Sonde verwendet wird, um die Verstärkung eines Verstärkers zu prüfen, können die Wiederholbarkeit des Signalamplitudenfehlers und die Alterung der Sonde viele dB Verstärkungsvariation einführen, was sondenbasiertes Testen der 60 GHz-Produktion sehr schwierig macht.
  • Ferner integrieren 60 GHz-Systeme typischerweise die 60 GHz-Antennen auf dem Package der integrierten Hochfrequenzschaltung (RFIC), die das SIP aufweist. Das eliminiert Kabelverluste, die bei 60 GHz sehr hoch wären, und ermöglicht die vorteilhafte Implementierung von phasengesteuerten Gruppen, die die gewünscht Abdeckung erreichen. Solche Baugruppenkonfigurationen müssten ebenfalls geprüft werden, was ein teures Vorhaben ist. Zusätzlich muss das Prüfen einer Herstellung mit großem Volumen (HVM) Antennen- und Montagefehlerbetriebsarten umfassen, z. B. Fehlverarbeitung des Antennensubstrats oder mangelhafte Montage der RFIC auf dem Substrat. Experimente haben gezeigt, dass 60 GHz-Systeme viel empfindlich gegenüber Montagemängeln sind im Vergleich zu 2,5 GHz-6 GHz-Systemen. Aus diesen Gründen ist es wünschenswert, die Antennen in das 60 GHz-HVM-Prüfen aufzunehmen. Deshalb wird gewöhnlich daran gedacht, dass nahezu unerschwinglich teure 60 GHz-Equipment zu den Prüfeinrichtungen hinzugefügt werden müsste, um 60 GHz-Prüfungen auszuführen.
  • Es ist ein praktischer Weg offenbart, HVM-Produktions-Selbstprüfen von 69 GHz-Systemen durch Adressieren der vorstehend diskutierten Probleme durch Verwenden von Rückschleifenprüfung auszuführen. Eine Rückschleife bezieht sich auf das Lenken elektronischer Signale, digitaler Datenströme oder Flüsse von Elementen von ihrer Quelle durch das System und zurück zu ihrer Quelle ohne gewollte Verarbeitung oder Modifikation. Das ist primär ein Weg zum Prüfen der Übertragungs- oder Transportinfrastruktur eines SIP.
  • Es existieren verschiedene Beispiele. Als ein Beispiel kann ein Kommunikationskanal mit nur einem Kommunikationsendpunkt geprüft werden. Irgendeine Nachricht, die durch einen solchen Kanal übertragen wird, wird sofort und idealerweise nur durch denselben Kanal empfangen. In der Telekommunikation führen Rückschleifenvorrichtungen Übertragungsprüfungen von Zugangsleitungen von der versorgenden Vermittlungsstelle aus, die üblicherweise nicht die Unterstützung von Personal an dem versorgten Endgerät erfordern. In der Telekommunikation ist eine Rückschleife oder eine Schleife ein Hardware -oder Software-Verfahren, das ein empfangenes Signal oder Daten von dem Sender zurück zu dem Sender führt. Es wird als ein Hilfsmittel zur Fehlerbeseitigung bei physikalischen Verbindungsproblemen verwendet. Als eine Prüfung können viele Datenkommunikationsvorrichtungen konfiguriert sein, spezifische Muster (wie z. B. nur Einsen) auf einer Schnittstelle zu senden, und können den Empfang dieses Signals auf demselben Anschluss detektieren. Das ist als Rückschleifenprüfung bezeichnet und kann innerhalb eines Modems oder eines Sendeempfängers durch Verbinden seines Ausgangs mit seinem eigenen Eingang ausgeführt werden. Eine Schaltung zwischen zwei Punkten an unterschiedlichen Orten kann durch Anlegen eines Prüfsignals an die Schaltung an dem einen Ort und veranlassen, dass die Netzvorrichtung an dem anderen Wort ein Signal zurück durch die Schaltung sendet, geprüft werden. Falls diese Vorrichtung ihr eigenes Signal zurück erhält, gibt das an, dass die Schaltung funktioniert.
  • Das Verwenden eines 60 GHz-Equipment als eine Alternative zu der vorstehenden 60 GHz-Systemprüfung kann entweder gut charakterisiert/stabil sein unter Verwendung eines teuren Equipments (z. B. eines Vektor-Netzanalysators (VNA)) oder eines angepasst hergestellten Teilsystems mit Komponenten Dritter. Beide Herangehensweisen weist hinsichtlich Kosten, Stabilität der Messungen und/oder Alterung der angepasst hergestellten Teilsysteme Grenzen auf. Die offenbarte eigenständige Selbstprüfungslösung verwendet das 60 GHz-System, um sich selbst zu prüfen. Das vermeidet die Notwendigkeit eines teuren/empfindlichen 60 GHz-Equipment. Es nimmt außerdem natürlicherweise die Antennen in die Prüfung auf, was der Schlüssel für das 60 GHz-Einbaugruppensystem ist, und adressiert außerdem unvermeidliche chip-interne und Package-interne Übersprechprobleme. Ein Reflektor auf der Prüfeinrichtung ermöglicht Basisband-zu-Basisband-Rückschleife, die die Antennen enthält. Rückschleifen-Selbstprüfungsschemas werden manchmal verwendet, um RFICs an niedrigeren Frequenzen zu prüfen, jedoch ohne das Prüfen der Antennen. Das offenbarte System erweitert die Rückschleife, so dass sie die Antennen, die Komponenten des 60 GHz-Systems sind, enthält, gemäß einigen Aspekten.
  • 54A stellt eine Draufsicht einer phasengesteuerten 60-GHz-Einbaugruppensystem-Gruppe (SIP-Gruppe) gemäß einigen Aspekten dar. Das SIP 5400 kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das SIP 5400 nicht darauf beschränkt ist. Das SIP 5400 weist die Antennengruppe 5401 und eine 60 GHz-RFIC 5403 auf oder in dem Substrat 5405, das eine Niedertemperatur-Einbrenn-Keramik (LTCC) sein kann, gemäß einigen Aspekten auf. Die RFIC 5403 empfängt Eingangssignale über das Verbindungselement 5406. Die Antennengruppe 5401 weist eine 542-Elementgruppe auf, die bei 5402 genauer zu sehen ist. Die Gruppe wird durch die RFIC 5403 über eine Reihe von Mikrostreifenspeiseleitungen gespeist gemäß einigen Aspekten. Ein Antennenelement der Gruppe, zu sehen bei 5407, wird durch die Speiseleitung 5409 gespeist, gemäß einigen Aspekten. Ein zweites Antennenelement der Gruppe, zu sehen bei 5407', wird durch die Speiseleitung 5409' gespeist. Die Speiseleitung 5409' ist auf eine solche Weise strukturiert, dass die das RF-Signal aus der RFIC verlangsamt. Mit anderen Worten sind die Längen der Speiseleitungen so angepasst, dass sie zu der Gruppen-RF-Signalverzögerung zu den Antennen passt. Das hilft bei der Strahlformungskalibrierung (z. B. weniger statische Fehlabstimmung, reduzierte Empfindlichkeit der Kalibrierung für die Kanalfrequenz). Die Reihe von Perlen 5413 sind Höcker für Signalverbindungen zu dem Package, wenn der Chip auf dem Package gedreht wird, gemäß einigen Aspekten. Obwohl eine 542-Antennengruppe dargestellt ist, können in einigen Aspekten mehr als 542 Antennen oder weniger als 542 Antennen verwendet werden.
  • 54B stellt eine perspektivische Seitenansicht des SIP von 54B gemäß einigen Aspekten dar. 54B stellt die gestufte Plattform 5404, die drei stufenartige Ebenen 5408, 5410, 5412 aufweist, gemäß einigen Aspekten dar. Die Antennen 5412 sind auf der höchsten Ebene, weil Antennen für korrekten Betrieb üblicherweise zusätzliche Substratschichten erfordern. Die Ebene 5410, die die RFIC 5403 aufweist, enthält keine Vias, die für mmWellen-Signale nicht hilfreich sein können. Somit sind Speiseleitungen in einigen Aspekten direkt auf der obersten Schicht 5412 geführt. In anderen Aspekten verlaufen die Speiseleitungen „innerhalb“ des Dielektrikums, um die Antennen an Ebene 5410 zu erreichen. Die Ebene 5408 ist dünner, um Platz für das Verbindungselement 5406 bereitzustellen.
  • 55 stellt ein 60-GHz-SIP platziert auf einer Selbstprüfeinrichtung gemäß einigen Aspekten dar. Das SIP, das auf der Prüfeinrichtung platziert ist, ist allgemein bei 5500 zu sehen. Eine Prüfeinrichtung, die für die Prüfungen wie die hier beschriebenen nützlich ist, weist allgemein wenigstens einen Computer, eine Stromversorgung, Software, computerlesbaren Hardware-Speicher, der Computeranweisungen aufweist, die dann, wenn sie durch den Computer ausgeführt werden, ein zu prüfendes System gemäß vorbestimmten Prüfungen prüfen, und Ankopplungsmöglichkeiten auf, die eine Prüfumgebung zum Empfangen und Befestigen des zu prüfenden Systems aufweisen. Das SIP 5400 kann der Typ eines SIP sein, der bei 5400 von 54A dargestellt ist, das 542 Antennen (von denen eine als 5401 benannt ist) und die RFIC 5403 auf dem Substrat 5405 aufweist. Die RFIC 5403 kann einen Leistungsverstärker 5416, der konfiguriert ist, die Antennen der SIP 5400 anzusteuern, und einen rauscharmem Verstärker 5420, der konfiguriert ist, von den Antennen des SIP 5400 zu empfangen, aufweisen. Phasenschieber 5414, 5418 können enthalten sein, um bei Bedarf bei der Strahlformung zu unterstützen. Eines der Antennenelemente T der phasengesteuerten Gruppe ist in die Sendebetriebsart eingestellt. Die Sende- (TX-) Antenne 5422 sendet ein 60 GHz-Signal. Ein Reflektor 5502 ist an der Prüfeinrichtung befestigt und reflektiert das 60 GHz-Signal zurück zu dem SIP, wo es durch eine Empfangs-(RX-) Antenne 5424 aufgefangen wird. Der Reflektor wäre in einigen Aspekten oben auf der IC, die geprüft wird, und somit oben auf der vorstehend diskutierten Prüfeinrichtung, und ist nachstehend genauer diskutiert. Einige aktuelle Prüfeinrichtungen weisen einen Zweig mit einer mmWellen-Hornantenne und Abwärtsumsetzer/Aufwärtsumsetzer auf, um die Referenzsignale zur Kalibrierung zu empfangen oder zu senden. In dem offenbarten System würde die Referenz-Funkeinrichtung am Ende des Zweigs aktueller Prüfeinrichtungen durch einen einfachen Reflektor 5502 ersetzt. Das sollte eine einfache Anpassung für heutige Prüfeinrichtungen (die typischerweise Schaltungen prüfen, die für weniger als 60 GHz konstruiert sind) ermöglichen, so dass sie für mmWellen-Prüfen angepasst werden.
  • Das Signal der Empfangsantenne 5424 wird in einigen Aspekten in der RFIC verstärkt und abwärtsumgesetzt. Die Anordnung von 55 baut eine Rückschleife um das gesamte 60 GHz-System auf, die verwendet werden kann, um spezielle Schlüsselleistungsmetriken (z. B. die Verstärkung) zu messen, zu bestimmen, ob der Teil in Ordnung ist oder verworfen werden sollte, und/oder den Teil gegen Herstellungsvariationen wie z. B. Fehlabstimmungen zu kalibrieren, was nachstehend genauer diskutiert ist. Diese Anordnung löst zwei wichtige Probleme bei 60 GHz-HVM-Prüfen:
  • 1. Sie baut eine Basisband-zu-Basisband-Rückschleife auf der Prüfeinrichtung auf. Deshalb benötigt die Prüfeinrichtung keine teure 60 GHz-Aufrüstung. Es kann in einigen Aspekten nur notwendig sein, einen preiswerten Reflektor (z. B. eine Metallbefestigung) an die Prüfeinrichtung anzupassen.
  • 2. Die Rückschleife enthält die 60 GHz-Antennen. Die Rückschleifenprüfung kann sich deshalb zielgenau auf antennenbezogene Probleme (z. B. fehlerhafte Herstellung des Substrats) oder Montagemängel richten. Weil Antennen in der Rückschleifenprüfung sind, findet eine Prüfung des gesamten Systems statt, nicht nur Prüfen der RFIC.
  • 56A stellt einen Prüfaufbau für einen ersten Teil eines Tests, um unerwünschtes Chip-internes oder Baugruppen-internes Übersprechen in einem SIP zu adressieren, gemäß einigen Aspekten dar. In 56A gibt 5600 einen ersten Aufbau an, um Übersprechen zu adressieren. In einigen Aspekten sind die Elemente die gleichen Elemente wie die in 54A und 54B dargestellten, und zur Verdeutlichung werden die gleichen Bezugszeichen verwendet.
  • Die RFIC 5403 enthält den Leistungsverstärker 5601 und den rauscharmen Verstärker 5603, von denen jeder jeweils mit den Antennen 5407, 5407' gekoppelt ist. Übersprechen ist bei 5605, 5607 angegeben. Das System im Test 5600 ist auf der Prüfeinrichtung, wie in 55 dargestellt, jedoch ist der Reflektor entfernt, was in einigen Aspekten durch einen elektromechanischen Entfernungs/Hinzufügungs-Mechanismus automatisch erfolgen kann. In 56B stellt 5602 einen zweiten Prüfaufbau dar, um unerwünschtes Chip-internes oder Package-internes Übersprechen in einem SIP gemäß einigen Aspekten zu adressieren. In einigen Aspekten sind die Elemente von 56B die gleichen wie diejenigen, die in 56A dargestellt sind, außer dass der Reflektor 5502 wieder hinzugefügt worden ist, was in einigen Aspekten ebenfalls durch einen elektromechanischen Entfernungs/Hinzufügungs-Mechanismus automatisch erfolgen kann.
  • 57 stellt ein automatisiertes Prüf-Equipment, das zum Prüfen eines SIP einer phasengesteuerten 60-GHz-Gruppe geeignet ist, gemäß einigen Aspekten dar. Bei 5700 ist ein automatisiertes Test-Equipment dargestellt, an das die Prüf-Aufbauten von 55 bis 56C angeschlossen sein können. Dargestellt ist eine automatische Cassini™ 16™-Prüfeinrichtung 5701, die dann, wenn sie wie hier beschrieben modifiziert ist, ein Beispiel für ein System ist, das programmiert sein kann, um die diskutierten Prüfungen zu implementieren. Normale Fachleute würden erkennen, dass das beschriebene Prüfeinrichtungsmodell eines aus einer Anzahl von Prüfeinrichtungen ist, die weniger als 60 GHz prüfen können und die für 60 GHz-Prüfungen modifiziert können kann, wie hier beschrieben ist. Die Prüfeinrichtung 5701 enthält die mmWellen-Anschluss-Architektur 5703, die Produktionswellenleiterverbindung 5705 und das mmWellen-Prüfgerät-Modul 5707 gemäß einigen Aspekten. Die Prüfeinrichtung kann durch Hinzufügen der vorstehend beschriebenen Prüfaspekte modifiziert sein.
  • 58 stellt einen Reflektor, der zu dem automatisierten Prüf-Equipment von 57 hinzugefügt werden kann, gemäß einigen Aspekten dar. Wie konzeptionell bei 5800 dargestellt ist, ist der Reflektor 5502 oberhalb der Prüfumgebung 5801 angebracht. Die Prüfumgebung 5801, die die geeignete System-Prüfumgebung zum Montieren von geprüften Systemen 5803 an die Prüfeinrichtung von 57 sein kann, kann eine automatische elektromechanische Vorrichtung enthalten oder eine Schnittstelle dazu aufweisen, um die Systeme zum Prüfen auf der Prüfumgebung zu platzieren und die Systeme nach der Prüfung zu entfernen, wie es normalerweise in der HVM ausgeführt wird. Der Reflektor 5502 ist mit der Prüfeinrichtung in dem diskutierten Aspekt konzeptionell durch den mechanischen Arm 5805 verbunden. Normale Fachleute würden erkennen, dass, obwohl das Anbringen konzeptionell durch den mechanischen Arm 5805 dargestellt ist, das Anbringen in der Praxis in einigen Aspekten durch einen elektromechanischen Entfernungs/Hinzufügungsmechanismus zur Verwendung in hier beschriebenen Übersprechprüfungen automatisch erfolgen kann. Beispielsweise kann in einigen Aspekten ein Arm auf der Seite der Prüfeinrichtung sein, und an diesem Arm würde der Reflektor angebracht. Es könnten auch zugeordnete Motoren vorhanden sein, um die Neigung für den Reflektor bereitzustellen, wie jeweils erforderlich.
  • Viele 60 GHz-Systeme sind ziemlich asymmetrisch, das heißt, sie sind dafür gedacht, dass sie primär eine Quelle für ein Signal mit hoher Datenrate (z. B. ein Blue Ray-Player) oder eine Senke für ein Signal mit hoher Datenrate (z. B. HD-TV) sind. In diesem Sinne enthalten viele 60 GHz-Systeme noch sowohl TX- als auch RX-Pfade. Beispielsweise weist eine beispielhafte Produktlösung die folgenden Parameter auf:
    Anzahl von 60 GHz- Anzahl von 60 GHz-
    Quelle (Blue Ray) 32 4
    Senke (TV) 8 32
  • In Fällen wie dem vorstehenden kann der Rückschleifen-Empfänger einer der bereits verfügbaren Empfänger des zu prüfenden Systems sein, was zu einem minimalen Überhang für das Schema von 55 führt. Die RFIC des zu prüfenden Systems ist in einigen Aspekten ein Sendeempfänger einer phasengesteuerten Gruppe, und somit sind mehrere RXs und TXs vorhanden. Deshalb kann einer dieser RX als der Referenz-Empfänger dediziert sein, während der TX (ein TX oder alle TXs mit Strahlformen) geprüft wird/werden. Mit anderen Worten gibt es keine Notwendigkeit für zusätzliche mmWellen-Empfänger, weil diejenigen auf der RFIC selbst in einigen Aspekten verwendet werden können. Es kann jedoch, falls gewünscht, auch ein dedizierter Prüf-Empfänger verwendet werden. 60 GHz-Schaltungen sind normalerweise klein aufgrund der hohen Betriebsfrequenz, somit wäre selbst ein dedizierter Empfänger ein kleiner Kosten-Überhang.
  • Die Rückschleifenprüfung von 55 kann verwendet werden, um eine Menge wichtiger 60 GHz-Prüfungen gemäß einigen Aspekten auszuführen. Die Prüfungen können Folgendes enthalten:
  • 1. Einschalten der TX-Elemente und Senden eines Funksignals über eine TX-Antenne, und Einschalten der RX-Elemente und Empfangen des Funksignals über eine RX-Antenne eines nach dem anderen, wobei das Funksignal durch den Reflektor zu der RX-Antenne reflektiert wird, und Messen des empfangenen Funksignals, das über den Reflektor zurück zu der RX-Antenne geschleift wird. Ein Basisbandsignal kann als das Funksignal verwendet werden. Falls eine der Rückschleifenmessungen niedriger ist als der Rest, würde das einen fehlerhaften TX-Pfad (z. B. fehlerhafte Montage) angeben. Der defekte Pfad kann deaktiviert werden, und der Teil kann gemäß einigen Aspekten potentiell als ein fehlerfreier Teil verkauft werden (phasengesteuerte Gruppen weisen eine große Redundanz auf, somit ist es wahrscheinlich, dass ein Element weniger aus Gründen des Streckenbudget akzeptabel ist). Eine solche Prüfung ist ein Versuch sicherzustellen, dass alle TX die gleichen Leistungsniveaus aufweisen und gut angepasst sind. Rückschleifensignale können bekannte Signale sein, um die Messung von TX-Beschädigungen zu unterstützen, können beispielsweise sogar ein einfaches mmWellen-Signal einer kontinuierlichen Welle sein, wie ein einziger Ton, ohne dass Daten enthalten sind, gemäß einigen Aspekten.
  • 2. Vergleichen der Rückschleifenbasisbandsignalstärke mit ihrem Erwartungswert. Falls das Rückschleifensignal korrekt ist, gibt das gemäß einigen Aspekten an, dass das gesamte System (TX-RFIC)-(TX-Antenne)-(RX-Antenne)-(RX-RFIC) akzeptabel ist.
  • 3. Überprüfen der Funktionalität und Messen der Kennlinie des Phasenschiebers unter Verwendung des Rückschleifensignals. Falls die Phasenschieberkennlinie bekannt ist, können irgendwelche Mängel des Phasenschiebers mit geeigneten Nachschlagetabellen- (LUT-) Abbildungen gemäß einigen Aspekten korrigiert werden. Diese Prüfung ermöglicht die Anpassung der Phase jedes Antennenelements, so dass der Strahl (RX oder TX) in die gewünschte Richtung gelenkt werden kann. Wie hier verwendet bedeutet die Kennlinie des Phasenschiebers einen Phasenschieber-Steuercode versus der tatsächlich erreichten Phasenverschiebung. Diese Prüfung kann gemäß einigen Aspekten auch über unterschiedliche Frequenzen oder RF-Kanäle vorgenommen werden. Als ein Beispiel kann ein RX als der Referenz-RX ausgewählt werden, und dann kann nur ein TX eingeschaltet werden, und die Phase des TX-Signals wird mit dem TX-Phasenschieber variiert, wie z. B. dem Phasenschieber 5414 von 55, gemäß einigen Aspekten. Die resultierende TX-Phase kann an dem RX durch Betrachten der Phase des Basisbandsignals gemessen werden (das demodulierte Basisbandsignal weist sowohl I- als auch Q-Komponenten auf, somit kann die Phase gemessen werden). Phasenmessung ist immer relativ, somit kann beispielsweise der TX-Phasenschieber auf null eingestellt werden, die Referenzphase an dem RX kann gemessen werden, und dann wird die TX-Phase verschoben und die neue Phase relativ zu dem Referenzwert wird gemessen. Auf diese Weise kann die Kennlinie dieses TX-Phasenschiebers hinsichtlich der Steuerung versus der Phasenverschiebung gemessen werden. Sobald der reale Steuercode versus Phasenverschiebung des TX gemessen ist, kann die vorstehend genannte Nachschlagetabelle verwendet werden, um im Wesentlichen jede spezifische Phasenverschiebung auf den Steuercode abzubilden.
  • 4. Einschalten der TX-Elemente eines nach dem anderen und Messen der Amplitude und Phasenfehlanpassung zwischen Pfaden (z. B. aufgrund von Herstellungsvariationen (RFIC, Baugruppe, Anordnung)). Für die gleiche Einstellung in der Amplitude und dem Phasenschieber sollten alle TX-Signale die gleiche Amplitude und Phase aufweisen. Aufgrund von Prozessfehlanpassung, Variationen der Antennen oder Lenkung auf dem Package kann das nicht der Fall sein. Somit können durch Vergleichen aller TX-Messungen Fehlanpassungen zwischen allen TX-Elementen extrahiert werden. Durch Messen des empfangenen Basisbandsignals hinsichtlich der Amplitude und Phase kann eines der TX-Signale als Referenz verwendet werden, mit der die anderen TX-Signale verglichen werden.
  • Genaue Fehlanpassungsmessungen können für genaues Strahlformen benötigt werden. Es kann vorkommen, dass die Toleranz der Reflektorposition in 55 die Fehlanpassungsmessungen durch Ändern des Abstands, den die Wellen durchlaufen, verzerren könnte. Sorgfältige Analyse hat jedoch gezeigt, dass die Fehler aufgrund der Toleranz der Reflektorposition im Wesentlichen unerheblich sind, soweit Strahlformen betroffen ist, gemäß einigen Aspekten.
  • Alle TX-Elemente können gemäß einigen Aspekten gleichzeitig angeschaltet werden und Rückschleifenmessungen können verwendet werden, um den Gruppengewinn, der der Schlüsselparameter für eine 60 GHz-Gruppe ist, zu schätzen. Falls alle TX-Elemente mit der gleichen Leistung eingeschaltet sind und alle Phasen ausgerichtet sind, sollte die Prüfeinrichtung eine 20*log(N) höhere Leistung an dem RX empfangen, wobei N die Anzahl von TX-Elementen ist. Der Gruppengewinn 10*log10(N) rührt von dem Strahlformen her; der zusätzliche Gruppengewinn von 10*log(N) rührt aus der Tatsache her, dass gleichzeitig N TX-Elemente angeschaltet sind (somit die TX-Leistung N-mal höher ist).
  • Viele der vorstehenden Prüfungen sind mit Betonung der TX-Prüfung beschrieben worden. Ähnliche Prüfungen können für RX-Prüfung verwendet werden. Beispielsweise kann einer der TXs des Systems oder ein dedizierter TX verwendet werden, um das Signal für die Rückschleife zu senden, gemäß einigen Aspekten. Die Prüfungen sind im Wesentlichen gleich für den TX, wobei der Referenz-RX mit dem Referenz-TX vertauscht ist und der TX mit dem RX vertauscht ist für jedes der Antennenelemente. Es ist vorstellbar, dass das RX-Prüfelement in 55 defekt ist. Viele praktische 60 GHz-Systeme weisen bereits mehr als einen RX auf, so dass Messungen über unterschiedliche RXs verwendet werden können, um das Risiko zu eliminieren, gemäß einigen Aspekten.
  • Die vorstehenden Prüfungen repräsentieren eine Reihe von Prüfungen, die zum Prüfen eines SIP oder eines anderen Systems, das durch Senden und Empfangen von Funksignalen arbeitet, verwendet werden können. Normale Fachleute würden erkennen, dass die numerische Reihenfolge, in der die Reihe von Prüfungen ablaufen, keine Voraussetzung ist und dass die Prüfungen in irgendeiner von verschiedenen Reihenfolgen abhängig von den Anforderungen des zu prüfenden Systems ablaufen können. Ferner können zusätzliche Prüfungen zu den beschriebenen ablaufen, wiederum abhängig von den Anforderungen des zu prüfenden Systems. In der Praxis könnte die Reihe von Prüfungen in einen computerlesbaren Hardware-Speicher als Anweisungen programmiert werden, die dann, wenn sie durch einen Computer ausgeführt werden, bewirken, dass der Computer die Ausführung der Reihe von Prüfungen steuert.
  • Unerwünschtes Übersprechen zwischen dem TX und RX (Chipintern und Package-intern) baut einen parasitären Rückschleifenpfad auf, der nicht durch die Antennen verläuft, wie durch die Pfeile 5605, 5607 in 56A angezeigt ist, gemäß einigen Aspekten. Ein solcher parasitärer Rückschleifenpfad kann die Rückschleifenmessungen verzerren. In dem vorgeschlagenen Schema von 55 kann das gemäß einigen Aspekten wie folgt adressiert werden:
  • Schritt 1 - 56A: Entfernen des Reflektors 5502. Aufnehmen der Rückschleifenmessung. Dieser resultierende Term repräsentiert das Chip-interne und Package-interne Übersprechen.
  • Schritt 2 - 56B: Hinzufügen des Reflektors 5502. Erneutes Aufnehmen der Rückschleifenmessung. Subtrahieren der komplexen Zahl aus Schritt 1 von dem resultierenden Term dieses Schritts 2, um das Übersprechen zu eliminieren, gemäß einigen Aspekten.
  • Die vorstehende Prozedur zum Entfernen von Übersprechen kann in jede der vorstehenden Prüfungen integriert werden, da jede Prüfung allgemein eine andere Anzahl von Elementen bedient.
  • Verteilte phasengesteuerter Gruppensysteme (z. B. WiGig und zellulare 5G-Systeme) werden derzeit in Laptops, Tablets, Smartphones, Docking-Stationen und anderen Anwendungen verwendet. Aktuelle verteilte phasengesteuerte Gruppesysteme, die für WiGig und 5G-Kommunikation verwendet werden, sind entweder superheterodyne (Dualumsetzungs-) oder Systeme mit gleitender IF. In diesen Systemen empfängt oder sendet das MAC-PHY-Basisbandteilsystem ein Zwischenfrequenz- (IF-) Signal, das die Verwendung von IF-Verstärkungsstufen, RF - IF-Mischern, hoch selektiven Bandpassfiltern und anderer Schaltung erfordert, die sowohl zum Kommunizieren von IF-Signalen zwischen Schaltungen als auch zur Aufwärtsumsetzung und Abwärtsumsetzung der IF-Signale notwendig sind. Die zusätzliche Schaltung zur IF-Signalverarbeitung führt zu einem größeren Frontend-Modul, höheren Kosten für das verteilte phasengesteuerte Gruppensystem und geringerer Systemleistung. Zusätzlich können in Fällen, in denen ein Kommunikationssystem MIMO-Unterstützung bereitstellt, zusätzliche Koax-Kabel (eines für jeden MIMO-Rang) und Signalmultiplikation benötigt werden. Wenn jedoch Signale multipliziert werden, ist Phasensynchronisation zwischen den zwei MIMO-Strömen schwieriger zu erreichen und zu garantieren, was die MIMO-Leistung herabsetzen kann.
  • 59 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines verteilten phasengesteuerten Gruppensystems 5900 gemäß einigen Aspekten dar. Das verteilte phasengesteuerte Gruppensystem 5900 kann in die digitale Basisbandschaltung 310, die Sendeschaltung 315 und die Empfangsschaltung 320 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das verteilte phasengesteuerte Gruppensystem 5900 nicht darauf beschränkt ist.
  • Bezug nehmend auf 59 ist das RFEM 5902 mit einem Basisbandteilsystem (BBS) 5904 über ein einziges Koax-Kabel 5906 gekoppelt. Das RFEM 5902 kann eine phasengesteuerte Antennengruppe 5908, einen RF-Empfänger 5910, einen RF-Sender 5912, einen Generator eines lokalen Oszillators (LO-Generator) 5944, einen Triplexer 5948 und einen Sende (TX)/Empfangs (RX)-Schalter 5940 aufweisen. Der RF-Empfänger 5910 kann mehrere Leistungsverstärker 5916, mehrere Phasenschieber 5918, einen Kombinierer 5920, einen RF-Verstärker 5922, einen LO-Verstärker 5926 und einen Mischer 5924 aufweisen. Der RF-Empfänger 5910 kann außerdem einen IF-Verstärker 5942 aufweisen.
  • Der RF-Sender 5912 kann einen Mischer 5938, einen LO-Verstärker 5940, einen RF-Verstärker 5936, einen Splitter 5934, mehrere Phasenschieber 5932 und mehrere Verstärker 5930 aufweisen. Der RF-Sender 5912 kann außerdem einen IF-Verstärker 5946 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 5940 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 5908 kann zum Empfangen von mehreren Signalen 5914 verwendet werden. Die empfangenen Signale 5914 können durch die Verstärker 5916 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 5918 angepasst werden. Jeder der Phasenschieber 5918 kann ein separates Phasenanpassungssignal (in 59 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 5904) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren, wenn die über die phasengesteuerte Antennengruppe 5908 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 5918 können durch den Kombinierer 5920 aufsummiert und dann durch den RF Verstärker 5922 verstärkt werden. Der LO-Generator 5944 kann ein LO-Signal unter Verwendung eines Taktfrequenzsignals 5943, das von dem BBS 5904 über das Koax-Kabel 5906 empfangen wird, erzeugen. Das LO-Signal kann durch den Verstärker 5926 verstärkt werden und dann mit der Ausgabe des Verstärkers 5922 unter Verwendung des Mischers 5924 multipliziert werden, um ein IF-Eingabesignal 5945 zu erzeugen. Das IF-Eingabesignal 5945 kann durch den Verstärker 5942 verstärkt werden und dann zu dem BBS 5904 über den Triplexer 5948 und das Koax-Kabel 5906 kommuniziert werden. In einigen Aspekten kann das IF-Eingabesignal 5945 um ein 10,56 GHz-Signal zentriert sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 5940 Senderkettenverarbeitung aktivieren. Das RFEM 5902 kann ein IF-Signal 5947 von dem BBS 5904 über das Koax-Kabel 5906 und den Triplexer 5948 empfangen. Das IF-Signal 5947 kann durch den IF-Verstärker 5946 verstärkt und dann zu dem Mischer 5938 kommuniziert werden. Der Mischer 5938 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 5944 und dem LO-Verstärker 5940 empfangen. Das verstärkte LO-Signal wird mit dem verstärkten empfangenen IF-Signal durch den Mischer 5938 multipliziert, um ein RF-Signal zu erzeugen. Das RF-Signal wird dann durch den Verstärker 5936 verstärkt und zu dem Splitter 5934 kommuniziert. Der Splitter 5934 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 5932. Die mehreren Phasenschieber 5932 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 5930 verstärkt werden können. Die mehreren Verstärker 5930 erzeugen mehrere Signale 5928 zum Senden durch die phasengesteuerte Antennengruppe 5908.
  • 60 stellt ein Basisbandteilsystem (BBS) eines verteilten phasengesteuerten Gruppensystems gemäß einigen Aspekten dar. Bezug nehmend auf 60 kann das BBS 5904 einen Triplexer 6002, einen IF-Empfänger 6004, einen IF-Sender 6006, ein Modem 6024, einen Kristalloszillator 6030, einen Synthesizer 6028 und einen Teiler 6026 aufweisen. Der Synthesizer 6028 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 6030 verwenden, um ein Taktsignal zu erzeugen. Das erzeugte Taktsignal kann durch den Teiler 6026 geteilt werden, um ein Ausgangs-Taktsignal zur Kommunikation zu dem RFEM 5902 zu erzeugen. In einigen Aspekten kann das erzeugte Taktsignal eine Frequenz von 1,32 GHz aufweisen.
  • Der IF-Empfänger 6304 kann einen IF-Verstärker 6008, Mischer 6010, Filter 6012 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 6014 aufweisen. Der IF-Sender 6006 kann Digital/Analog-Umsetzungs- (DAC-) Blöcke 6022, Filter 6020, Mischer 6018 und einen IF-Verstärker 6016 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein IF-Signal (z. B. 5945) von dem RFEM 5902 über den Triplexer 6002 empfangen und wird durch den IF-Verstärker 6008 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 6010 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 6012 gefiltert und durch die ADC-Blöcke 6014 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 6024 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 6024 ausgegeben wird, durch die DAC-Blöcke 6022 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 6020 gefiltert und dann durch die Mischer 6018 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 6016 verstärkt und dann über den Triplexer 6302 und das einzelne Koax-Kabel 5906 zu dem RFEM 5902 kommuniziert werden.
  • In einigen Aspekten kann die phasengesteuerte Antennengruppe 5908 innerhalb des verteilten phasengesteuerten Gruppensystems 5900 mehrere Antennen aufweisen, die für MIMO-Betrieb konfiguriert sein können. Insbesondere können die Antennen innerhalb der phasengesteuerten Antennengruppe 5908 für Senden oder Empfangen mit horizontaler und vertikaler Polarisation konfiguriert sein. In diesem Zusammenhang können wenigstens zwei separate Datenströme unter Verwendung horizontaler und vertikaler Polarisation innerhalb der phasengesteuerten Antennengruppe 5908 in Verbindung mit einem MIMO-Betriebsschema verarbeitet werden. Ein beispielhaftes verteiltes phasengesteuertes Gruppensystem, das konfiguriert ist, in einer MIMO-Betriebsart zu kommunizieren, ist mit Bezug auf 61 und 62 dargestellt.
  • 61 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung und mehreren Koax-Kabeln, die mit einem einzelnen RFEM gekoppelt sind, gemäß einigen Aspekten dar. Bezug nehmend auf 61 kann das verteilte phasengesteuerte Gruppensystem 6100 ein RFEM 6102 und ein BBS 6104 aufweisen. Das RFEM 6102 kann ähnlich dem RFEM 5902 in 59 sein. In einigen Aspekten kann das verteilte phasengesteuerte Gruppensystem 6100 zwei separate Sendeempfänger zum Verarbeiten von zwei separaten Strömen für MIMO-Betrieb aufweisen. Insbesondere kann ein erster Sendeempfänger verwendet werden, um einen ersten Datenstrom zum Senden oder Empfangen über die erste phasengesteuerte Antennengruppe 6108 (unter Verwendung eines ersten Typs der Antennenpolarisation) zu verarbeiten, und ein zweiter Sendeempfänger kann verwendet werden, um einen zweiten Datenstrom zum Senden oder Empfangen über die zweite phasengesteuerte Antennengruppe 6112 (oder unter Verwendung eines anderen Polarisationseingangs derselben Antennengruppe) zu verarbeiten.
  • Der erste Sendeempfänger kann einen ersten Teil 6122 innerhalb des BBS 6104 und einen zweiten Teil 6106 innerhalb des RFEM 6102 aufweisen. Ähnlich kann der zweite Sendeempfänger einen ersten Teil 6124 innerhalb des BBS 6104 und einen zweiten Teil 6110 innerhalb des RFEM 6102 aufweisen. Die ersten Sendeempfängerteile 6122 und 6124 innerhalb des BBS 6104 können eine Schaltung zum Digitalisieren von Datensignalen, Filtern der Datensignale und Aufwärtsumsetzen der gefilterten Signale zur Kommunikation zu dem RFEM 6102 zur weiteren Verarbeitung und nachfolgendem Senden durch die phasengesteuerten Antennengruppen 6108 und 6112 aufweisen.
  • Die ersten Sendeempfängerteile 6122 und 6124 innerhalb des BBS 6104 können außerdem eine Schaltung zum Verarbeiten von Zwischenfrequenz- oder Hochfrequenz-Signalen, die über die phasengesteuerten Antennengruppen 6108 und 6112 empfangen und durch die RFEM verarbeitet werden, und zum Umsetzen solcher Signale in Basisband- und digitale Signale zur Verarbeitung aufweisen. In einigen Aspekten können die ersten Sendeempfängerteile 6122 und 6124 eine oder mehrere der Schaltungen innerhalb des Empfängerblocks 6004 und des Senderblocks 6006 in 60 aufweisen. Das BBS 6104 kann ferner einen LO-Generator 6126 aufweisen, der konfiguriert sein kann, ein LO-Signal 6128 zu erzeugen. Das LO-Signal 6128 kann durch die ersten Sendeempfängerteile 6122 und 6124 zum Aufwärtsumsetzen eines Basisbandsignals zur Kommunikation zu dem RFEM 6102 oder zum Abwärtsumsetzen eines IF- oder RF-Signals, das von dem RFEM 6102 empfangen wird, in ein Basisbandsignal verwendet werden.
  • Die zweiten Sendeempfängerteile 6106 und 6110 innerhalb des RFEM 6102 können eine Schaltung zum Verstärken von IF- oder RF-Signalen, die von dem BBS 6104 empfangen werden, Aufwärtsumsetzen der verstärkten Signale, Replizieren der Signale, Ausführen von Phasen- und/oder Amplitudenanpassung der Signale vor dem Senden über die phasengesteuerte Antennengruppen 6108 oder 6112 aufweisen. Die zweiten Sendeempfängerteile 6102 und 6110 innerhalb des RFEM 6102 können außerdem eine Schaltung zum Verarbeiten von Hochfrequenzsignalen, die über die phasengesteuerten Antennengruppen 6108 und 6112 empfangen werden, Phasen- und/oder Amplitudenanpassen der Signale, Abwärtsumsetzen der Signale in IF-Signale und Kommunizieren der IF-Signale (oder RF-Signale in Fällen, in denen keine IF-Verarbeitung durch das verteilte phasengesteuerte Gruppensystem 6100 ausführt wird) zu dem BBS 6104 zur Verarbeitung aufweisen. In einigen Aspekten können die zweiten Sendeempfängerteile 6106 und 6110 eine oder mehrere der Schaltungen innerhalb des Empfängerblocks 5910 und des Senderblocks 5912 in 59 aufweisen. Das RFEM 6102 kann ferner einen LO-Generator 6114 aufweisen, der konfiguriert sein kann, ein LO-Signal 6116 zu erzeugen. Das LO-Signal 6116 kann durch die zweiten Sendeempfängerteile 6106 und 6110 zum Abwärtsumsetzen eines RF-Signals zur Kommunikation zu dem BBS 6104 oder zum Aufwärtsumsetzen eines Signals, das von dem BBS 6104 empfangen wird, in ein RF-Signal zum Senden verwendet werden.
  • In einigen Aspekten kann das verteilte phasengesteuerte Gruppensystem 6100 für MIMO-Betrieb konfiguriert sein, so dass ein erster Datenstrom über das Koax-Kabel 6130 und die Triplexer 6120 und 6118 zum Senden oder Empfangen über das phasengesteuerte Antennengruppe 6108, die einen ersten Typ der Polarisation verwendet, kommuniziert wird. Ein zweiter Datenstrom kann über das Koax-Kabel 6132 und die Triplexer 6120 und 6118 zum Senden oder Empfangen über die zweite phasengesteuerte Antennengruppe 6112, die einen zweiten Typ der Polarisation verwendet, kommuniziert werden. In diesem Zusammenhang verwendet das verteilte phasengesteuerte Gruppensystem 6100 zwei Koax-Kabel 6130 und 6132 zum Kommunizieren von zwei unabhängigen Datenströmen (z. B. zum Senden oder Empfangen unter Verwendung vertikaler und horizontaler Antennenpolarisation) zwischen dem BBS 6104 und dem RFEM 6102.
  • 62 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung, wobei jeder RFEM-Sendeempfänger mit einem separaten Koax-Kabel gekoppelt ist, gemäß einigen Aspekten dar. Bezug nehmend auf 62 ist das verteilte phasengesteuerten Gruppensystem 6200 ähnlich dem verteilten phasengesteuerten Gruppensystem 6100, außer dass sich die zweiten Sendeempfängerteile jeweils in einem separaten RFEM befinden. Die separate Sendeempfängerteilkonfiguration in 62 kann in Fällen verwendet werden, in denen die RFEMs als separate Module verfügbar sind (z. B. jedes RFEM auf einen einzelnen Chip ist).
  • Das verteilte phasengesteuerte Gruppensystem 6200 kann ein RFEM 6202, ein RFEM 6204 und ein BBS 6226 aufweisen. Die RFEMs 6202 und 6204 können ähnlich dem RFEM 5902 in 59 sein. In einigen Aspekten kann das verteilte phasengesteuerte Gruppensystem 6200 zwei separate Sendeempfänger zum Verarbeiten von zwei separaten Strömen für MIMO-Betrieb aufweisen. Insbesondere kann ein erster Sendeempfänger verwendet werden, um einen ersten Datenstrom zum Senden oder Empfangen über die erste phasengesteuerte Gruppe 6208 (unter Verwendung eines ersten Typs der Antennenpolarisation) zu verarbeiten, und ein zweiter Sendeempfänger kann verwendet werden, um einen zweiten Datenstrom zum Senden oder Empfangen über die zweite phasengesteuerte Gruppe 6222 (unter Verwendung eines zweiten Typs der Antennenpolarisation) zu verarbeiten.
  • Der erste Sendeempfänger kann einen ersten Teil 6230 innerhalb des BBS 6226 und einen zweiten Teil 6206 innerhalb des RFEM 6202 aufweisen. Ähnlich kann der zweite Sendeempfänger einen ersten Teil 6232 innerhalb des BBS 6226 und einen zweiten Teil 6220 innerhalb des RFEM 6204 aufweisen. Die ersten Sendeempfängerteile 6230 und 6232 können Funktionalitäten ähnlich den Funktionalitäten der ersten Sendeempfängerteile 6122 und 6124 aufweisen. Zusätzlich können die zweiten Sendeempfängerteile 6206 und 6220 Funktionalitäten ähnlich den Funktionalitäten der zweiten Sendeempfängerteile 6106 und 6110 aufweisen.
  • Das BBS 6226 kann einen LO-Generator 6234 aufweisen, der konfiguriert sein kann, ein LO-Signal 6236 zu erzeugen. Das LO-Signal 6236 kann durch die ersten Sendeempfängerteile 6230 und 6232 zum Aufwärtsumsetzen eines Basisbandsignals zur Kommunikation zu den RFEMs 6202 und 6204 oder zum Abwärtsumsetzen eines IF- oder RF-Signals, das von den RFEMs 6202 und 6204 empfangen wird, in ein Basisbandsignal verwendet werden.
  • Das RFEM 6202 kann einen LO-Generator 6210 aufweisen, der konfiguriert sein kann, ein LO-Signal 6212 zu erzeugen. Das LO-Signal 6212 kann durch den zweiten Sendeempfängerteil 6206 zum Abwärtsumsetzen eines RF-Signals zur Kommunikation zu dem BBS 6226 oder zum Aufwärtsumsetzen eines Signals, das von dem BBS 6226 empfangen wird, in ein RF-Signal zum Senden über die Gruppe 6208 verwendet werden.
  • Das RFEM 6204 kann einen LO-Generator 6216 aufweisen, der konfiguriert sein kann, ein LO-Signal 6218 zu erzeugen. Das LO-Signal 6218 kann durch den zweiten Sendeempfängerteil 6220 zum Abwärtsumsetzen eines RF-Signals zur Kommunikation zu dem BBS 6226 oder zum Aufwärtsumsetzen eines Signals, das von dem BBS 6226 empfangen wird, in ein RF-Signal zum Senden über die Gruppe 6222 verwendet werden.
  • Während einer beispielhaften MIMO-Operation kann ein erster Datenstrom zwischen dem BBS 6226 und dem RFEM 6202 über die Triplexer 6228 und 6214 und das Koax-Kabel 6238 kommuniziert werden. Der erste Datenstrom kann über die phasengesteuerte Antennengruppe 6208, die vertikal polarisierte Antennen aufweisen kann, gesendet werden. Ein zweiter Datenstrom kann zwischen dem BBS 6226 und dem RFEM 6204 über die Triplexer 6228 und 6224 und das Koax-Kabel 6240 kommuniziert werden. Der zweite Datenstrom kann über die phasengesteuerte Antennengruppe 6222, die horizontal polarisierte Antennen aufweisen kann, gesendet werden. In einigen Aspekten kann die phasengesteuerte Antennengruppe 6208 horizontal polarisierte Antennen aufweisen, und die phasengesteuerte Antennengruppe 6222 kann vertikal polarisierte Antennen aufweisen.
  • Wie in 61 und 62 zu sehen ist, wird in einigen Kommunikationssystemen mit verteilter phasengesteuerter Gruppe, die für MIMO-Betrieb konfiguriert sind, ein separates Koax-Kabel für jeden MIMO-Strom, der zwischen einem BBS und einem RFEM kommuniziert wird, verwendet. Zusätzlich und wie in 62 zu sehen ist, kann, um den Betrieb des MIMO-Systems zu verbessern, Phasenrauschensynchronisation benötigt werden (die LO-Generatoren 6210 und 6216 können über das LO-Synchronisationssignal synchronisiert werden, wie in 62 zu sehen ist). Das Verwenden mehrerer Koax-Kabel kann jedoch in mobilen Vorrichtungen aufgrund des begrenzten Platzes und zusätzlicher Kosten für die Implementierung schwierig sein.
  • In einigen Aspekten kann ein Kommunikationssystem mit verteilter phasengesteuerter Gruppe für MIMO-Betrieb konfiguriert sein, wobei zwei unabhängige MIMO-Datenströme über ein einzelnes Koax-Kabel, das ein BBS und ein RFEM koppelt, kommuniziert werden können. Insbesondere können die zwei separaten MIMO-Datenströme so konfiguriert sein, dass sie an nicht überlappenden Frequenzen sind. Beispielsweise kann ein LO-Generator innerhalb eines BBS ein oder mehrere LO-Signale erzeugen, die zum Aufwärtsumsetzen von zwei separaten Datenströmen in unterschiedliche RF-Frequenzen verwendet werden können. Der LO-Generator kann außerdem ein zusätzliches LO-Signal erzeugen, das zur Umsetzung der zwei separaten Datenströme in eine gewünschte Frequenz in dem RFEM verwendet werden kann. Die zwei separaten Datenströme können zusammen (z. B. als RF-Signale mit nicht überlappenden Frequenzen) mit dem zusätzlichen LO-Signal über das einzelne Koax-Kabel kommuniziert werden, wobei das zusätzliche LO-Signal verwendet werden kann, um einen oder mehrere aus den MIMO-Strömen auf eine gewünschte Sende- oder Empfangsfrequenz aufwärts- oder abwärtsumzusetzen. Durch Verwenden eines einzigen LO-Generators, um sowohl die LO-Signale, die verwendet werden, um die zwei MIMO-Ströme zu verarbeiten, als auch das LO-Signal, das zusammen mit den MIMO-Strömen über das einzige Koax-Kabel kommuniziert wird, zu erzeugen, wird Synchronisation des Phasenrauschens und Phasenrauschenkorrelation zwischen den MIMO-Strömen erreicht. Die Phasenrauschenkorrelation kann sein, wenn die Signale an den ursprünglichen LO-Frequenzen oder an einen multiplizierten oder geteilten Wert der LO-Frequenzen sind.
  • 63 stellt ein beispielhaftes verteiltes phasengesteuertes Gruppensystem mit MIMO-Unterstützung und einem einzigen Koax-Kabel, das mit einem einzelnen RFEM gekoppelt ist, gemäß einigen Aspekten dar. Bezug nehmend auf 63 kann das System 6300 mit verteilter phasengesteuerter Gruppe ein RFEM 6302 und ein BBS 6304 aufweisen. Das RFEM 6302 und das BBS 6322 können ähnlich dem RFEM 5902 und dem BBS 5904 in den 59-60 sein.
  • In einigen Aspekten kann das System 6300 mit verteilter phasengesteuerter Gruppe zwei separate Sendeempfänger zum Verarbeiten von zwei separaten Strömen für MIMO-Betrieb aufweisen. Insbesondere kann ein erster Sendeempfänger verwendet werden, um einen ersten Datenstrom zum Senden oder Empfangen über die erste phasengesteuerte Antennengruppe 6306 (unter Verwendung eines ersten Typs der Antennenpolarisation) zu verarbeiten, und ein zweiter Sendeempfänger kann verwendet werden, um einen zweiten Datenstrom zum Senden oder Empfangen über die zweite phasengesteuerte Gruppe 6310 (unter Verwendung eines zweiten Typs der Antennenpolarisation) zu verarbeiten.
  • Der erste Sendeempfänger kann einen ersten Teil 6326 innerhalb des BBS 6322 und einen zweiten Teil 6304 innerhalb des RFEM 6302 aufweisen. Ähnlich kann der zweite Sendeempfänger einen ersten Teil 6328 innerhalb des BBS 6322 und einen zweiten Teil 6308 innerhalb des RFEM 6302 aufweisen. Die ersten Sendeempfängerteile 6326 und 6328 innerhalb des BBS 6322 können eine Schaltung zum Digitalisieren von Datensignalen, Filtern der Datensignale und Aufwärtsumsetzen der gefilterten Signale zur Kommunikation zu dem RFEM 6302 zur weiteren Verarbeitung und nachfolgendem Senden durch die phasengesteuerten Antennengruppen 6306 und 6310 aufweisen. Die ersten Sendeempfängerteile 6326 und 6328 innerhalb des BBS 6322 können außerdem eine Schaltung zum Verarbeiten von Zwischenfrequenz- oder Hochfrequenz-Signalen, die über die phasengesteuerten Antennengruppen 6306 und 6310 empfangen und durch die RFEM 6302 verarbeitet werden, und zum Umsetzen solcher Signale in Basisband- und digitale Signale zur Verarbeitung aufweisen. In einigen Aspekten können die ersten Sendeempfängerteile 6326 und 6328 eine oder mehrere der Schaltung innerhalb des Empfängerblocks 6004 und des Senderblocks 6006 in 60 aufweisen.
  • Das BBS 6322 kann ferner einen LO-Generator 6330 aufweisen, der konfiguriert sein kann, die LO-Signale 6332, 6334 und 6320 zu erzeugen. Die LO-Signale 6332 und 6334 können durch die ersten Sendeempfängerteile 6326 bzw. 6328 zum Aufwärtsumsetzen eines Basisbandsignals (in ein IF- oder RF-Signal) zur Kommunikation zu dem RFEM 6302 oder zum Abwärtsumsetzen eines IF- oder RF-Signals, das von dem RFEM 6302 empfangen wird, in ein Basisbandsignal verwendet werden.
  • Die zweiten Sendeempfängerteile 6304 und 6308 innerhalb des RFEM 6302 können eine Schaltung zum Verstärken von IF- oder RF-Signalen, die von dem BBS 6322 empfangen werden, Aufwärtsumsetzen der verstärkten Signale, Replizieren der Signale, Ausführen von Phasen- und/oder Amplitudenanpassung der Signale vor dem Senden über die phasengesteuerte Antennengruppen 6306 und 6310 aufweisen.
  • Die zweiten Sendeempfängerteile 6304 und 6308 innerhalb des RFEM 6302 können außerdem eine Schaltung zum (1) Verarbeiten von Hochfrequenzsignalen, die über die phasengesteuerten Antennengruppen 6306 und 6310 empfangen werden, (2) Phasen- und/oder Amplitudenanpassen der Signale und/oder (3) Abwärtsumsetzen der Signale in IF-Signale und Kommunizieren der IF-Signale (oder RF-Signale in Fällen, in denen keine IF-Verarbeitung durch das Kommunikationssystem 6300 ausführt wird) zu dem BBS 6322 zur Verarbeitung aufweisen. In einigen Aspekten können die zweiten Sendeempfängerteile 6304 und 6308 eine oder mehrere der Schaltung innerhalb des Empfängerblocks 5910 und des Senderblocks 5912 in 59 aufweisen.
  • Das RFEM 6302 kann ferner einen LO-Generator 6312 aufweisen, der konfiguriert sein kann, ein LO-Signal zu erzeugen, das durch die zweiten Sendeempfängerteile 6304 und 6308 zum Aufwärtsumsetzen oder Abwärtsumsetzen von Signalen verwendet wird. In einigen Aspekten kann der LO-Generator 6312 eine Frequenzmanipulationsschaltung wie z. B. Frequenzteiler und -multiplizierer aufweisen, kann konfiguriert sein, ein LO-Signal unter Verwendung eines weiteren LO-Signals, das durch den LO-Generator 6330 erzeugt wird und von dem BBS 6322 über die Triplexer 6324, 6314 und das einzelne Koax-Kabel 6336 empfangen wird, zu erzeugen.
  • In einigen Aspekten kann das Kommunikationssystem 6300 mit verteilter phasengesteuerter Gruppe für MIMO-Betrieb mit zwei Datenströmen konfiguriert sein, die gleichzeitig über die Triplexer 6324, 6314 und das Koax-Kabel 6336 kommuniziert werden. Insbesondere können zwei unabhängige Datenströme an Basisbandfrequenzen in dem BBS 6322 erzeugt werden. Der LO-Generator 6330 kann eine einzelne Frequenzquelle innerhalb des Kommunikationssystems 6300 aufweisen und ist konfiguriert, LO-Frequenzen für zwei unterscheidbare Aufwärtsumsetzungsschemas, die durch die ersten Sendeempfängerteile 6326 bzw. 6328 ausgeführt werden, zu erzeugen. Für jedes der beiden Schemas wird eine LO-Frequenz zur Aufwärtsumsetzung des Basisbandstroms auf eine gewünschte IF-Frequenz innerhalb des BBS 6322 verwendet.
  • Beispielsweise kann der LO-Generator 6330 ein erstes LO-Signal 6332 erzeugen, das durch den ersten Sendeempfängerteil 6326 verwendet werden kann, um einen ersten MIMO-Strom 6316 auf eine gewünschte Frequenz f1 (z. B. eine Sendefrequenz) aufwärtsumzusetzen. Der LO-Generator 6330 kann ein zweites LO-Signal 6334 erzeugen, das durch den ersten Sendeempfängerbericht 6328 verwendet werden kann, um einen zweiten MIMO-Strom 6318 auf eine zweite Frequenz f2 aufwärtsumzusetzen. Der LO-Generator 6330 erzeugt zusätzlich ein drittes LO-Signal 6320, das verwendet werden kann (entweder direkt oder durch einfache Manipulation), um einen der oder beide MIMO-Datenströme auf eine gewünschte RF-Frequenz aufwärtsumzusetzen. In dem in 63 dargestellten Beispiel ist der erste MIMO-Strom 6316 bereits nicht umgesetzt und ist an der gewünschten Frequenz f1 innerhalb des BBS 6322. In diesem Zusammenhang kann das dritte LO-Signal 6320 zu dem RFEM 6302 über ein einzelnes Koax-Kabel 6336 kommuniziert werden und durch den zweiten Sendeempfängerteil 6308 verwendet werden, um den zweiten MIMO-Strom 6318 vor dem Senden durch die phasengesteuerte Antennengruppe 6310 auf die gewünschte Frequenz f1 aufwärtsumzusetzen.
  • In einigen Aspekten können die beiden MIMO-Ströme 6316 und 6318 an IF- oder RF-Frequenzen erzeugt werden und können zusammen mit dem dritten LO-Signal 6320 zu dem RFEM 6302 über das einzelne Koax-Kabel 6336 kommuniziert werden. In diesem Zusammenhang können RF-über-Kabel-(RFoC-) Kommunikationstechniken verwendet werden, um die zwei MIMO-Ströme zusammen mit dem LO-Signal über ein einzelnes Koax-Kabel zwischen dem BBS und dem RFEM innerhalb des Kommunikationssystems 6300 zu kommunizieren. Die zwei Aufwärtsumsetzungsschemas zum Erzeugen der MIMO-Ströme 6316 und 6318 können so konstruiert sein, dass die vier Signalfrequenzen, die den zwei MIMO-Strömen 6316 und 6318 zugeordnet sind, und die Frequenzen der LO-Signale 6332 und 6334 nicht überlappen. In einigen Aspekten kann eines der zwei Aufwärtsumsetzungsschemas (z. B. Erzeugen des MIMO-Stroms 6316) ein direktes Umsetzungsschema sein, so dass kein LO-Signal benötigt wird, um den entsprechenden MIMO-Strom (z. B. 6316) zu erzeugen.
  • Wie in 63 zu sehen ist, wird der erste MIMO-Datenstrom 6316 über das Koax-Kabel 6336 und die Triplexer 6324 und 6314 zum Senden oder Empfangen über die phasengesteuerte Antennengruppe 6306, die einen ersten Typ der Polarisation verwendet, kommuniziert (an der gewünschten Frequenz f1). Ein zweiter MIMO-Datenstrom 6318 wird über das Koax-Kabel 6336 und die Triplexer 6324 und 6314 zum Senden oder Empfangen über die zweite phasengesteuerte Antennengruppe 6310, die einen zweiten Typ der Polarisation verwendet, kommuniziert (an der Frequenz f2).
  • Zusätzlich empfängt der LO-Generator 6312 das dritte LO-Signal 6320 zusammen mit den zwei MIMO-Strömen über das Koax-Kabel 6336 und kommuniziert das LO-Signal 6320 (oder erzeugt ein weiteres LO-Signal durch Frequenzmanipulation des LO-Signals 6320) zu dem zweiten Sendeempfängerteil 6308. Da der zweite MIMO-Strom 6318 an der Frequenz f2 ist (die nicht die gewünschte Frequenz f1 ist), kann der zweite Sendeempfängerteil 6308 das LO-Signal, das von dem LO-Generator 6312 empfangen wird, zum Aufwärtsumsetzen oder Abwärtsumsetzen des zweiten MIMO-Stroms 6318, so dass er auch an der gewünschten Frequenz f1 ist, vor dem Senden durch die phasengesteuerte Antennengruppe 6310 verwenden.
  • In diesem Zusammenhang verwendet das System 6300 mit verteilter phasengesteuerter Gruppe die Koax-Kabel 6130 und 6132 zum Kommunizieren von zwei unabhängigen Datenströme und wenigstens eines LO-Signals (z. B. zum Senden oder Empfangen unter Verwendung vertikaler und horizontaler Antennenpolarisation) zwischen dem BBS 6322 und dem RFEM 6302.
  • In einigen Aspekten können der erste MIMO-Strom 6316 und der zweite MIMO-Strom 6318 an Frequenzen erzeugt werden, die nicht überlappen und die keine gewünschte Frequenz sind. In diesem Fall kann der LO-Generator 6330 zwei separate LO-Signale erzeugen, die zusammen mit den MIMO-Strömen 6316 und 6318 über das einzelne Koax-Kabel 6336 zu dem RFEM 6302 kommuniziert werden können. Die zwei separaten LO-Signale können innerhalb des RFEM 6302 zum Umsetzen der zwei MIMO-Ströme 6316 und 6318 in eine gewünschte Sendefrequenz verwendet werden.
  • In einigen Aspekten können der erste MIMO-Strom 6316 und der zweite MIMO-Strom 6318 an Frequenzen erzeugt werden, die nicht überlappen und die keine gewünschte Frequenz sind. In diesem Fall kann der LO-Generator 6330 ein separates LO-Signal erzeugen, das zusammen mit den MIMO-Strömen 6316 und 6318 über das einzelne Koax-Kabel 6336 zu dem RFEM 6302 kommuniziert werden kann. Das eine separate LO-Signal kann innerhalb des RFEM 6302 zum Umsetzen eines der zwei MIMO-Ströme in eine gewünschte Sendefrequenz verwendet werden. Der LO-Generator 6312 kann das eine separate LO-Signal verwenden, um ein weiteres LO-Signal (z. B. durch Frequenzmanipulation) zu erzeugen, das verwendet werden kann, um den verbleibenden MIMO-Strom in die gewünschte Sendefrequenz umzusetzen. In diesem Fall werden die zwei MIMO-Ströme mit einem einzelnen LO-Signal zwischen dem BBS 6322 und dem RFEM 6302 über das einzelne Koax-Kabel 6336 kommuniziert.
  • In einem Beispiel und wie in 63 zu sehen ist wird einer der MIMO-Ströme (z. B. 6316) an der gewünschten Frequenz f1 erzeugt. Der zweite MIMO-Strom 6318 wird an einer anderen (nicht überlappenden) Frequenz f2, die höher oder niedriger als f1 sein kann, erzeugt. Die zwei MIMO-Ströme 6316 und 6318 können über das einzelne Koax-Kabel 6336 zusammen mit dem dritten LO-Signal 6320 kommuniziert werden. Das dritte LO-Signal 6320 kann an einer Frequenz sein, die eine Differenz zwischen den Frequenzen f1 und f2 ist, die den MIMO-Strömen 6316 bzw. 6318 zugeordnet sind.
  • Da die verschiedenen Frequenzsignale aus einer einzelnen Frequenzsynthesizerquelle innerhalb des Systems 6300 (z. B. dem LO-Generator 6330) erzeugt werden und da nur einfache Frequenzmanipulation (z. B. Division oder Multiplikation) benutzt wird, um LO-Signale innerhalb des RFEM 6302 zu manipulieren, kann die Phasenbeziehung zwischen den resultierenden RF-Strömen (z. B. 6316 und 6318) aufrechterhalten werden, unabhängig von der Anzahl verwendeter RFEMs oder dem RFEM-Ort. Anders ausgedrückt kann durch Verwenden der gleichen zwei Aufwärtsumsetzungsschemas, um IF- oder RF-MIMO-Ströme zu erzeugen und sie über ein einzelnes Koax mit einem oder mehreren LO-Signalen zu senden, eine Phasenbeziehung zwischen den MIMO-Strömen aufrechterhalten werden, selbst wenn die Ströme zur Verarbeitung durch entfernte RFEMs empfangen werden (ein Mehr-RFEM-Verarbeitungsszenario ist in 65 dargestellt).
  • Obwohl 63 die Erzeugung der MIMO-Ströme in dem BBS 6322 und dann die Kommunikation zum Verarbeiten und Senden durch das RFEM 6302 darstellt, können die offenbarten Techniken auch für MIMO-Ströme verwendet werden, die durch die phasengesteuerten Antennengruppen 6306 und 6310 empfangen und dann zum Verarbeiten zu dem BBS 6322 kommuniziert werden.
  • 64 stellt den spektralen Inhalt verschiedener Signale, die auf dem einzelnen Koax-Kabel von 63 kommuniziert werden, gemäß einigen Aspekten dar. Bezug nehmend auf 64 stellt das Signaldiagramm 6402 die Frequenz des spektralen Inhalts, der über das einzelne Koax-Kabel 6336 kommuniziert wird, dar. Insbesondere stellt das Signaldiagramm 6402 die Frequenzen eines ersten MIMO-Stroms 6402, eines zweiten MIMO-Stroms 6406 und eines LO-Signals 6408 dar. In einigen Aspekten kann der erste MIMO-Strom 6404 an einer gewünschten Frequenz f1 sein, und der zweite MIMO-Strom 6406 kann an einer Frequenz f2 sein, die ein Bruchteil der Frequenz f1 ist (z. B. ist f2 M/K mal die Frequenz f1, wobei M und K Ganzzahlen größer als 1 sind). Die Frequenz des LO-Signals 6408 kann niedriger sein als die Frequenz des zweiten MIMO-Stroms 6406 und kann basierend auf dem gleichen Bruchteil, der dem zweiten MIMO-Strom 6406 zugeordnet ist, bestimmt werden. Beispielsweise kann die Frequenz des LO-Signals 6408 als fLO gekennzeichnet sein und kann basierend auf der Gleichung f L O = f 1 × ( 1 M K )
    Figure DE112017006442T5_0010
    bestimmt werden. In diesem Zusammenhang kann der zweite MIMO-Strom 6406 mit der Frequenz f2 auf die gewünschte Frequenz f1 dadurch umgesetzt werden, dass er mit dem LO-Signal an der Frequenz fLO gemischt wird.
  • Bezug nehmend auf 64 stellt das Signaldiagramm 6410 die Frequenzen eines ersten MIMO-Stroms 6412, eines zweiten MIMO-Stroms 6416 und eines LO-Signals 6414 dar. In einigen Aspekten kann der erste MIMO-Strom 6412 an einer gewünschten Frequenz f1 sein, und das LO-Signal 6414 kann an einer Frequenz f2 sein, die eine Bruchteil der Frequenz f1 ist (z. B. ist f2 M/K mal die Frequenz f1, wobei M und K Ganzzahlen größer als 1 sind). Die Frequenz des zweiten MIMO-Stroms 6416 kann niedriger sein als die Frequenz des LO-Signals 6414 und kann basierend auf dem gleichen Bruchteil, der dem LO-Signal 6414 zugeordnet ist, bestimmt werden. Beispielsweise kann die Frequenz des LO-Signals 6414 f L O = f 1 × M K
    Figure DE112017006442T5_0011
    sein. Die Frequenz des zweiten MIMO-Stroms 6416 kann als f2 bezeichnet sein und kann basierend auf der Gleichung f 2 = f 1 × ( 1 M K )
    Figure DE112017006442T5_0012
    bestimmt werden.
  • Bezug nehmend auf 64 stellt das Signaldiagramm 6418 die Frequenz des spektralen Inhalts, der über das einzelne Koax-Kabel 6336 kommuniziert wird, dar. Insbesondere stellt das Signaldiagramm 6418 die Frequenzen eines ersten MIMO-Stroms 6420, eines zweiten MIMO-Stroms 6422 und eines LO-Signals 6424 dar. In einigen Aspekten kann der erste MIMO-Strom 6420 an einer gewünschten Frequenz von 28 GHz sein, und der zweite MIMO-Strom 6422 kann an einer Frequenz 18,66 GHz sein, die ein Bruchteil von 28 GHz ist (z. B. 2/3 von 28 GHz). Die Frequenz des LO-Signals 6424 kann niedriger sein als die Frequenz des zweiten MIMO-Stroms 6422 und kann basierend auf dem gleichen Bruchteil, der dem zweiten MIMO-Strom 6406 zugeordnet ist, bestimmt werden (z. B. kann fLO 9,33 GHz sein, was 1/3 von 28 GHz ist).
  • 65 stellt ein beispielhaftes System mit verteilter phasengesteuerter Gruppe mit einem einzelnen BBS und mehrere RFEMs mit MIMO-Unterstützung und einem einzelnen Koax-Kabel zwischen dem BBS und jedem der RFEMs gemäß einigen Aspekten dar. Bezug nehmend auf 65 kann das System 6500 mit verteilter phasengesteuerter Gruppe die RFEMs 6502 und 6504 und ein BBS 6506 aufweisen. Die RFEMs 6502 und 6504 und das BBS 6506 können ähnlich dem RFEM 6302 und BBS 6322 in 63 sein. In einigen Aspekten kann das System 6500 mit verteilter phasengesteuerter Gruppe vier separate Sendeempfänger zum Verarbeiten von vier separaten Strömen für MIMO-Betrieb aufweisen. Insbesondere kann ein erster Sendeempfänger verwendet werden, um einen ersten Datenstrom zum Senden oder Empfangen über die erste phasengesteuerte Gruppe 6548 (unter Verwendung eines ersten Typs der Antennenpolarisation) zu verarbeiten, und ein zweiter Sendeempfänger kann verwendet werden, um einen zweiten Datenstrom zum Senden oder Empfangen über die zweite phasengesteuerte Gruppe 6550 (unter Verwendung eines zweiten Typs der Antennenpolarisation) zu verarbeiten. Ein dritter Sendeempfänger verwendet werden, um einen dritten Datenstrom zum Senden oder Empfangen über die dritte phasengesteuerte Gruppe 6560 (unter Verwendung eines ersten Typs der Antennenpolarisation) zu verarbeiten, und ein vierter Sendeempfänger kann verwendet werden, um einen vierten Datenstrom zum Senden oder Empfangen über die vierte phasengesteuerte Gruppe 6562 (unter Verwendung eines zweiten Typs der Antennenpolarisation) zu verarbeiten.
  • Der erste Sendeempfänger kann einen ersten Teil 6508 innerhalb des BBS 6506 und einen zweiten Teil 6540 innerhalb des RFEM 6502 aufweisen. Der zweite Sendeempfänger kann einen ersten Teil 6510 innerhalb des BBS 6506 und einen zweiten Teil 6542 innerhalb des RFEM 6502 aufweisen. Der dritte Sendeempfänger kann einen ersten Teil 6516 innerhalb des BBS 6506 und einen zweiten Teil 6552 innerhalb des RFEM 6504 aufweisen. Der vierte Sendeempfänger kann einen ersten Teil 6518 innerhalb des BBS 6506 und einen zweiten Teil 6554 innerhalb des RFEM 6504 aufweisen.
  • Die ersten Sendeempfängerteile 6508, 6510, 6516 und 6518 innerhalb des BBS 6506 können eine Schaltung zum Digitalisieren von Datensignalen, Filtern der Datensignale und Aufwärtsumsetzen der gefilterten Signale zur Kommunikation zu den RFEMs 6502 und 6504 zur weiteren Verarbeitung und nachfolgendem Senden durch die phasengesteuerten Antennengruppen 6548, 6550, 6560 und 6562 aufweisen. Die ersten Sendeempfängerteile 6508, 6510, 6516 und 6518 innerhalb des BBS 6506 können außerdem eine Schaltung zum Verarbeiten von Zwischenfrequenz- (IF-) oder RF-Signalen, die über die phasengesteuerten Antennengruppen 6548, 6550, 6560 und 6562 empfangen und durch die RFEMs 6502, 6504 verarbeitet werden, und zum Umsetzen solcher Signale in Basisband- und digitale Signale zur Verarbeitung aufweisen.
  • Das BBS 6506 kann ferner einen LO-Generator 6514 aufweisen, der konfiguriert sein kann, die LO-Signale 6522, 6524 und 6526 zu erzeugen. Die LO-Signale 6522 und 6524 können durch die ersten Sendeempfängerteile 6508, 6510, 6516 und 6518 zum Aufwärtsumsetzen eines Basisbandsignals (auf ein IF- oder RF-Signal) zum Erzeugen von MIMO-Strömen 6528, 6530, 6532 und 6534 zur Kommunikation zu den RFEMs 6502 und 6504 oder zum Abwärtsumsetzen von IF- oder RF-Signalen, die von den RFEMs 6502 und 6504 empfangen werden, in Basisbandsignale verwendet werden.
  • Die zweiten Sendeempfängerteile 6540 und 6542 (innerhalb des RFEM 6502) und 6552 und 6554 (innerhalb des RFEM 6504) können eine Schaltung zum Verstärken von IF- oder RF-Signalen, die von dem BBS 6506 empfangen werden, Aufwärtsumsetzen der verstärkten Signale, Replizieren der Signale, Ausführen von Phasen- und/oder Amplitudenanpassung der Signale vor dem Senden über die phasengesteuerte Antennengruppen 6548, 6550, 6560 und 6562 aufweisen. Die zweiten Sendeempfängerteile 6540 und 6542 (innerhalb des RFEM 6502) und 6552 und 6554 (innerhalb des RFEM 6504) können außerdem eine Schaltung zum Verarbeiten von Hochfrequenzsignalen, die über die phasengesteuerten Antennengruppen 6548, 6550, 6560 und 6562 empfangen werden, Phasen- und/oder Amplitudenanpassen der Signale, Abwärtsumsetzen der Signale in IF-Signale und Kommunizieren der IF-Signale (oder RF-Signale in Fällen, in denen keine IF-Verarbeitung durch das verteilte phasengesteuerte Gruppensystem 6500 ausführt wird) zu dem BBS 6506 zur Verarbeitung aufweisen. In einigen Aspekten können die zweiten Sendeempfängerteile 6540 und 6542 (innerhalb des RFEM 6502) und 6552 und 6554 (innerhalb des RFEM 6504) eine oder mehrere der Schaltung innerhalb des Empfängerblocks 5910 und des Senderblocks 5912 in 59 aufweisen.
  • Das RFEM 6502 kann einen LO-Generator 6544 aufweisen, der konfiguriert sein kann, LO-Signale zu erzeugen, die durch die zweiten Sendeempfängerteile 6540 und 6542 zum Aufwärtsumsetzen oder Abwärtsumsetzen von Signalen verwendet werden. In einigen Aspekten kann der LO-Generator 6544 eine Frequenzmanipulationsschaltung wie z. B. Frequenzteiler, Addierer und Multiplizierer aufweisen und kann konfiguriert sein, ein LO-Signal unter Verwendung eines weiteren LO-Signals, das durch den LO-Generator 6514 erzeugt wird und von dem BBS 6506 über die Triplexer 6512, 6546 und das einzelne Koax-Kabel 6536 empfangen wird, zu erzeugen.
  • Das RFEM 6504 kann einen LO-Generator 6556 aufweisen, der konfiguriert sein kann, LO-Signale zu erzeugen, die durch die zweiten Sendeempfängerteile 6552 und 6554 zum Aufwärtsumsetzen oder Abwärtsumsetzen von Signalen verwendet werden. In einigen Aspekten kann der LO-Generator 6556 eine Frequenzmanipulationsschaltung wie z. B. Frequenzteiler, Addierer und Multiplizierer aufweisen und kann konfiguriert sein, ein LO-Signal unter Verwendung eines weiteren LO-Signals, das durch den LO-Generator 6514 erzeugt wird und von dem BBS 6506 über die Triplexer 6520, 6558 und das einzelne Koax-Kabel 6538 empfangen wird, zu erzeugen.
  • In einigen Aspekten kann das System 6500 mit verteilter phasengesteuerter Gruppe für MIMO-Betrieb mit vier Datenströmen konfiguriert sein, die gleichzeitig über die Triplexer 6512, 6520, 6546, 6558 und die Koax-Kabel 6536 und 6538 kommuniziert werden. Insbesondere können vier unabhängige Datenströme an Basisbandfrequenzen in dem BBS 6506 erzeugt werden. Der LO-Generator 6514 kann eine einzelne Frequenzquelle innerhalb des System 6500 mit verteilter phasengesteuerter Gruppe aufweisen und ist konfiguriert, LO-Frequenzen (z. B. 6522 und 6524) für zwei unterscheidbare Aufwärtsumsetzungsschemas, die durch die ersten Sendeempfängerteile 6508, 6510, 6516 und 6518 ausgeführt werden, zu erzeugen. Für jedes der beiden Schemas wird eine LO-Frequenz zur Aufwärtsumsetzung des Basisbandstroms auf eine gewünschte IF- (oder RF-) Frequenz innerhalb des BBS 6506 verwendet.
  • Wie in 65 zu sehen ist, können die LO-Signale 6522 und 6524 verwendet werden, um sowohl die MIMO-Ströme 6528 und 6530 (zur Verarbeitung durch das RFEM 6502) als auch die MIMO-Ströme 6532 und 6534 (zur Verarbeitung durch das RFEM 6504) zu erzeugen. Die MIMO-Ströme 6528 und 6532 können an einer gewünschten Frequenz f1 (z. B. einer gewünschten Sendefrequenz) erzeugt werden. Die MIMO-Ströme 6530 und 6534 können an einer anderen Frequenz f2, die höher oder niedriger als f1 sein kann, erzeugt werden.
  • Sowohl die Signalfrequenzen der LO-Signale 6522, 6524 und 6526 als auch die Frequenzen f1 und f2 der vier erzeugten MIMO-Ströme können alle nicht überlappende Frequenzen sein. In diesem Zusammenhang kann irgendeine Kombination aus den LO-Signalen und den MIMO-Strömen über ein einziges Kommunikationsmedium (z. B. ein einziges Koax-Kabel) ohne gegenseitige Signalstörung kommuniziert werden. Das dritte LO-Signal 6526 kann zusammen mit den MIMO-Strömen 6528 und 6530 entlang dem Koax-Kabel 6536 zum Verarbeiten durch das RFEM 6502 kommuniziert werden. Insbesondere ist der erste MIMO-Strom 6528 bereits an der gewünschten Frequenz f1, und somit kann keine weitere Aufwärtsumsetzung vor dem Senden durch die phasengesteuerte Antennengruppe 6548 erforderlich sein. Der LO-Generator 6544 kann das dritte LO-Signal 6526 empfangen und kann dieses Signal zu dem zweiten Sendeempfängerteil 6542 zur Aufwärtsumsetzung oder Abwärtsumsetzung des zweiten MIMO-Stroms 6530 auf die gewünschte Frequenz f1 weiterleiten. In einigen Aspekten kann das LO-Signal 6526 so verwendet werden, wie es von dem BBS 6506 empfangen wird, oder der LO-Generator 6544 kann Frequenzmanipulation ausführen, um ein neues LO-Signal zu erzeugen, das zur Umsetzung des zweiten MIMO-Stroms 6530 auf die gewünschten Frequenz f1 vor dem Senden durch die phasengesteuerte Antennengruppe 6550 verwendet werden kann.
  • Ähnlich kann das dritte LO-Signal 6526 zusammen mit den MIMO-Strömen 6532 und 6534 entlang dem Koax-Kabel 6538 zum Verarbeiten durch das RFEM 6504 kommuniziert werden. Insbesondere ist der dritte MIMO-Strom 6532 bereits an der gewünschten Frequenz f1, und somit kann keine weitere Aufwärtsumsetzung vor dem Senden durch die phasengesteuerte Antennengruppe 6560 erforderlich sein. Der LO-Generator 6556 kann das dritte LO-Signal 6526 empfangen und kann dieses Signal zu dem zweiten Sendeempfängerteil 6554 zur Aufwärtsumsetzung oder Abwärtsumsetzung des vierten MIMO-Stroms 6534 auf die gewünschten Frequenz f1 weiterleiten. In einigen Aspekten kann das LO-Signal 6526 so verwendet werden, wie es von dem BBS 6506 empfangen wird, oder der LO-Generator 6556 kann Frequenzmanipulation ausführen, um ein neues LO-Signal zu erzeugen, das zur Umsetzung des vierten MIMO-Stroms 6534 auf die gewünschten Frequenz f1 vor dem Senden durch die phasengesteuerte Antennengruppe 6562 verwendet werden kann.
  • Obwohl 63 und 65 die Verwendung eines einzigen Koax-Kabels offenbaren, um das BBS mit dem RFEM zum Senden und Empfangen mehrerer Datenströme zu verbinden, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und andere Typen von Verbindungen können ebenfalls verwendet werden. Beispielsweise kann ein weiterer Typ einer Millimeterwellenverbindung oder eines Kabels anstelle des einzelnen Koax-Kabels verwendet werden. Andere Typen von Verbindungen, die verwendet werden können, enthalten halbstarre Kabel, flexible Kabel eines flexiblen Substrats, aufgedruckte RF-Sendeleitungen auf einer PCB, eine starr-flexible Leiterplatte und so weiter.
  • Systeme mit verteilter phasengesteuerter Gruppe (z. B. WiGig und zellulare 5G-Systeme) werden derzeit in Laptops, Tablets, Smartphones, Docking-Stationen und anderen Anwendungen verwendet. Aktuelle Systeme mit verteilter phasengesteuerter Gruppe, die für WiGig und 5G-Kommunikation verwendet werden, sind entweder superheterodyne (Dualumsetzungs-) oder Systeme mit gleitender IF. In diesen Systemen empfängt oder sendet das MAC-PHY-Basisbandteilsystem ein Zwischenfrequenz- (IF-) Signal, das die Verwendung von IF-Verstärkungsstufen, RF - IF-Mischern, hoch selektiven Bandpassfiltern und anderer Schaltung erfordert, die sowohl zum Kommunizieren von IF-Signalen zwischen Schaltungen als auch zur Aufwärtsumsetzung und Abwärtsumsetzung der IF-Signale notwendig sind. Die zusätzliche Schaltung zur IF-Signalverarbeitung führt zu einem größeren Frontend-Modul, höheren Kosten für das System mit verteilter phasengesteuerter Gruppe und geringerer Systemleistung.
  • 66 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines Systems 6600 mit verteilter phasengesteuerter Gruppe gemäß einigen Aspekten dar. Das System 6600 mit verteilter phasengesteuerter Gruppe kann in die digitale Basisbandschaltung 310, die Sendeschaltung 315 und die Empfangsschaltung 320 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das System 6600 mit verteilter phasengesteuerter Gruppe nicht darauf beschränkt ist.
  • Bezug nehmend auf 66 ist das RFEM 6602 mit einem Basisbandteilsystem (BBS) 6604 über ein einziges Koax-Kabel 6606 gekoppelt. Das RFEM 6602 kann eine phasengesteuerte Antennengruppe 6608, einen RF-Empfänger 6610, einen RF-Sender 6612, einen Generator eines lokalen Oszillators (LO-Generator) 6644, einen Triplexer 6648 und einen Sende (TX)/Empfangs (RX)-Schalter 6640 aufweisen. Der RF-Empfänger 6610 kann mehrere Leistungsverstärker 6616, mehrere Phasenschieber 6618, einen Addierer 6620, einen RF-Verstärker 6622, einen LO-Verstärker 6626 und einen Multiplizierer 6624 aufweisen. Der RF-Empfänger 6610 kann außerdem einen IF-Verstärker 6642 aufweisen. In einigen Aspekten kann der IF-Verstärker 6642 Teil des Empfängers 6610 sein, oder er kann außerhalb des Empfängers 6610 implementiert sein.
  • Der RF-Sender 6612 kann einen Multiplizierer 6638, einen LO-Verstärker 6640, einen RF-Verstärker 6636, einen Addierer 6634, mehrere Phasenschieber 6632 und mehrere Verstärker 6630 aufweisen. Der RF-Sender 6612 kann außerdem einen IF-Verstärker 6646 aufweisen. In einigen Aspekten kann der IF-Verstärker 6646 Teil des Senders 6612 sein, oder er kann außerhalb des Senders 6612 implementiert sein.
  • In einer beispielhaften Empfangsoperation kann der Schalter 6640 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 6608 kann zum Empfangen von mehreren Signalen 6614 verwendet werden. Die empfangenen Signale 6614 können durch die Verstärker 6616 verstärkt werden, und ihre Phase kann durch entsprechende Phasenschieber 6618 angepasst werden. Jeder der Phasenschieber 6618 kann ein separates Phasenanpassungssignal (in 66 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 6604) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren, wenn die über die phasengesteuerte Antennengruppe 6608 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 6618 können durch den Addierer 6620 summiert und dann durch den RF Verstärker 6622 verstärkt werden. Der LO-Generator 6644 kann ein LO-Signal unter Verwendung eines Taktfrequenzsignals 6643, das von dem BBS 6604 über das Koax-Kabel 6606 empfangen wird, erzeugen. Das LO-Signal kann durch den Verstärker 6626 verstärkt werden und dann mit der Ausgabe des Verstärkers 6622 unter Verwendung des Multiplizierers 6624 multipliziert werden, um ein IF-Eingabesignal 6645 zu erzeugen. Das IF-Eingabesignal 6645 kann durch den Verstärker 6642 verstärkt werden und dann zu dem BBS 6604 über den Triplexer 6648 und das Koax-Kabel 6606 kommuniziert werden. In einigen Aspekten kann das IF-Eingabesignal 6645 ein 10,56 GHz-Signal sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 6640 Senderkettenverarbeitung aktivieren. Das RFEM 6602 kann ein IF-Signal 6647 von dem BBS 6604 über das Koax-Kabel 6606 und den Triplexer 6648 empfangen. Das IF-Signal 6647 kann durch den IF-Verstärker 6646 verstärkt und dann zu dem Multiplizierer 6638 kommuniziert werden. Der Multiplizierer 6638 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 6644 und dem LO-Verstärker 6640 empfangen. Das verstärkte LO-Signal wird mit dem verstärkten empfangenen IF-Signal durch den Multiplizierer 6638 multipliziert, um ein RF-Signal zu erzeugen. Das RF-Signal wird dann durch den Verstärker 6636 verstärkt und zu dem Addierer 6634 kommuniziert. Der Addierer 6634 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 6632. Die mehreren Phasenschieber 6632 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 6630 verstärkt werden können. Die mehreren Verstärker 6630 erzeugen mehrere Signale 6628 zum Senden durch die phasengesteuerte Antennengruppe 6608.
  • 67 stellt ein Basisbandteilsystem (BBS) eines Systems mit verteilter phasengesteuerter Gruppe gemäß einigen Aspekten dar. Bezug nehmend auf 67 kann das BBS 6604 einen Triplexer 6702, einen IF-Empfänger 6704, einen IF-Sender 6706, ein Modem 6724, einen Kristalloszillator 6730, einen Synthesizer 6728 und einen Teiler 6726 aufweisen. Der Synthesizer 6728 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code enthalten und kann ein Signal aus dem Kristalloszillator 6730 verwenden, um ein Taktsignal zu erzeugen. Das erzeugte Taktsignal kann durch den Teiler 6726 geteilt werden, um ein Ausgangs-Taktsignal zur Kommunikation zu dem RFEM 6602 zu erzeugen. In einigen Aspekten kann das erzeugte Taktsignal eine Frequenz von 1,32 GHz aufweisen.
  • Der IF-Empfänger 7004 kann einen IF-Verstärker 6708, Mischer 6710, Filter 6712 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 6714 aufweisen. Der IF-Sender 6706 kann Digital/Analog-Umsetzungs- (DAC-) Blöcke 6722, Filter 6720, Mischer 6718 und einen IF-Verstärker 6716 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein IF-Signal (z. B. 6645) von dem RFEM 6602 über den Triplexer 6702 empfangen und wird durch den IF-Verstärker 6708 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 6710 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 6712 gefiltert und durch die ADC-Blöcke 6714 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 6724 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 6724 ausgegeben wird, durch die DAC-Blöcke 6722 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 6720 gefiltert und dann durch die Mischer 6718 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 6716 verstärkt und dann über den Triplexer 6702 und das einzelne Koax-Kabel 6606 zu dem RFEM 6602 kommuniziert werden.
  • 68 stellt ein Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS kommuniziert werden, gemäß einigen Aspekten dar. Bezug nehmend auf 68 stellt das Frequenzdiagramm 6800 verschiedene Signale dar, die zwischen dem RFEM 6602 und dem BBS 6604 über das einzelne Koax-Kabel 6606 kommuniziert werden können. Beispielsweise kann das BBS 6604 ein Gleichstromsignal 6802, ein Steuersignal 6804 und das Taktsignal 6806 kommunizieren. Zusätzlich können Datensignale 6810 zwischen dem BBS 6604 und dem RFEM 6602 kommuniziert werden. Beispielsweise kann ein IF-Datensignal 6645 von dem RFEM 6602 zu dem BBS 6604 kommuniziert werden, und ein IF-Datensignal 6647 kann von dem BBS 6604 zu dem RFEM 6602 kommuniziert werden. Das Taktsignal 6806 kann das gleiche sein wie das LO-Erzeugungs-Taktsignal 6643, das durch das RFEM 6602 von dem BBS 6604 empfangen wird. In einigen Aspekten kann das Taktsignal 6806 ein 1,32 GHz-Signal sein. In einigen Aspekten kann das Steuersignal 6804 von dem BBS 6604 zu dem RFEM 6602 kommuniziert werden und kann Phasenanpassungswerte zum Gebrauch durch die Phasenschieber 6618 und die Phasenschieber 6632 angeben. Das Steuersignal 6804 kann dem RFEM 6602 andere Steuerfunktionen angeben, wie z. B. Anschalten, Ausschalten, Erhöhen oder Verringern der Sendeleistung und so weiter.
  • Wie in 68 zu sehen ist, kann das Signalspektrum von Signalen, die zwischen dem RFEM 6602 und dem BBS 6604 kommuniziert werden, einige unerwünschte Signale enthalten, wie z. B. sowohl die Taktoberwellen 6808 als auch die Oberwellen des Steuersignals 6804. Zusätzlich sind durch Aufnehmen der IF-Verarbeitungsschaltung innerhalb des RFEM 6602 und des BBS 6604 andere Nachteile innerhalb des Systems 6600 mit verteilter phasengesteuerter Gruppe vorhanden, wie hier nachstehend beschrieben ist.
  • Signalfrequenzstabilität aufgrund von Spannungssprüngen der RFEM-Versorgungsspannung
  • Das RFEM 6602 enthält LO-Generatoren (z. B. 6644), die Frequenzsynthesizer, Frequenzmultiplizierer und Teiler enthalten können. Die Frequenzsignale, die durch diese Schaltungen erzeugt werden, werden zum Ansteuern des Aufwärtsumsetzungsmischers 6638 oder des Abwärtsumsetzungsmischers 6624 verwendet. Der LO-Generator 6644 kann jedoch empfindlich gegen die Versorgungsspannungsstabilität sein. Die Versorgungsspannung (z. B. 6802) des RFEM 6602 wird sowohl durch das Koax-Kabel 6606 als auch die zugeordneten Verbindungselemente und RF-Drossler (in 66 nicht dargestellt) zugeführt. Infolgedessen wird die Versorgungsspannung durch den Widerstand dieser Komponenten und den Strom, der durch das Koax-Kabel 6606 fließt, beeinflusst. In diesem Zusammenhang würde jede plötzliche Änderung des Stroms durch das Koax 6606 (z. B. RX-zu-TX-Übergänge, Ändern der Anzahl aktiver Spuren der phasengesteuerten Gruppe, digitale Aktivität/Verarbeitung in dem RFEM usw.) eine plötzliche Änderung der LO-Erzeugungsschaltung erzeugen, die eine plötzliche Frequenzänderung verursachen würde.
  • Hoher Stromverbrauch des RFEM
  • Das System 6600 mit verteilter phasengesteuerter Gruppe verwendet den LO-Generator 6644 (Synthesizer, Frequenzmultiplizierer, Frequenzteiler usw.), Aufwärts- und Abwärtsumsetzungsmischer (z. B. 6624, 6638), IF-Verstärkungsstufen (z. B. 6642, 6646) und komplexe Triplexer (z. B. 6648). In einem Aspekt der Offenbarung können nur RF-Signale zwischen dem RFEM 6602 und dem BBS 6604 kommuniziert werden. In diesem Zusammenhang kann die IF-bezogene Schaltung innerhalb des RFEM 6602 entfernt werden, was den Stromverbrauch und die Wärmeerzeugung des RFEM 6602 verringert.
  • RFEM-Kosten
  • In Systemen mit verteilter phasengesteuerter Gruppe (z. 6600) können die RFEM-Kosten signifikant sein (z. B. bis zu 50 % der gesamten Systemkosten in einigen Fällen). Während BBS-Kostenreduktion durch Prozessmigration erreicht werden kann (da vieles der BSS-Chip-Verarbeitung digital ist), kann eine solche Kostenreduktion mit dem RFEM schwierig sein, da in dem RFEM hauptsächlich analoge Verarbeitung enthalten ist. Durch Ausführen von nur RF-Verarbeitung und Kommunizieren von RF-Signalen zwischen dem RFEM 6602 und dem BBS 6604 über das einzelne Koax-Kabel 6606 kann eine Kostenreduktion der RFEM-Implementierung erreicht werden.
  • RFEM-Formfaktor (FF)
  • Da das RFEM 6602 eine Antennengruppe (108) aufweist, befindet es sich an der Grenze der Kommunikationsvorrichtung, um gute Abstrahlungen Antennen der der phasengesteuerten Gruppe zu ermöglichen. Durch Verwenden von nur RF-Verarbeitung und Entfernen der IF-Umsetzungsstufe und Verarbeitung aus dem RFEM 6602 ist der RFEM-Formfaktor reduziert, was für die Platzierung und Implementierung der RFEM-Vorrichtung vorteilhaft ist.
  • Gemeinsames Ablaufen mit anderen Standards (WiFi, Bluetooth, LTE usw.)
  • Die IF-Frequenzsignale (z. B. 6645 und 6647), die über das Koax-Kabel 6606 kommuniziert werden, führen die Breitband- (z. B. WiGig- oder 5G-) Signale und sind durch Oberwellen anderer Kommunikationssysteme in derselben Plattform/Vorrichtung verwundbar. Beispielsweise können die IF-Frequenzsignale (6645), die von dem RFEM zu dem BBS kommuniziert werden, oder die IF-Signale (6647), die durch das RFEM von dem BBS empfangen werden, 10,56 GHz-Signale sein. Die 10,6 GHz-IF-Signale können jedoch in dem gleichen Bereich sein wie eine oder mehrere Oberwellen eines Wi-Fi-Bands.
  • Verletzung der FCC/ETSI-Regulierung des CLK-Signals über das Koax
  • In einem verteilten System streuen die Signale über das KOAX-Kabel (CLK, IF-Daten) aus dem KOAX (Kabel und Verbindungselemente) und aus den PCB-Verbindungen. Dieser Verlust würde eine Verletzung FCC/ETSI-Regulierung verursachen. Um die Verlustleistung zu verringern, müssen wir eine RF-Abschirmung hoher Qualität, hochisoliertes KOAX verwenden und in einigen Fällen sogar den Pegel der Signale über das KOAX erniedrigen (das könnte die Systemleistung beeinträchtigen).
  • In einigen Aspekten kann das RFEM 6602 konfiguriert sein, RF-Signale zu verarbeiten und über das Koax-Kabel 6606 zu dem BBS 6604 zur Verarbeitung und Abwärtsumsetzung zu kommunizieren. Ähnlich kann das BBS 6604 Datensignale auf RF-Signale aufwärtsumsetzen und die RF-Signale über das Koax-Kabel 6606 zu dem RFEM 6602 kommunizieren. In diesem Zusammenhang können durch Entfernen der IF-Verarbeitung innerhalb des RFEM 6602 die vorstehend aufgelisteten Nachteile, die mit der IF-Verarbeitung innerhalb des verteilten phasengesteuerten Gruppenkommunikationssystems verbunden sind, entfernt werden. 108
  • 69 stellt ein RFEM gekoppelt mit einem BBS über ein einzelnes Koax-Kabel zum Kommunizieren von RF-Signalen gemäß einigen Aspekten dar. Bezug nehmend auf 69 kann das Kommunikationssystem 6900 mit verteilter phasengesteuerter Gruppe das RFEM 6902 gekoppelt mit einem Basisbandteilsystem (BBS) 6904 über ein einziges Koax-Kabel 6906 aufweisen. Das RFEM 6902 kann eine phasengesteuerte Antennengruppe 6908, einen RF-Empfänger 6910, einen RF-Sender 6912, einen Duplexer 6936 und einen Sende (TX)/Empfangs (RX)-Schalter 6934 aufweisen. Der RF-Empfänger 6910 kann mehrere Leistungsverstärker 6916, mehrere Phasenschieber 6918, einen Addierer 6920 und einen RF-Verstärker 6922 aufweisen. Der RF-Sender 6912 kann einen RF-Verstärker 6932, einen Addierer 6930, mehrere Phasenschieber 6928 und mehrere Verstärker 6926 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 6934 Empfängerkettenverarbeitung aktivieren. Die phasengesteuerte Antennengruppe 6908 kann zum Empfangen von mehreren Signalen 6914 verwendet werden. Die empfangenen Signale 6914 können durch die Verstärker 6916 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 6918 angepasst werden. Jeder der Phasenschieber 6918 kann ein separates Phasenanpassungssignal (in 69 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 6904) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren, wenn die über die phasengesteuerte Antennengruppe 6908 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 6918 können durch den Addierer 6920 summiert und dann durch den RF Verstärker 6922 verstärkt werden, um ein RF-Eingabesignal 6923 zu erzeugen. Das RF-Eingabesignal 6923 kann zu dem BBS 6904 über den Duplexer 6936 und das Koax-Kabel 6906 kommuniziert werden. In einigen Aspekten kann das RF-Eingabesignal 6923 ein 60 GHz-Signal oder ein anderes Signal in einem Millimeterwellenband, einschließlich eines 5G-Kommunikationsbands, sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 6934 Senderkettenverarbeitung aktivieren. Das RFEM 6902 kann ein RF-Ausgabesignal 6931 von dem BBS 6904 über das Koax-Kabel 6906 und den Duplexer 6936 empfangen. Das RF-Signal 6931 kann durch den RF-Verstärker 6932 verstärkt und dann zu dem Addierer 6930 kommuniziert werden. Der Addierer 6930 erzeugt mehrere Kopien des verstärkten RF-Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 6928. Die mehreren Phasenschieber 6928 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 6926 verstärkt werden können. Die mehreren Verstärker 6926 erzeugen mehrere Signale 6924 zum Senden durch die phasengesteuerte Antennengruppe 6908.
  • 70 stellt ein genaueres Diagramm des BBS 6904 von 69 gemäß einigen Aspekten dar. Bezug nehmend auf 69 kann das BBS 6904 einen Duplexer 7002, einen RF-Empfänger 7004, einen RF-Sender 7006, ein Modem 7024, einen Kristalloszillator 7030, einen Synthesizer 7028 und einen Teiler 7026 aufweisen. Der Synthesizer 7028 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 7030 verwenden, um ein Taktsignal wie z. B. das Signal 7032 zu erzeugen. Das erzeugte Taktsignal 7032 kann durch den RF-Empfänger 7004 verwendet werden, um ein empfangenes Signal unter Verwendung der Mischer 7010 abwärtsumzusetzen. Das erzeugte Taktsignal 7032 kann außerdem durch den RF-Sender 7006 verwendet werden, um ein Signal unter Verwendung der Mischer 7018 aufwärtsumzusetzen. Das Taktsignal 7032 kann außerdem durch den Teiler 7026 geteilt werden, um ein zweites Taktsignal 7034 zu erzeugen. Das erzeugte zweite Taktsignal 7034 kann durch den RF-Empfänger 7004 verwendet werden, um ein empfangenes Signal unter Verwendung der Mischer 7010 abwärtsumzusetzen. Das erzeugte zweite Taktsignal 7034 kann außerdem durch den RF-Sender 7006 verwendet werden, um ein Signal unter Verwendung der Mischer 7018 aufwärtsumzusetzen. Wie in 70 zu sehen ist, können zwei separate Taktsignale 7034 und 7032 durch den Synthesizer 7028 und den Teiler 7026 erzeugt werden. Eines oder beide der zwei Taktsignale 7034 und 7032 können zur Abwärtsumsetzung der RF-Signale in das Basisband unter Verwendung einer oder mehrerer Zwischen-IF-Stufen oder in einigen Fällen Umsetzung von RF auf das Basisband ohne eine Zwischen-IF-Stufenumsetzung verwendet werden. Ähnlich können eines der oder beide der Taktsignale 7034 und 7032 zur Aufwärtsumsetzung eines Basisbandsignals in ein RF-Signal unter Verwendung einer oder mehrerer Zwischen-IF-Stufen oder in einigen Fällen Umsetzung von dem Basisband auf RF ohne eine Zwischen-IF-Stufenumsetzung verwendet werden.
  • Der RF-Empfänger 7004 kann einen RF-Verstärker 7008, Mischer 7010, Filter 7012 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 7014 aufweisen. Der RF-Sender 7006 kann Digital/Analog-Umsetzungs-(DAC-) Blöcke 7022, Filter 7020, Mischer 7018 und RF-Verstärker 7016 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein RF-Signal (z. B. 6923) von dem RFEM 6902 über das einzelne Koax 6906 und den Duplexer 7002 empfangen und wird durch den RF-Verstärker 7008 verstärkt. Das verstärkte RF-Signal kann durch die Mischer 7010 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 7012 gefiltert und durch die ADC-Blöcke 7014 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 7024 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 7024 ausgegeben wird, durch die DAC-Blöcke 7022 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 7020 gefiltert und dann durch die Mischer 7018 in ein RF-Signal aufwärtsumgesetzt. Das RF-Signal kann durch den RF-Verstärker 7016 verstärkt und dann über den Duplexer 7002 und das einzelne Koax-Kabel 6906 zu dem RFEM 6902 kommuniziert werden.
  • In einigen Aspekten kann das Koax-Kabel 6906 zur Kommunikation von Gleichstromsignalen (z. B. von dem BBS 6904 zu dem RFEM 6902), Steuersignalen und RF-Datensignalen, die durch die phasengesteuerte Antennengruppe 6908 empfangen oder gesendet werden, verwendet werden. Das Steuersignal kann Phasenanpassungssignale, Einschaltsignale, Ausschaltsignale und andere Steuersignale aufweisen, die von dem BBS 6904 zu dem RFEM 6092 kommuniziert werden. In einigen Aspekten können Steuersignale Phasenanpassungsanforderungssignale oder andere Datenanforderungssignale enthalten, die von dem RFEM 6902 zu dem BBS 6904 kommuniziert werden. In diesem Zusammenhang kann ein Direktumsetzungsschema in Verbindung mit einem System mit verteilter phasengesteuerter Gruppe verwendet werden, wobei das RFEM und das BBS über ein einzelnes Koax-Kabel gekoppelt sind.
  • In einigen Aspekten kann das Steuersignal zum Steuern des RFEM-Betriebs (z. B. Steuern der Ausgangsleistungspegel, AGC, EIN/AUS usw.) verwendet werden. Zusätzlich kann die Steuerverbindungsstrecke zwischen dem RFEM und dem BBS bidirektional sein und kann für BBS-zu-RFEM-Befehle und für RFEM-zu-BBS-Telemetrieübertragung (z. B. PA-Leistungsdektetorlesen, ACK nach Empfang eines Steuerbefehls, Temperaturdetektorlesen usw.) verwendet werden.
  • In einigen Aspekten können unterschiedliche Typen von Koax-Kabeln (6906) in Verbindung mit einem Kommunikationssystem mit verteilter phasengesteuerter Gruppe, das RF über das Koax kommuniziert, verwendet werden. Beispielsweise kann ein Koaxialkabel von hoher Qualität, ein halbstarres Kabel oder ein flexibles halbstarres Kabel als das Kabel 6906 verwendet werden, was Hochfrequenzkommunikation von RF-Signalen mit einem zumutbaren Verlust ermöglicht.
  • In einem weiteren Beispiel kann ein kostengünstigeres Koax-Kabel als das Koax 6906 verwendet werden, was zu Problemen mit der Anpassung (S11) und hohem Verlust (S21) mit Hochfrequenz-, RF-, Kommunikation führen kann. Diese Nachteile können über Systemkonstruktionsänderungen verbessert werden, wie z. B. Verbesserungen der adaptiven Kabelanpassung, robuste RX- und TX-Einregelungen und dem Auslöschen von RX- und TX-Nichtlinearitätsverzerrung.
  • Verbesserung der adaptiven Kabelanpassung
  • RF-Signalkommunikation über ein Kabel kann mit hohen Verlusten und Anpassungsproblemen einhergehen. Aufgrund der hohen Frequenz, die der RF-Kabelkommunikation zugeordnet ist, kann die Variation der Kabelanpassung hoch und unerwartet sein, was den Leistungsverlust zwischen dem Kabel und der Last beeinflusst. In einem Beispiel und um diese Nachteile zu überwinden kann eine Schaltung zur adaptiven Impedanzanpassung (z. B. 6938 und 7036) in dem RFEM 6902 und dem BBS 6904 verwendet werden, wie in den 69-5 zu sehen ist.
  • Robuste RX- und TX-Einregelungen
  • In einigen Aspekten kann höherer Signalverlust, der dem Koax-Kabel zugeordnet ist, durch Hinzufügen zusätzlicher Gewinnverstärkungs/Anpassungsstufen (in den Figuren nicht dargestellt) (z. B. vor dem Kabel 6906 und der adaptiven Anpassung 6938 innerhalb des RFEM 6902) adressiert werden, was sicherstellen kann, dass ein potentieller hoher Signalverlust eines Koax-Kabels das SNR des kommunizierten RF-Signals nicht verschlechtern würde.
  • Auslöschen von RX- und TX-Nichtlinearitätsverzerrung
  • In einigen Aspekten können zusätzliche Verstärkungsstufen in der RX- und TX-Einregelung zu Nichtlinearitätsverzerrung führen. Diese Signalverzerrungen können jedoch über digitale Mechanismen kompensiert werden, wie z. B. eine Vor-Verzerrungsanpassungsschaltung in dem TX-Pfad oder eine Nach-Verzerrungsanpassungsschaltung in dem RX-Pfad (in den Figuren nicht dargestellt).
  • 71 stellt eine beispielhafte mächtige Antennengruppe (MAA) unter Verwendung mehrerer RFEMs, die mit einem einzelnen BBS gekoppelt sind, gemäß einigen Aspekten dar. Bezug nehmend auf 71 kann das Kommunikationssystem 7100 mit verteilter phasengesteuerter Gruppe verwendet werden um eine mächtige Antennengruppe zu implementieren. Insbesondere können mehrere RFEMs (7102, 7138, ..., 7140) mit einem einzelnen BBS (7104) verwendet werden, wobei jedes RFEM eine phasengesteuerte Antennengruppe aufweist. Die RFEMs 7102, 7138, ..., 7140 können mit dem BBS über 7104 über entsprechende einzelne Koax-Kabel 7106, 7144, ..., 7146 gekoppelt sein.
  • In einigen Aspekten kann sich eine einzelne LO-Quelle (z. B. ein Millimeterwellensynthesizer) innerhalb des BBS 7104 befinden und zur Aufwärtsumsetzung bzw. Abwärtsumsetzung von TX -und RX-Signalen verwendet werden. Auf diese Weise kann eine gemeinsame LO-Signalphase (z. B. eine synchronisierte Phase der TX- oder RX-Signale) in allen in der MAA 7100 verwendeten RFEMs sichergestellt werden. Im Vergleich dazu könnten in IF-über-Koax-Systemen mit verteilter phasengesteuerter Gruppe die Synthesizer und Frequenzteiler, die sich in den unterschiedlichen RFEMs befinden, jedes Mal, wenn das RFEM eingeschaltet wird oder die Betriebsfrequenz verändert wird, eine unsynchronisierte Phase aufweisen. Die unsynchronisierte Phase kann deshalb das Ausführen einer neuen Strahlformungsprozedur erforderlich machen, die eine zeitaufwändige Operation sein kann, die den gesamten Streckendurchsatz und die Qualität verschlechtert.
  • Bezug nehmend auf 71 kann das Kommunikationssystem 7100 mit verteilter phasengesteuerter Gruppe das RFEM 7102 gekoppelt mit dem BBS 7104 über ein einziges Koax-Kabel 7106 aufweisen. Das RFEM 7102 kann eine phasengesteuerte Antennengruppe 7108, einen RF-Empfänger 7110, einen RF-Sender 7112, einen Duplexer 7136 und einen Sende (TX) / Empfangs (RX)-Schalter 7134 aufweisen. Der RF-Empfänger 7110 kann mehrere Leistungsverstärker 7116, mehrere Phasenschieber 7118, einen Addierer 7120 und einen RF-Verstärker 7122 aufweisen. Der RF-Sender 7112 kann einen RF-Verstärker 7132, einen Addierer 7130, mehrere Phasenschieber 7128 und mehrere Verstärker 7126 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 7134 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 7108 kann zum Empfangen von mehreren Signalen 7114 verwendet werden. Die empfangenen Signale 7114 können durch die Verstärker 7116 verstärkt werden, und ihre Phase kann durch entsprechende Phasenschieber 7118 angepasst werden. Jeder der Phasenschieber 7118 kann ein separates Phasenanpassungssignal (in 71 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 7104) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 7108 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 7118 können durch den Addierer 7120 summiert und dann durch den RF Verstärker 7122 verstärkt werden, um ein RF-Eingabesignal 7123 zu erzeugen. Das RF-Eingabesignal 7123 kann zu dem BBS 7104 über den Duplexer 7136 und das Koax-Kabel 7106 kommuniziert werden. In einigen Aspekten kann das RF-Eingabesignal 7123 ein 60 GHz-Signal oder ein anderes Signal in einem Millimeterwellenband, einschließlich eines 5G-Kommunikationsbands, sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 7134 Senderkettenverarbeitung aktivieren. Das RFEM 7102 kann ein RF-Ausgabesignal 7131 von dem BBS 7104 über das Koax-Kabel 7106 und den Duplexer 7136 empfangen. Das RF-Signal 7131 kann durch den RF-Verstärker 7132 verstärkt und dann zu dem Addierer 7130 kommuniziert werden. Der Addierer 7130 erzeugt mehrere Kopien des verstärkten RF-Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 7128. Die mehreren Phasenschieber 7128 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 7126 verstärkt werden können. Die mehreren Verstärker 7126 erzeugen mehrere Signale 7124 zum Senden durch die phasengesteuerte Antennengruppe 7108. In einigen Aspekten können die RFEMs 7138 - 7140 gleich dem RFEM 7102 sein.
  • Obwohl 71 keine Einzelheiten des BBS 7104 bereitstellt, kann das BBS 7104 gleich dem BBS 6904 sein und kann die in 70 dargestellten Blöcke aufweisen. Wie in 70 zu sehen ist, ist innerhalb des BBS 6904 ein einzelner Synthesizer 7028 verwendet. Durch Verwenden eines einzelnen BBS (z. B. 7104), das einen LO-Generator (z. B. einen Synthesizer 7028, der einen RF-Synthesizer, einen IF-Synthesizer usw. aufweisen kann) aufweist, der von den RFEMs gemeinsam verwendet wird, ist eine Phasenkorrelation zwischen den LO-Frequenzen, die durch den LO-Generator innerhalb des einzelnen BBS erzeugt werden, und den abgehenden RF-Signalen, die durch die phasengesteuerten Antennengruppen der mehreren RFEMs kommuniziert werden, vorhanden. In diesem Zusammenhang werden alle Signale, die durch die phasengesteuerten Antennengruppen der RFEMs 7102, 7138, ..., 7140 gesendet werden, die gleiche Phase aufweisen.
  • Obwohl 69, 70 und 71 die Verwendung eines einzigen Koax-Kabels offenbaren, um das BBS mit dem RFEM zu verbinden, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und andere Typen von Verbindungen können ebenfalls verwendet werden. Beispielsweise kann ein anderer Typ einer Millimeterwellenverbindung oder eines Kabels anstelle des einzelnen Koax-Kabels verwendet werden. Andere Typen von Verbindungen, die verwendet werden können, enthalten halbstarre Kabel, flexible Kabel eines flexiblen Substrats, aufgedruckte RF-Sendeleitungen auf einer PCB, eine starr-flexible Platine und so weiter. Beispielsweise und mit Bezug auf 71 kann eine starr-flexible Platine anstelle der Koax-Kabel 7106, 7144 und 7146 verwendet werden, wobei die mehreren RFEMs über die RF-Leitungen gespeist werden können, die sich von dem Haupt-BBS 7104 zu den RFEMs an unterschiedlichen Orten unter Verwendung des flexiblen Abschnitts der Verbindungsplatine (z. B. des RF-über-flex-Abschnitts einer starr-flexiblen Platine) ausbreiten. Auf diese Weise kann sich das RFEM in unterschiedlichen Gebieten in einem System basierend auf dem PC-/mobilen Formfaktor oder in einem Basisstationsgehäuse umknicken und umbiegen.
  • Koaxial- (Koax-) Kabel sind als Übertragungsleitungen zum Senden von RF-Signalen von der Hauptplatine eines Laptop zu dem über ein Scharnier befestigten Deckel des Laptops verwendet worden, von wo aus das Signal über das Koax zu einer Antenne oder einer phasengesteuerten Antennengruppe in dem Laptop-Deckel gesendet werden kann. Das Kabel würde von einem Sendeempfänger, der eine integrierte Hochfrequenzschaltung (RFIC) aufweist, auf der Hauptplatine, die sich normalerweise unterhalb der Tastatur befindet, über ein Loch oder ein Rohr in einem Scharnier zu dem Deckel und dann weiter zu einer Antenne oder einer Antennengruppe innerhalb des Deckels verlaufen. Diese Konfiguration hat jedoch an Signalverlust gelitten, insbesondere durch eine Verschlechterung des Kabels mit der Zeit. Dieser Signalverlust wird verschlimmert, wenn die Betriebsfrequenz ansteigt. In einigen Anwendungen können Laptops mehr als einen Frequenzbereich, beispielsweise Wi-Fi, WiGig und 5G, gleichzeitig aufweisen, was erfordert, dass mehr als ein Kabel durch das Scharnier, das eine bereits enge Umgebung ist, verläuft. Folglich ist ein Bedarf entstanden, die vorstehenden Probleme mit einem oder mehreren Kabeln durch ein Scharnier mit dem durch die Kabel verursachten Leistungsverlust, insbesondere wenn die Frequenzen des Betriebs signifikant ansteigen, zu verringern.
  • In einigen Aspekten ist das Verwenden eines oder mehrerer Wellenleiter, abhängig von der Anzahl von RF-Signal-Frequenzen, als eine Übertragungsleitung ein effektiver Weg, um RF-Signale von der Hauptplatine zu dem Deckel durch das Scharnier zu leiten. In einigen Aspekten kann ein Lichtleiter verwendet werden, der im Wesentlichen jeden RF-Frequenzbereich handhaben kann. Zusätzlich kann ein Lichtleiter mehrere Frequenzbereiche gleichzeitig übertragen. Ein zusätzlicher Vorteil ist, dass der Lichtleiter mit der Zeit weniger Verschlechterung im Vergleich zu einem Kabel zeigen würde. Das Verwenden entweder eines Wellenleiters oder eines Lichtleiters als Übertragungsleitungen wird die vorstehenden Probleme reduzieren oder minimieren. In einigen Aspekten können die vorstehenden Lösungen auch verwendet werden, um RF-Signale von der Hauptplatine eines Tablets oder Telefons zu dem Gehäuse des Tablets oder des Telefons weiterzuleiten.
  • In jedem der vorstehenden Fälle (Laptop, Tablet, Telefon) ist, sobald das RF-Signal von der RFIC der engen Vorrichtung geleitet ist, ein wichtiges zweites Problem, das gelöst werden muss, wie RF-Signale innerhalb des engen Deckels des Laptops oder des Gehäuses des Tablets oder Telefons weitergeleitet werden sollen. Das Problem, wie die RF-Signale auf dem oder innerhalb des engen Deckels oder Gehäuses weitergeleitet werden sollen, kann in einigen Aspekten durch Verwenden eines Wellenleiters, der in dem metallischen Gehäuse implementiert ist, adressiert werden. In einigen Aspekten kann der Wellenleiter als ein Standard-Hohlleiter oder als ein im Substrat integrierter Wellenleiter (SIW) auf einer PCB implementiert sein. Gleichzeitig kann ein Verlust der Signalleistung durch die vorstehenden Übertragungsleistungen vorhanden sein, unabhängig davon, ob sie ein Koax-Kabel, ein Wellenleiter oder ein Lichtleiter sind.
  • Ein Funk-Frontend-Modul (RFEM), das Verstärkung aufweist, kann mit dem Ende des Wellenleiters oder des Lichtleiters vor der einen oder den mehreren Antennen gekoppelt sein, um diese Verluste zu adressieren. Das RF-Signal kann dann zu einem Antennenelement oder einer phasengesteuerte Antennengruppe, das/die innerhalb des Deckels sein kann, gesendet werden. In einigen Aspekten, die das Signal über einen Lichtleiterstrecke verteilen, kann das RF-Signal in ein optisches Signal umgesetzt werden, um das Senden von dem RFIC über die Lichtleiterstrecke zu ermöglichen. Die Umsetzung von optischen Signalen zurück zu RF-Signalen ermöglicht das Senden über das RFEM und auf die Antenne oder die Antennengruppe.
  • 72 ist eine Explosionsansicht eines Laptop-Computers, die Wellenleiter für RF-Signale, um den Deckel des Laptop-Computers zu erreichen, gemäß einigen Aspekten darstellt. Die RF-Signal-Wellenleiter können in der Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die RF-Signal-Wellenleiter nicht darauf beschränkt sind. Die Explosionsansicht 7200 stellt den Laptop 7201 dar, wobei die Tastatur symbolisch bei 7203 dargestellt (jedoch nicht gezeigt) ist und der Deckel symbolisch bei 7205 dargestellt ist. Die Explosionsansicht des Deckels 7205A ist ein Schaltbild einer Wellenleiterübertragungsleitung in dem Laptop-Deckel. Ein RF-Signal verläuft von einem Medienzugangssteuerungs-(MAC-) Schicht / Basisband- (MAC-BB-) Teilsystem in einer RFIC zu der Hauptplatine des Laptops. Der Wellenleiter verläuft durch ein Loch oder eine Röhre in dem Scharnier 7207 oder ist als Teil des Scharniers 7207 hergestellt, wobei der Wellenleiter 7207A dann innerhalb des Deckels zu einem Splitter/Kombinierer 7209 verläuft, um RF-Signale für mehrere Wellenleiter 7211, 7213, 7215, 7217 für die jeweiligen Antennen oder Antennengruppen, die sich in dem Deckel außerhalb der Wellenleiterausgänge 7219, 7221, 7223, 7225 befinden, bereitzustellen. In der Praxis kann nur ein einziges Frequenzband vorhanden sein, oder es können mehrere Frequenzbänder vorhanden sein, die durch die RFIC (z. B. durch Verwenden eines oder mehrerer LO-Signale) erzeugt werden. Beispielsweise können gemäß einigen Aspekten Frequenzbänder für Wi-Fi, WiGig oder 5M mmWellen-Technologie erzeugt werden.
  • 73 ist eine Darstellung eines oder mehrerer Koaxialkabel, die aus einer RFIC eines Laptop-Computers verlaufen und durch ein Loch in einem Scharnier des Laptops eintreten, auf dem Weg zu dem Deckel des Laptops, gemäß einigen Aspekten. In dieser Darstellung sind die Deckelabdeckung und die Tastaturabdeckung des Laptops entfernt. Die RFIC kann sich in einigen Aspekten auf einer Hauptplatine außerhalb der Koax-Kabel 7301 befinden. Die Koax-Kabel 7301 verlaufen von der RFIC zu dem Punkt 7301A, wo die durch ein Loch (oder ein Rohr) in dem Scharnier 7303 hindurchtreten, auf dem Weg zu dem Laptopdeckel. In der Darstellung sind gemäß einigen Aspekten zwei Kabel 7301 für den Fall, in dem zwei Frequenzbänder erzeugt werden, gezeigt. In einigen Beispielen kann das Koax-Kabel von einer RFIC für ein Wi-Fi-Frequenzband sein, die in einigen Implementierungen bis zu drei Koax-Kabel für die Antennenbetriebsart mit Mehrfach-Eingang-mehrfach-Ausgang (MIMO) aufweisen kann. Ein zweites Frequenzband in dem diskutierten Aspekt kann an WiGig-Frequenzen sein.
  • 74 ist eine Darstellung eines Koaxialkabels aus einem Funkteilsystem eines Laptop-Computers, das aus einem Loch in einem Scharnier eines Laptop-Deckels austritt, auf dem Weg zu einer Antenne oder einer Antennengruppe in dem Deckel, gemäß einigen Aspekten. Die Darstellung 7400 zeigt einen Laptopdeckel, der über ein Scharnier mit dem Laptop verbunden ist. Das Koax-Kabel 7401 ist durch das Loch 7403 in dem Scharnier 7403 A auf dem Weg zu der Antenne oder der Antennengruppe in dem Deckel des Laptops verlaufen, wobei die Antennengruppe außerhalb des Punkts 7401A ist gemäß einigen Aspekten. Die Rückseite des Bildschirms ist bei 7405 dargestellt, wobei die Schraube 7405A an einem Punkt ist, an der die Rückseite 7405 an dem Gehäuse des Laptops befestigt sein kann. Die verwendeten Antennen beziehen sich auf das Frequenzband des Betriebs. In dem Fall von Wi-Fi oder Langzeitentwicklung (LTE) können die Antennen in einigen Aspekten individuelle passive Antennen sein. In dem Fall von WiGig oder 5G-Frequenzbändern können in anderen Aspekten die Koax-Kabel mit individuellen RFEM-Instanzen gekoppelt sein, die mit einer oder mehreren Antennen gekoppelt sind, wie nachstehend genauer diskutiert ist.
  • 75 ist ein Schaltbild von Übertragungsleitungen für Signale von einer Hauptplatine eines Laptop-Computers zu dem Deckel des Laptops und zu einem Funk-Frontend-Modul (RFEM) gemäß einigen Aspekten. Bei 7500 ist ein Schaltbild des Laptop-Gehäuses 7502 unterhalb der Tastatur dargestellt, und der Deckel ist allgemein bei 7504 angegeben. Der Deckel 7504 ist durch die Scharniere 7505, 7507 drehbar mit dem Gehäuse 7502 verbunden. Die RFIC 7501 ist mit der Übertragungsleitung 7503 verbunden. Die Übertragungsleitung 7503 kann entweder ein Wellenleiter oder ein Lichtleiter sein.
  • Die Übertragungsleitung 7503 kann durch das Scharnier 7505 verlaufen und aus dem Scharnier austreten. In Aspekten, in denen die Übertragungsleitung ein Wellenleiter ist, kann der Wellenleiter Teil des Scharniers sein. Weil der Wellenleiter verlustbehaftet sein kann, wird ein spezieller Betrag von Signaldämpfung vorhanden sein, wenn das Signal entlang dem Wellenleiter oder dem Lichtleiter 7509 verläuft. In Fällen, in denen die Übertragungsleitung 7509 ein Lichtleiter ist, wäre ein Umsetzer für ein optisches Signal in ein RF-Signal bei 7511A platziert, so dass RF-Signale für das RFEM 7511 verfügbar wären. Das RFEM 7511 kann gemäß einigen Aspekten einen Leistungsverstärker aufweisen und kann verwendet werden, um das Signal zu verstärken, um die Signaldämpfung zu berücksichtigen.
  • Auf der RFIC-Seite kann, falls die Übertragungsleitung 7503 ein Lichtleiter ist, gemäß einigen Aspekten dann beim Austreten aus der RFIC 7501 ein Umsetzer für ein RF-Signal in ein optisches Signal bei 7501A integriert sein. Ein Laser kann in einigen Aspekten für diese Umsetzung eines RF-Signals in ein optisches Signal verwendet werden. Wenn sich das optische Signal dem RFEM 7511A nähert, sollte das optische Signal bei 7310 zurück in ein RF-Signal umgesetzt werden. Eine PIN-Diode oder eine Avalanche-PIN-Diode kann in einigen Aspekten bei 7511A platziert sein und für diese Umsetzung des optischen Signals in ein RF-Signal verwendet werden.
  • Eine weitere Implementierung für die Umsetzung des RF-Signals in ein optisches Signal ist RF-over-Fiber, manchmal auch als Radio-over-Fiber bezeichnet. Radio-over-Fiber (RoF) oder RF-over-Fiber (RFoF) bezieht sich auf eine Technologie, in der Licht durch ein Hochfrequenzsignal moduliert und dann über eine Lichtleiterstrecke gesendet wird. Die technischen Hauptvorteile der Verwendung von Lichtleiterstrecken sind geringere Übertragungsverluste und reduzierte Empfindlichkeit gegen Rauschen und elektromagnetische Störung im Vergleich zu vollständig elektrischer Signalübertragung. In einigen Aspekten kann das optische Signal Daten an im Wesentlichen allen Frequenzen weiterleiten, die unter anderen Wi-Fi, LTE, 5G und WiGig enthalten.
  • In einigen Aspekten kann die Umsetzung des optischen Signals in das RF-Signal durch eine PIN-Diode oder eine Avalanche-PIN-Diode implementiert sein, die bei 7511A von 75 oder bei 7610' und 7612 von 76 platziert sein kann, wie nachstehend diskutiert ist. Falls ein Laser für die Umsetzung des RF-Signals in das optische Signal bei 7501A von 75 verwendet würde, dann kann das umgesetzte ausgegebene RF-Signal aus der PIN-Diode oder der Avalanche-PIN-Diode bei 7511A digitale Bits sein. Deshalb kann eine sehr schnelle optische Schnittstelle digitale Bits zu einem REFM weiterleiten. Infolgedessen kann der Sendeempfänger des RFEM mit digitalen elektrischen Bits gespeist werden, und das RFEM wird arbeiten, um die digitalen Bits zum letztlichen Abstrahlen durch die Antennen als RF-Signale zu verstärken.
  • Alternativ führt, falls RFoF für die Umsetzung des RF-Signals auf optisch bei 7501A verwendet wird, die Umsetzung des RF-Signals in ein optisches Signal zu einem optischen Signal, das mit einem RF-Signal moduliert ist. In diesem Fall kann dann die Umsetzung über die PIN-Diode oder die Avalanche-PIN-Diode bei 7511A von 75 (oder gegebenenfalls bei 7610' und 7612 von 76) dann auch das ursprüngliche RF-Signal aus dem RFmodulierten optischen Signal erzeugen und kann das RF-Signal zu dem RFEM 7511 zum Verarbeiten weiterleiten.
  • 76 ist ein Schaltbild von Übertragungsleitungen für Signale von einer Hauptplatine eines Laptop-Computers zu dem Deckel des Laptops und zu mehreren RFEMs 7611 und 7613 gemäß einigen Aspekten. Das Schaltbild von 76 ist ähnlich zu dem von 75, außer dass zwei RFEMs verwendet sind. Ein Umsetzer für ein optisches Signal in ein RF-Signal, wie er vorstehend diskutiert ist, kann an zwei Orten, 7610' und 7612, verwendet werden, wobei die Übertragungsleitung ein Lichtleiter ist, gemäß einigen Aspekten.
  • Falls die zwei RFEMs 7611 und 7613 auf demselben Frequenzband arbeiten, jedoch nur eines davon zu einer Zeit aktiv ist, was für sowohl 5G- als auch für WiGig-Implementierung relevant ist, muss nur ein Umsetzer für ein optisches Signal in ein RF-Signal vorhanden sein, der gemäß einigen Aspekten bei 7610' platziert sein kann. In diesem Fall wären die zwei Umsetzer für ein optisches Signal in ein RF-Signal (bei 7610, 7612) wegen der Tatsache, dass die zwei RFEMs 7611, 7613 an derselben Frequenz arbeiten, nicht erforderlich. Das ist eine Option, die bessere räumliche Abdeckung bereitstellen würde, weil das RFEM, das die bessere Abdeckung aus den beiden bereitstellt, aktiv wäre. Das kann gemäß einigen Aspekten dadurch erreicht werden, dass die zwei RFEMs basierend auf Rückmeldungsinformationen von einer Empfangsvorrichtung oder einem System algorithmisch gesteuert werden, um zu bestimmen, welches RFEM die bessere Abdeckung zu einer gegebenen Zeit bereitstellt.
  • Andererseits, falls die zwei RFEMs 7611, 7613 an unterschiedlichen Frequenzbändern arbeiten, beispielsweise eines bei 5G und eines bei WiGig, würden die beiden RFEMs gleichzeitig arbeiten. In diesem Fall wären in einigen Aspekten zwei Umsetzer für ein optisches Signal in ein RF-Signal vorhanden, wie vorstehen diskutiert, die bei 7610' bzw. 7612 platziert wären.
  • Die 77A und 77B sind Darstellungen von im Substrat integrierten Wellenleitern (SIW) gemäß einigen Aspekten. In 77A ist 7700 eine perspektivische Ansicht eines SIW mit einer komplanaren Übertragungsleitung, die mit dem SIW verbunden ist, als eine RF-Signalquelle gemäß einigen Aspekten. Der SIW selbst kann aus einer PCB wie z. B. FR4 oder einer anderen geeigneten PCB hergestellt sein. Der SIW 7700 weist eine Oberseite 7701 und eine Unterseite 7703 und zwei Reihen von Vias auf, von denen eine mit dem Vias 7705 beginnt und die andere mit dem Vias 7707 beginnt. Die Reihen von Vias sind dicht genug, um effektiv als Seiten der PCB zu funktionieren, die das RF-Signal in einer gewünschten Richtung leiten, gemäß einigen Aspekten. Der komplanare Wellenleiter 7701A weist eine Quelle für RF-Signale in einem Aspekt auf, und die Signalübertragung ist in dem diskutierten Aspekt in der Richtung des Pfeils.
  • 77B ist eine Darstellung als ein SIW mit einer Mikrostreifenzuleitung des SIW gemäß einigen Aspekten. Der SIW 7702 weist eine Oberseite 7704 und eine Unterseite (nicht gezeigt) und zwei Reihen von Vias auf. Eine der Reihen von Vias beginnt mit dem Vias 7706, und eine weitere beginnt mit dem Vias 7708, wobei die Reihen von Vias dicht genug sind, um in einigen Aspekten effektiv als Seiten der PCB zu funktionieren, die das RF-Signal in einer gewünschten Richtung leiten. Bei 7708 sind Finger implementiert, und eine Mikrostreifenleitung 7704 passt in einigen Aspekten mit den Fingern zusammen und weist eine Quelle für RF-Signale auf. Die Signalübertragung ist in dem diskutierten Aspekt in der Richtung des Pfeils. Normale Fachleute würden erkennen, dass die vorstehenden zwei Figuren nur Beispiele sind und dass andere Formen von SIWs verwendet werden können.
  • Systeme mit verteilter phasengesteuerter Gruppe (z. B. WiGig und zellulare 5G-Systeme) werden derzeit in Laptops, Tablets, Smartphones, Docking-Stationen und anderen Anwendungen verwendet. Aktuelle Systeme mit verteilter phasengesteuerter Gruppe, die für WiGig und 5G-Kommunikation verwendet werden, sind entweder superheterodyne (Dualumsetzungs-) oder Systeme mit gleitender IF. In diesen Systemen empfängt oder sendet ein MAC-PHY-Basisbandteilsystem ein Zwischenfrequenz- (IF-) Signal, das die Verwendung von IF-Verstärkungsstufen, RF - IF-Mischern, hoch selektiven Bandpassfiltern und anderer Schaltung erfordert, die sowohl zum Kommunizieren von IF-Signalen zwischen Schaltungen als auch zur Aufwärtsumsetzung und Abwärtsumsetzung der IF-Signale notwendig sind.
  • Datensignale werden zu einem Frontend-Modul häufig mit einem Gleichstrom- (DC-) Leistungssignal kommuniziert. Einige der Datensignale können nahe dem Basisband moduliert sein, und aufgrund des Vorhandenseins der Niederfrequenzkomponenten in dem Signal werden RF-Drosselschaltungen an der Frontend-Schaltung verwendet, um ein sauberes DC-Leistungssignal zu produzieren. Die RF-Drosselschaltung kann jedoch teuer und großvolumig sein. Zusätzlich können, wenn Taktsignale zu dem Frontend-Modul kommuniziert werden, Taktsignalkomponenten aus dem Kommunikationsmedium streuen, was eine signifikante Rauschquelle in dem Kommunikationssystem sein kann.
  • 78 stellt ein RF-Frontend-Modul (RFEM) eines Systems 7800 mit verteilter phasengesteuerter Gruppe mit Taktrauschenverlustreduktion gemäß einigen Aspekten dar. Das System 7800 mit verteilter phasengesteuerter Gruppe kann in die digitale Basisbandschaltung 310, die Sendeschaltung 315 und die Empfangsschaltung 320 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das System 7800 mit verteilter phasengesteuerter Gruppe nicht darauf beschränkt ist.
  • Bezug nehmend auf 78 ist das RFEM 7802 mit einem Basisbandteilsystem (BBS) 7804 über ein einziges Koax-Kabel 7806 gekoppelt. Das RFEM 7802 kann eine phasengesteuerte Antennengruppe 7808, einen RF-Empfänger 7810, einen RF-Sender 7812, einen Generator eines lokalen Oszillators (LO-Generator) 7844, einen Taktentspreizer 7852, einen Triplexer 7848 und einen Sende (TX)/Empfangs (RX)-Schalter 7840 aufweisen. Der RF-Empfänger 7810 kann mehrere Leistungsverstärker 7816, mehrere Phasenschieber 7818, einen Kombinierer 7820, einen RF-Verstärker 7822, einen LO-Verstärker 7826 und einen Multiplizierer (oder Mischer) 7824 aufweisen. Der RF-Empfänger 7810 kann außerdem einen IF-Verstärker 7842 aufweisen. In einigen Aspekten kann der IF-Verstärker 7842 Teil des Empfängers 7810 sein, oder er kann außerhalb des Empfängers 7810 implementiert sein.
  • Der RF-Sender 7812 kann einen Multiplizierer (oder Mischer) 7838, einen LO-Verstärker 7840, einen RF-Verstärker 7836, einen Splitter 7834, mehrere Phasenschieber 7832 und mehrere Verstärker 7830 aufweisen. Der RF-Sender 7812 kann außerdem einen IF-Verstärker 7846 aufweisen. In einigen Aspekten kann der IF-Verstärker 7846 Teil des Senders 7812 sein, oder er kann außerhalb des Senders 7812 implementiert sein.
  • Das BBS 7804 kann konfiguriert sein, ein oder mehrere Steuersignale zur Kommunikation zu dem RFEM 7802 zu erzeugen. Beispielhafte Steuersignale enthalten Einschalt-/Ausschalt-Signale, Sende-(TX-) Betriebsartaktivierung, Empfangs- (RX-) Betriebsartaktivierung, Signal für EIN- oder AUS-Schalten, Systemwecksignal, Niederleistungsaktivierungssignal, Phasen- oder Verstärkungsanpassungssignale und so weiter. Da das Steuersignal nahe dem Basisband moduliert wird vor der Kommunikation zu dem RFEM, kann das zu einer großen Niederfrequenzkomponente in dem Signal führen. Die große Niederfrequenzkomponente wiederum führt zu einer großen RF-Drosselkomponente an dem RFEM, um ein sauberes DC-Leistungssignal (das zusammen mit dem Steuersignal kommuniziert wird) zu produzieren. Obwohl die Figuren Steuersignale darstellen, die von dem BBS zu dem RFEM kommuniziert werden, ist die Offenbarung in diesem Zusammenhang nicht eingeschränkt, und Steuersignale können von dem RFEM zu dem BBS kommuniziert werden. Beispielsweise kann das RFEM Steuersignale wie z. B. Leistungslesesignale, Temperaturlesesignale, Befehlsquittungssignale und so weiter zu dem BBS senden.
  • In einigen Aspekten kann das Streuen des Referenztaktsignals aus dem Koax-Kabel 7806, das das BBS 7804 und das RFEM 7802 verbindet, durch Modulieren (z. B. unter Verwendung des Taktspreizers 7850) eines Steuersignals unter Verwendung des Taktsignals und dann Kommunizieren des modulierten Signals (von dem BBS zu dem RFEM) anstelle des Taktsignals reduziert werden. Das RFEM kann einen Taktentspreizer 7852 enthalten, der verwendet werden kann, um das Steuersignal und das Taktsignal wiederherzustellen. Durch Kommunizieren eines modulierten Signals (anstelle eines separaten Steuersignal und eines Taktsignals) können die Anforderungen der RF-Drosselkomponente verbessert werden (z. B. eine kleinere Induktivität oder Ferritperle wird in der RF-Drossel verwendet), da das resultierende modulierte Signal weiter von DC entfernt ist und nicht so viele Niederfrequenzkomponenten wie das basisbandmodulierte Steuersignal aufweist.
  • In einer beispielhaften Empfangsoperation kann der Schalter 7840 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 7808 kann zum Empfangen von mehreren Signalen 7814 verwendet werden. Die empfangenen Signale 7814 können durch die Verstärker 7816 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 7818 angepasst werden. Jeder der Phasenschieber 7818 kann ein separates Phasenanpassungssignal (in 78 nicht dargestellt) in der Form eines Steuersignals empfangen (z. B. eines Steuersignals 7860, das durch den Taktentspreizer 7852 erzeugt wird, wenn er das empfangene modulierte Signal 7854 entspreizt), das von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 7804) stammt.
  • Die individuellen Phasenanpassungssignale können auf einer gewünschten Signalrichtungsabhängigkeit basieren, wenn Signale, die über die phasengesteuerte Antennengruppe 7808 empfangen werden, verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 7818 können durch den Kombinierer 7820 kombiniert und dann durch den RF Verstärker 7822 verstärkt werden. Der LO-Generator 7844 kann ein LO-Signal erzeugen unter Verwendung eines Taktreferenzsignals 7858, das durch den Taktentspreizer 7852 unter Verwendung des modulierten Signals 7854, das von dem BBS 7804 über das Koax-Kabel 7806 empfangen wird, erzeugt wird. Das LO-Signal kann durch den Verstärker 7826 verstärkt und dann mit der Ausgabe des Verstärkers 7822 unter Verwendung des Multiplizierers 7824 multipliziert werden, um ein IF-Eingabesignal 7845 zu erzeugen. Das IF-Eingabesignal 7845 kann durch den Verstärker 7842 verstärkt und dann zu dem BBS 7804 über den Triplexer 7848 und das Koax-Kabel 7806 als ein Datensignal 7856 kommuniziert werden. In einigen Aspekten kann das IF-Eingabesignal 7845 um ein 10,56 GHz-Signal zentriert sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 7840 Senderkettenverarbeitung aktivieren. Das BBS 7804 kann das Steuersignal 7860 auf das Taktreferenzsignal 7858 unter Verwendung des Taktspreizers 7850 modulieren, um das modulierte Signal 7854 zu erzeugen. Das modulierte Signal 7854 und ein IF-Datensignal 7856 können zu dem RFEM 7802 über das Koax-Kabel 7806 kommuniziert werden. Das Datensignal 7856 kann ein IF-Signal 7847 zum Senden enthalten. Das RFEM 7802 kann das IF-Signal 7847 über das Koax-Kabel 7806 und den Triplexer 7848 empfangen. Das IF-Signal 7847 kann durch den IF-Verstärker 7846 verstärkt und dann zu dem Multiplizierer 7838 kommuniziert werden. Der Multiplizierer 7838 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 7844 und dem LO-Verstärker 7840 empfangen. Das verstärkte LO-Signal wird mit dem verstärkten empfangenen IF-Signal durch den Multiplizierer 7838 multipliziert, um ein RF-Signal zu erzeugen. Das RF-Signal wird dann durch den Verstärker 7836 verstärkt und zu dem Splitter 7834 kommuniziert. Der Splitter 7834 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert die Signalkopien zu den mehreren Phasenschiebern 7832. Die mehreren Phasenschieber 7832 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 7830 verstärkt werden können. Die mehreren Verstärker 7830 erzeugen mehrere Signale 7828 zum Senden durch die phasengesteuerte Antennengruppe 7808.
  • In einigen Aspekten können die in den beigefügten Figuren dargestellten Triplexer auch einen Sende/Empfangs-Schalter aufweisen, der verwendet werden kann, um die Signale, die durch die Triplexer multiplext werden sollen, zu bestimmen.
  • 79 stellt ein Basisbandteilsystem (BBS) eines Systems mit verteilter phasengesteuerter Gruppe mit Taktrauschenverlustreduktion gemäß einigen Aspekten dar. Bezug nehmend auf 79 kann das BBS 7804 einen Triplexer 7902, einen IF-Empfänger 7904, einen IF-Sender 7906, ein Modem 7924, einen Kristalloszillator 7930, einen Synthesizer 7928, einen Teiler 7926 und eine Taktspreizer 7850 aufweisen. Der Synthesizer 7928 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 7930 verwenden, um ein Taktsignal zu erzeugen. Das erzeugte Taktsignal kann durch den Teiler 7926 geteilt werden, um ein Ausgabe-Taktreferenzsignal 7858 zu erzeugen. Das Ausgabe-Taktreferenzsignal 7858 kann zu dem Taktspreizer 7850 zusammen mit einem Steuersignal 7860 kommuniziert werden. Das Steuersignal 7860 kann durch das Modem 7924 erzeugt werden und kann verwendet werden, um eine oder mehrere Funktionalitäten des Kommunikationssystems 7800 wie z. B. Funktionalitäten des RFEM 7802 zu steuern.
  • Beispielhafte Funktionalitäten, die unter Verwendung des Steuersignals 7860 gesteuert werden können, enthalten Aktivierung der Sendebetriebsart, Aktivierung einer Empfangsbetriebsart, Einschalten, Ausschalten, Aktiveren der Niederleistungsbetriebsart, Schaltungsaufwecken, Strahländerungssignale, Phasen- und/oder Verstärkungsanpassung und so weiter. Der Taktspreizer 7850 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann konfiguriert sein, das Steuersignal 7860 auf das Taktreferenzsignal 7858 zu modulieren, um das modulierte Signal 7854 zum Senden zu dem RFEM 7802 über das Koax-Kabel 7806 zu erzeugen. In einigen Aspekten kann das erzeugte Taktsignal um eine Frequenz von 1,32 GHz zentriert sein.
  • Der IF-Empfänger 8204 kann einen IF-Verstärker 7908, Mischer 7910, Filter 7912 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 7914 aufweisen. Der IF-Sender 7906 kann Digital/Analog-Umsetzungs- (DAC-) Blöcke 7922, Filter 7920, Mischer 7918 und einen IF-Verstärker 7916 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein IF-Signal (z. B. 7845, das als Datensignal 7856 empfangen wird) von dem RFEM 7802 über den Triplexer 7902 empfangen und wird durch den IF-Verstärker 7908 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 7910 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 7912 gefiltert und durch die ADC-Blöcke 7914 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 7924 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 7924 ausgegeben wird, durch die DAC-Blöcke 7922 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 7920 gefiltert und dann durch die Mischer 7918 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 7916 verstärkt und dann zu dem RFEM 7802 über den Triplexer 7848 und das einzelne Koax-Kabel 7806 als ein Datensignal 7856 zusammen mit dem modulierten Signal 7854 kommuniziert werden. In einigen Aspekten kann das BBS 7804 außerdem ein DC-Leistungssignal zusammen mit dem Datensignal 7856 und dem modulierten Signal 7854 zu dem RFEM 7802 kommunizieren.
  • 80 stellt ein Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS kommuniziert werden, gemäß einigen Aspekten dar. Bezug nehmend auf 80 stellt das Frequenzdiagramm 8000 verschiedene Signale dar, die zwischen dem RFEM 7802 und dem BBS 7804 über das einzelne Koax-Kabel 7806 kommuniziert werden können. Beispielsweise kann das BBS 7804 ein DC-Leistungssignal 8002, ein Steuersignal 8004 und das Taktsignal 8006 kommunizieren. Zusätzlich können Datensignale 8010 zwischen dem BBS 7804 und dem RFEM 7802 kommuniziert werden.
  • Beispielsweise kann ein IF-Datensignal 7845 von dem RFEM 7802 zu dem BBS 7804 kommuniziert werden, und ein IF-Datensignal 7847 kann von dem BBS 7804 zu dem RFEM 7802 kommuniziert werden. Das Taktsignal 8006 kann das gleiche sein wie das LO-Erzeugungs-Taktreferenzsignal 7858, das durch das RFEM 7802 von dem BBS 7804 empfangen wird. In einigen Aspekten kann das Taktsignal 8006 um ein 1,32 GHz-Signal zentriert sein. In einigen Aspekten kann das Steuersignal 8004 von dem BBS 7804 zu dem RFEM 7802 kommuniziert werden und kann Phasenanpassungswerte zum Gebrauch durch die Phasenschieber 7818 und die Phasenschieber 7832 angeben. Das Steuersignal 8004 kann für das RFEM 7802 andere Steuerfunktionen angeben, wie z. B. Einschalten, Ausschalten, Erhöhen oder Herabsetzen der Sendeleistung, Verstärkungsanpassung und andere Funktionalitäten, wie sie hier vorstehend genannt sind.
  • Wie in 80 zu sehen ist, kann das Signalspektrum von Signalen, die zwischen dem RFEM 7802 und dem BBS 7804 kommuniziert werden, einige unerwünschte Signale enthalten, wie z. B. sowohl die Taktoberwellen 8008 als auch die Oberwellen des Steuersignals 8004. Da das Steuersignal 8004 vor der Kommunikation zu dem RFEM nahe dem Basisband moduliert wird, kann das zu einer großen Niederfrequenzkomponente in dem Signal führen. Die große Niederfrequenzkomponente wiederum führt zu einer großen RF-Drosselkomponente an dem RFEM, um ein sauberes DC-Leistungssignal 8002 (das zusammen mit dem Steuersignal kommuniziert wird) zu produzieren. Zusätzlich kann das Referenztaktsignal 8006 (und außerdem die zugeordneten Oberwellen 8008) aus der Koax-Kabelverbindung 7806 streuen und kann eine Rauschquelle in der Plattform sein. In einigen Aspekten können eine Taktspreizerschaltung 7850 und eine Taktentspreizerschaltung 7852 in dem BBS 7804 bzw. dem RFEM 7802 verwendet werden, um die vorstehend genannten Nachteile, die der Kommunikation separater Steuer- und Taktsignale auf dem Koax-Kabel 7806 zugeordnet sind, zu adressieren.
  • 81 stellt Taktspreizer- und -entspreizerschaltungen, die in Verbindung mit der Taktrauschenverlustreduktion verwendet werden kann, gemäß einigen Aspekten dar. Bezug nehmend auf 81 ist eine weitere Ansicht des Kommunikationssystems 7800, das das BBS 7804 und das RFEM 7802 aufweist, dargestellt. Insbesondere stellt 81 eine genauere Ansicht des Taktspreizers 7850 und des Taktentspreizers 7852 dar.
  • Wie in 81 zu sehen ist, kann das BBS 7804 einen Sendeempfänger 8120 und einen Taktspreizer 7850 aufweisen. Der Sendeempfänger 8120 kann alle in 79 dargestellten Blöcke außer dem Taktspreizer 7850 aufweisen. Ähnlich kann das RFEM 7802 den Taktentspreizer 7852, den LO-Generator 7844, einen Verteiler 8132 und den Empfänger 8130 aufweisen. Der Sendeempfänger 8130 kann beispielsweise dem Empfänger 7810, den Sendeempfänger 7812, die Verstärker 7842 und 7846 und den Triplexer 7848 aufweisen, die in 78 dargestellt sind.
  • Der Taktspreizer 7850 kann eine Impulsformerschaltung 8106 und eine Modulatorschaltung 8102 aufweisen. Die Impulsformerschaltung 8106 kann konfiguriert sein, das Steuersignal 7860 zu empfangen und ein bandbegrenztes Steuersignal 7861 zu erzeugen. In einigen Aspekten kann der Impulsformer 8106 eine oder mehrere der Oberwellen, die dem Steuersignal 7860 zugeordnet sind, dämpfen, um das bandbegrenzte Steuersignal 7861 zu erzeugen. Der Modulator 8102 kann einen Multiplizierer 8104 aufweisen, der verwendet werden kann, um sowohl das bandbegrenzte Steuersignal 7861 als auch das Taktreferenzsignal 7858 zu empfangen und sie zu multiplizieren, um das modulierte Signal 7854 zu erzeugen.
  • In einigen Aspekten kann der Modulator 8102 einer aus einem Zweiphasenumtastungs- (BPSK-) Modulator, einem Modulator mit differenzieller Phasenumtastung (DPSK), einem Quadraturphasenumtastungs-(QPSK-) Modulator, einem Modulator mit Gaußscher Frequenzumtastung (GFSK) oder einem anderen Typ eines Modulators sein. In einigen Aspekten kann der Modulator 8102 konfiguriert sein, das Taktreferenzsignal 7858 unter Verwendung einer Pseudozufallsfolge zu spreizen, um das modulierte Signal 7854 zu erzeugen.
  • Das modulierte Signal 7854 kann (z. B. zusammen mit einem DC-Leistungssignal und einem IF-Datensignal) zu dem RFEM 7802 über die Koax-Kabelverbindung 7806 kommuniziert werden. Der Taktentspreizer 7852 innerhalb des RFEM 7802 kann eine Taktwiederherstellungsschaltung 8134 und einen Demodulierer 8136 aufweisen. Das modulierte Signal 7854 kann sowohl zu der Taktwiederherstellungsschaltung 8134 als auch zu dem Demodulierer 8136 kommuniziert werden. Die Taktwiederherstellungsschaltung 8134 kann einen Multiplizierer 8138 und einen Teiler 8140 enthalten. Die Taktwiederherstellungsschaltung 8134 kann das modulierte Signal 7854 verwenden, um das Taktreferenzsignal 7858 wiederherzustellen. Das wiederhergestellte Taktreferenzsignal kann sowohl zu dem Verteiler 8132 als auch zu dem Demodulierer 8136 kommuniziert werden. Der Demodulierer 8136 kann das modulierte Signal 7854 empfangen und das Taktreferenzsignal 7858 verwenden, um das Steuersignal 7860 zu demodulieren und wiederherzustellen. Das Steuersignal 7860 kann zu dem Verteiler 8132 kommuniziert werden. Der Verteiler 8132 kann konfiguriert sein, sowohl das Steuersignal 7860 und das Referenztaktsignal 7858 zu dem Sendeempfänger 8130 zu kommunizieren als auch das Taktsignal 7858 zu dem LO-Generator 7844 zum Erzeugen von Aufwärtsumsetzungs- oder Abwärtsumsetzungs-LO-Referenzsignalen zu kommunizieren.
  • 82 stellt ein Frequenzdiagramm von Signalen, die zwischen einem RFEM und einem BBS unter Verwendung von Taktrauschenverlustreduktion kommuniziert werden, gemäß einigen Aspekten dar. Bezug nehmend auf 82 sind die Frequenzdiagramme 8202 und 8210 dargestellt, die kommunizierte Signale darstellen, wenn die Taktrauschenverlustreduktion deaktiviert oder aktiviert ist. Insbesondere stellt das Diagramm 8202 (das dem Signaldiagramm 8000 von 80 ähnlich ist) Signale dar, die innerhalb des Kommunikationssystems 7800 kommuniziert werden können, wenn die Taktrauschenverlustreduktion nicht aktiv ist. Wie in dem Diagramm 8202 zu sehen ist, können sowohl ein DC-Leistungssignal 8203, ein Steuersignal 8204, ein Taktsignal 8206 als auch Oberwellen 8208 des Steuersignals 8204 von dem BBS zu dem RFEM kommuniziert werden, wenn die Taktrauschenverlustreduktion nicht aktiviert ist (z. B. der Taktspreizer 7850 und der Taktentspreizer 7852 nicht verwendet werden).
  • In einem Beispiel, in dem die Taktrauschenverlustreduktion aktiviert ist und der Taktspreizer 7850 und der Taktentspreizer 7852 verwendet werden, sind die kommunizierten Signale im Diagramm 8210 dargestellt. Insbesondere ist das Steuersignal 8204 auf das Taktsignal 8206 moduliert, um das modulierte Signal 8212 zu erzeugen, das von dem BBS zu dem RFEM anstelle separater Signale 8204 und 8206 (mit den Oberwellen 8214) kommuniziert wird. Wie in dem Diagramm 8210 zu sehen ist, ist das modulierte Signal 8212 weiter entfernt von dem DC-Signal 8203, was verwendet werden kann, um die RF-Drosselanforderungen in dem RFEM zu verbessern (z. B. kann die RF-Drossel kleinere Induktivitäten oder Ferritperlen aufweisen). Ein zusätzlicher Nutzen wird ebenfalls erreicht, da ein moduliertes Signal 8212 anstelle eines einzelnen Sinuswellentaktsignals 8206 kommuniziert wird, was den Rauschverlust entlang dem Koax-Kabel 7806 reduziert.
  • Systeme mit verteilter phasengesteuerter Gruppe (z. B. WiGig und zellulare 5G-Systeme) werden derzeit in Laptops, Tablets, Smartphones, Docking-Stationen und anderen Anwendungen verwendet. Aktuelle Systeme mit verteilter phasengesteuerter Gruppe, die für WiGig und 5G-Kommunikation verwendet werden, sind entweder superheterodyne (Dualumsetzungs-) oder Systeme mit gleitender IF. In diesen Systemen empfängt oder sendet das MAC-PHY-Basisbandteilsystem ein Zwischenfrequenz- (IF-) Signal, das die Verwendung von IF-Verstärkungsstufen, RF - IF-Mischern, hoch selektiven Bandpassfiltern und anderer Schaltung erfordert, die sowohl zum Kommunizieren von IF-Signalen zwischen Schaltungen als auch zur Aufwärtsumsetzung und Abwärtsumsetzung der IF-Signale notwendig sind.
  • Die zusätzliche Schaltung zur IF-Signalverarbeitung führt zu einem größeren Frontend-Modul, höheren Kosten für das System mit verteilter phasengesteuerter Gruppe und geringerer Systemleistung. Zusätzlich kann einige mmWellen- und IF-Frequenzverarbeitung, die in dem Basisbandteilsystem ausgeführt wird, für einige Systemanbieter nicht erwünscht sein. Darüber hinaus kann das Zusammenwirken zwischen den IF-Schaltungen (insbesondere der Frequenzquelle) und den Hochleistungsverstärkern mehrere Arten von Störungen verursachen, die die Systemleistung verschlechtern.
  • 83 stellt ein beispielhaftes RF-Frontend-Modul (RFEM) eines Systems mit verteilter phasengesteuerter Gruppe mit IF-Verarbeitung gemäß einigen Aspekten dar. Das System mit verteilter phasengesteuerter Gruppe kann in die digitale Basisbandschaltung 310, die Sendeschaltung 315 und die Empfangsschaltung 320 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das System mit verteilter phasengesteuerter Gruppe nicht darauf beschränkt ist.
  • Bezug nehmend auf 83 ist das RFEM 8302 mit einem Basisbandteilsystem (BBS) 8304 über ein einziges Koax-Kabel 8306 gekoppelt. Das RFEM 8302 kann eine phasengesteuerte Antennengruppe 8308, einen RF-Empfänger 8310, einen RF-Sender 8312, einen Generator eines lokalen Oszillators (LO-Generator) 8344, einen Triplexer 8348 und einen Sende (TX)/Empfangs (RX)-Schalter 8340 aufweisen. Der RF-Empfänger 8310 kann mehrere Leistungsverstärker 8316, mehrere Phasenschieber 8318, einen Kombinierer 8320, einen RF-Verstärker 8322, einen LO-Verstärker 8326 und einen Mischer 8324 aufweisen. Der RF-Empfänger 8310 kann außerdem einen IF-Verstärker 8342 aufweisen.
  • Der RF-Sender 8312 kann einen Mischer 8338, einen LO-Verstärker 8340, einen RF-Verstärker 8336, einen Splitter 8334, mehrere Phasenschieber 8332 und mehrere Verstärker 8330 aufweisen. Der RF-Sender 8312 kann außerdem einen IF-Verstärker 8346 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 8340 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 8308 kann zum Empfangen von mehreren Signalen 8314 verwendet werden. Die empfangenen Signale 8314 können durch die Verstärker 8316 verstärkt werden, und ihre Phase kann durch entsprechende Phasenschieber 8318 angepasst werden. Jeder der Phasenschieber 8318 kann ein separates Phasenanpassungssignal (in 83 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 8304) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 8308 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 8318 können durch den Kombinierer 8320 kombiniert und dann durch den RF Verstärker 8322 verstärkt werden. Der LO-Generator 8344 kann ein LO-Signal unter Verwendung eines Taktfrequenzsignals 8343, das von dem BBS 8304 über das Koax-Kabel 8306 empfangen wird, erzeugen. Das LO-Signal kann durch den Verstärker 8326 verstärkt werden und dann mit der Ausgabe des Verstärkers 8322 unter Verwendung des Mischers 8324 multipliziert werden, um ein IF-Eingabesignal 8345 zu erzeugen. Das IF-Eingabesignal 8345 kann durch den Verstärker 8342 verstärkt werden und dann zu dem BBS 8304 über den Triplexer 8348 und das Koax-Kabel 8306 kommuniziert werden. In einigen Aspekten kann das IF-Eingabesignal 8345 um ein 10,56 GHz-Signal zentriert sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 8340 Senderkettenverarbeitung aktivieren. Das RFEM 8302 kann ein IF-Signal 8347 von dem BBS 8304 über das Koax-Kabel 8306 und den Triplexer 8348 empfangen. Das IF-Signal 8347 kann durch den IF-Verstärker 8346 verstärkt und dann zu dem Mischer 8338 kommuniziert werden. Der Mischer 8338 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 8344 und dem LO-Verstärker 8340 empfangen. Das verstärkte LO-Signal wird mit dem verstärkten empfangenen IF-Signal durch den Mischer 8338 multipliziert, um ein RF-Signal zu erzeugen. Das RF-Signal wird dann durch den Verstärker 8336 verstärkt und zu dem Splitter 8334 kommuniziert. Der Splitter 8334 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert Signalkopien zu den mehreren Phasenschiebern 8332. Die mehreren Phasenschieber 8332 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 8330 verstärkt werden können. Die mehreren Verstärker 8330 erzeugen mehrere Signale 8328 zum Senden durch die phasengesteuerte Antennengruppe 8308.
  • 84 stellt ein Basisbandteilsystem (BBS) des Systems mit verteilter phasengesteuerter Gruppe von 83 gemäß einigen Aspekten dar. Bezug nehmend auf 84 kann das BBS 8304 einen Triplexer 8402, einen IF-Empfänger 8404, einen IF-Sender 8406, ein Modem 8424, einen Kristalloszillator 8430, einen Synthesizer 8428 und einen Teiler 8426 aufweisen. Der Synthesizer 8428 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 8430 verwenden, um ein Taktsignal zu erzeugen. Das erzeugte Taktsignal kann durch den Teiler 8426 geteilt werden, um ein Ausgangs-Taktreferenzsignal 8432 zur Kommunikation zu dem RFEM 8302 zu erzeugen. In einigen Aspekten kann das erzeugte Taktreferenzsignal 8432 um eine Frequenz von 1,32 GHz zentriert sein.
  • Der IF-Empfänger 8404 kann einen IF-Verstärker 8408, Mischer 8410, Filter (z. B. Tiefpassfilter) 8412 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 8414 aufweisen. Der IF-Sender 8406 kann Digital/Analog-Umsetzungs-(DAC-) Blöcke 8422, Filter 8420, Mischer 8418 und einen IF-Verstärker 8416 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein IF-Signal (z. B. 8345) von dem RFEM 8302 über den Triplexer 8402 empfangen und wird durch den IF-Verstärker 8408 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 8410 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 8412 gefiltert und durch die ADC-Blöcke 8414 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 8424 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 8424 ausgegeben wird, durch die DAC-Blöcke 8422 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 8420 gefiltert und dann durch die Mischer 8418 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 8416 verstärkt und dann über den Triplexer 8402 und das einzelne Koax-Kabel 8306 zu dem RFEM 8302 kommuniziert werden.
  • 85 stellt ein Mehrband-System mit verteilter phasengesteuerter Gruppe mit IF-Verarbeitung innerhalb der RFEMs gemäß einigen Aspekten dar. Bezug nehmend auf 85 sind die RFEMs 8502, ..., 8504 mit einem Basisbandteilsystem (BBS) 8506 über entsprechende Verbindungen (z. B. jeweils die Koax-Kabel 8552, ..., 8554) gekoppelt. In einigen Aspekten kann jedes der RFEMs 8502, ..., 8504 zum Empfangen und Senden drahtloser Signale in einem spezifischen Band (z. B. einem 28 GHz-Band, einem 39 GHz-Band, einem 60 GHz-ISM-Band wie z. B. WiGig oder einem 5G-Kommunikationsband) konfiguriert sein. Obwohl die Beschreibung der Funktionalitäten des RFEMs 8502 nachstehend bereitgestellt ist, können die zusätzlichen RFEMs (z. B. das RFEM 8504) auf ähnliche Weise konfiguriert sein.
  • Das RFEM 8502 kann eine phasengesteuerte Antennengruppe 8508, einen RF-Empfänger 8510, einen RF-Sender 8512, einen Generator eines lokalen Oszillators (LO-Generator) 8542, einen Triplexer 8550 und einen Sende (TX)/Empfangs (RX)-Schalter 8548 aufweisen. Der RF-Empfänger 8510 kann mehrere Leistungsverstärker 8516, mehrere Phasenschieber 8518, einen Kombinierer 8520, einen RF-Verstärker 8522, einen LO-Verstärker 8526 und einen Mischer 8524 aufweisen. Der RF-Empfänger 8510 kann außerdem einen IF-Verstärker 8544 aufweisen.
  • Der RF-Sender 8512 kann einen Mischer 8538, einen LO-Verstärker 8540, einen RF-Verstärker 8536, einen Splitter 8534, mehrere Phasenschieber 8532 und mehrere Verstärker 8530 aufweisen. Der RF-Sender 8312 kann außerdem einen IF-Verstärker 8546 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 8548 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 8508 kann zum Empfangen von mehreren Signalen 8514 verwendet werden. Die empfangenen Signale 8514 können durch die Verstärker 8516 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 8518 angepasst werden. Jeder der Phasenschieber 8518 kann ein separates Phasenanpassungssignal (in 85 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 8506) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 8508 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 8518 können durch den Kombinierer 8520 kombiniert und dann durch den RF Verstärker 8522 verstärkt werden. Der LO-Generator 8542 kann ein LO-Signal unter Verwendung eines Taktfrequenzsignals, das von dem BBS 8506 über das Koax-Kabel 8552 empfangen wird, erzeugen. Das LO-Signal kann durch den Verstärker 8526 verstärkt werden und dann mit der Ausgabe des Verstärkers 8522 unter Verwendung des Mischers 8524 multipliziert werden, um ein IF-Eingabesignal zu erzeugen. Das IF-Eingabesignal kann durch den Verstärker 8544 verstärkt und dann zu dem BBS 8506 über den Triplexer 8550 und das Koax-Kabel 8552 kommuniziert werden. In einigen Aspekten kann das IF-Eingabesignal ein 10,56 GHz-Signal sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 8548 Senderkettenverarbeitung aktivieren. Das RFEM 8502 kann ein IF-Signal von dem BBS 8506 über das Koax-Kabel 8552 und den Triplexer 8550 empfangen. Das IF-Signal kann durch den IF-Verstärker 8546 verstärkt und dann zu dem Mischer 8538 kommuniziert werden. Der Mischer 8538 kann ein Aufwärtsumsetzungs-LO-Signal von dem LO-Generator 8542 und dem LO-Verstärker 8540 empfangen. Das verstärkte LO-Signal wird mit dem verstärkten empfangenen IF-Signal durch den Mischer 8538 multipliziert, um ein RF-Signal zu erzeugen. Das RF-Signal wird dann durch den Verstärker 8536 verstärkt und zu dem Splitter 8534 kommuniziert. Der Splitter 8534 erzeugt mehrere Kopien des verstärkten Signals und kommuniziert Signalkopien zu den mehreren Phasenschiebern 8532. Die mehreren Phasenschieber 8532 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 8530 verstärkt werden können. Die mehreren Verstärker 8530 erzeugen mehrere Signale 8528 zum Senden durch die phasengesteuerte Antennengruppe 8508.
  • 86 stellt ein verteiltes System mit verteilter phasengesteuerter Gruppe mit einem RFEM, das mit einem BBS über ein einzelnes Koax-Kabel zum Kommunizieren von RF-Signalen gekoppelt ist, gemäß einigen Aspekten dar. Bezug nehmend auf 86 kann das Kommunikationssystem 8600 mit verteilter phasengesteuerter Gruppe das RFEM 8602 gekoppelt mit einem Basisbandteilsystem (BBS) 8604 über ein einziges Koax-Kabel 8606 aufweisen. Das RFEM 8602 kann eine phasengesteuerte Antennengruppe 8608, einen RF-Empfänger 8610, einen RF-Sender 8612, einen Duplexer 8636 und einen Sende (TX)/Empfangs (RX)-Schalter 8634 aufweisen. Der RF-Empfänger 8610 kann mehrere Leistungsverstärker 8616, mehrere Phasenschieber 8618, einen Kombinierer 8620 und einen RF-Verstärker 8622 aufweisen. Der RF-Sender 8612 kann einen RF-Verstärker 8632, einen Splitter 8630, mehrere Phasenschieber 8628 und mehrere Verstärker 8626 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 8634 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 8608 kann zum Empfangen von mehreren Signalen 8614 verwendet werden. Die empfangenen Signale 8614 können durch die Verstärker 8616 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 8618 angepasst werden. Jeder der Phasenschieber 8618 kann ein separates Phasenanpassungssignal (in 86 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 8604) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 8608 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 8618 können durch den Kombinierer 8620 kombiniert und dann durch den RF Verstärker 8622 verstärkt werden, um ein RF-Eingabesignal 8623 zu erzeugen. Das RF-Eingabesignal 8623 kann zu dem BBS 8604 über den Duplexer 8636 und das Koax-Kabel 8606 kommuniziert werden. In einigen Aspekten kann das RF-Eingabesignal 8623 ein 60 GHz-Signal oder ein anderes Signal in einem Millimeterwellenband, einschließlich eines 5G-Kommunikationsbands, sein. In einigen Aspekten kann das RFEM 8602 einen adaptiven Anpassungsblock 8638 zur Impedanzanpassung vor der Kommunikation von Signalen über das Koax-Kabel 806 aufweisen, wie hier nachstehend erläutert ist.
  • In einer beispielhaften Sendeoperation kann der Schalter 8634 Senderkettenverarbeitung aktivieren. Das RFEM 8602 kann ein RF-Ausgabesignal 8631 von dem BBS 8604 über das Koax-Kabel 8606 und den Duplexer 8636 empfangen. Das RF-Signal 8631 kann durch den RF-Verstärker 8632 verstärkt und dann zu dem Splitter 8630 kommuniziert werden. Der Splitter 8630 kann mehrere Kopien des verstärkten RF-Signals erzeugen und die Signalkopien zu den mehreren Phasenschiebern 8628 kommunizieren. Die mehreren Phasenschieber 8628 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 8626 verstärkt werden können. Die mehreren Verstärker 8626 erzeugen mehrere Signale 8624 zum Senden durch die phasengesteuerte Antennengruppe 8608.
  • 87 stellt ein genaueres Diagramm des BBS von 86 gemäß einigen Aspekten dar. Bezug nehmend auf 87 kann das BBS 8604 einen Duplexer 8702, einen RF-Empfänger 8704, einen RF-Sender 8706, ein Modem 8724, einen Kristalloszillator 8730, einen Synthesizer 8728 und einen Teiler 8726 aufweisen. Der Synthesizer 8728 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 8730 verwenden, um ein Taktsignal wie z. B. das Signal 8732 zu erzeugen. Das erzeugte Taktsignal 8732 kann durch den RF-Empfänger 8704 verwendet werden, um ein empfangenes Signal unter Verwendung der Mischer 8710 abwärtsumzusetzen. Das erzeugte Taktsignal 8732 kann außerdem durch den RF-Sender 8706 verwendet werden, um ein Signal unter Verwendung der Mischer 8718 aufwärtsumzusetzen.
  • Das Taktsignal 8732 kann außerdem durch den Teiler 8726 geteilt werden, um ein zweites Taktsignal 8734 zu erzeugen. Das erzeugte zweite Taktsignal 8734 kann durch den RF-Empfänger 8704 verwendet werden, um ein empfangenes Signal unter Verwendung der Mischer 8710 abwärtsumzusetzen. Das erzeugte zweite Taktsignal 8734 kann außerdem durch den RF-Sender 8706 verwendet werden, um ein Signal unter Verwendung der Mischer 8718 aufwärtsumzusetzen. Wie in 87 zu sehen ist, können, falls es in einigen Aspekten notwendig ist, zwei separate Taktsignale 8734 und 8732 durch den Synthesizer 8728 und den Teiler 8726 für den Zweck der Ausführung mehrerer Abwärtsumsetzungs- oder Aufwärtsumsetzungs-Schemas erzeugt werden.
  • Eines der oder beide der zwei Taktsignale 8734 und 8732 können zur Abwärtsumsetzung der RF-Signale in das Basisband unter Verwendung einer oder mehrerer Zwischen-IF-Stufen oder in einigen Fällen Umsetzung von RF auf das Basisband ohne eine Zwischen-IF-Stufenumsetzung verwendet werden. Ähnlich können eines der oder beide der Taktsignale 8734 und 8732 zur Aufwärtsumsetzung eines Basisbandsignals in ein RF-Signal unter Verwendung einer oder mehrerer Zwischen-IF-Stufen oder in einigen Fällen Umsetzung von dem Basisband auf RF ohne eine Zwischen-IF-Stufenumsetzung verwendet werden.
  • Der RF-Empfänger 8704 kann einen RF-Verstärker 8708, Mischer 8710, Filter 8712 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 8714 aufweisen. Der RF-Sender 8706 kann Digital/Analog-Umsetzungs-(DAC-) Blöcke 8722, Filter 8720, Mischer 8718 und einen RF-Verstärker 8716 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein RF-Signal (z. B. 8623) von dem RFEM 8602 über das einzelne Koax 8606 und den Duplexer 8702 empfangen und wird durch den RF-Verstärker 8708 verstärkt. Das verstärkte RF-Signal kann durch die Mischer 8710 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 8712 gefiltert und durch die ADC-Blöcke 8714 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 8724 verarbeitet wird.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 8724 ausgegeben wird, durch die DAC-Blöcke 8722 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 8720 gefiltert und dann durch die Mischer 8718 in ein RF-Signal aufwärtsumgesetzt. Das RF-Signal kann durch den RF-Verstärker 8716 verstärkt und dann über den Duplexer 8702 und das einzelne Koax-Kabel 8606 zu dem RFEM 8602 (beispielsweise als das Signal 8631) kommuniziert werden.
  • In einigen Aspekten kann das Koax-Kabel 8606 zur Kommunikation von DC-Leistungssignalen (z. B. von dem BBS 8604 zu dem RFEM 8602), Steuersignalen und RF-Datensignalen, die durch die Antennenelemente 8608 der phasengesteuerten Gruppe empfangen oder gesendet werden, verwendet werden. Die Steuersignale können Phasenanpassungssignale, Einschaltsignale, Ausschaltsignale und andere Steuersignale enthalten, die von dem BBS 8604 zu dem RFEM 8602 kommuniziert werden. In einigen Aspekten können Steuersignale Phasenanpassungsanforderungssignale oder andere Datenanforderungssignale enthalten, die von dem RFEM 8602 zu dem BBS 8604 kommuniziert werden. In diesem Zusammenhang kann ein Direktumsetzungsschema in Verbindung mit einem System mit verteilter phasengesteuerter Gruppe verwendet werden, wobei das RFEM und das BBS über ein einzelnes Koax-Kabel gekoppelt sind.
  • In einigen Aspekten kann das Steuersignal zum Steuern des RFEM-Betriebs (z. B. Steuern der Ausgangsleistungspegel, AGC, EIN/AUS usw.) verwendet werden. Zusätzlich kann die Steuerverbindungsstrecke zwischen dem RFEM und dem BBS bidirektional sein und kann für BBS-zu-RFEM-Befehle und für RFEM-zu-BBS-Telemetrieübertragung (z. B. PA-Leistungsdektetorlesen, ACK nach Empfang eines Steuerbefehls, Temperaturdetektorlesen usw.) verwendet werden.
  • In einigen Aspekten können unterschiedliche Typen von Koax-Kabeln (z. B. 8606) in Verbindung mit einem Kommunikationssystem mit verteilter phasengesteuerter Gruppe, das RF über das Koax kommuniziert, verwendet werden. Beispielsweise kann ein Koaxialkabel von hoher Qualität, ein halbstarres Kabel oder ein flexibles halbstarres Kabel als das Kabel 8606 verwendet werden, was Hochfrequenzkommunikation von RF-Signalen mit einem zumutbaren Verlust ermöglicht.
  • In einem weiteren Beispiel kann ein kostengünstigeres Koax-Kabel als das Koax 8606 verwendet werden, was zu Problemen mit der Anpassung (S11) und hohem Verlust (S21) mit Hochfrequenz-, RF-, Kommunikation führen kann. Diese Nachteile können über Systemkonstruktionsänderungen verbessert werden, wie z. B. Verbesserungen der adaptiven Kabelanpassung, robuste RX- und TX-Einregelungen und dem Auslöschen von RX- und TX-Nichtlinearitätsverzerrung.
  • RF-Signalkommunikation über ein Kabel kann mit hohen Verlusten und Anpassungsproblemen einhergehen. Aufgrund der hohen Frequenz, die der RF-Kabelkommunikation zugeordnet ist, kann die Variation der Kabelanpassung hoch und unerwartet sein, was den Leistungsverlust zwischen dem Kabel und der Last beeinflusst. In einem Beispiel und um diese Nachteile zu überwinden kann eine Schaltung zur adaptiven Impedanzanpassung (z. B. 8638 und 8736) in dem RFEM 8602 und dem BBS 8604 verwendet werden, wie in den 86-87 zu sehen ist.
  • In einigen Aspekten kann höherer Signalverlust, der dem Koax-Kabel zugeordnet ist, durch Hinzufügen zusätzlicher Gewinnverstärkungs/Anpassungsstufen (in den Figuren nicht dargestellt) (z. B. vor dem Kabel 8606 und der adaptiven Anpassung 8638 innerhalb des RFEM 8602) adressiert werden, was sicherstellen kann, dass ein potentieller hoher Signalverlust des Koax-Kabels das SNR des kommunizierten RF-Signals nicht verschlechtern würde.
  • In einigen Aspekten können zusätzliche Verstärkungsstufen in der RX- und TX-Einregelung zu Nichtlinearitätsverzerrung führen. Diese Signalverzerrungen können jedoch über digitale Mechanismen kompensiert werden, wie z. B. eine Vor-Verzerrungsanpassungsschaltung in dem TX-Pfad oder eine Nach-Verzerrungsanpassungsschaltung in dem RX-Pfad (in den Figuren nicht dargestellt).
  • 88 stellt ein beispielhaftes System mit verteilter phasengesteuerter Gruppe, das mehrere Kommunikationsbänder unter Verwendung mehrerer RFEMs, die mit einem einzelnen BBS gekoppelt sind, unterstützt, gemäß einigen Aspekten dar. Bezug nehmend auf 88 kann das Kommunikationssystem 8800 mit verteilter phasengesteuerter Gruppe verwendet werden um ein Mehrbandsystem zu implementieren. Insbesondere können mehrere RFEMs (8802, ..., 8840) mit einem einzelnen BBS (8604) verwendet werden, wobei jedes RFEM eine phasengesteuerte Antennengruppe zum Verarbeiten drahtloser Signale in einem spezifischen Kommunikationsband aufweist. Die RFEMs 8802, ..., 8840 können mit dem BBS 8804 über entsprechende einzelne Koax-Kabel 8806, ..., 8807 gekoppelt sein.
  • Bezug nehmend auf 88 kann das Kommunikationssystem 8800 mit verteilter phasengesteuerter Gruppe das RFEM 8802 gekoppelt mit dem BBS 8804 über ein einziges Koax-Kabel 8806 aufweisen. Das RFEM 8802 kann eine phasengesteuerte Antennengruppe 8808, einen RF-Empfänger 8810, einen RF-Sender 8812, einen Duplexer 8836 und einen Sende (TX)/Empfangs (RX)-Schalter 8834 aufweisen. Der RF-Empfänger 8810 kann mehrere Leistungsverstärker 8816, mehrere Phasenschieber 8818, einen Kombinierer 8820 und einen RF-Verstärker 8822 aufweisen. Der RF-Sender 8812 kann einen RF-Verstärker 8832, einen Splitter 8830, mehrere Phasenschieber 8828 und mehrere Verstärker 8826 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 8834 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 8808 kann zum Empfangen von mehreren Signalen 8814 verwendet werden. Die empfangenen Signale 8814 können durch die Verstärker 8816 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 8818 angepasst werden. Jeder der Phasenschieber 8818 kann ein separates Phasenanpassungssignal (in 88 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 8804) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 8808 empfangenen Signale verarbeitet werden. Die phasenangepassten Signale an dem Ausgang der Phasenschieber 8818 können durch den Kombinierer 8820 kombiniert und dann durch den RF Verstärker 8822 verstärkt werden, um ein RF-Eingabesignal 8823 zu erzeugen. Das RF-Eingabesignal 8823 kann zu dem BBS 8804 über den Duplexer 8836 und das Koax-Kabel 8806 kommuniziert werden. In einigen Aspekten kann das RF-Eingabesignal 8823 ein 60 GHz-Signal oder ein anderes Signal in einem Millimeterwellenband, einschließlich eines 5G-Kommunikationsbands, sein.
  • In einer beispielhaften Sendeoperation kann der Schalter 8834 Senderkettenverarbeitung aktivieren. Das RFEM 8802 kann ein RF-Ausgabesignal 8831 von dem BBS 8804 über das Koax-Kabel 8806 und den Duplexer 8836 empfangen. Das RF-Signal 8831 kann durch den RF-Verstärker 8832 verstärkt und dann zu dem Splitter 8830 kommuniziert werden. Der Splitter 8830 kann mehrere Kopien des verstärkten RF-Signals erzeugen und die Signalkopien zu den mehreren Phasenschiebern 8828 kommunizieren. Die mehreren Phasenschieber 8828 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 8826 verstärkt werden können. Die mehreren Verstärker 8826 können mehrere Signale 8824 zum Senden durch die phasengesteuerte Antennengruppe 8808 erzeugen. In einigen Aspekten können die restlichen RFEMs innerhalb des Systems 8800 gleich dem RFEM 8802 sein.
  • Obwohl 86, 87 und 88 die Verwendung eines einzigen Koax-Kabels, um das BBS mit dem RFEM zu verbinden, offenbaren, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und andere Typen von Verbindungen können ebenfalls verwendet werden. Beispielsweise kann ein weiterer Typ einer Millimeterwellenverbindung oder eines Kabels anstelle des einzelnen Koax-Kabels verwendet werden. Andere Typen von Verbindungen, die verwendet werden können, enthalten halbstarre Kabel, flexible Kabel eines flexiblen Substrats, gedruckte RF-Sendeleitungen auf einer PCB, eine starr-flexible Platine und so weiter. Beispielsweise und mit Bezug auf 88 kann eine starr-flexible Platine anstelle der Koax-Kabel 8806, ..., 8807 verwendet werden, wobei die mehreren RFEMs über die RF-Leitungen gespeist werden können, die sich von dem Haupt-BBS 8804 zu den RFEMs an unterschiedlichen Orten unter Verwendung des flexiblen Abschnitts der Verbindungsplatine (z. B. des RF-über-flex-Abschnitts einer starr-flexiblen Platine) ausbreiten. Auf diese Weise kann sich das RFEM in unterschiedlichen Bereichen in einem System basierend auf dem PC-/mobilen Formfaktor oder in einem Basisstationsgehäuse umknicken und umbiegen.
  • 89 stellt ein genaueres Diagramm des BBS von 88 gemäß einigen Aspekten dar. Bezug nehmend auf 89 kann das BBS 8804 einen Empfänger 8904, einen Sender 8908, die Triplexer 8902 und 8906, ein Modem 8934, die Synthesizer 8948, 8950 und 8952 einen Abwärtsumsetzungsblock 8936 und einen Aufwärtsumsetzungsblock 8942 aufweisen. In einigen Aspekten können der Mischer 8910 und der Verstärker 8912 einen Abwärtsumsetzungsblock (wie z. B. 8936) bilden, der von dem Empfänger 8904 getrennt sein kann. In einigen Aspekten können der Mischer 8924 und der Verstärker 8922 einen Aufwärtsumsetzungsblock (wie z. B. 8942) bilden, der von dem Sender 8908 getrennt sein kann. Der Abwärtsumsetzungsblock 8936 und der Aufwärtsumsetzungsblock 8942 können zum Verarbeiten von Sende- oder Empfangssignalen, die dem RFEM 8840 zugeordnet sind, verwendet werden. Zusätzliche Aufwärtsumsetzungs- oder Abwärtsumsetzungsblöcke können innerhalb des BBS 8804 verwendet werden, um Signale zu verarbeiten, die zusätzlichen RFEMs zugeordnet sind.
  • Die Synthesizer 8950, 8952 und 8948 können geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und können ein Signal aus dem Kristalloszillator 8948 verwenden, um Taktsignale zu erzeugen. In einigen Aspekten kann der erste Synthesizer 8952 ein LO-Signal zum Abwärtsumsetzen eines RF-Signals in einem ersten Frequenzband (z. B. eines RF-Signals in dem Millimeterwellenband, das von dem RFEM 8802 empfangen wird) in ein IF-Signal erzeugen. In einigen Aspekten kann der zweiten Synthesizer 8948 ein LO-Signal zum Abwärtsumsetzen eines RF-Signals in einem zweiten Frequenzband (z. B. eines RF-Signals in dem Millimeterwellenband, das von dem RFEM 8840 empfangen wird) in ein IF-Signal an derselben IF-Signal wie sie dem Synthesizer 8952 zugeordnet ist, erzeugen. In einigen Aspekten kann der Synthesizer 8950 konfiguriert sein, ein LO-Signal zu erzeugen, das durch die Mischer 8916 zum Abwärtsumsetzen eines IF-Signals in das Basisband oder durch die Mischer 8928 zum Aufwärtsumsetzen eines Basisbandsignals in ein IF-Signal verwendet werden kann.
  • Der Empfänger 8904 kann einen Mischer 8910, einen LO-Verstärker 8912, einen IF-Verstärker 8914, die Mischer 8916, die Filter (z. B. Tiefpassfilter) 8918 und die Analog/Digital-Umsetzungs- (ADC-) Blöcke 8920 aufweisen. Der Sender 8908 kann die Digital/Analog-Umsetzungs- (DAC-) Blöcke 8932, die Filter 8930, die Mischer 8928, einen IF-Verstärker 8926, einen Mischer 8924 und einen LO-Verstärker 8922 aufweisen. Der Abwärtsumsetzungsblock 8936 für das zweite RFEM kann einen Mischer 8938 und einen LO-Verstärker 8940 aufweisen. Der Aufwärtsumsetzungsblock 8942 für das zweite RFEM kann einen Mischer 8946 und einen LO-Verstärker 8944 aufweisen.
  • In einer beispielhaften Empfangsoperation, die dem RFEM 8802 zugeordnet ist, wird ein RF-Signal von dem RFEM 8802 über den Triplexer 8902 empfangen. Das empfangene RF-Signal wird durch die Mischer 8910 unter Verwendung eines LO-Signals, das durch den Synthesizer 8952 erzeugt wird, in ein IF-Signal abwärtsumgesetzt. Das IF-Signal wird durch den IF-Verstärker 8914 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 8916 unter Verwendung eines LO-Signals, das durch den Synthesizer 8950 erzeugt wird, in Basisbandsignale abwärtsumgesetzt werden. Das Basisbandsignal wird dann durch die Tiefpassfilter 8918 gefiltert und durch die ADC-Blöcke 8920 in ein digitales Signal umsetzt, bevor es durch das Modem 8934 verarbeitet wird.
  • In einer beispielhaften Sendeoperation, die dem RFEM 8802 zugeordnet ist, kann ein digitales Signal, das durch das Modem 8934 ausgegeben wird, durch die DAC-Blöcke 8932 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 8930 gefiltert und dann durch die Mischer 8928 unter Verwendung eines LO-Signals, das durch den Synthesizer 8950 erzeugt wird, in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 8926 verstärkt werden und dann unter Verwendung der Mischer 8924 und eines LO-Signals, das durch den Synthesizer 8952 erzeugt wird, in ein RF-Signal aufwärtsumgesetzt werden. Das RF-Signal wird dann über den Triplexer 8902 und das einzelne Koax-Kabel 8806 zu dem RFEM 8802 kommuniziert.
  • In einer beispielhaften Empfangsoperation, die dem RFEM 8840 zugeordnet ist, wird ein RF-Signal von dem RFEM 8840 über den Triplexer 8906 empfangen. Das empfangene RF-Signal wird durch den Mischer 8938 unter Verwendung eines LO-Signals, das durch den Synthesizer 8948 erzeugt wird, in ein IF-Signal abwärtsumgesetzt. Das IF-Signal wird durch den IF-Verstärker 8914 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 8916 unter Verwendung eines LO-Signals, das durch den Synthesizer 8950 erzeugt wird, in Basisbandsignale abwärtsumgesetzt werden. Das Basisbandsignal wird dann durch die Tiefpassfilter 8918 gefiltert und durch die ADC-Blöcke 8920 in ein digitales Signal umsetzt, bevor es durch das Modem 8934 verarbeitet wird.
  • In einer beispielhaften Sendeoperation, die dem RFEM 8840 zugeordnet ist, kann ein digitales Signal, das durch das Modem 8934 ausgegeben wird, durch die DAC-Blöcke 8932 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 8930 gefiltert und dann durch die Mischer 8928 unter Verwendung eines LO-Signals, das durch den Synthesizer 8950 erzeugt wird, in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 8926 verstärkt werden und dann unter Verwendung des Mischers 8946 und eines LO-Signals, das durch den Synthesizer 8948 erzeugt wird, in ein RF-Signal aufwärtsumgesetzt werden. Das RF-Signal wird dann über den Triplexer 8906 und das einzelne Koax-Kabel 8807 zu dem RFEM 8840 kommuniziert.
  • Obwohl das BBS 8804 in 89 so dargestellt ist, dass es nur zwei Triplexer und zwei separate Aufwärtsumsetzungs- und Abwärtsumsetzungsketten, die den RFEMs 8802 und 8840 zugeordnet sind, aufweist, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt. Insbesondere kann das BBS 8804 zusätzliche Aufwärtsumsetzungs- und Abwärtsumsetzungsketten zum Verarbeiten von Signalen in andere Drahtlosbändern, die durch zusätzliche RFEMs bedient werden, aufweisen.
  • Wie hier erläutert verwendet die in Verbindung mit den 83-85 beschriebene Kommunikationsarchitekturlösung IF-Signale, die über ein Koaxialkabel geleitet werden, was ihr Modularität verleiht, jedoch sowohl zusätzliche Schaltung (Synthesizerschaltungen, Referenzerzeugung und Wiederherstellung, IF-Verstärker, Mischer und einen komplizierteren Triplexer aufgrund eines engeren Frequenzplans) als auch eine höhere Anzahl von Signalen (z. B. Referenzfrequenz für den Synthesizer und Steuersignale) auf dem RFEM erfordern kann. Da in kleinen Plattformen (insbesondere Mobiltelefonplattformen) die Fläche und das Volumen in der Nähe des Rands der Plattform teuer sein können (viele konkurrierende Antennen und Protokolle für ein begrenztes Volumen, insbesondere wenn die Plattformen immer dünner werden), kann dieser hinzugefügte Inhalt zu Schwierigkeiten mit der Implementierung und Verarbeitungseffizienz führen.
  • Die in Verbindung mit den 86-89 beschriebene Kommunikationsarchitekturlösung ist eine alternative Lösung zum Reduzieren der Schaltungskomplexität. Insbesondere werden, wie in 86-89 zu sehen ist, IF- und Synthesizer-Inhalt von dem RFEM entfernt, und dadurch sind die Siliziumfläche und das Volumen der Lösung um die Antenne signifikant reduziert. Die Lösung der 86-89 kann jedoch einige Nachteile aufweisen, die mit der Modularität verbunden sind. Beispielsweise kann für jedes Band, für das Unterstützung erforderlich ist, kann ein neuer BBS-Chip (für spezifische RF- und IF-Frequenzen) benötigt werden. Das kann ein Nachteil sein, weil einige BBSs Drahtlosbandverarbeitung aufweisen können, die von einigen Systemanbietern nicht angefordert ist, oder sie eine spezifische Bandverarbeitungsfunktionalität nicht enthält, die von anderen Anbietern gefordert ist.
  • In einigen Aspekten kann eine Begleit-Chip-Lösung in einem Kommunikationssystem mit verteilter phasengesteuerter Gruppe eingeführt und implementiert sein. Die Begleit-Chip-Lösung ist hier mit Bezug auf die 90-92 dargestellt. Insbesondere basiert das RFEM auf RFoC-Verarbeitung (ähnlich den RFEMs in den 86-89), und das BBS ist zum Verarbeiten von IF-Signalen konfiguriert, was das BBS in unterschiedlichen Kommunikationssystemen mit verteilter phasengesteuerter Gruppe gleich halten kann. Der Begleit-Chip ist als ein Bindeglied zwischen dem RFEM und dem BBS eingeführt und kann für RF-zu-IF-Signalverarbeitung, die einem spezifischen Drahtlosband zugeordnet ist, konfiguriert sein. In diesem Zusammenhang sind die Fläche und das Volumen am Rand der Plattform reduziert, und das BBS kann für mehrere Kommunikationssysteme gleich gehalten werden (mit einem unterschiedlichen Begleit-Chip, der in unterschiedlichen Kommunikationssystemen basierend auf den Anforderungen zum Verarbeiten eines Bands eingeführt ist). Durch Verwenden des Begleit-Chips können sowohl Modularität als auch minimales Volumen am Rand der Plattform erreicht werden.
  • Wie er hier verwendet ist, ist der Begriff „Begleit-Chip“ mit dem Begriff ergänzendes Zwischenfrequenzeilsystem (SIFS) austauschbar verwendet.
  • 90 stellt ein beispielhaftes System mit verteilter phasengesteuerter Gruppe, das RFEM, einen Begleit-Chip und ein BBS enthält, wobei die IF-Verarbeitung in den Begleit-Chip entladen ist, gemäß einigen Aspekten dar. Bezug nehmend auf 90 kann das Kommunikationssystem 9000 mit verteilter phasengesteuerter Gruppe das RFEM 9002, den Begleit-Chip 9040 und ein Basisbandteilsystem (BBS) 9004 aufweisen. Das RFEM 9002 ist mit dem Begleit-Chip 9040 über ein einzelnes Koax-Kabel 9042 gekoppelt. Der Begleit-Chip 9040 ist mit dem BBS 9004 über die Verbindung 9006 gekoppelt. In einigen Aspekten kann die Verbindung 9006 PCB-Verbindungsleiterbahnen sein (z. B. wie mit 9122 und 9124 in 91 angegeben).
  • Das RFEM 9002 kann eine phasengesteuerte Antennengruppe 9008, einen RF-Empfänger 9010, einen RF-Sender 9012, einen Duplexer 9036 und einen Sende (TX)/Empfangs (RX)-Schalter 9034 aufweisen. Der RF-Empfänger 9010 kann mehrere Leistungsverstärker 9016, mehrere Phasenschieber 9018, einen Kombinierer 9020 und einen RF-Verstärker 9022 aufweisen. Der RF-Sender 9012 kann einen RF-Verstärker 9032, einen Splitter 9030, mehrere Phasenschieber 9028 und mehrere Verstärker 9026 aufweisen.
  • In einer beispielhaften Empfangsoperation kann der Schalter 9034 Empfängerkettenverarbeitung aktivieren. Die Antennengruppe 9008 kann zum Empfangen von mehreren Signalen 9014 verwendet werden. Die empfangenen Signale 9014 können durch die Verstärker 9016 verstärkt werden, und ihre Phase kann durch die entsprechenden Phasenschieber 9018 angepasst werden. Jeder der Phasenschieber 9018 kann ein separates Phasenanpassungssignal (in 90 nicht dargestellt) von einer Steuerschaltung (z. B. von einem Modem innerhalb des BBS 9004) empfangen, wobei die einzelnen Phasenanpassungssignale auf einer gewünschten Signalrichtungsabhängigkeit basieren können, wenn die über die phasengesteuerte Antennengruppe 9008 empfangenen Signale verarbeitet werden.
  • Die phasenangepassten Signale an dem Ausgang der Phasenschieber 9018 können durch den Kombinierer 9020 kombiniert und dann durch den RF-Verstärker 9022 verstärkt werden, um ein RF-Eingabesignal 9023 zu erzeugen. Das RF-Eingabesignal 9023 kann über den Duplexer 9036 und das Koax-Kabel 9042 zu dem Begleit-Chip 9040 kommuniziert werden. In einigen Aspekten kann das RF-Eingabesignal 9023 ein 60 GHz-Signal oder ein anderes Signal in einem Millimeterwellenband, einschließlich eines 5G-Kommunikationsbands, sein. In einigen Aspekten kann das RFEM 9002 einen adaptiven Anpassungsblock 9038 zur Impedanzanpassung vor der Kommunikation von Signalen über das Koax-Kabel 9042 aufweisen, wie hier nachstehend erläutert ist.
  • In einer beispielhaften Sendeoperation kann der Schalter 9034 Senderkettenverarbeitung aktivieren. Das BBS 9004 kann ein Basisbandsignal erzeugen, das in dem BBS 9004 in ein IF-Signal umgesetzt werden kann. Das IF-Signal kann über die Verbindung 9006 zu dem Begleit-Chip 9040 kommuniziert werden, wo es in ein RF-Ausgabesignal 9031 umgesetzt werden kann. Das RFEM 9002 kann das RF-Ausgabesignal 9031 von dem Begleit-Chip 9040 über das Koax-Kabel 9042 und den Duplexer 9036 empfangen.
  • Das RF-Ausgabesignal 9031 kann durch den RF-Verstärker 9032 verstärkt und dann zu dem Splitter 9030 kommuniziert werden. Der Splitter 9030 kann mehrere Kopien des verstärkten RF-Signals erzeugen und die Signalkopien zu den mehreren Phasenschiebern 9028 kommunizieren. Die mehreren Phasenschieber 9028 können unterschiedliche Phasenanpassungssignale anwenden, um mehrere phasenangepasste Signale zu erzeugen, die durch die mehreren Verstärker 9026 verstärkt werden können. Die mehreren Verstärker 9026 erzeugen mehrere Signale 9024 zum Senden durch die phasengesteuerte Antennengruppe 9008.
  • 91 stellt ein genaueres Diagramm des Begleit-Chips und des BBS von 90 gemäß einigen Aspekten dar. Bezug nehmend auf 91 kann der Begleit-Chip 9040 einen Duplexer 9102, einen Empfänger 9104, einen Sender 9106 und einen LO-Synthesizer 9108 aufweisen. Der Empfänger 9104 kann einen Mischer 9110, einen LO-Verstärker 9112 und einen IF-Verstärker 9104 aufweisen. Der Sender 9106 kann einen Mischer 9118 einen LO-Verstärker 9116 und einen IF-Verstärker 9120 aufweisen.
  • Das BBS 9004 kann einen RF-Empfänger 9126, einen RF-Sender 9128, ein Modem 9130, einen Kristalloszillator 9136, einen Synthesizer 9134 und einen Teiler 9132 aufweisen. Der Synthesizer 9134 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann ein Signal aus dem Kristalloszillator 9136 verwenden, um ein Taktsignal wie z. B. das Signal 9135 zu erzeugen. Das erzeugte Taktsignal 9135 kann durch den RF-Empfänger 9126 verwendet werden, um ein empfangenes IF-Signal (von dem Begleit-Chip 9040) unter Verwendung der Mischer 9140 abwärtsumzusetzen. Das erzeugte Taktsignal 9135 kann außerdem durch den RF-Sender 9128 verwendet werden, um ein Basisbandsignal unter Verwendung der Mischer 9148 in ein IF-Signal aufwärtsumzusetzen..
  • In einigen Aspekten kann das LO-Signal 9135 durch den Teiler 9132 geteilt werden, um ein Taktreferenzsignal 9133 zu erzeugen. Das Taktreferenzsignal 9133 kann zu dem Begleit-Chip 9040 kommuniziert werden und durch den Synthesizer 9108 verwendet werden, um ein LO-Signal 9154 zu erzeugen, das zum Abwärtsumsetzen eines RF-Signals (z. B. 9023) in ein IF-Signal oder zum Aufwärtsumsetzen eines IF-Signals in ein RF-Signal (z. B. 9031) verwendet wird.
  • Der RF-Empfänger 9126 kann einen IF-Verstärker 9138, Mischer 9140, Filter 9142 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 9144 aufweisen. Der RF-Sender 9128 kann Digital/Analog-Umsetzungs- (DAC-) Blöcke 9152, Filter 9150, Mischer 9148 und einen IF-Verstärker 9146 aufweisen.
  • In einer beispielhaften Empfangsoperation wird ein RF-Signal (z. B. 9023) durch den Begleit-Chip 9040 von dem RFEM 9002 über das einzelne Koax 9042 und den Duplexer 9102 empfangen. Das RF-Signal 9023 wird durch den Empfänger 9104 abwärtsumgesetzt, um ein IF-Signal 9156 zu erzeugen. Insbesondere wird das RF-Signal 9023 durch den Mischer 9110 unter Verwendung eines LO-Referenzsignals 9154, das durch den Verstärker 9112 verstärkt ist, abwärtsumgesetzt. Das abwärtsumgesetzte Signal wird durch den Verstärker 9114 verstärkt, um das IF-Signal 9156 zu erzeugen. Das IF-Signal 9156 wird zu dem BBS 9004 über die Verbindung 9006 (z. B. die Leiterplattenbahnen 9122) zur zusätzlichen Verarbeitung durch den Empfänger 9126 kommuniziert. Anfangs wird das IF-Signal 9156 durch den IF-Verstärker 9138 verstärkt. Das verstärkte IF-Signal kann durch die Mischer 9140 auf Basisbandsignale abwärtsumgesetzt werden, dann durch die Tiefpassfilter 9142 gefiltert und durch die ADC-Blöcke 9144 in ein digitales Signal umgesetzt werden, bevor es durch das Modem 9130 verarbeitet wird. In einigen Aspekten können TX/RX-Schalter an beiden Eingabe/Ausgabe-Seiten des Begleit-Chips 9040 und des BBS 9004 enthalten sein, so das ein einzelnes Signal (z. B. ein kombiniertes Signal) zwischen den Teilsystemen 9040 und 9004 kommuniziert werden kann. In diesem Fall kann eine einzelne Menge von Leiterplattenbahnen (z. B. nur 9122) anstelle mehrerer Mengen verwendet werden.
  • In einer beispielhaften Sendeoperation kann ein digitales Signal, das durch das Modem 9130 ausgegeben wird, durch die DAC-Blöcke 9152 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 9150 gefiltert und durch die Mischer 9148 in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 9146 verstärkt werden, um ein IF-Signal 9158 zu erzeugen. Das IF-Signal 9158 wird zu dem Begleit-Chip 9040 über die Verbindung 9006 (z. B. die Leiterplattenbahnen 9124) kommuniziert. In dem Begleit-Chip 9040 wird das IF-Signal 9158 zunächst durch den Verstärker 9120 innerhalb des Senders 9106 verstärkt und wird dann durch den Mischer 9118 unter Verwendung des LO-Signals 9154, das durch den Verstärker 9116 verstärkt ist, aufwärtsumgesetzt. Der Mischer 9118 erzeugt ein RF-Ausgabesignal 9031, das über den Duplexer 9102 und das Koax-Kabel 9042 zu dem RFEM 9002 kommuniziert wird.
  • In einigen Aspekten kann das Koax-Kabel 9042 zur Kommunikation von DC-Leistungssignalen (z. B. von dem BBS 9004 zu dem RFEM 9002), Steuersignalen und RF-Datensignalen, die durch die phasengesteuerten Gruppenantennenelemente 9008 empfangen oder gesendet werden, verwendet werden. Die Steuersignale können Phasenanpassungssignale, Einschaltsignale, Ausschaltsignale und andere Steuersignale enthalten, die von dem BBS 9004 zu dem RFEM 9002 und/oder dem Begleit-Chip 9040 kommuniziert werden. In einigen Aspekten können Steuersignale Phasenanpassungsanforderungssignale oder andere Datenanforderungssignale enthalten, die von dem RFEM 9002 über den Begleit-Chip 9040 zu dem BBS 9004 kommuniziert werden. In diesem Zusammenhang kann ein Direktumsetzungsschema in Verbindung mit einem System mit verteilter phasengesteuerter Gruppe verwendet werden, wobei das RFEM und das BBS über ein einzelnes Koax-Kabel gekoppelt sind.
  • In einigen Aspekten kann das Steuersignal zum Steuern des RFEM-Betriebs (z. B. Steuern der Ausgangsleistungspegel, AGC, EIN/AUS usw.) verwendet werden. Zusätzlich kann die Steuerverbindungsstrecke zwischen dem RFEM und dem BBS bidirektional sein und kann für BBS-zu-RFEM-Befehle und für RFEM-zu-BBS-Telemetrieübertragung (z. B. PA-Leistungsdektetorlesen, ACK nach Empfang eines Steuerbefehls, Temperaturdetektorlesen usw.) verwendet werden.
  • 92 stellt ein Mehrband-System mit verteilter phasengesteuerter Gruppe mit IF-Verarbeitung innerhalb des Begleit-Chips gemäß einigen Aspekten dar. Bezug nehmend auf 92 kann das Kommunikationssystem 9200 mit verteilter phasengesteuerter Gruppe verwendet werden um ein Mehrbandsystem zu implementieren. Insbesondere können mehrere RFEMs (9202, ..., 9204) mit einem einzelnen Begleit-Chip 9206 und einem einzelnen BBS 9208 verwendet werden, wobei jedes RFEM eine phasengesteuerte Antennengruppe zum Verarbeiten drahtloser Signale in einem spezifischen Kommunikationsband aufweist. Die RFEMs 9202, ..., 9204 können mit dem Begleit-Chip 9206 über entsprechende einzelne Koax-Kabel 9210, ..., 9212 gekoppelt sein.
  • Bezug nehmend auf 92 kann der Begleit-Chip 9206 mehrere Verarbeitungsketten aufweisen, wobei jede Kette einem separaten RFEM zugeordnet ist. Insbesondere kann eine erste Verarbeitungskette innerhalb des Begleit-Chips 9206 dem RFEM 9202 zugeordnet sein und kann einen Duplexer 9216, einen IF-Empfänger 9218, einen LO-Generator 9222 und einen IF-Sender 9220 aufweisen. Eine zweite Verarbeitungskette innerhalb des Begleit-Chips 9206 kann dem RFEM 9204 zugeordnet sein und kann einen Duplexer 9236 einen IF-Empfänger 9238, einen LO-Generator 9242 und einen IF-Sender 9240 aufweisen.
  • Das BBS 9208 kann einen Empfänger 9260, einen Sender 9262, ein Modem 9264, einen Oszillator 9270, einen Synthesizer 9268 und einen Teiler 9266 aufweisen. Der Synthesizer 9268 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code enthalten und kann ein Signal aus dem Kristalloszillator 9270 verwenden, um Taktsignale zu erzeugen. In einigen Aspekten kann der Synthesizer 9268 ein LO-Signal erzeugen, das durch die Mischer 9274 verwendet wird, um ein IF-Signal 9258 abwärtsumzusetzen, oder durch die Mischer 9282 verwendet wird, um ein Basisbandsignal in ein IF-Signal zur Verstärkung durch den Verstärker 9280 aufwärtsumzusetzen. In einigen Aspekten kann der Synthesizer 9268 ein LO-Signal erzeugen, das durch den Teiler 9266 geteilt werden kann, um ein Taktreferenzsignal 9267 zu erzeugen. Das Taktreferenzsignal kann über die Leiterplattenbahnen 9214 zu dem Begleit-Chip 9206 zum Gebrauch durch die Synthesizer 9222 und 9242 zum Erzeugen der entsprechenden LO-Signale 9223 und 9243 kommuniziert werden.
  • Der Empfänger 9260 kann einen IF-Verstärker 9272, Mischer 9274, Filter (z. B. Tiefpassfilter) 9276 und Analog/Digital-Umsetzungs- (ADC-) Blöcke 9278 aufweisen. Der Sender 9262 kann Digital/Analog-Umsetzungs-(DAC-) Blöcke 9286, Filter 9284, Mischer 9282 und einen IF-Verstärker 9280 aufweisen.
  • In einer beispielhaften Empfangsoperation, die dem RFEM 9202 zugeordnet ist, wird ein RF-Signal an dem Begleit-Chip 9206 von dem RFEM 9202 über das Koax-Kabel 9210 und den Duplexer 9216 empfangen. Das empfangene RF-Signal wird durch den Mischer 9224 unter Verwendung eines LO-Signals 9223, das durch den Synthesizer 9222 erzeugt wird, in ein IF-Signal abwärtsumgesetzt. Das IF-Signal wird durch den IF-Verstärker 9228 verstärkt. Das verstärkte IF-Signal 9258 wird über die Leiterplattenbahnen 9214 zu dem BBS 9208 zur weiteren Verarbeitung durch den Empfänger 9260 gesendet. In dem Empfänger 9260 wird das IF-Signal 9258 zunächst durch den Verstärker 9272 verstärkt und durch die Mischer 9274 unter Verwendung eines LO-Signals, das durch den Synthesizer 9268 erzeugt wird, in Basisbandsignale abwärtsumgesetzt. Das Basisbandsignal wird dann durch die Tiefpassfilter 9276 gefiltert und durch die ADC-Blöcke 9278 in ein digitales Signal umsetzt, bevor es durch das Modem 9264 verarbeitet wird.
  • In einer beispielhaften Sendeoperation, die dem RFEM 9202 zugeordnet ist, kann ein digitales Signal, das durch das Modem 9264 ausgegeben wird, durch die DAC-Blöcke 9286 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 9284 gefiltert und dann durch die Mischer 9282 unter Verwendung eines LO-Signals, das durch den Synthesizer 9268 erzeugt wird, in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 8926 verstärkt werden, um ein verstärktes IF-Signal 9256 zu erzeugen. Das IF-Signal 9256 wird zu dem Begleit-Chip 9206 über die Leiterplattenbahnen 9214 zur weiteren Verarbeitung durch den IF-Sender 9220 kommuniziert. Innerhalb des Senders 9220 wird das IF-Signal 9256 durch den Verstärker 9234 verstärkt und durch den Mischer 9232 unter Verwendung des LO-Signals 9223, das durch den Verstärker 9230 verstärkt ist, in ein RF-Signal aufwärtsumgesetzt. Das RF-Signal wird über den Duplexer 9216 und das Koax-Kabel 9210 zu dem RFEM 9202 kommuniziert zur weiteren Verarbeitung und zum Senden durch die RFEM-Antennengruppe. In einigen Aspekten können TX/RX-Schalter sowohl in dem Begleit-Chip 9206 als auch dem BBS 9208 verwendet werden, so dass eine einzige Menge von Leiterplattenbahnen verwendet werden kann, um ein einzelnes Signal (das zu ein kombiniertes Signal sein kann) ein einer gegebenen Zeit zu kommunizieren.
  • In einer beispielhaften Empfangsoperation, die dem RFEM 9204 zugeordnet ist, wird ein RF-Signal an dem Begleit-Chip 9206 von dem RFEM 9204 über das Koax-Kabel 9212 und den Duplexer 9236 empfangen. Das empfangene RF-Signal wird durch den Mischer 9244 unter Verwendung eines LO-Signals 9243, das durch den Synthesizer 9242 erzeugt wird, in ein IF-Signal abwärtsumgesetzt. Das IF-Signal wird durch den IF-Verstärker 9248 verstärkt. Das verstärkte IF-Signal 9258 wird über die Leiterplattenbahnen 9214 zu dem BBS 9208 zur weiteren Verarbeitung durch den Empfänger 9260 gesendet. In dem Empfänger 9260 wird das IF-Signal 9258 zunächst durch den Verstärker 9272 verstärkt und durch die Mischer 9274 unter Verwendung eines LO-Signals, das durch den Synthesizer 9268 erzeugt wird, in Basisbandsignale abwärtsumgesetzt. Das Basisbandsignal wird dann durch die Tiefpassfilter 9276 gefiltert und durch die ADC-Blöcke 9278 in ein digitales Signal umsetzt, bevor es durch das Modem 9264 verarbeitet wird.
  • In einer beispielhaften Sendeoperation, die dem RFEM 9202 zugeordnet ist, kann ein digitales Signal, das durch das Modem 9264 ausgegeben wird, durch die DAC-Blöcke 9286 in analoge Signale umgesetzt werden. Die analogen Signale werden dann durch die Tiefpassfilter 9284 gefiltert und dann durch die Mischer 9282 unter Verwendung eines LO-Signals, das durch den Synthesizer 9268 erzeugt wird, in ein IF-Signal aufwärtsumgesetzt. Das IF-Signal kann durch den IF-Verstärker 8926 verstärkt werden, um ein verstärktes IF-Signal 9256 zu erzeugen. Das IF-Signal 9256 wird zu dem Begleit-Chip 9206 über die Leiterplattenbahnen 9214 zur weiteren Verarbeitung durch den IF-Sender 9240 kommuniziert. Innerhalb des Senders 9240 wird das IF-Signal 9256 durch den Verstärker 9254 verstärkt und durch den Mischer 9252 unter Verwendung des LO-Signals 9243, das durch den Verstärker 9250 verstärkt ist, in ein RF-Signal aufwärtsumgesetzt. Das RF-Signal wird über den Duplexer 9236 und das Koax-Kabel 9212 zu dem RFEM 9204 kommuniziert zur weiteren Verarbeitung und zum Senden durch die RFEM-Antennengruppe.
  • Obwohl der Begleit-Chip 9206 in 92 so dargestellt ist, dass er nur zwei Duplexer und zwei separate Verarbeitungsketten (mit einem Empfänger und einem Sender in jeder Verarbeitungskette), die den RFEMs 9202 und 9204 zugeordnet sind, aufweist, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt. Insbesondere kann der Begleit-Chip 9026 zusätzliche Verarbeitungsketten zum Verarbeiten von Signalen in anderen Drahtlosbändern, die durch zusätzliche RFEMs bedient werden, aufweisen.
  • Obwohl die 91-92 die Teilsysteme 9108, 9134, 9222, 9242 und 9268 als LO-Generatoren diskutieren, können diese Teilsysteme auch andere Typen von Frequenzquellen (z. B. Frequenzmultiplizierer usw.) aufweisen.
  • Obwohl die 83-92 eine direkte Verbindung zwischen Triplexern (oder Duplexern) und Empfänger und/oder Sender und/oder Frequenzquellen darstellen, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und entsprechende TX/RX-Schalter können verwendet werden, so dass nur ein kombiniertes Signal zu den Triplexern (oder Duplexern) kommuniziert werden kann. Beispielsweise und mit Bezug auf 83 kann ein TX/RX-Schalter zwischen dem Triplexer 8348 und dem LO-Generator (oder der Frequenzquelle) 8344 vorhanden sein, der es ermöglicht, dass nur TX- oder RX-Signale zu irgendeiner Zeit über die Triplexer 8348 kommuniziert werden. Ähnlich können TX/RX-Schalter in Verbindung mit den Triplexern/Duplexern 8402, 8550, 8636, 8702, 8836, 8902, 8906, 9036, 9102, 9216 und 9236 verwendet werden.
  • RF-Kommunikationssysteme nutzen häufig Teilsysteme (z. B. spannungsgesteuertes Oszillatoren (VCOs), Leistungsverstärker, Sendeempfänger, Modems und so weiter), die auf einem Halbleiter-Die gebildet sind. Innerhalb eines Chips integrierte Vorrichtungen können jedoch Metallstapel und Metallstapel, die irgendeinem Prozessknoten, insbesondere erweiterten Prozessknoten, zugeordnet sind, aufweisen, deren passiven Elementen schlechte Qualitätsfaktoren zugeordnet sind. In diesem Zusammenhang kann die gesamte Leistungskombinierungseffizienz, insbesondere für großformatige Leistungskombinierer, die innerhalb des Chips implementiert sind, gering sein.
  • 93 stellt eine beispielhafte Chip-interne Implementierung eines Zweiwege-Leistungskombinierers gemäß einigen Aspekten dar. Bezug nehmend auf 93 ist ein Zweiwege-Leistungskombinierer 9300 dargestellt, der zwei Leistungsverstärker 9302 und 9304 gekoppelt mit einem Widerstand 9306 aufweisen kann. Der Zweiwege-Leistungskombinierer kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl der Zweiwege-Leistungskombinierer 9300 nicht darauf beschränkt ist. Zusätzlich ist der Leistungsverstärker 9302 mit einer Übertragungsleitung 9308 gekoppelt, und der Leistungsverstärker 9304 ist mit einer Übertragungsleitung 9310 gekoppelt. Die Übertragungsleitungen 9308 und 9310 können mit Viertelwellenlängen-Übertragungsleitungen sein. Die Ausgänge beider Übertragungsleitungen 9308 und 9310 können miteinander kombiniert sein und an der Antenne 9312 angeschlossen sein. Wie in 93 zu sehen ist, ist der Zweiwege-Leistungskombinierer 9300 vollständig innerhalb eines Halbleiter-Dies oder Chips 9320 implementiert. Der Chip 9320 kann zusammen mit einem PCB-Substrat 9330 paketiert sein. Die Antenne 9312 kann auf dem PCB-Substrat 9330 implementiert sein und kann beispielsweise eine phasengesteuerte Antennengruppe aufweisen.
  • 94 stellt eine beispielhafte Chip-interne Implementierung eines großen Leistungskombinierers gemäß einigen Aspekten dar. Bezug nehmend auf 94 ist ein Leistungskombinierer 9412 dargestellt, der mit mehreren Leistungsverstärkern 9406, 9408, ..., 9410 gekoppelt ist. Die Leistungsverstärkerausgänge können mit den Eingängen des Leistungskombinierers 9412 gekoppelt sein. Der Leistungskombinierer 9412 kann mit mehr als zwei Leistungsverstärkern gekoppelt sein, und kann deshalb als ein großer Leistungskombinierer bezeichnet sein.
  • Der Leistungskombinierer 9412 kann kaskadierende Verbindungen von Übertragungsleitungen und Widerständen in mehreren Kombinierungsstufen mit einer abnehmenden Anzahl von Ausgängen aufweisen (wobei die letzte Kopplungsstufe einen einzigen Ausgang aufweist). Beispielsweise können die Leistungsverstärker 9406 und 9408 mit den Übertragungsleitungen 9414 bzw. 9416 gekoppelt sein. Die Ausgänge der Leistungsverstärker 9406 und 9408 können durch den Widerstand 9436 gekoppelt sein. Die Ausgaben der Übertragungsleitungen 9414 und 9416 werden zu einer einzigen Ausgabe 9422 kombiniert, die zu einer nachfolgenden Kombinierungsstufe kommuniziert wird. Ähnlich sind der Leistungsverstärker 9410 und ein benachbarter Leistungsverstärker (in 94 nicht dargestellt) sowohl mit den Übertragungsleitungen 9418 und 9420 als auch mit dem Widerstand 9438 gekoppelt. Die Ausgaben der Übertragungsleitungen 9418 und 9420 werden zu einer einzigen Ausgabe 9424 kombiniert, die zu der nachfolgenden Kombinierungsstufe kommuniziert wird.
  • Dieser Prozess zum Kombinieren von Ausgängen vorangehender Stufen und Erzeugen einer abnehmenden Anzahl von Eingaben für nachfolgende Kombinierungsstufen setzt sich bis zu den letzten beiden Übertragungsleitungen 9426 und 9428 fort. Die Eingaben in die Übertragungsleitungen 9426 und 9428 werden über den Widerstand 9440 kombiniert, und die Ausgaben der Übertragungsleitungen 9426 und 9428 werden zu einer einzigen Ausgabe 9430 des Leistungskombinierers 9412 gekoppelt. Das Ausgabesignal 9430 des Leistungskombinierers 9412 wird über einen Verbindungsanschluss 9432 zu der Antenne 9434 kommuniziert. Wie in 94 zu sehen ist, sind die Leistungsverstärker 9406 - 9410 und der Leistungskombinierer 9412 innerhalb eines Halbleiter-Dies oder Chips 9402 implementiert. Der Chip 9402 kann zusammen mit einem PCB-Substrat 9404 paketiert sein. In einigen Aspekten kann der Verbindungsanschluss 9432 eine aus mehreren Lotperlen sein, die verwendet werden, um den Chip 9402 mit dem PCB-Substrat 9404 zu verbinden.
  • 95 stellt eine beispielhafte Chip-interne Implementierung eines Impedanztransformationsnetzes gemäß einigen Aspekten dar. Bezug nehmend auf 95 ist ein Leistungsverstärker 9506 dargestellt, der über ein Impedanztransformationsnetz 9508 mit einer Antenne 9512 gekoppelt ist. Das Impedanztransformationsnetz 9508 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann konfiguriert sein, eine Impedanz an dem Ausgang des Leistungsverstärkers 9506 an eine Impedanz an dem Eingang der Antenne 9512 anzupassen. Das Impedanztransformationsnetz 9508 kann mit der Antenne 9512 über einen Verbindungsanschluss 9510 gekoppelt sein.
  • In einigen Aspekten kann der Verbindunganschluss 9510 zum Koppeln eines Prüf- oder Mess-Equipments mit dem Leistungsverstärker 9506 verwendet werden. Das Prüf- oder Mess-Equipment kann einem 50-Ohm-Widerstandswert zugeordnet sein, der für den Leistungsverstärker 9506 zu hoch sein kann. Das Impedanztransformationsnetz 9508 kann verwendet werden, um den Leistungsverstärker 9506 und das Prüf-Equipment an dem Verbindunganschluss 9510 zu koppeln und die Impedanz entsprechend anzupassen. Wie in 95 zu sehen ist, sind der Leistungsverstärker 9506 und das Impedanztransformationsnetz 9508 innerhalb eines Halbleiter-Dies oder Chips 9502 implementiert. Der Chip 9502 kann zusammen mit einem PCB-Substrat 9504 paketiert sein. In einigen Aspekten kann der Verbindungsanschluss 9510 eine aus mehreren Lotperlen sein, die verwendet werden, um den Chip 9502 mit dem PCB-Substrat 9504 zu verbinden.
  • Wie in 93, 94 und 95 zu sehen ist, sind die Leistungsverstärker, die Leistungskombinierer und die Impedanztransformationsnetze Chip-intern implementiert. Chip-interne Leistungskopplung und Impedanztransformation können jedoch aufgrund schlechter Qualität passiver Bauteile und verlustbehaftetem Siliziumsubstrat verlustbehaftet sein, was die Gesamtübertragungseffizienz herabsetzt. Solche Verluste können mit höheren Ebenen des Kombinierens und/oder steilen Impedanztransformationen schnell ansteigen. Verlustbehaftete/s Leistungskombinieren und Impedanztransformation kann für Knoten mit erweiterter Technologie mit schlechter Siliziummetallisierung weiter verschlimmert werden. In einigen Aspekten kann verlustbehaftete/s Leistungskombinieren und Impedanztransformation durch Implementieren von Leistungkombinierungs- und Impedanztransformationsnetzen auf dem PCB-Substrat, das dem Halbleiter-Die zugeordnet ist, verbessert werden. In diesem Zusammenhang können Package-interne Verluste für Leistungskombinieren signifikant verringert werden. Das kann signifikante Effizienzsteigerungen bereitstellen und kann für umfangreiches Leistungskombinieren gut geeignet sein, insbesondere für Architekturen, die Viertelwellen-Übertragungsleitungen oder mehrere Übertragungsleitungen verwenden. Beispielhafte Aspekte, in denen Impedanztransformationsnetze und Leistungskombinieren auf dem PCB-Substrat implementiert sind, sind hier nachstehend mit Bezug auf die 96, 97, 98 und 99 dargestellt.
  • 96 stellt eine beispielhafte Package-interne Implementierung eines Zweiwege-Leistungskombinierers gemäß einigen Aspekten dar. Bezug nehmend auf 96 ist ein Zweiwege-Leistungskombinierer 9600 dargestellt, der die Leistungsverstärker 9602 und 9604 gekoppelt mit einem Widerstand 9606 aufweisen kann. Zusätzlich ist der Leistungsverstärker 9602 mit einer Übertragungsleitung 9608 gekoppelt, und der Leistungsverstärker 9604 ist mit einer Übertragungsleitung 9610 gekoppelt. Die Übertragungsleitungen 9608 und 9610 können Viertelwellenlängen-Übertragungsleitungen sein. Die Ausgänge beider Übertragungsleitungen 9608 und 9610 können miteinander kombiniert sein und an der Antenne 9612 angeschlossen sein.
  • Wie in 96 zu sehen ist, können die Leistungsverstärker 9602 und 9604 innerhalb eines Halbleiter-Dies oder Chips 9620 implementiert sein. Der Chip 9620 kann zusammen mit einem PCB-Substrat 9630 paketiert sein. Der Widerstand 9606, die Übertragungsleitungen 9608 und 9610 und die Antenne 9312 können auf dem PCB-Substrat 9630 implementiert sein. Die Übertragungsleitungen 9608 und 9610 und der Widerstand 9606 können mit dem Leistungsverstärkern 9602 und 9604 über die Verbindungsanschlüsse 9614 und 9616 gekoppelt sein. In einigen Aspekten können die Verbindungsanschlüsse 9614 und 9616 eine aus mehreren Lotperlen sein, die verwendet werden, um den Chip 9620 mit dem PCB-Substrat 9630 zu verbinden.
  • 97 stellt eine beispielhafte Package-interne Implementierung eines großen Leistungskombinierers gemäß einigen Aspekten dar. Bezug nehmend auf 97 ist ein Leistungskombinierer 9712 dargestellt, der mit mehreren Leistungsverstärkern 9706, 9708, ..., 9710 gekoppelt ist. Die Leistungsverstärkerausgänge können mit den Eingängen des Leistungskombinierers 9712 gekoppelt sein. Der Leistungskombinierer 9712 kann mit mehr als zwei Leistungsverstärkern gekoppelt sein, und kann deshalb als ein großer Leistungskombinierer bezeichnet sein. In einigen Aspekten kann der Leistungskombinierer 9712 ein N:1-RF-Leistungskombinierer sein.
  • Der Leistungskombinierer 9712 kann kaskadierende Verbindungen von Übertragungsleitungen und Widerständen in mehreren Kombinierungsstufen mit einer abnehmenden Anzahl von Ausgängen aufweisen (wobei die letzte Kopplungsstufe einen einzigen Ausgang aufweist). Beispielsweise können die Leistungsverstärker 9706 und 9708 mit den Übertragungsleitungen 9714 bzw. 9716 gekoppelt sein. Die Ausgänge der Leistungsverstärker 9706 und 9708 können durch den Widerstand 9740 gekoppelt sein. Die Ausgaben der Übertragungsleitungen 9714 und 9716 werden zu einer einzigen Ausgabe 9722 kombiniert, die zu einer nachfolgenden Kombinierungsstufe kommuniziert wird. Ähnlich sind der Leistungsverstärker 9710 und ein benachbarter Leistungsverstärker (in 97 nicht dargestellt) sowohl mit den Übertragungsleitungen 9718 und 9720 als auch mit dem Widerstand 9742 gekoppelt. Die Ausgaben der Übertragungsleitungen 9718 und 9720 werden zu einer einzigen Ausgabe 9724 kombiniert, die zu der nachfolgenden Kombinierungsstufe kommuniziert wird.
  • Dieser Prozess zum Kombinieren von Ausgaben vorangehender Stufen und Erzeugen einer abnehmenden Anzahl von Eingaben für nachfolgende Kombinierungsstufen setzt sich bis zu den letzten beiden Übertragungsleitungen 9726 und 9728 fort. Die Eingänge in die Übertragungsleitungen 9726 und 9728 sind über den Widerstand 9744 gekoppelt, und die Ausgaben der Übertragungsleitungen 9726 und 9728 sind zu einer einzigen Ausgabe 9730 des Leistungskombinierers 9712 gekoppelt. Das Ausgabesignal 9730 des Leistungskombinierers 9712 wird zu der Antenne 9732 kommuniziert.
  • Wie in 94 zu sehen ist, sind die Leistungsverstärker 9706 - 9710 innerhalb eines Halbleiter-Dies oder Chips 9702 implementiert. Der Chip 9702 kann zusammen mit einem PCB-Substrat 9704 paketiert sein. Die Ausgänge der Leistungsverstärker 9706 - 9710 können mit entsprechenden Übertragungsleitungen des Leistungskombinierers 9712 über die Verbindungsanschlüsse 9734, 9736, ..., 9738 gekoppelt sein. In einigen Aspekten können die Verbindungsanschlüsse 9734 - 9738 mehrere Lotperlen sein, die verwendet werden, um den Chip 9702 mit dem PCB-Substrat 9704 zu verbinden.
  • In einigen Aspekten können der Leistungskombinierer 9712, die Leistungsverstärker 9706 - 9710 und/oder die Antenne 9732 Teil eines drahtlosen Sendeempfängers sein. Der drahtlos Sendeempfänger kann verwendet werden, um Signale, die mit einem oder mehreren Drahtlosprotokollen konform sind, wie z. B. dem Protokoll der Wireless Gigabit Alliance (WiGig) oder einem 5G-Protokoll, zu empfangen und zu senden.
  • 98 stellt eine beispielhafte Package-interne Implementierung eines Impedanztransformationsnetzes gemäß einigen Aspekten dar. Bezug nehmend auf 98 ist ein Leistungsverstärker 9806 dargestellt, der über ein Impedanztransformationsnetz 9808 mit einer Antenne 9812 gekoppelt ist. Das Impedanztransformationsnetz 9808 kann eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen und kann konfiguriert sein, um eine Impedanz an dem Ausgang des Leistungsverstärkers 9806 an eine Impedanz an dem Eingang der Antenne 9812 anzupassen. Das Impedanztransformationsnetz 9808 kann mit dem Leistungsverstärker 9806 über einen Verbindungsanschluss 9810 gekoppelt sein. In einigen Aspekten kann der Verbindunganschluss 9810 zum Koppeln eines Prüf- oder Mess-Equipments mit dem Leistungsverstärker 9806 verwendet werden. Das Prüf- oder Mess-Equipment kann einem 50-Ohm-Widerstandswert zugeordnet sein, der für den Leistungsverstärker 9806 zu hoch sein kann. Das Impedanztransformationsnetz 9808 kann verwendet werden, um den Leistungsverstärker 9806 und das Prüf-Equipment an dem Verbindunganschluss 9810 zu koppeln und die Impedanz entsprechend anzupassen.
  • Wie in 98 zu sehen ist, ist der Leistungsverstärker 9806 innerhalb eines Halbleiter-Dies oder Chips 9802 implementiert. Der Chip 9802 kann zusammen mit einem PCB-Substrat 9804 paketiert sein. Das Impedanztransformationsnetz 9808 und die Antenne 9812 können innerhalb des PCB-Substrats 9804 implementiert sein. In einigen Aspekten kann der Verbindungsanschluss 9810 eine aus mehreren Lotperlen sein, die verwendet werden, um den Chip 9802 mit dem PCB-Substrat 9804 zu verbinden.
  • 99 stellt eine beispielhafte Package-interne Implementierung eines Doherty-Leistungsverstärkers gemäß einigen Aspekten dar. Bezug nehmend auf 99 kann der Doherty-Leistungsverstärker 9900 einen Trägerleistungsverstärker 9906 und einen Spitzenleistungsverstärker 9908 aufweisen. Ein Signaleingabeanschluss 9922 kann mit einem Eingang des Trägerleistungsverstärkers 9906 direkt gekoppelt sein. Der Signaleingabeanschluss 9922 kann außerdem mit einem Eingang des Spitzenleistungsverstärkers 9908 über eine Viertelwellenlängen-Übertragungsleitung 9910 gekoppelt sein. Ein Ausgang des Trägerleistungsverstärkers 9906 kann mit der Antenne 9920 sowohl über eine versetzte Übertragungsleitung 9912 als auch die Viertelwellenlängen-Übertragungsleitungen 9916 und 9918 gekoppelt sein. Der Ausgang des Spitzenverstärkers 9908 kann mit der Antenne 9920 über eine versetzte Übertragungsleitung 9914 und eine Viertelwellenlängen-Übertragungsleitung 9918 gekoppelt sein. Ein kombiniertes Ausgabesignal 9924 an dem Ausgang der Übertragungsleitung 9918 kann zu der Antenne 9920 zum Senden kommuniziert werden.
  • Wie in 99 zu sehen ist, können der Trägerleistungsverstärker 9906, der Spitzenleistungsverstärker 9908 und die Viertelwellenlängen-Übertragungsleitung 9910 innerhalb eines Halbleiter-Dies oder Chips 9902 implementiert sein. Der Chip 9902 kann zusammen mit einem PCB-Substrat 9904 paketiert sein. Sowohl die Übertragungsleitungen 9912, 9914, 9916 und 9918 als auch die Antenne 9920 können innerhalb des PCB-Substrats 9905 implementiert sein. In diesem Zusammenhang kann durch Implementieren mehrerer langer Übertragungsleitungen auf dem PCB-Substrat 9904 die Effizienz des Doherty-Leistungsverstärkers 9900 verbessert sein.
  • Mikrowellenantennenteilsysteme, die in dem mmWellen-Frequenzbereich arbeiten, sind extrem klein, im Mikrometerbereich. Infolgedessen ist es wichtig, Wege zu finden, die Größe von Antennen und von Funkteilsystemen, insbesondere die Dicke, zu reduzieren zum Gebrauch in mobilen Vorrichtungen, wo der Platz wegen der Anforderungen an die Gehäusegröße und wegen der dichten Packung von Komponenten und Antennen sehr wertvoll ist. Gleichzeitig sollten zeitliche, thermische, elektrische und mechanische Überlagerungsprobleme adressiert und reduziert werden. Die Verbesserung der Kosten ist ebenfalls eine wichtige Überlegung. Die Überlagerung von Komponenten, Antennen und Antennenteilsystemen aufeinander wird sowohl die Größe als auch die Dicke des Teilsystems reduzieren. Die Verwendung von Umspritzung mit Verbindungen in der Umspritzung ist ein weiteres Konzept, das es ermöglicht, dass sich Antennen auf den Seiten eines Teilsystems befinden, und thermische und mechanische Verbesserung gegenüber konkurrierenden Technologien bereitstellt.
  • 100A ist eine Seitenansicht eines im nicht eingegossenen gestapelten Package-auf-Package-Die eingebetteten Funksystems, das einen Verbinder verwendet, gemäß einigen Aspekten. Das im Die eingebettete Funksystem kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das im Die eingebettete Funksystem nicht darauf eingeschränkt ist. Der Aspekt weist den nicht eingegossenen gestapelten Package-auf-Package-Die 10000 auf, der die nicht eingegossene Baugruppe 10005 und Baugruppe 10007 aufweist. Das Package 10005 kann eine laminierte Struktur wie z. B. eine PCB aufweisen, in der die RFIC 10006 eingebettet ist. Wie es in diesem Kontext verwendet ist, bedeutet „nicht eingegossen“, dass der Die 10006 nicht von einer Gussmasse oder Einkapselung umhüllt ist. Die für die z-Höhe der verschiedenen Teile der Packages dargestellten Abmessungen dienen nur anschaulichen Zwecken und dienen dazu, die extrem kleinen Abmessungen darzustellen, mit denen gearbeitet wird, wenn das Volumen einer mobilen Vorrichtung, in der die Packages Verwendung finden, sehr eingeschränkt ist.
  • Zusätzlich können die ersten wenigen Mikrometer an der Oberseite und der Unterseite der PCB 10005 Vorimprägnierungs- (PrePreg-) Schichten sein, die vor dem Kern der PBC sein können, in die die RFIC eingebettet ist. PrePreg kann dank ihrer sehr dünnen Dicke verwendet werden. Die PrePreg kann sehr dünn sein, beispielsweise 25 um oder 30 um. PrePreg kann ein Epoxidmaterial sein, obwohl sie auch ein Laminatmaterial sein kann, z. B. ein Kupfer-Clad-Laminat (CCL). Die Technologie ist nicht auf organische polymerbasierte Laminate beschränkt, sondern auch auf keramikbasierte anorganische Schichten.
  • Wie es in der Antennensubstratindustrie verwendet ist, kann „Kern“ den inneren Teil eines Substrats bedeuten, der dicker und starrer sein kann als andere Bereiche des Substrats, wie z. B. PrePreg. Das Package 10005 ist insofern nicht eingegossen, als dass sie ein laminares Substrat wie z. B. PCB ohne Einkapselung innerhalb des Packages ist. Die Abschirmung 10001 ist oben auf des Package 10005, um die Komponenten gegen RFI/EMI abzuschirmen. Das Verbindungselement 10023 kann eine oder mehrere der Packages mit der Außenwelt verbinden. In einigen Aspekten stellt das Verbindungselement 10023 Zwischenfrequenz- (IF-) Signale zum Senden durch das System bereit. Das Package 10005 weist den RFIC-Die 10006 auf, der das Speisen für die verschiedenen Antennen und Antennengruppen, die nachstehend diskutiert sind, mit Hilfe von Leiterbahnen und Vias wie jeweils erforderlich gemäß einigen Aspekten bereitstellt.
  • Obwohl ein RFIC-Die 10006 dargestellt ist, würden Fachleute erkennen, dass mehr als ein RFIC-Die bereitgestellt sein kann, um in einem oder mehreren Frequenzbändern zu arbeiten. Mit anderen Worten kann in Aspekten wenigstens ein RFIC-Die vorhanden sein.
  • Die dargestellten Packages können gemäß einigen Aspekten Antennen und Antennengruppen mit vielen unterschiedlichen Konfigurationen, Arbeitsfrequenzen und Bandbreiten aufweisen. In 100a sind die Antennenstrukturen 10009, 10011, 10013, 10015 und 10019 dargestellt. Diese können Einzelantennen in Seitenansicht oder Antennengruppen wie z. B. 1xN-, 2xN-, ... , NxN-Elementgruppen in Blickrichtung in die Zeichenebene der Figur sein. In einem Beispiel kann die Antenne 10009 eine Dual-Patchantenne mit einem Abstand d2 sein, in diesem Aspekt von 10065 Mikrometer zwischen den Patchantennenelementen 10010 und 10012, und einer weitere Abmessung d1 zwischen dem Patchantennenelement 10010 und Masse. Abhängig von den Abständen d1 und d2 wird die Bandbreite der Antenne wegen des variierenden Volumens der Patchantenne variieren. Die Bezeichnung d1 und d2 ist in 100B deutlicher zu sehen.
  • 100B ist eine Seitenansicht einer Dual-Patchantenne gemäß einigen Aspekten. In der Figur ist P1 ein erstes Element einer Dual-Patchantenne, und P2 ist ein zweites oder angesteuertes Element einer Dual-Patchantenne. Es ist zu sehen, dass d2 der Abstand zwischen P1 und P2 ist und d1 der Abstand zwischen P1 und der Masseebene GND ist. Für einen gegebenen Abstand d1 zwischen P1 und GND erhöht das Variieren des Abstands d2 zwischen P1 und P2 das Volumen der Antenne.
  • In einigen Aspekten variiert die Bandbreite basierend auf der Variation des Volumens der Antenne, das in diesem Aspekt eine Funktion der variierenden Abstands d2 ist. Das ist in 100C zu sehen. 100C ist ein simuliertes Diagramm der Rückflussdämpfung der Dual-Patchantenne von 100B, wenn ein Volumen der Antenne erhöht wird, gemäß einigen Aspekten, und stellt die Variation der Bandbreite dar, wenn das Volumen der Antenne variiert. Die Bandbreite wird in diesem Aspekt durch Variieren von d2 gemessen. Die Bandbreite, die in der Simulation von 100C als die Breite der -10 dB-Rückflussdämpfung dargestellt ist, nimmt zu, wenn d2 zunimmt, für eine gegebene Abmessung d1 zwischen P1 und GND.
  • Wie nachstehend beschrieben wird, weist die PCB 10005 eine laminare Struktur auf, die in diesem Aspekt als die Ebenen L1 bis L6 dargestellt ist. Wegen der verschiedenen Ebenen können die Antennenelemente wie z. B. 10010, 10012 an verschiedenen Abständen d2 zwischen Dual-Patchantennenelementen platziert werden, und wegen der Vielheit von Ebenen kann der Abstand d1 zwischen dem Patchantennenelement 10010 und GND ebenfalls an verschiedenen Abständen eingestellt sein, was zu einer Auswahl von Bandbreiten führen kann, wie sie für eine gegebene Konstruktion benötigt werden. Anders ausgedrückt ist der Abstand zwischen den Dual-Patchantennenelementen 10010 und 10012 nicht auf 10065 Mikrometer beschränkt, sondern kann wegen der verfügbaren dicht gepackten Laminatebenen auf irgendeinen aus mehreren Abständen eingestellt sein. Das gleiche gilt für den Abstand zwischen dem Dual-Patchantennenelement 10010 und der Masseebene 10014, was eine Fähigkeit schafft, die Bandbreite wie in 100C zu messen. Die Ebenen L1-L6 sind jedoch nur einer von vielen Aspekten. Andere Aspekte können viel mehr sehr dicht gepackte Schichten aufweisen, weit mehr als die dargestellten sechs Schichten L1-L6, und diese sehr dicht gepackten Schichten können je nach Bedarf für verschiedene Funktionen verwendet werden.
  • Weiter mit der Beschreibung von 100A kann 10024 in einigen Aspekten eine Antenne oder eine Antennengruppe sein wie z. B. die 1xN-, 2xN-, ... , NxN-Elementgruppen, die vorstehend kurz diskutiert sind. In einigen Aspekten kann 10024 eine eigenständige Antenne sein, die mit Hilfe einer oberflächenmontierten Vorrichtung (SMD) konfiguriert ist, was manchmal als oberflächenmontierte Technologie (SMT) bezeichnet ist. In einigen Aspekten kann, falls keine ausreichende Höhe für eine benötigte Antenne oder Antennengruppe innerhalb der PCB 10005 vorhanden ist, die Antenne oder Antennengruppe 10010, 10012 gemäß einigen Aspekten beispielsweise so konfiguriert sein, dass das Antennenelement 10012 oben auf der PCB 10005 platziert ist, um das benötigte Volumen bereitzustellen.
  • In einem weiteren Beispiel kann das Dual-Patchantennenelement 10012 oben auf der oberflächenmontierten Vorrichtung 100024 anstatt oben auf der PCB 10005 platziert sein, um zusätzliche Höhe für die Antenne oder Antennengruppe bereitzustellen, die in einigen Aspekten erhöhtes Volumen und verbesserte Bandbreite bereitstellen wird, wie vorstehend diskutiert.
  • Ein weiteres Beispiel ist an Antenne 10015 zu sehen. In diesem Beispiel weist die Antenne (oder die Antennengruppe, wie vorstehend diskutiert) 10015 den Antennenpatch 10018 innerhalb des Substrats 10005 auf, das, wie vorstehend diskutiert, ein komplexes und sehr dicht gepacktes Substrat sein kann, und ein Dual-Patchelement 10017 kann auf einer zweiten Antennenplatine 10007 sein. In einigen Aspekten kann die Antennenplatine 10007 ein Dielektrikum, eine Keramik, eine PCB oder dergleichen sein, die ebenfalls ein dicht gepacktes laminares Substrat sein kann, sehr ähnlich der PCB 10005. Infolgedessen kann die Antennenfunktion zwischen oder unter mehr als einer Antennenplatine aufgeteilt sein, was zu einer Package-auf-Package-Konfiguration führt. Deshalb kann dann, falls nicht genug z-Höhe auf einem Medium ist, ein Teil der Antenne auf einem zweiten Medium, wie z. B. 10007, implementiert sein, um die gewünschte z-Höhe bereitzustellen, um das Volumen zu erhalten, um die gewünschten Parameter wie z. B. in einigen Aspekten Bandbreite, geringeren Verlust und dergleichen bereitzustellen. Mit anderen Worten können, angesichts der extrem kleinen Abmessungen der Dicke des Substrats aufgrund von, in einigen Aspekten, Formfaktoranforderungen zum Betrieb an mmWellen-Frequenzen, Antennenelemente (und diskrete Komponenten) auf einem oder mehreren zusätzlichen Medien platziert sein, die in einigen Aspekten oben auf und/oder unten auf der PCB 10005, auf den Seiten der PCB 10005 und in verschiedenen zusätzlichen Konfigurationen platziert sein können, was bei Bedarf zu zusätzlicher Substratdicke und erhöhter Bandbreite führt.
  • Ähnlich können die Antennenfunktionen ebenfalls zwischen oder unter unterschiedlichen Antennenplatinen aufgeteilt sein, beispielsweise der PCB 10005, die als das Hauptmedium betrachtet werden kann, und der Antennenplatine 10007, die als ein sekundäres Medium betrachtet werden kann. Ferner können solche Medien oberhalb, unterhalb oder an der Seite des Substrats für verschiedene Funktionen verwendet werden, wie z. B. Erdung, Abschirmung, Speisung und dergleichen.
  • Ferner kann mehr als ein Medium 10024 oben auf der PCB 10005 sein. Es können mehrere Antennenmedien oben auf der PCB 10005 sein, von denen jedes einen Teil der oder alle Antennen oder Antennengruppen bereitstellt, wie vorstehend diskutiert. Das Gleiche gilt für die Platzierung von Antennenmedien unterhalb der oder an der Seite der PCB 10005. Ferner können die Sekundärmedien für parasitäre Elemente verwendet werden, um die Verstärkung oder die Form des Musters der Antennen bei Bedarf zu verbessern.
  • Die Antennen 10011, 10013, 10015 und 10019 können andere Antennen oder Antennengruppen sein, die auf der Antennenplatine 10007 konfiguriert sind und aus dem RFIC-Die 10006 gespeist werden. Ebenfalls dargestellt sind die Vias 10020 und 10022. In einigen Aspekten können viele Vias vorhanden sein. Allgemein gilt, dass der Durchmesser der Vias 10020, 10022 umso größer ist, je dicker das Substrat 10005 ist. In einigen Aspekten, in denen ultradünne Substrate benötigt werden, können die Vias von einem viel kleineren Durchmesser sein, wie nachstehend für andere Aspekte diskutiert ist. Vias wie z. B. 10028 können mit dem RFIC-Die 10006 durch Lötverbindungen wie z. B. 10027 verbunden sein. Die Vias können durch eine oder mehrere horizontale Schichten 10030 zur Verbindung mit Komponenten an anderer Stelle innerhalb des Funkteilsystems verbunden sein, wobei die horizontale Schicht 10030 mit Blick in die Zeichenebene gesehen ist.
  • 101A ist eine Darstellung des beispielhaften nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das eine Litzenverbindung verwendet, gemäß einigen Aspekten. 101B ist eine Seitenansicht des nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das eine Litzenverbindung verwendet, wobei die Litzenverbindung in einer fotografischen Repräsentation gezeigt ist, gemäß einigen Aspekten. 101A ist im Wesentlichen gleich der 100A, wobei ein Unterschied darin liegt, dass in 101A kein Verbindungselement 10023 vorhanden ist. Stattdessen ist die Litzenverbindung 10026 verwendet, um eine PCB mit einer zweite PCB zu verbinden, wobei die zweiten PCB ein Verbindungselement zum Äußeren der PCBs aufweisen kann. Das Litzenverbindungselement 10026 kann mit dem RFIC-Die 10006 durch geeignete interne Leiterbahnen der PCB 10005 oder durch geeignete interne Leiterbahnen und eine oder mehrere Vias verbunden sein. Die Litzenverbindung kann mit dem PCB durch Löten, durch Crimpen oder durch andere Prozesse verbunden sein und kann in einigen Aspekten auf ähnliche Weise mit der zweiten PCB verbunden sein.
  • 102 ist eine Seitenansicht eines eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems gemäß einigen Aspekten. In 102 weist gemäß einigen Aspekten das Package 10200 ein Substrat auf, das die Ebene 10201, wie z. B. eine Antennenplatine wie z. B. eine PCB, die Ebene 10203, die eine Gussmasse oder Einkapselung ist, und die Ebene 10205, die eine Antennenplatine wie z. B. eine PCB aufweist. Die Ebenen 10201 können leitfähige Ebenen 10207 wie z. B. Leiterbahnen aufweisen; die Ebnen 10203 kann leitfähige Ebenen wie z. B. 10209 und Vias wie z. B. 10219, 10219A, häufig als „Guss-Vias“ bezeichnet, aufweisen; und die Ebene 10205 kann leitfähige Ebenen 10211 aufweisen, die durch Lötverbindungen mit den leitfähige Ebenen 10209 verbunden sind.
  • Die leitfähigen Ebenen und Vias des Packages 10200 sind in einigen Aspekten konfigurierbar, um die verschiedenen Antennen und anderen Komponenten aus den Dieen 10206, 10208 zu speisen. Obwohl die leitfähigen Ebenen 10207 und 10211 in 102 als kurze horizontale Schichten dargestellt sind, können sie in der Praxis längere leitfähige Schichten sein, wie z. B. 10309, 10311 in 103, oder in verschiedenen Schichtkonfigurationen sein wie z. B. 10307, 10311A von 103, oder im Wesentlichen vollständig über einem Substrat sein, wie bei 10502 im Substrat 10501 oder 10511 in Substrat 10505 von 105 dargestellt ist, gemäß verschiedenen Aspekten.
  • In einigen Aspekten können die leitfähigen Schichten 10207, 10211 unter Verwendung von Umverteilungsschichten (RDL), die nachstehend mit Bezug auf 104 diskutiert sind, hergestellt werden. Vias (oder Guss-Vias in eingegossenen Packages) können durch Kupferstifte, durch Laser, die die Gussmasse oder andere Schichten durchstechen, und leitfähige Tinte oder andere Mittel hergestellt werden.
  • Durch die Verwendung von Vias, leitfähigen Schichten und/oder RDLs kann/können der/die Die/e sehr schnell mit Antennen und Antennengruppen auf jeder Seite des Packages verbunden werden, die in einigen Aspekten Antennen sein können, die auf oder innerhalb von SMDs 10216, 10218, 10220 ausgeführt sind. Wegen der dicht gepackten Vias und dicht gepackten horizontalen Schichten können die Diee mit den Antennen oder Antennengruppen auf den Substraten 10201, 10205 mit wenig oder im Wesentlichen keiner Ausgangsverzweigung der Speisestruktur verbunden sein.
  • Ferner können die Guss-Vias wie z. B. 10219, 10219A in Gräben dicht gepackter Vias, die mit metallisierten Schichten (hier ist nur die Schicht 10209 dargestellt, aber die Oberseite der Vias wie z. B. 10219 oder 10219A kann mit einer metallisierten Sicht über den Vias (nicht gezeigt) verbunden sein) um den Die oder die Diee verbunden sind, konfiguriert sein, um einen Faraday-Käfig zu bilden, um die Diee und andere Komponenten gegen RFI und EMI abzuschirmen, in einigen Aspekten. Die Vias können sehr kleine Vias wie z. B. einzelne Stäbe sein. Wenn Package-auf-Package mit Verbindungen hoher Dichte zwischen den Packages wie z. B. 10219, 10219A (Guss-Vias) verwendet werden, kann man die Packages separat aufbauen und ungleichartige Materialien verwenden, die für den unteren Die versus einem weiteren Die darüber oder darunter zugeschnitten sind. Das verbessert außerdem den Ertrag, da die individuellen Diee in ihren jeweiligen Packages geprüft werden können, bevor sie gestapelt werden.
  • Es ist ebenfalls wichtig zu verstehen, dass die Gussmasse vollständig eliminiert werden kann, falls erforderlich, und man die Guss-Vias durch Lotperlen ersetzen kann, die mit der oberen Baugruppe verbunden sind und als die vertikale Verbindung wirken. In dem Aspekt von 102 können zwei oder mehr Diee 10206, 10208 innerhalb des Substrats enthalten und durch Kontakte wie z. B. Lothöcker, die Kupferfüllmaterial, Lötkontakte wie z. B. 10210 sein können, verbunden sind, oder die LGA/VGA-Kontaktstellen oder in einigen Aspekten sogar ein Package sein können.
  • Außerdem sind diskrete Elemente 10212, 10214 in einigen Aspekten dargestellt. Die Diee 10206, 10208 können von irgendeinem Typ sein wie z. B. ein Flip-Chip-Die, Baugruppe in der Chip-Größenordnung (CSP) auf Wafer-Ebene, ein für Drahtbonden geeigneter Die und dergleichen.
  • Alternativ kann ein einzelner Die verwendet werden. SMD-Antennen wie z. B. 10216, 10218, 10220 können auf einer ersten Seite des Substrats konfiguriert sein, während SMD-Antennen 10216A, 10218A, 10220A auf der entgegengesetzten Seite des Substrats konfiguriert sein können in einigen Aspekten. In anderen Aspekten können die Antennen auf dem Substrat anstatt auf oder innerhalb von SMDs konfiguriert sein. Die vorstehenden Antennen können vom gleichen Typ von Antennen sein wie diejenigen, die mit Bezug auf 100A beschrieben sind, und können in einigen Aspekten auf oder innerhalb von SMDs sein. Ferner können die Antennen 10216, 10218, 10220 als eine Antennengruppe konfiguriert sein. Ferner können Antennen wie z. B. irgendwelche der oder alle vorstehenden Antennen auf oder innerhalb einer SMD ausgeführt sein, wie mit Bezug auf die Antenne (oder Antennengruppen) 10024 von 100A diskutiert ist.
  • Auf einer oder beiden Seiten (wie z. B. 10201, 10205 des Packages 10200) können außerdem diskrete Komponenten 10222, 10224 und 10222A, 10224A konfiguriert sein. Ferner können die Systeme 10221, 10221A, die manchmal als ein Einbaugruppensystem (SIP) oder ein Package bezeichnet sind, oben auf (wie z. über 10201) und/oder unter der (wie z. B. der Unterseite von 10205) und/oder auf den Seiten des Packages 10200 in einigen Aspekten konfiguriert sein, was eine Package-auf-Package-Konfiguration bereitstellt. Ein SIP 10221, 10221A kann ein System sein, das der Baugruppe, die die Ebenen 10201, 10203, 10205 aufweist, auf denen die SIPs 10221, 10221A konfiguriert sind, sehr ähnlich ist. Die SIPs 10221, 10221A können auf der Baugruppe gestapelt und mit ihr auf verschiedene Arten physikalisch verbunden sein.
  • Ferner können die Diee 10206, 10208 mit dem Substrat 10203 durch geeignete Kontakte, die bei 10226 dargestellt sind, in einigen Aspekten verbunden sein. Solche geeigneten Kontakte können Kupferfüllmaterial, Lötmetallhöcker oder sogar ein Package sein. Die Kontakte 10226 können sehr kleine Verbindungen innerhalb des Körpers des Package-auf-Package-Aspekts sein. Solche Systemkonfigurationen stellen eine Package-auf-Package-Konfiguration dar.
  • Ferner sind ein oder mehrere Diee jeder Baugruppe konfiguriert, an der gleichen Frequenz oder an unterschiedlichen Frequenzen zu arbeiten, so dass ein Die an 5G-Frequenzen arbeitet und ein zweiter Die an WiGig-Frequenzen arbeitet, weil die Dichte innerhalb der Packages wie beschrieben so hoch ist.
  • Ferner können die Antennen/Antennengruppen des Package-auf-Package-Aspekts in irgendeiner aus einer Anzahl von Richtungen oder im Wesentlichen in jeder Richtung abstrahlen, je nach Bedarf, beispielsweise wegen der Orientierung der mobilen Vorrichtung. Mit anderen Worten können Antennen und Antennengruppen über die gesamte Baugruppe 10200, was im Wesentlichen jede gewünschte Richtung des Packages bedeutet, durch Stapeln und physikalisches Verbinden der Packages 10221, 10221A auf der Oberseite, der Unterseite und den Seiten des Packages 10200, oder in Kombinationen davon, wie gewünscht, und in Antennen- und Antennengruppen-Konfigurationen auf oder innerhalb der Packages 10221, 10221 A wie gewünscht platziert sein, gemäß einigen Aspekten.
  • Zusätzlich zu dem Vorstehenden kann das Package 10200 auf noch eine weitere Platine (nicht gezeigt) durch Lotperlen 10213, 10215 gelötet sein, die als größer als die Lotperlen oder Kontakte 10226 dargestellt sind, weil, während die Lotperlen 10226 innerhalb des Package-auf-Package-Aspekts sind und sehr klein sein und sehr eng beabstandet sein können, die Lotperlen 10213, 10215 gemäß einigen Aspekten Verbindungen „zu der Außenwelt“ sind.
  • Beispielsweise kann die Platine, auf die das Package 10200 mit Hilfe der Lotperlen 10213, 10215 ferner gelötet ist, die Host-Platine für ein Telefon, ein Tablet, eine mobile Vorrichtung oder ein anderes Endbenutzer-Equipment gemäß einigen Aspekten sein. Ein primärer Unterschied zwischen den 100A und 102 ist, dass die Diee von 102 durch eine Gussmasse ummantelt sind, die die Konfiguration der Diee innerhalb des Substrats schützt und verfestigt.
  • Der Vorteil des eingegossenen Aspekts ist, dass die eingebetteten Diee in dem nicht eingegossenen Substrat von 100A schwierig mit hohem Volumen herstellbar sind. Eine Konfiguration mit eingegossenem Substrat ist mit Herstellung mit hohem Volumen aufgrund, wie vorstehend diskutiert, des verbesserten Ausstoßes besser kompatibel, da die individuellen Diee in ihren jeweiligen Packages geprüft werden können, bevor sie gestapelt werden.
  • Zusätzlich können in einer eingegossenen Konfiguration Komponenten wie 10212, 10214 leicht innerhalb des eingegossenen Substrats konfiguriert werden. Der verwirklichte Die von 100A ist häufig spezifisch für das Einbetten nur eines einzelnen Dies gemäß einigen Aspekten.
  • Ferner ermöglicht die eingegossene Konfiguration viel mehr dichte Schichten als die nicht eingegossene Konfiguration. In dem eingebetteten Die von 100A ist jede Komponente als ein System verbunden. Falls ein Teil, wie z. B. ein Vias, ausfällt, fällt das gesamte System innerhalb des Substrats aus.
  • In der eingegossenen Konfiguration von 102 kann andererseits das Substrat selbst separat hergestellt werden, die Schichten, die die Diee verbinden, können separat verbunden sein, und das System wird bis zu dem letzten Schritt nicht miteinander verbunden, wobei der letzte Schritt das Zusammenlöten aller Teile sein kann. In dem Aspekt von 100A befindet sich intern kein Lötmetall, wobei das System Kupfer-Vias aufweist, von denen die meisten oder alle gleichzeitig zusammengefügt werden können. Anders ausgedrückt unterscheidet sich der Prozess zum Aufbauen einer eingegossenen gestapelten Baugruppe sehr von dem Aufbauen einer nicht eingegossenen Baugruppe.
  • Stifte sind auf die untere Schicht der oberen Baugruppe platziert oder beschichtet, und diese können zu einem großen Seitenverhältnis und sehr kleinen Durchmessern beschichtet sein. Dann werden der obere und der untere Die unter Verwendung von Löten oder thermo-mechanischer Kompression verbunden. Die Gussmasse kann flüssig ein, wird eingespritzt und fließt dann und bedeckt die Lücken. Das ist ein Prozess mit höherer Dichte und größerem Ausstoß als für eine nicht eingegossene Baugruppe.
  • 103 ist eine Seitenansicht eines eingegossenen Package-auf-Package-Die-Funksystems, die zusätzliche Einzelheiten zeigt, gemäß einigen Aspekten. Die Ebenen A bis G enthalten in einigen Aspekten Technologien individueller Komponenten, die in Tabelle 1 angegeben sind. Tabelle 1
    Ebene Bemerkung
    A SIPS / Verbindungselemente / SMT-Antennen/aufgedruckte Antennen / Abschirmungen / konform eingegossen/teilweise eingegossen / teilweise abgeschirmt
    B 2L~6L kernlose oder kernbasierte Substrate oder RDL-Schichten oben auf dem Die
    C Gussmasse mit Vias und Gräben
    D Die und SMT-Komponenten
    E 2L-6L kernlose Substrate oder kernbasiert oder RDL-Schichten
    F Lötmetall oder Epoxid
    G Antennenplatine und SMT-Komponenten
    H Gestapelte Baugruppe und gestapelte Dipole und Monopole, oben, unten
  • In 103 kann das Element 10326 ein Verbindungselement einer Signalquelle außerhalb des Packages sein. Außerdem ist das Antennenelement oder die Antennengruppe 10324 dargestellt, die gegebenenfalls eine Antenne oder Gruppe in Art einer oberflächenmontierten Vorrichtung sein kann. Die Antennenelemente weisen das Vias 10322 auf, die durch den Die 10306 mit Hilfe geeigneter der dargestellten horizontalen leitfähigen Substratschichten wie z. B. 10329 gespeist wird. Der Die und die Vias, die nachstehend diskutiert sind, können durch die Gussmasse 10332 eingekapselt sein. Leiterbahnen oder horizontale Schichten 10329 können mit dem Die 10306 (Verbindung nicht gezeigt) zum Speisen der Antenne oder Antennengruppe 10324 verbunden sein, ebenfalls wie nachstehend genauer diskutiert ist.
  • Wie vorstehend erwähnt kann die SMD Teil einer Gruppe von Antennenelementen wie z. B. 10332 sein, mit Blick in die Zeichenebene der Zeichnung, gemäß einigen Aspekten. Die Dichte der horizontalen leitfähigen Ebenen und vertikalen Vias, die nachstehend diskutiert sind, ermöglicht die Verbindung des Dies zu dem Via 10332, die in einigen Aspekten effektiv eine oberflächenmontierte Vorrichtung 10324 im Wesentlichen zu einer vertikalen Patchantenne macht. Das Via 10332, die den Teil innerhalb der SMD 10324 aufweist, stellt zusammen die gewünschte Länge für Resonanzzwecke bereit. In einigen Aspekten kann die Via 10322 ein Anteil der Antennenlänge sein, die für die Arbeitsfrequenz benötigt wird, und der Rest der benötigten Länge kann eine Leiterbahn (nicht gezeigt) sein, die oben auf der SMD 10324 konfiguriert ist. Der benötigte Kontakt kann in einigen Aspekten durch Verwendung von Löten erreicht werden. Infolgedessen stellen die Antennen 10332 und auch 10318, 10320, die nachstehend diskutiert sind, eine vorteilhafte Verwendung der z-Höhe des Packages als Teil einer Antenne oder Antennengruppe dar.
  • Eine einfach herzustellende Implementierung ist ein vertikaler Monopol oder Dipol auf oder innerhalb der SMD. Eine weitere Implementierung kann eine Patchantenne sein, die auf den Rändern der SMD und der Gussmasse, die vorstehend diskutiert worden sind, metallisiert ist. Die Verfügbarkeit solcher dichter horizontaler leitfähiger Schichten und Vias, wie sie in den Ebenen B und E dargestellt sind (die leitfähigen Schichten können sowohl horizontal zu der Figur als auch in die Zeichenebene der Zeichnung der Figur sein) ergibt die Flexibilität einer Vielzahl von Verbindungen, sowohl horizontal als auch vertikal (vertikal wie z. B. durch Vias in einigen Aspekten) und stellt die Fähigkeit bereit, eine vertikale Patchantenne, eine vertikal mäandernde Antenne, eine vertikale Spiralantenne und ähnliche Antennen gemäß einigen Aspekten zu konfigurieren.
  • Die Antennenelemente (oder Antennengruppen mit Blick in die Zeichenebene der Zeichnung) 10318, 10320 können in einigen Aspekten auf oder innerhalb einer SMD 10324 konfiguriert sein und ein Guss-Via 10322 aufweisen. Mehrere solche Guss-Vias sind in der Zeichnung dargestellt, von denen nur eine benannt ist, hier als 10325. In 103 kann das Element 1ß325 eine Lotperle oder ein anderes leitfähiges Element sein, wie z. B. ein metallisierter Stift, den die Gussmasse um die Konfiguration füllt. Das Via 10325 kann Teil eines Antennenelements sein, wie z. B. die verbundenen Vias 10321, 10323, 10325, 10327, wobei das Via 10321 innerhalb der SMD 10320 ist und in einigen Aspekten eine Leiterbahn im Wesentlichen senkrecht zu den Vias 10321, 10323, 10325, 10327 aufweisen kann, abhängig von der Notwendigkeit zusätzlicher Antennenlänge für Resonanzzwecke.
  • Die Antenne(n) können durch eine horizontale leitfähige Schicht 10331 mit dem Die 10306 verbunden sein (die Verbindung ist nicht gezeigt, in der Praxis kann jedoch 10331 eine Verbindung zu dem Die 10306 sein). Diskrete Elemente 10328 können in einigen Aspekten enthalten sein und können durch die Abschirmung 10330 gegen RFI/EMI abgeschirmt sein. Die Antennen oder Antennengruppe 10318 sind ähnlich oder gleich den bei 10320 gezeigten und können mit dem Die auf eine Weise ähnlich der für 10320 diskutierten verbunden sein. Ähnlich sind die Elemente 10318A, 10320A SMD-Antennen ähnlich 10318, 10320 und können durch den Die 10306 auf ähnliche Weise wie die SMD-Antennen 10318, 10320 gespeist werden. In einigen Aspekten können die Elemente 10318, 10320, 10324 auf Antennenplatinen (nicht gezeigt) als Antennengruppen konfiguriert sein mit Blick in die Zeichenebene der Figur. Die gleiche Situation kann für die SMDs 10318A, 10320A gelten. Infolgedessen weisen die Kombinationsebenen B, C und E von 103 und die Antennenplatinen, auf denen 10318, 10320, 10324 konfiguriert sind, in Übereinstimmung mit dem diskutierten Aspekt eine Package-auf-Package-Konfiguration auf. In einigen Aspekten können sich die vorstehenden Antennenelemente gegebenenfalls durch die relevante der Antennenplatinen in die oder durch die SMD 10318, 10320, 10324, or 10318', 10320', 10324' fortsetzen.
  • 104 ist eine Seitenansicht eines eingebetteten Package-auf-Package-Die-Funksystems, das neu verteilte Schichten verwendet, gemäß einigen Aspekten. Das Substrat 10400 weist die alphabetisch geordneten Ebenen A bis F auf, von denen jede das Material und/oder die Komponenten aufweisen kann, die nachstehend in Tabelle 2 angegeben sind, von denen einige oder alle in den verschiedenen Aspekten sein können, gemäß der vorhandenen Konstruktion. Tabelle 2
    Ebene Bemerkung
    A SIPS / Verbindungselemente / SMT Antennen / aufgedruckte Antennen /Abschirmungen / konform eingegossen / teilweise eingegossen / teilweise abgeschirmt
    B Ebenen 2L~6L kernlos
    C Gussmasse mit Vias und Gräben
    D Die und SMT-Komponenten + Umverteilungsschicht (RDL) auf der Oberseite und RDL auf der Unterseite (nur RDL auf der Unterseite und oberhalb gezeigt).
    E Lötverbindungen
    F Antenne und BGA und SMT-Komponenten
    G Gestapelte Baugruppe und gestapelte Dipole und Monopole
  • Das Substrat 10400 weist wenigstens einen eingebetteten Die 10406 in Ebene D auf, die das Package auf Waferebene sein kann, mit sehr dünnen leitfähigen Schichten zum Umverteilen der Signale von dem Die zu mehreren Packages in einigen Aspekten. Solche sehr dünnen leitfähigen Schichten, die zur Umverteilung verwendet werden, können als Umverteilungsschichten (RDL) bezeichnet sein. Ferner können, beispielsweise oben auf dem Substrat 10419, eine oder mehrere Antennen auf oder innerhalb einer oder mehrerer oberflächenmontierten Vorrichtungen wie z. B. 10416 sein und sowohl von dem Die 10406 über die Verbindungen 10421, 10423, 10425 und Vias (nicht gezeigt), die in dem Substrat verfügbar sind, wie vorstehend diskutiert, als auch durch die RDLs, die in einigen Aspekten mit solchen Vias verbunden sein können, gespeist werden.
  • Der Die 10406 kann durch die Gussmasse 10418 eingekapselt sein, beispielsweise durch einen Fließprozess wie vorstehend diskutiert. Verschiedene Antennen können auf oder innerhalb der Ebene A sein, wie vorstehend mit Bezug auf andere Figuren diskutiert ist. Die Ebene A kann außerdem für SIPs verwendet werden, um zu einem Package-auf-Package-System zu führen, gemäß einigen Aspekten. Ferner können diskrete Komponenten 10428 auf oder innerhalb der Ebene A sein und können durch die Abschirmung 10430 gegen RFI/EMI abgeschirmt sein, wie es gewünscht sein kann, in einigen Aspekten. Andere Komponenten wie z. B. 10432 können keine Abschirmung erfordern und können außerhalb irgendeiner Abschirmung sein, die in einem Aspekt bereitgestellt sein kann.
  • Zusätzlich sind Umverteilungsschichten (RDL), die Verbindungen an unterschiedlichen Schichten verfügbar machen, in der Figur zu sehen. Zwei der RDLs sind bei 10407, 10409 dargestellt, sie können in einigen Aspekten jedoch, wie Tabelle 2 angibt, an der Oberseite und Unterseite von Schicht D sein und in der gewünschten Anzahl vorhanden sein. Horizontale Schichten wie z. B. 10415 sind in der Gussebene D mit sehr hoher Dichte zu sehen und können in einigen Aspekten, wie vorstehend erläutert, zusätzliche Konnektivität zwischen den Schichten und Konnektivität mit dem Die 10406 bereitstellen.
  • Ferner können die RDLs vertikale Konnektivität zwischen horizontalen Schichten wie bei 10413-10413' bereitstellen, wobei 10413 eine vertikale Verbindung der RDL ist. In diesem Zusammenhang ermöglicht der Aspekt das Platzieren leitfähiger horizontaler Schichten wie z. B. 10413' in der Gussmasse mit sehr hoher Dichte.
  • Die RDLs können in einigen Aspekten direkt auf den Silizium-Die aufgedruckt sein wie beispielsweise bei 10410 gezeigt ist, was sie zu einer ultrahohen Dichte zur Umverteilung von Signalen aus dem Die 10306 zu Antennen oder Antennengruppen macht. In der hier beschriebenen RDL-Konfiguration müssen keine Höcker aus Lotperlen-Vias wie z. B. 10325 von 103 sein. Der Die 10406 wird unverändert gelassen, und die RDLs werden zur Signalverteilung verwendet, was einen Hauptvorteil bereitstellt.
  • Die Umverteilungsschichten können unter Verwendung von Polymer und Material, das rotationsbeschichtet ist, aufeinander hergestellt werden und sind sehr dünn. Das ermöglicht Vias mit sehr kleinem Abstand und sehr dünnen Viadurchmessern. Die RDLs wie z. B. 10407, 10409 können in einigen Aspekten über Löt-LGA/VGA-Kontaktstellen oder andere Lötkontakte 10440, 10442, ... , 10440 an die Antennenplatine 10412 gelötet sein. Die Antennenplatine 10412 kann Teil eines weiteren Substrats sein und ist auf dem Substrat 10400 in einer Package-auf-Package-Konfiguration gestapelt und physikalisch damit verbunden. Obwohl keine Antennen auf der Antennenplatine 10412 dargestellt sind, können solche Antennen ähnlich den Antennen auf dem Package 10007 von 100A und den Antennen 10216', 10218', 10220' von 102 und den Antennen anderer Figuren sein.
  • 105 ist eine Seitenansicht des eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems mit Aussparungen in den eingegossenen Schichten, um Höhe in der z-Richtung zu gewinnen, gemäß einigen Aspekten. Das Substrat 10500 von 105 ist ähnlich dem Package 10200 von 102.
  • In einigen Aspekten können die Materialien 10501, 10503, 10505 gleich oder ähnlich den Materialien 10201, 10203, 10205 in 102 sein. Die Antennen 10516, 10516', 10516" und diskreten Komponenten 10528, 10528' können auf oder innerhalb einer ersten parallelen Schicht der Schicht 10501 sein, die eine Substratschicht sein kann. Ähnlich können Antennen und diskrete Komponenten auf oder innerhalb einer dritten parallelen Schicht 10505, die eine Substratschicht sein kann, konfiguriert sein. In einigen Aspekten kann das SIP 10521 in physikalischem Kontakt mit der Ebene 10505 und mit ihr verbunden sein, wobei die Kombination der Ebenen 10501, 10503, 10505 und des SIP 10521 eine Package-auf-Package-Konfiguration aufweisen.
  • Dicht gepackte leitfähige horizontale Schichten, von denen zwei Schichten als 10510, 10512 benannt sind, können in den Schichten 10501, 10505 konfiguriert sein. Anders als in 102 können gemäß einigen Aspekten jedoch keine oder wenige leitfähige horizontale Schichten in der Gussschicht 10503 sein. 105 stellt ein Verbindungselement 10526 dar, das in einigen Aspekten in einer Aussparung 10527 in dem Material 10501 platziert sein kann, um die z-Höhe anzupassen, wie es in einigen Aspekten erforderlich sein kann. 105 stellt einen einzelnen Diee 10506 anstelle mehrerer Diee 10206, 10208 in 102 gemäß dem diskutierten Aspekt dar. Normale Fachleute würden erkennen, dass einige der oder alle Komponenten von 102 und 105 in jedem gegebenen Aspekt gemäß den Anforderungen der gewünschten Lösung vorhanden sein können und dass einige Aspekte mehrere eingebettete Diee aufweisen können. Die Gussmasse 10524 kann den Die 10506 und die Vias 10514 einkapseln. Keine oder wenige horizontale Verbindungsschichten sind in der Gussmasse vorhanden. Die Verbindung kann durch RDLs sein (in 105 nicht gezeigt, jedoch in einigen Aspekten wie in 103 gezeigt).
  • 106 ist eine Seitenansicht des eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems. Wie vorstehend diskutiert können Vias wie z. B. 10606 den Die als ein Graben umgeben und eine Abschirmung durch einen Faraday-Käfig bereitstellen. Falls zusätzliche Abschirmung erwünscht ist oder falls Vias nicht in einer ausreichend dichten Form verfügbar sind, kann gemäß einigen Aspekten eine mechanische Abschirmung 10602 zur RFI/EMI-Abschirmung und zur Wärmeverteilung enthalten sein. Das eingegossene Substrat 10600 von 106 weist die Gussmasse 10624 und Materialien 10601, 10603, 10605 auf, die ähnlich oder gleich den Materialien 10501, 10503, 10505 von 105 sind. Die mechanische Abschirmung 10602 kann gemäß einigen Aspekten an das Package gelötet sein. Die gelötete Abschirmung stellt die Fähigkeit zum Löten innerhalb des Kerns des Substrats dar, der schließlich in eine Gussmasse eingekapselt wird, wobei die Lötfunktion eine Funktion ist, die zur Massenherstellung in einer nicht eingegossenen Abschirmung schwierig ist. Der Die 10606 ist gemäß einigen Aspekten durch Lotperlen 10608 an die „Dach“-Oberfläche des Volumens 10603 gelötet, das schließlich durch ein Gussmaterial eingekapselt wird.
  • 107 ist eine perspektivische Ansicht eines gestapelten ultradünnen Systems in einem Baugruppen-Funksystems mit seitlich platzierten Antennen oder Antennengruppen gemäß einigen Aspekten. Geschätzte Parameter eines Aspekts des Systems von 107 sind in der nachstehenden Tabelle 3 für einige Aspekte zu sehen. Tabelle 3
    2-seitige Strahlung
    PCB-Fläche= 50 mm2
    Z-Höhe = 1,25 mm
    Z-Höhe Durchbruch
    4L-6L BT PCB
    300 um SMT-Antennen auf OBEN und UNTEN
    CU-Säule oder gelöteter Höcker
    Reduzieren der Z-Höhe ist eine Funktion von:
    o BOM-Auswahl (einschließlich des RF-Verbindungselements)
    o PCB-Dicke (200 um → 4L)
    o Si-Dicke (100 um → Sicher zur Integration)
    o Mechanische Abschirmung (geringes Risiko)
    o Verwenden von A.FL-Verbindungselement falls IR-Abfall besser ist und kein Nachteil
    o Thermisch niedrig
    Anzahl der Antennenelemente:
    o oben
    o unten
    o Seiten
  • In 107 enthält das Package 10700 eine ultradünne Anwendung, die einen abgeschirmten Die 10706 aufweist, der durch die mechanische Abschirmung 10709 unterhalb des Substrats 10701, 10703, 10705, das manchmal als kernloses Substrat bezeichnet ist, abgeschirmt ist. In einigen Aspekten verwenden kernlose Substrate nur PrePregs, die während der Herstellung auf einem Opfermaterial laminiert sind. Somit ist die Steifheit wegen eines starren Opfermaterials vorhanden. In kernbasierten Substraten, die vorstehend diskutiert sind, stellt der Kern (der kein Opfermaterial ist) die Steifheit bereit und ist somit dicker.
  • Wie es hier verwendet ist, bedeutet „kernlos“ ein sehr dünnes Substrat, anders als ein Kern (der ein viel dickeres Substrat aufweist). Das Material 10701, 10703, 10705 kann Schichten eines kernlosen oder kernbasierten Substrats bilden. Das Material 10704 ist dicker, weil Antennen mehr Volumen zum Funktionieren benötigen. In einigen Aspekten können die Materialien 10701, 10703, 10705 gemäß einigen Aspekten ultradünne PrePregs sein.
  • Ein Package 10700 kann ferner das Verbindungselement 10707 und die Komponenten 10710 aufweisen, die in einigen Aspekten durch die mechanische Abschirmung 10708 abgeschirmt sind. Die Elemente auf der Oberseite und der Unterseite des Substrats nehmen den größten Teil der Z-Abmessung und der X-Abmessung ein, so dass in dem diskutierten Aspekt wenig Raum für die Platzierung von Antennen vorhanden ist. Infolgedessen können sich die Antennen gemäß einigen Aspekten seitlich wie bei 10702 auf beiden Seiten des Substrats befinden unter Verwendung von Antennenplatinen 10704, 10704', die gemäß einigen Aspekten oberflächenmontierte Vorrichtungen sein können.
  • Die Antennen können eine Antennengruppe, die die Antennenelemente 10714-10714', 10716-10716' und 10718-10718' aufweist, die auf der SMD 10704 oberhalb des Substrats konfiguriert sind, und Antenne eine Antennengruppe, die die Antennenelemente 10722-10722', 10724-10724' und 10726-10726' aufweist, die auf der SMD 10704' unterhalb des Substrats befindet, sein. Das Platzieren der Antennen benachbart einem ultradünnen Substrat stellt zusätzlichen Raum für X-Y- und Z-Abmessungen bereit, was das Volumen erhöht, was zu besserer Bandbreite und Verstärkung und weniger Verlust führt, wie vorstehend diskutiert ist.
  • Obwohl 2x4-Gruppen dargestellt sind, würden normale Fachleute verstehen, dass eine NxM-Gruppe auf der Oberseite, der Unterseite oder den Seiten des Substrats konfiguriert sein kann, gemäß der gewünschten Lösung. In einigen Aspekten kann, wenn sich Antennengruppen auf der Oberseite, der Unterseite und entlang der Seiten der SMD befinden, die Strahlungsrichtung in irgendeiner aus einer Anzahl von Richtungen abhängig von der algorithmischen Steuerung der Antennenfeuerung und der Antennenpolarität gesteuert werden.
  • Beispiele einer ultradünnen Anwendung können Antennen sein, die für sehr dünne Bereiche, wie GOOGLE™ GLASS™, ein dünnes Headset, ein sehr dünnes Tablet und dergleichen benötigt werden, wobei das verfügbare Grundstück so dünn sein kann, dass es sehr unwahrscheinlich sein kann, dass das verfügbare Grundstück für Antennen verwendet wird. In einer solchen Umgebung könnten die Antennen benachbart dem Package platziert sein, wie vorstehend diskutiert, und könnten eine ungerichtete Antenne ergeben, nicht nur aufgrund des Antennentyps oder der Platzierung, sondern auch wegen der Feuerfolge der Antennen.
  • Die Antennen und die Gruppen würden durch den Die 10706 gespeist, und ein zusätzlicher Vorteil des ultradünnen kernlosen Substrats ist, dass für dünnere Materialien Leitungen und Vias mit höherer Dichte verwendet werden können (aufgrund von Platzbeschränkungen nicht gezeigt), wie vorstehend dargestellt und diskutiert. Beispielsweise erfordern dicke Materialien normalerweise ein größeres Via wegen der Dicke, die durchquert werden muss, wie durch die Vias 10020 und 10022 von 100A und die Vias 10219, 10219' von 102 zu sehen ist. Andererseits können Vias mit einem Durchmesser nahezu in der Größe eines Haars in ultradünnen kernlosen Substraten wegen der viel kürzeren Abstände, die von dem Via durchquert werden müssen, ausgeführt werden.
  • Die 108A bis 108C stellen eine eingebettete Die-Baugruppe gemäß einigen Aspekten dar. Wenn an Wi-Fi-Frequenzen wie z. B. Frequenzbändern bei 2,4 GHz, 3,6 GHz, 4,8 GHz, 5 GHz und 5,9 GHz gearbeitet wird, werden die Abmessungen des Dies, der Speiseleitungen und Antennen viel größer sein als wenn in WiGig- oder 5G-mmWellen-Frequenzbändern in den vorstehend diskutierten sechzig-GHz- oder anderen WiGig-Bereichen gearbeitet wird. Leistungsverluste, die durch die Abmessungen oder Speiseleitungen an Wi-Fi-Frequenzen erhalten werden, werden sehr wesentlich größer, und in einigen Aspekten im Grunde nicht tolerierbar, wenn an WiGig- oder 5G-mmWellen-Frequenzen gearbeitet wird.
  • Infolgedessen kann das Reduzieren der Größe des Dies im Wesentlichen durch Integrieren primär nur der elektronischen Funktionen, die für eine kleine Gruppe „dedizierter“ Antennengruppen, die sehr nahe an dem Die mit reduzierter Funktion angeordnet sind, benötigt werden, in den Die zu sehr kurzen Speiseleitungsverbindungen und damit weniger Leistungsverlust führen. Mit anderen Worten würden die Größe und Form des Dies primär nach der Anzahl und der Anforderungen für elektronische Signale dedizierter Antennen, die der Die bedient, eingestellt. In einigen Aspekten können die Anforderungen für elektronische Signale Signale an einer oder mehreren Polaritäten, Signale in einem oder mehreren Frequenzbereichen, Signale mit einer oder mehreren Amplituden oder Signale mit einer gegebenen Leistung unter anderen Signalparametern enthalten.
  • Wie erwähnt ermöglicht diese reduzierte Funktion die Reduktion der Größe des Dies, was wiederum ermöglicht, dass der Die sehr, sehr nahe an der dedizierten Antenne oder Gruppe von Antennen, die diese elektronischen Signale verwenden, platziert sein kann. Das führt zu kürzerem Verlauf der Speiseleitung und entsprechend geringerem Leistungsverlust. In einigen Aspekten wird ein großer Die auf eine Reihe sehr kleiner Diee reduziert, von denen jeder dann eine dedizierte Antenne oder dedizierte Antennengruppe auf der Oberseite und/oder Unterseite eines Substrats speist, was zu sehr nahen und deshalb sehr kurzen Verbindungen mit geringem Verlust führt. In einigen Aspekten kann das durch Einbetten des Dies in ein Substrat an einem Ort des Substrats, der physikalisch sehr nahe den Antennengruppen ist, die die begrenzte elektronische Funktion des Dies verwenden, erledigt werden.
  • Ein solcher Aspekt ist in 108A zu sehen, wobei gemäß einigen Aspekten mehrere Diee in ein Substrat oberhalb und unterhalb der Antennen, die die jeweiligen Funktionen der speziellen Diee verwenden, eingebettet sind. In 108A sind gemäß einigen Aspekten der Die 10809 und zugeordnete diskrete Komponenten wie z. B. bei 10810 in das Package 10801 eingebettet. Die Antennen 10803 und 10811 sind an der Oberseite und der Unterseite des Substrats 10801 insoweit konfiguriert, als die Antennen in einer geeigneten Richtung senden müssen, abhängig von der Orientierung der mobilen Vorrichtung, in der sich das Package befindet.
  • Wegen der Nähe des Dies und der Antennen verbinden sehr kurze Speisemechanismen (in dieser Figur nicht gezeigt) den Die und die Antennen 10803 und 10811 miteinander. Ferner kann, falls es die Platzbedingungen erfordern, ein Die konfiguriert sein, Antennen (oder Antennengruppen) auf einer Seite des Substrats zu speisen, während ein zweiter Die konfiguriert sein kann, zweite Antennen (oder Antennengruppen) auf der anderen Seite des Substrats zu speisen, und die zwei Mengen von Antennen oder Antennengruppen können durch ein geeignetes Steuerprogramm algorithmisch angesteuert werden.
  • Beispielsweise kann in 108A der Die 10809 die Antenne(m) 10803 ansteuern, während der Die 10809' die Antenne(n) 10811' ansteuern kann in einem algorithmisch gesteuerten Programm, durch das die Antenne(n) 10803 und 10811' in einer gewünschten Reihenfolge oder Polarisation oder Richtung feuern. Anders ausgedrückt kann ein großer Die in mehrere kleinere Diee konfiguriert sein, um Antenne(n) zu steuern, die nahe an den mehreren kleineren Dieen verbunden und programmiert sind, in irgendeiner gewünschten Reihenfolge zu feuern, um die Anforderungen der vorhandenen Konstruktion zu erfüllen.
  • Die Elemente 10813, 10813' können Kontakte wie z. B. Lotperlen, Vias, Schmelzperlen oder andere Kontakte sein, die dicht beabstandet und konfiguriert sind, einen Faraday-Käfig zur RFI/EMI-Abschirmung von Dieen zu bilden, wie z. B. vorstehend diskutiert ist. Andere Formen der Abschirmung können ebenfalls verwendet sein, wie z. B. Vias oder sogar ein Graben, der mit leitfähigen Materialien beschichtet worden ist, um eine Abschirmung rund um den Die und die zugeordneten Komponenten bereitzustellen.
  • Diese Kombination aus dem abgeschirmten Die und den zugeordneten Komponenten, die innerhalb des Substrats 10801 eingebettet sind, und dedizierten Antennen 10803, 10819 weist eine Kombination 10801-1 aus eingebettetem Die und dedizierter Antennen auf. Es können mehrere solche Kombinationen 10801-1, 10801-2, 10801-3, ... , 10801-N aus eingebettetem Die und dedizierten Antennen vorhanden sein..
  • In 108A ist N gleich vier, es kann jedoch irgendeine geeignete Anzahl solcher Kombinationen in dem Package implementiert sein, um ein Teilsystem 10800 mit mehreren eingebetteten Dieen zu bilden, das in einigen Aspekten nur eine einzige Baugruppe aufweist. In anderen Aspekten können mehrere Packages gestapelt sein, wie vorstehend mit Bezug auf Package-auf-Package-Aspekte diskutiert ist. Jeder dieser Diee würde miteinander über algorithmische Steuerung kommunizieren, um zu bestimmen, welche Antenne oder Antennengruppe zu einer gegebenen Zeit feuert, abhängig von der Orientierung der mobilen Vorrichtung und gegebenenfalls der gewünschten Polarisation oder Diversity. In anderen Aspekten ist das Konzept der Diee mit reduzierter Größe, reduzierter Funktion und auf eine Antenne beschränkt (auf eine Antenne beschränkt in dem Sinn, dass nur (eine) dedizierte Antenne oder Antennen versorgt werden, die sehr nahe an dem Die ist/sind) nicht auf einen eingebetteten Die wie in dem hier diskutierten Aspekt beschränkt, sondern kann auch in Aspekten, die gestapelte Packages des vorstehend diskutierten Typs verwenden, implementiert sein.
  • 108B stellt bei 10802 allgemein eine Draufsicht von N dedizierten Antennengruppen 10801'-1, 10801'-2, 10801'-3, 10801'-N, die oben auf dem Package 10801 von 108A konfiguriert sind, wobei N = 4 ist, gemäß einigen Aspekten dar. Die Antennengruppe 10803A-19803B weist die dedizierte Antennengruppe 10802-1 auf, die eine Antennengruppe darstellt, die ein Teil der Kombination 10801-1 aus eingebettetem Die und dedizierten Antennen von 108A sein kann. 108C stellt bei 10804 allgemein eine Unteransicht von N dedizierten 2x4-Antennengruppen, die auf der Unterseite der Oberfläche 10819 von 108A konfiguriert sind, gemäß einigen Aspekten dar.
  • Obwohl ein Aspekt, bei dem eine Kombination aus eingebettetem Die und dedizierten Antennen wie z. B. 10801-1 mit zwei Antennen oder Antennengruppen dargestellt und beschrieben worden ist, können in anderen Aspekten andere Kombinationen implementiert sein. Beispielsweise könnte ein einzelner Die mehr als zwei dedizierte Antennen oder Antennengruppen speisen, und der Die wäre dann dementsprechend elektronisch konfiguriert. In solchen Fällen würde der dedizierte Die immer noch in großer Nähe zu den dedizierten Antennen wie praktisch möglich bleiben, um zu ermöglichen, dass die Antennen mit sehr kurzen Speiseleitungen gespeist werden.
  • Ferner ist es wichtig zu verstehen, dass der Leistungsverlust vor dem rauscharmen Verstärker (LNA) des Empfängers des Dies oder nach dem Leistungsverstärker (PA) des Senders des Dies ein erheblicher Verlust ist. Um davor zu schützen werden die Verbindungen zu der Antenne allgemein sehr kurz gehalten. Mit anderen Worten hat der Verlust innerhalb des Dies nicht einen so großen negativen Effekt auf das System wie der Verlust nachdem die RF-Kette den PA des Sendeempfängers des Dies verlässt oder bevor die RF-Kette durch den LNA des Sendeempfängers des Dies verstärkt wird, weil der Verlust in diesen letzteren Fällen (nach dem PA und vor dem LNA) einen erheblichen negativen Effekt auf das Signal/Rausch-Verhältnis des Gesamtsystems haben kann. Somit sind sehr kurze Verbindungen zwischen dem Die und den Antennen kritisch, was zu den hier beschriebenen Aspekten mit eingebettetem Die und dedizierter Antenne führt. Somit stellen die beschriebenen Aspekte den räumlichen Ort des Dies in unmittelbarer Nähe zu der/den Antenne(n) bereit.
  • Ein wichtiger Vorteil gestapelter Packages und des Stapelns von Komponenten aufeinander ist es zu ermöglichen, dass mehrere Funkeinrichtungen und mehrere Systeme aufeinander gestapelt werden können. In einigen Aspekten können die Antennen mit einer Funkeinrichtung in einem Wi-Fi-System, das innerhalb eines Wi-Fi-Frequenzbands arbeitet, gekoppelt sein, und andere Antennen in derselben oder einer andere Baugruppe der Konfiguration mit gestapelter Baugruppe können mit einer Funkeinrichtung in einem drahtlosen mmWellen-Gigabit- (WiGig-) System gekoppelt sein, wobei derselbe Die in einigen Aspekten eine Wi-Fi-Systemkonfiguration und eine mmWellen-WiGig-Systemkonfiguration aufweist.
  • In einigen Aspekten kann der Die tatsächlich mehrere Diee aufweisen, beispielsweise einen ersten Die, der für Wi-Fi-Betrieb konfiguriert ist und mit einer ersten Gruppe von Antennen verbunden ist, und einen zweiten Die, der für mmWellen-WiGig-Betrieb konfiguriert ist und mit einer zweite Gruppe von Antennen verbunden ist. Wie vorstehend erwähnt können die Diee in derselben Baugruppe einer Package-auf-Package-Konfiguration oder in unterschiedlichen Packages in einer Package-auf-Package-Konfiguration sein. Ferner kann, falls Antennengruppen wie z. B. Patchelemente wegen der Überlagerung von Antennenelementen in einer Package-auf-Package-Konfiguration einander gegenüber liegen und falls die Antennen so gesteuert werden, dass sie gemeinsam feuern, die Strahlung in dem Querstrahlungs-Betrieb seitlich sein. Ferner noch kann in einigen Aspekten das Feuern der Antennengruppen auf entgegengesetzten Seiten des Packages algorithmisch gesteuert werden, um in entgegengesetzten Richtungen zu feuern, selbst in einer Winkel-Opposition von einhundertachtzig Grad (1800); und in einigen Aspekten kann das Feuern der Antennengruppen auf entgegengesetzten Seiten des Packages algorithmisch so gesteuert werden, dass sie in der gleichen Richtung feuern.
  • Die großen Bandbreiten, die in dem mmWellen-Band verfügbar sind, sind von besonderem Interesse für Anwendungen wie z. B. drahtlosen Rücktransport, der eine Datenrate von Gigabits pro Sekunde erfordert. Die „Federal Communications Commission“ (FCC) hat kürzlich das 64 GHz- bis 71 GHz-Spektrum für 5G-Anwendungsfälle geöffnet und ermöglicht so die Verwendung von bis zu sechs Frequenzkanälen mit jeweils einer Bandbreite von 2,16 GHz. Folglich muss die Antenne, die die Schnittstelle von dem Funk-Frontend zu der Luftschnittstelle bildet, über eine große Frequenzbandbreite arbeiten.
  • Um Herausforderungen zu adressieren, die bei der Konstruktion aufgedruckter Antennengruppen, die große Bandbreiten aufweisen, existieren, können dickere Substrate in Kombination mit gestapelten Resonatoren verwendet werden, um die Bandbreite spezieller aufgedruckter Antennen zu verbreitern. In einigen Aspekten können gestapelte Patchantennen verwendet werden, um die Antennenbandbreite zu erhöhen. Insbesondere können zwei vertikal gestapelte Patchantennen (oder Patches) als gekoppelte Resonatoren agieren, wobei die Kopplung zwischen den zwei Resonatoren gesteuert werden kann, um die Impedanzbandbreite der Antenne anzupassen.
  • Die Kopplung kann unter Verwendung verschiedener Substratdicken gesteuert werden, um die Kopplung, die magnetischer Natur ist, zu steuern. Insbesondere kann eine Vergrößerung der Höhe zwischen den gestapelten Patches (was einer Erhöhung der Substratdicke äquivalent ist) zu breiterer Bandbreite führen. Obwohl ein dickeres Substrat zwischen gestapelten Resonatoren allgemein zu einer breiteren effektive Bandbreite eines Antennenelements führen kann, kann eine Vergrößerung der Substratdicke auch das Abtasten von Nullen in dem Gesichtsfeld einer aufgedruckten phasengesteuerten Gruppe hervorrufen. Hier beschriebene Aspekte adressieren solche Herausforderungen und enthalten eine Antenne mit gestapeltem Ringresonator (SRR) mit drei oder mehr kapazitiv gekoppelten Resonatoren, um die Antennenbandbreite zu erhöhen.
  • 109 stellt ein Blockdiagramm einer Seitenansicht einer beispielhaften Antennenbaugruppenzelle mit gestapelten Ringresonatoren (SRR) gemäß einigen Aspekten dar. Die Antennenbaugruppenzelle kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Antennenbaugruppenzelle nicht darauf eingeschränkt ist.
  • Bezug nehmend auf 109 ist eine SRR-Antennenbaugruppe 10900 dargestellt, die auf einer mehrschichtigen PCB implementiert sein kann. Die SRR-Antennenbaugruppe 10900 kann eine erste metallisierte Schicht aufweisen, die eine Masseebene 10902 bilden kann. Die SRR-Antennenbaugruppe 10900 kann ferner eine zweite metallisierte Schicht aufweisen, die einen einzelnen Ringresonator 10906 bilden kann. Eine dritte metallisierte Schicht kann zusätzliche Ringresonatoren, wie z. B. die Ringresonatoren 10910 und 10912, bilden. Obwohl 109 zwei Ringresonatoren in der dritten metallisierten Schicht darstellt, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und es kann eine andere Konfiguration von Ringresonatoren verwendet werden. Beispielsweise können vier Ringresonatoren in der dritten Schicht verwendet werden, wie in 111 dargestellt ist.
  • In einigen Aspekten ist die Masseebenenschicht 10902 durch eine oder mehrere PCB-Schichten 10904 von dem einzelnen Resonator 10906 getrennt, und der einzelne Resonator 10906 ist durch eine oder mehrere zusätzliche PCB-Schichten 10908 von den Ringresonatoren 10910 und 10912 getrennt. In einigen Aspekten ist der einzelne Ringresonator 10906 mit den Ringresonatoren 10910 und 10912 kapazitiv gekoppelt, und die Ringresonatoren 10910 und 10912 können kapazitiv miteinander gekoppelt sein. In diesem Zusammenhang kann die Bandbreite der SRR-Antennenbaugruppe 10900 durch Ändern der kapazitiven Kopplung zwischen den Resonatorringen sowohl durch Anpassen der Dicke der PCB-Schichten 10904 und 10908 als auch durch Anpassen des Abstands zwischen den komplanaren Ringresonatoren 10910 und 10912 gesteuert werden.
  • In einigen Aspekten können mehrere Antennenbaugruppen (wie z. B. die SRR-Antennenbaugruppe 10900) als Antennenzellen in einer Antennengruppe wie z. B. einer großen phasengesteuerten Millimeterwellen-Antennengruppe, wie in 114 dargestellt, verwendet werden.
  • 110 stellt beispielhafte Ringresonatoren, die in einer oder mehreren Schichten der Antennenbaugruppenzelle von 109 verwendet werden können, gemäß einigen Aspekten dar. Die hier offenbarten Ringresonatoren können Teil einer Antennenbaugruppenzelle sein, wobei die Resonatoren eine oder mehrere Schichten der Antennenbaugruppe besetzen und Signale, die über die Antennenbaugruppenzelle empfangen oder gesendet werden, verstärken und/oder resonieren. Bezug nehmend auf 110 sind der einzelne Ringresonator 10906 der zweiten metallisierten Schicht der SRR-Antennenbaugruppe 10900 und die komplanaren kapazitiv gekoppelten Ringresonatoren 10910 und 10912 aus der dritten metallisierten Schicht der SRR-Antennenbaugruppe 10900 dargestellt. In einigen Aspekten kann die SRR-Antennenbaugruppe 10900 eine einzelne Speiseleitung an dem Antennenanschluss 11000 verwenden, die mit dem einzelnen Ringresonator 10906 gekoppelt sein kann, um eine einzelne lineare Polarisation zu erzeugen.
  • 111 stellt beispielhafte Ringresonatoren mit mehreren Speiseleitungen, die unterschiedliche Polarisation verwenden, die in einer oder mehreren Schichten der Antennenbaugruppenzelle von 109 verwendet werden können, gemäß einigen Aspekten dar. Bezug nehmend auf 111 ist ein einzelner Ringresonator 11102 dargestellt, der in der zweiten metallisierten Schicht der SRR-Antennenbaugruppe 10900 verwendet werden kann. In einigen Aspekten kann die dritte metallisierte Schicht der SRR-Antennenbaugruppe 10900 mehrere komplanare gekoppelte Ringresonatoren 11104 aufweisen. Insbesondere können die mehrere Ringresonatoren 11104 die Ringresonatoren 11106, 11108, 11110 und 11112 aufweisen, die sowohl miteinander als auch mit dem einzelnen Ringresonator 11102 kapazitiv gekoppelt sein können.
  • In einigen Aspekten kann die SRR-Antennenbaugruppe 10900 eine duale Speiseleitung verwenden, die die Antennenanschlüsse 11114 und 11116 an dem einzelnen Ringresonator 11102 speist, um zwei lineare orthogonale Polarisationen zu erzeugen. Wie in 111 zu sehen ist, kann der Antennenanschluss 11114 für eine horizontale Signalpolarisation verwendet werden, und die Antennenanschluss 11116 kann für eine vertikale Signalpolarisation verwendet werden.
  • 112 stellt elektrische Feldlinien 11200 in der E-Ebene der SRR-Antenne von 109 gemäß einigen Aspekten dar. Bezug nehmend auf 112 sind die elektrischen Leitungen 11200 dargestellt, die zwischen der ersten, der zweiten und der dritten metallisierten Schicht der SRR-Antennenbaugruppe 10900 gebildet sind. Insbesondere sind die elektrischen Feldlinien 11200 aufgrund der kapazitiven Kopplung zwischen der Masseebene 11202, dem einzelnen Ringresonator 11204 (auf der zweiten metallisierten Schicht) und den zwei komplanaren Ringresonatoren 11206 und 1208 gebildet. 112 stellt außerdem einen Antennenspeiseanschluss 11210 auf dem einzelnen Ringresonator 11204 dar.
  • 113 ist eine grafische Repräsentation des Reflexionskoeffizienten und des auf der Mittelachse realisierten Gewinns der SRR-Antennenbaugruppenzelle von 109 gemäß einigen Aspekten. Insbesondere stellt 113 ein Diagramm 11302 des Reflexionskoeffizienten (das die Rückflussdämpfung angibt) und ein Diagramm 11304 der an der Mittelachse realisierten Verstärkung basierend auf einer simulierten Leistung der SRR-Antennentopologie von 111 dar. Wie in 113 zu sehen ist, erstreckt sich die 10 dB-Rückflussdämpfungsbandbreite von 55 GHz bis 74 GHz oder über eine Bandbreite von 19 GHz.
  • Zusätzlich erstreckt sich die 3 dB an der Mittelachse realisierte Verstärkungsbandbreite von 54 GHz bis 69 GHz oder über eine Bandbreite von 15 GHz. Wie in 113 zu sehen ist, beginnt die Verstärkung an der Mittelachse oberhalb von 70 GHz rapide abzufallen, wobei an diesem Punkt das Element kein Strahlungsverhalten vom Breitseitentyp mehr aufweist. Die SRR-Antennenbaugruppe (z. B. 10900) kann deshalb innerhalb einer effektiven Bandbreite von 55 GHz bis 69 GHz verwendet werden, während sie an der Breitseite abstrahlt. Das Breitseitenmuster kann in Anwendungen mit phasengesteuerter Gruppe interessant sein, um gerichtete Strahlen in der oberen Hemisphäre zu erzeugen. Außerdem kann die SRR-Antennenbaugruppe (z. B. 10900) in der Frequenz nach oben und nach unten skaliert werden, um mehr spezifische Frequenzbänder abzudecken, abhängig von den Anwendungen.
  • 114 stellt ein Blockdiagramm einer beispielhaften Antennengruppe, die die SRR-Antennenbaugruppenzelle von 109 verwendet, gemäß einigen Aspekten dar. Bezug nehmend auf 114 ist die Antennengruppe 11400 eine große phasengesteuerte Millimeterwellen-Gruppenantenne, die mehrere Antennenbaugruppenzellen ähnlich der SRR-Antennenbaugruppe 10900 von 109 aufweist. In einigen Aspekten weist die Antennengruppe 11400 eine Anordnung von SRR-Antennenbaugruppenzellen auf, die in einer gekachelten Konfiguration angeordnet sind, die irgendeine Anzahl von Vielfachen der SRR-Antennenbaugruppenzellen aufweist (z. B. 4 x 4, 8 x 8 und 16 x 16). Der Antennengruppe 11400 (und außerdem jede SRR-Antennenbaugruppenzelle (z. B. der SRR-Antennenbaugruppe 10900)) ist ein spezieller elektrischer Feldvektor (E-Feldvektor) (in 114 dargestellt) und ein spezieller Magnetfeldvektor (M-Feldvektor) (in 114 nicht dargestellt) zugeordnet.
  • Die Antennengruppe 11400 kann unter Verwendung mehrerer SRR-Antennenbaugruppen wie z. B. der SRR-Antennenbaugruppe 10900 gebildet sein. 114 stellt die zweite metallisierte Schicht 11402 und die dritte metallisierte Schicht 11408 in der Antennengruppe 11400 dar. Die zweite metallisierte Schicht 11402 weist mehrere einzelne Ringresonatoren 11404 auf. Jeder der einzelnen Ringresonatoren 11404 weist eine entsprechende Menge von Ringresonatoren 11410 (z. B. vier komplanare kapazitiv gekoppelte Ringresonatoren) innerhalb der dritten metallisierten Schicht 11408 auf.
  • In einigen Aspekten kann die Beabstandung zwischen Elementen der Ringresonatoren innerhalb der Schichten 11402 und 11408 auf 0,5 λ eingestellt sein, kann jedoch basierend auf den Abtastbereichsanforderungen der Antennengruppe 11400 verändert werden.
  • In einigen Aspekten können, um die Metalldichten auf der aufgebauten Baugruppe anzugleichen, nicht-resonante Dipole (oder Schein-Metallstreifen) 11406 und 11412 zwischen benachbarten Resonatorelementen hinzugefügt sein. In der Antennengruppe 11400 kann jeder der SRR-Antennenresonatoren (z. B. 11404) aus einem einzelnen Antennenanschluss gespeist werden, was eine einzige lineare Polarisation bildet (in einigen Beispielen kann stattdessen Dual-Polarisation verwendet werden). Wie in 114 zu sehen ist, sind die nicht-resonanten Dipole 11406 und 11412 orthogonal zu dem E-Feldvektor, um die Kopplung zwischen den strahlenden Elementen und den nicht resonanten Dipolen zu reduzieren.
  • 116 stellt ein Blockdiagramm einer Aufstapelung der SRR-Antennenbaugruppenzelle von 109 gemäß einigen Aspekten dar. Die SRR-Antennenbaugruppenzelle 11600 kann unter Verwendung von zehn Substratschichten (M1 - M10), die jeweils als 11604 - 11622 bezeichnet sind, gebildet sein. Die SRR-Antennenbaugruppenzelle 11600 weist komplanare Ringresonatoren 11636, die auf der oberen Substratschicht 11622 angeordnet sind, einen einzelnen Ringresonator 11634, der in der Substratschicht 11618 angeordnet ist, eine Antennenmasseebene 11632, die in der Substratschicht 11614 angeordnet ist, eine Antennenspeisung 11630, die in der Substratschicht 11612 angeordnet ist, nicht-resonante Dipole 11638, die auf den Substratschichten 11616, 11618, 11620 und 11622 angeordnet sind, und einen Impedanzwandler (z. B. den koaxialen Impedanzwandler 11640), der zwischen den Substratschichten 11604 und 11614 angeordnet ist, auf.
  • Die SRR-Antennenbaugruppenzelle 11600 weist zehn Substratschichten auf, um Signallenkung bereitzustellen, der Aspekt ist jedoch nicht so eingeschränkt, und die Antennenbaugruppenzelle 11600 kann eine andere Anzahl von Substratschichten aufweisen. In einigen Aspekten stellen die Substratschichten (z. B. 11604 - 11612) der Antennenbaugruppenzelle 11600 Aufstapelungssymmetrie bereit, um die Wölbung der Antennenbaugruppenzelle 11600 abzuschwächen. Die SRR-Antennenbaugruppenzelle 11600 kann auf einer Oberfläche wie z. B. einer PCB implementiert sein.
  • In einigen Aspekten ist die SRR-Antennenbaugruppenzelle 11600 eine Untergruppenelement als Teil einer Untergruppe einer Antennengruppe (z. B. einer phasengesteuerten Antennengruppe, wie in 114 zu sehen ist). In speziellen Aspekten ist die SRR-Antennenbaugruppenzelle 11600 mit einem aus mehreren Anschlüssen einer integrierten Schaltung, beispielsweise einer integrierten Hochfrequenzschaltung (RFIC) 11602, über den koaxialen Impedanzwandler 11640 gekoppelt. Aspekte sind jedoch nicht so eingeschränkt, und die SRR-Antennenbaugruppenzelle 11600 kann auch ein Untergruppenelement einer größeren oder kleineren Untergruppe sein und kann über andere Verfahren mit einer RFIC gekoppelt sein. Ferner kann jede Untergruppe in einigen Aspekten angeordnet sein, um eine phasengesteuerte Gruppenantenne (z. B. eine phasengesteuerte Gruppenantenne für umfangreiche mmWellen-Kommunikation) zu bilden.
  • Die Antennenzuleitung 11630 ist in speziellen Aspekten auf der Substratschicht 11612 angeordnet, benachbart der Masseebene auf der Substratschicht 11614. Ferner ist die Antennenzuleitung 11630 in einigen Aspekten mit dem Impedanzwandler 11640 gekoppelt. Durch Koppeln mit dem Impedanzwandler 11640 kann die Antennenzuleitung 11630 RF-Signale zum Senden durch die SRR-Antennenbaugruppenzelle 11600 empfangen oder RF-Signale zu der Antennenzuleitung 11630 senden, beispielsweise RF-Signale, die durch die SRR-Antennenbaugruppenzelle 11600 empfangen werden. In einigen Aspekten weist der Impedanzwandler mehrere Vias auf, die innerhalb mehrerer Substratschichten (z. B. der Substratschichten 11604 - 11612) angeordnet sind. Solche Vias können die RFIC 11602 (z. B. über RFIC-Höcker 11603) mit der Antennenzuleitung 11630 über mehrere Substratschichten (z. B. die Substratschichten 11604-11612) koppeln. Insbesondere können die Vias des Impedanzwandlers 11640 ein Via aufweisen, die die RFIC 11602 mit der Antennenzuleitung 11630 koppelt.
  • In einigen Aspekten kann die Antennenzuleitung 11603 der SRR-Antennenbaugruppenzelle 11600 aus einem gleichphasigen Speiseverteilungsnetz gespeist werden, das in einer 25 Ω-Streifenleitung konstruiert ist. Das Impedanzsystem kann so auswählt sein, dass es 25 Ω ist, um Ohmsche Verluste in die Streifenleitung im Vergleich zu einer herkömmlichen 50 Ω-Streifenleitung zu reduzieren.
  • In einigen Aspekten weist die SRR-Antennenbaugruppenzelle 11600 ferner mehrere nicht resonante Dipole 11638 auf, die auf Substratschichten (z. B. einer oder mehreren der Schichten 11616 - 11622) angeordnet sind. In einigen Aspekten können die nicht resonanten Dipole 11638 die Metalldichte der SRR-Antennenbaugruppenzelle 11600 erhöhen, was die Wölbung abschwächen kann. Zusätzlich können die nicht resonanten Dipole 11638 auf einer oder mehreren der Substratschichten 11616 - 11622 orthogonal zu dem elektrischen Feld der SRR-Antennenbaugruppenzelle 11600 angeordnet sei, um die Nichtresonanz sicherzustellen.
  • In einigen Aspekten ist die RFIC 11602 konfiguriert, RF-Signale für die SRR-Antennenbaugruppenzelle 11600 von den Ringresonatoren 11636 und 11634, der Antennenzuleitung 11630 und dem Impedanzwandler 11640 zu empfangen. Zusätzlich ist in einigen Aspekten die RFIC 11602 konfiguriert, RF-Signale von der SRR-Antennenbaugruppenzelle 11600 über den Impedanzwandler 11640, die Antennenzuleitung 11630 und die Ringresonatoren 11634 und 11636 zu senden. In einigen Aspekten ist die RFIC 11602 an der SRR-Antennenbaugruppenzelle 1160 über einen Flip-Chip-Anschluss angeschlossen, obwohl die Aspekte nicht so einschränkt sind. Die RFIC 11602 kann Teil der SRR-Antennenbaugruppenzelle 11600 sein (z. B. innerhalb einer drahtlosen Kommunikationsvorrichtung) oder kann von der SRR-Antennenbaugruppenzelle 11600 getrennt und mit der SRR-Antennenbaugruppenzelle 11600 betriebstechnisch gekoppelt sein. Ferner kann in speziellen Aspekten die RFIC 11602 mit der Steuerungs- und Basisbandschaltung betriebstechnisch gekoppelt sein, um Steuersignale und Basisbandsignale zum Verarbeiten von Kommunikationssignalen, die von der SRR-Antennenbaugruppenzelle 1160 gesendet und durch sie empfangen werden, zu empfangen.
  • 115 stellt eine Reihe von Schichten 11500, die eine beispielhafte SRR-Antennenbaugruppenzelle (z. B. 11600) bilden, gemäß einigen Aspekten dar. Insbesondere entsprechen die dargestellten Substratschichten 11502 - 11520 den Substratschichten 11604 - 11622 von 116. Wie in 115 zu sehen ist, befinden sich die komplanare Ringresonatoren 11528 auf der oberen Substratschicht 11520, während sich der einzelne Ringresonator 11526 in der Substratschicht 11516 befindet, was eine Menge gestapelter Ringresonatoren bildet. Der einzelne Ringresonator 11526 weist einen Antennenanschluss 11524 auf, der mit der Antennenzuleitung 11522, die sich an der Substratschicht 11510 befindet, gekoppelt ist.
  • In einigen Aspekten weist die SRR-Antennenbaugruppenzelle 11600 ferner mehrere nicht resonante Dipole 11530 auf, die auf den Substratschichten 11514 - 11520 angeordnet sind. Ähnlich zu 116 können die nicht resonante Dipole 11530 verwendet werden, um die Wölbung der SRR-Antennenbaugruppenzelle 11600 durch Erhöhen der Metalldichte zwischen den Substratschichten 11514 - 11520 abzuschwächen. Die nicht resonanten Dipole 11530 können orthogonal zu dem elektrischen Feld der SRR-Antennenbaugruppenzelle 11600 angeordnet sein, um die Nichtresonanz sicherzustellen.
  • 117 stellt ein Blockdiagramm von mehreren Streifenleitungen, die als Speiseleitungen für die SRR-Antennenbaugruppenzelle von 109 verwendet werden können, gemäß einigen Aspekten dar. Bezug nehmend auf 117 ist eine weitere Ansicht 11700 der Speiseleitungen der Substratschicht M5 (oder 11510 in 115), die zwischen den Masseebenenschichten angeordnet sind, darstellt. Insbesondere kann die Schicht M5 mehrere Streifenleitungen (z. B. 11702) aufweisen, die zwischen den Masseebenenschichten M4 (11508) und M6 (11512) angeordnet sind. Beispielsweise stellt 117 die metallisierte Oberfläche 11708 einer der Masseebenenschichten (z. B. M4 oder 11508) dar. Die Streifenleitungen 11702 befinden sich innerhalb nicht metallisierter Bereiche 11710 und können durch mehrere Masse-Vias 11704 abgeschirmt sein. In einigen Aspekten kann jede Streifenleitung 11702 eine 25 Ω-Streifenleitung sein. Obwohl das Bezugszeichen 11702 in 117 mit nur zwei Streifenleitungen verbunden ist, wird auch auf die übrigen in 117 dargestellten Streifenleitungen mit dem Bezugszeichen 11702 Bezug genommen (ähnlich bezieht sich 11704 auf alle dargestellten Masse-Vias, 11706 bezieht sich auf alle Streifenleitungskrümmungen und 11710 bezieht sich auf alle nicht metallisierten Bereiche in 117).
  • Das Speisenetz der SRR-Antennenbaugruppenzelle 11600 kann eine Quelle für Verluste zwischen der RFIC 11602 und den abstrahlenden Elementen (z. B. 11634 und 11636) in dem mmWellen-Frequenzregime sein. In einigen Aspekten kann jede 25 Ω-Streifenleitung 11702 für jede SRR-Antennenbaugruppenzelle innerhalb einer Antennengruppe (z. B. eine Gruppe wie in 114 dargestellt, die mehrere SRR-Antennenbaugruppenzellen verwendet) von der gleichen Länge sein, um die gleiche Einfügungsphase für alle Antennenelemente in der Gruppe sicherzustellen. Zusätzlich kann jede 25 Ω-Streifenleitung 11702 für jede SRR-Antennenbaugruppenzelle innerhalb einer Antennengruppe durch die Masse-Vias 11704 abgeschirmt sein (z. B. um Übergießen zu verhindern). Darüber hinaus kann jede 25 Ω-Streifenleitung 11702 für jede SRR-Antennenbaugruppenzelle innerhalb einer Antennengruppe zu der PCB-Baugruppe mit sanften Krümmungen (z. B. Krümmungen 11706, die keine Krümmungen mit spitzen Winkeln enthalten) geführt werden, um eine ebene Einfügungsphasenantwort in Bezug auf die Frequenz für alle Speiseleitungen der Antennengruppe sicherzustellen.
  • RF-Kommunikationssysteme nutzen häufig Teilsysteme (z. B. spannungsgesteuertes Oszillatoren (VCOs), Leistungsverstärker, Sendeempfänger, Modems, Antennenteilsysteme und so weiter), die auf einem Halbleiter-Die gebildet sind. Eine steigende Anzahl von Standards für drahtlose Kommunikation, wie sie für tragbare Vorrichtungen angewandt werden, können größere Herausforderungen für die Konstruktion von Antennen verursachen. Antennen repräsentieren eine Kategorie von Komponenten, die sich von anderen Komponenten in der tragbaren Vorrichtung grundlegend unterscheiden können. Beispielsweise kann die Antenne konfiguriert sein, effizient im freien Raum abzustrahlen, während die anderen Komponenten von ihrer Umgebung isoliert sein können.
  • Es wird erwartet, dass Antennen, die an Millimeterwellen-(mmWellen-) Frequenzen arbeiten (für hohe Datenraten auf Nahbereichsstrecken), an Beliebtheit gewinnen. Ein Beispiel eines Kommunikationssystems, das bei arbeitet, kann geeignete Schaltung, Logik, Schnittstellen und/oder Code-Wellen-Frequenzen aufweisen, ist als Wireless Gigabit Alliance (WiGig) bezeichnet, die in dem 60 GHz-Frequenzband arbeitet. Zusätzlich ist geplant, dass die Nutzung der mmWellen-Funksysteme eine Hauptrolle für Standards wie z. B. 5G-Zellularfunk spielt. Typischerweise erfordern diese Nahbereichs-mm-Wellen-Funksysteme eine ungehinderte Sichtlinie (LOS) zwischen einem Sender und einer Empfangsantenne. Mit der LOS-Anforderung kann eine Orientierung der Sende- und Empfangsantennen erfordern, dass ihre jeweilige Hauptstrahlungskeule für die maximale Funkverbindungsstrecke zueinander weisen. Die aktuellen Antennenkonstruktionen für mobile Vorrichtungen wie z. B. Laptop-Computer, Tablets, Smartphones usw. weisen eine eingeschränkte Abdeckung auf und gehen mit hohen Verlusten an mmWellen-Arbeitsfrequenzen einher. Zusätzlich können sie geeignete Schaltung, Logik, Schnittstellen, und/oder Code-Wellen-Kommunikationssysteme aufweisen und können häufig Wellenleiter innerhalb der Antennensysteme verwenden. Die Verwendung von Wellenleitern zur Kommunikation kann geeignete Schaltung, Logik, Schnittstellen und/oder Code-Wellen-Signale aufweisen, ist jedoch präzisen mikro-bearbeiteten Komponenten zugeordnet, die teuer sein können.
  • Die hier beschriebene Wellenleiterstruktur kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Wellenleiterstruktur nicht darauf eingeschränkt ist. 118A stellt eine beispielhafte mobile Vorrichtung, die mehrere Wellenleiterantennen verwendet, gemäß einigen Aspekten dar. Bezug nehmend auf 118A kann die mobile Vorrichtung 11800 ein Hochfrequenz-Frontend-Modul (RFEM) 11802 aufweisen, das verwendet werden kann, um Signale über die Wellenleiter 11808 drahtlos zu senden oder zu empfangen. In einigen Aspekten können die Wellenleiter 11808 verwendet werden, um drahtlose Signale wie z. B. Millimeterwellenfunksignale (z. B. WiGig- oder 5G-Zellularsignale) sowohl innerhalb der Vorrichtung 11800 als auch gerichtet außerhalb der Vorrichtung 11800 zu kommunizieren. Wie in 118A zu sehen ist, können vier separate Wellenleiter 11808 als Antennen verwendet werden, die Signale in unterschiedlichen Antennenstrahlungskeulen außerhalb der Vorrichtung 11800 führen.
  • 118B stellt ein beispielhaftes Funkfrequenz-Frontend-Modul (RFEM) mit Wellenleiterübergangselementen gemäß einigen Aspekten dar. Bezug nehmend auf 118B weist das RFEM 11802 ein Sendeempfängerteilsystem 11804, eine Überleitungsstruktur 11806 und die Wellenleiter 11808 auf. In einigen Aspekten können drahtlose Signale zwischen dem Sendeempfänger 11804 und den Wellenleitern 11808 über die Überleitungsstruktur 11806 kommuniziert werden. Die Überleitungsstruktur 11806 kann zum Überleiten zwischen Streifenleitungen, die drahtlose Signale von/zu dem Sendeempfänger 11804 kommunizieren, und den Wellenleitern 11808 verwendet werden.
  • Die Wellenleiter 11808 können aus verlustarmem Kunststoffmaterial, das mit einem leitenden Material beschichtet ist, (z. B. mit Metall beschichtetem Teflon-Material oder einem anderen Material) hergestellt sein. Die Überleitungsstruktur 11806 kann mikrobearbeitete Verbindungselemente oder Adapter verwenden, die Zuleitungssonden aufweisen, innerhalb der an Masse gelegten Verbindergehäuses verwenden. Signalspeisetechniken, die verwendet werden können, enthalten Nahbereichskopplung einer Mikrostreifen-Patchantenne, die innerhalb der Wellenleiter platziert ist. Die Überleitungsstruktur 11806 kann jedoch aufgrund der mikro-bearbeiteten Verbindungselemente oder Adapter teuer in der Herstellung sein.
  • In einigen Aspekten kann ein anderer Typ einer WellenleiterÜberleitungsstruktur (wie hier mit Bezug auf die 119A-123 offenbart ist) zum Zuführen drahtloser Signale (z. B. mmWellen-Funksignale) von einer Übertragungsleitung auf einer PCB (oder einem anderen Substrat) zu einem Wellenleiter verwendet werden. Die Überleitungsstruktur kann eine Zuleitungssonde (z. B. eine Zuleitungssonde für elektrisches oder Magnetfeld) mit einer Verbindung zu einer planaren Übertragungsleitung oder einer mehrschichtigen PCB aufweisen. Größere Teile der WellenleiterÜberleitungsstruktur können sowohl die Masseverbindung zwischen der PCB und dem Wellenleiter als auch mechanische Befestigung und mechanische Lagerung für den Wellenleiter aufweisen, die mit einem Metalladapter, der auf der PCB montiert ist, implementiert sein kann. Der Adapter kann entweder gelötet oder unter Verwendung von Schrauben (wie in den Zeichnungen zu sehen ist) an der PCB befestigt sein. Da die kleinsten Teile der Überleitungsstruktur (z. B. die Zuleitungssonde) in die PCB implementiert sind, kann es nicht notwendig sein, dass die vorgeschlagene WellenleiterÜberleitungsstruktur kleine und toleranzempfindliche Teile verwendet, die schwierig und teuer in der Bearbeitung wären.
  • 119A und 119B stellen perspektivische Ansichten einer Wellenleiterstruktur zum Überleiten zwischen einer PCB und einer Wellenleiterantenne gemäß einigen Aspekten dar. Bezug nehmend auf 119A ist eine Explosionsansicht 11900 des Adapters 11904, der zum Überleiten zwischen der PCB 11902 und dem Wellenleiter 11906 verwendet ist, dargestellt. Die PCB 11902 kann mehrere Schichten 11908 zwischen den Masseschichten 11910A und 11910B aufweisen. Die Übertragungsleitung 11918 kann an einer Seite der PCB 11902 (z. B. auf der Schicht 11910A) angeordnet sein und kann verwendet werden, um drahtlose Millimeterwellensignale zwischen dem Wellenleiter 11906 und einem Sendeempfängerteilsystem (z. B. 11804) zu transportieren. Die Übertragungsleitung 11918 kann eine planare Übertragungsleitung sein, die eine Mikrostreifenleitung, eine Streifenleitung oder eine komplanare Wellenleiter-Übertragungsleitung sein kann. In einigen Aspekten kann die Übertragungsleitung 11918 massegestützte komplanare Wellenleiter- (CPW-) Übertragungsleitung sein. In einigen Aspekten kann die Übertragungsleitung 11918 von einem nicht planaren Typ sein, wie z. B. Koaxial oder ein anderer Wellenleiter. Zusätzlich kann die Übertragungsleitung 11918 eine leitende Komponente enthalten, die von einer Masseebene (z. B. Schicht 11910A) durch eine DL elektrische Schicht aus den Substratschichten 11908 getrennt ist. Die Übertragungsleitung 11918 kann eine Zuleitungssonde (wie z. B. in den 120A-120B dargestellt ist) zum Kommunizieren von Signalen zu und von dem Wellenleiter 11906 aufweisen.
  • Die PCB 11902 weist ferner einen Ausschnitt 11912 auf, der zum Aufnehmen des Wellenleiters 11906 verwendet werden kann, wenn die PCB 11902 und der Wellenleiter 11906 über den Adapter 11904 montiert sind. Der Adapter 11904 kann über Schrauben 11914 oder andere Mittel an die PCB 11902 montiert sein (z. B. kann der Adapter 11904 geklebt oder über andere Mittel an der PCB 11902 angebracht sein).
  • Der Wellenleiter 11906 kann aus einem verlustarmen Material (wie z. B. Teflon) hergestellt sein und kann von einer metallisierten (oder metallischen) Schicht 11916 bedeckt sein. Der Adapter 11904 kann aus einem Metall hergestellt sein, so dass die metallisierte Schicht 11916 mit einer Masseschicht (z. B. 11910A und 11910B) der PCB 11902 gekoppelt sein kann, wenn die PCB 11902 und der Wellenleiter 11906 über den Adapter 11904 gekoppelt sind. 119B stellt eine montierte Ansicht 11920 der PCB 11902, des Adapters 11904 und des Wellenleiters 11906 dar.
  • 120A, 120B und 120C stellen verschiedene Querschnittsansichten der Wellenleiterüberleitungsstruktur der 119A-119B gemäß einigen Aspekten dar. Bezug nehmend auf 120A ist eine Querschnittsansicht 12000 des Adapters 11904 dargestellt, wie er an der PCB 11902 und dem Wellenleiter 11906 angebracht ist. In einigen Aspekten kann die PCB 11902 mehrere Vias aufweisen, die Masse-Via-Gitter 12010 bilden. Wenigstens ein Abschnitt der Masse-Via-Gitter 12010 kann mit Masseebenenschichten 11910A und 11910B koppeln.
  • 120B und 120C stellen zusätzliche Ansichten 12002 und 12004 der Wellenleiterstruktur dar, die den Adapter 11904 an der PCB 11902 und dem Wellenleiter 11906 unter Verwendung der Schrauben 11914 montiert aufweist. Wie in der Ansicht 12002 zu sehen ist, kann der Adapter 11904 eine Öffnung 12016 zum Aufnehmen der Übertragungsleitung 11918, wenn der Adapter 11904 an die PCB 11902 montiert ist, aufweisen. In einigen Aspekten kann die PCB 11902 ferner eine oder mehrere Vias aufweisen, die durch die PCB 11902 und die Übertragungsleitung 11918 durchmetallisiert sind, um eine Zuleitungssonde 12012 zu bilden. Die Zuleitungssonde 12012 kann zum Kommunizieren drahtloser Signale zwischen der Speiseleitung 11918 und dem Wellenleiter 11906 verwendet werden. In diesem Zusammenhang kann ein Masseabschnitt der Übertragungsleitung 11918 mit einem Masseabschnitt des Wellenleiters (z. B. der metallisierten Schicht 11916) über den Metalladapter 11904 und die Masse-Via-Gitter 12010 gekoppelt sein (z. B. wird ein elektrischer Kontakt zwischen den Masseebenenschichten 11910A, 11910B, den Masse-Via-Gittern 12010, dem Metalladapter 11904 und der metallisierten Schicht 11916 des Wellenleiters 11906 gebildet).
  • In einigen Aspekten kann der PCB-Übertragungsleitungs-zu-Wellenleiter-Überleitungsadapter 11904 ferner einen Luftspalt 12014 aufweisen, der zwischen einem Rand der PCB 11902 (z. B. einem Rand der PCB nahe einem Ort der Zuleitungssonde 12012) und einem Rand des Wellenleiters 11906 gebildet ist, wenn die PCB 11902 und der Wellenleiter 11906 an den Adapter 11904 montiert sind. Der Luftspalt 12014 kann konfigurierbare Abmessungen (z. B. Breite, Höhe und/oder Tiefe) zum Zweck der Impedanzanpassung aufweisen.
  • 121A, 121B und 121C stellen verschiedene perspektivische Ansichten der Wellenleiterüberleitungsstruktur der 119A-119B, die einen Impedanzanpassungslufthohlraum aufweisen, gemäß einigen Aspekten dar. Bezug nehmend auf 121A, 121B und 121C sind zusätzliche Ansichten 12100, 12102 und 12104 der Wellenleiterüberleitungsstruktur dargestellt, die die PCB 11902, den Adapter 11904 und den Wellenleiter 11906 aufweist. Insbesondere stellen die Ansichten 12102 und 12104 den Ort des Luftspalts 12014 in Bezug auf die Masse-Via-Gitter 12010 und die Zuleitungssonde 12012 dar.
  • 122 stellt eine weitere Ansicht des Lufthohlraums, wenn die PCB und der Wellenleiter über die Wellenleiterüberleitungsstruktur der 119A-119B montiert sind, gemäß einigen Aspekten dar. Bezug nehmend auf 122 stellt das Diagramm 12200 die relativen Dielektrizitätskonstanten (Er) der PCB 11902, des Luftspalts (oder Hohlraums) 12014 und eines Teflon-Wellenleiters 11906 dar. Insbesondere ist eine relative Dielektrizitätskonstante Er = 1 der Luft innerhalb des Luftspalts 12014 zugeordnet, und die relative Dielektrizitätskonstante Er = 3 ist der PCB 11902 und dem Wellenleiter 11906 zugeordnet. Wie in 122 zu sehen ist, können die reflektierten Signale 12202 und 12204 an der Grenzfläche (z. B. an der Grenze zwischen der PCB 11902 und dem Luftspalt 12014 und der Grenze zwischen dem Luftspalt 12014 und dem Wellenleiter 11906) als eine Impedanz für zwei Signalwellen, die sich zwischen der PCB 11902 und dem Wellenleiter 11906 ausbreiten, betrachtet werden. Deshalb kann durch Anpassen der Abmessungen des Luftspalts 12014 die Impedanz zum Zweck der Impedanzanpassung variiert werden.
  • 123 stellt eine grafische Repräsentation von Simulationsergebnissen von Reflexionskoeffizientenwerten in Bezug auf eine Luftspaltbreite gemäß einigen Aspekten dar. Insbesondere zeigt das grafische Diagramm 12300 beispielhafte Simulationsergebnisse, die Werte des Reflexionskoeffizienten S11 in Relation zur Breite des Luftspalts 12014 zeigen. Wie in 123 zu sehen ist, kann der Luftspalt 12014 zum Optimieren der Impedanzanpassung der Überleitungsstruktur unter Verwendung des Adapters 11904 verwendet werden. In einigen Aspekten können zum Zweck der Impedanzanpassung Luftspalt-Abmessungen, die nicht die Breite sind, oder die Form des Luftspalts variiert werden.
  • Es ist geplant, dass die Polarisation von Mehrfach-Eingangmehrfach-Ausgang- (MIMO-) Antennenstrukturen und Polarisations-Diversity einer der Schlüsselfaktoren zum Ermöglichen extrem hoher Datenrate für zukünftige 5G-mmWellen-Funksysteme sind. Das erzeugt einen Bedarf an dual polarisierten Antennen und Antennengruppen, die zur Verwendung in drahtlosen mmWellen-Kommunikationssystemen geeignet sind.
  • Frühere Lösungen weisen verschiedene Typen planarer Mikrostreifen- und aufgedruckter Dipolantennen auf, die komplexe oder relativ komplexe Speisenetze oder Antennenmusterformen zum Erzeugen dual polarisierter Strahlungsantworten aufweisen. Um optimale oder verbesserte Eigenschaften für 5G- und WIGIG-Polarisations-MIMO-Systeme bereitzustellen, sollte die Antenne eine nahezu rein dual polarisierte Antwort mit einer hohen Isolation zwischen den Signalspeiseanschlüssen zeigen. Zusätzlich sollte die Antenne von kleiner Größe, einfach in PCB/Silizium zu integrieren und als ein einzelnes Antennenelement in einer Antennengruppe verwendbar sein. Das zweite Problem ist das Verhindern von Erregung schädlicher und verlustbehafteter Substratwellen, die in PCB/Substrat-integrierten Antennen auftreten. Frühere Lösungen weisen verschiedene Typen planarer Mikrostreifen- und aufgedruckter Dipolantennen auf, die komplexe oder relativ komplexe Speisenetze oder Antennenmusterformen zum Erzeugen dual polarisierter Strahlungsantworten aufweisen.
  • Eine Lösung für die vorstehende Anforderung ist es, zwei 90 Grad-gefaltete Dipolantennen zu verwenden, die einen gemeinsam verwendeten Dipolzweig aufweisen, gemäß einigen Aspekten. Eine Antennenstruktur solcher korrekt positionierter Dipole produziert ein orthogonal polarisiertes Antennenpaar. Zwischen den Antennen ist eine sehr schwache Kopplung vorhanden, wenn die Signalspeisungen der Dipole gut abgestimmt sind. Die vorstehend beschriebene Antenne kann in einem Aspekt implementiert sein, in dem die Antennenstruktur in ein/e mehrschichtige/s PCB oder Substrat integriert ist. Zusätzlich kann Substratwellenerregung durch Konstruieren korrekt positionierter PCB-Löcher für die planaren Dipolzweigstreifen der beschriebenen Antennenstrukturen und für das PCB-Dielektrikum in dem Antennenbereich verhindert oder wesentlich verringert werden. In einigen Aspekten können die simulierten Konstruktionsparameter von Tabelle 4 erreicht werden. Tabelle 4
    Polarisation 45 Grad geneigt
    einzelnes Ant-.Element 10 dB Impedanzbandbreite 2,5 GHz
    Frequenzbereich 27,0 GHz - 29,5 GHz
    Mittelfrequenz fc = 28,25 GHz
    Referenzimpedanz 50 Ohm
    Einzelnes Ant.-Element realisierter Gewinn 4-5 dBi
    Gewinn (+/-60° thetalphi in Hauptrichtung) 1-2 dBi
    Gewinn (+/-90° thetalphi in Hauptrichtung) -1-0 dBi
    Kreuzpolarisationsverhältnis (Halb-Power-Bean- Breite) > 20 dB
    Gesamteffizienz > 0,8 (> -1 dB)
    Gruppenelement -zu -Element - Isolation > 20 dB
  • Die nachstehend beschriebenen Antennenstrukturen stellen darin Verbesserungen für derzeit bekannte Lösungen für die vorstehenden Anforderungen bereit, dass der gemeinsam verwendete Antennenzweig das Erreichen der dual polarisierten Antwort mit einer sehr kompakten Antennenstruktur ermöglicht, die ausgeglichene Beschaffenheit der Dipole gegenseitiges Koppeln zwischen den Antennen ohne zusätzlichen Aufwand und Komplexität bereitstellt und eine dual polarisierte Antwort ohne komplexe Speise- und Impedanzanpassungsnetze erreicht wird. Andere Verbesserungen der offenbarten Antennenstruktur sind, dass sie sehr einfach in eine PCB oder einen anderen Typ eines mehrschichtigen Substrats zu integrieren ist. Ferner kann eine einfache Technik zum Platzieren von Löchern in planaren Teilen der Antennen zum Unterdrücken schädlicher Substratwellen verwendet werden. Solche Löcher reduzieren auch dielektrische Verluste innerhalb der Antennenstruktur. Zusätzlich ist die offenbarte Antennenstruktur einfach als ein Die einer kompakten Antennengruppe zu verwenden. Ein normaler Fachmann wird erkennen, dass die vorstehenden Verbesserungen in einem oder mehreren Aspekten erreicht werden können, und verschiedene Aspekte der hier beschriebenen Antennenstruktur alle oder einige der vorstehenden Verbesserungen abhängig von den vorhandenen Konstruktionsgegebenheiten bereitstellen können.
  • 124 stellt eine dual polarisierte Antennenstruktur gemäß einigen Aspekten dar. Die Antennenstruktur 12400 weist zwei 90 Grad-gefaltete Dipolantennen 12401, 12403 auf. Die Antennenstruktur 12400 kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Wellenleiterstruktur nicht darauf eingeschränkt ist. Der gefaltete Dipol 12401 weist einen planaren Zweig 12402 und einen vertikalen Zweig 12410 auf. Der gefaltete Dipol 12403 weist einen planaren Zweig 12406 und einen vertikalen Zweig 12410 auf. Die gefalteten Dipole 12401, 12403 sind nebeneinander platziert. Die Nebeneinanderplatzierung produziert ein orthogonal polarisiertes Antennenpaar.
  • Jeder Dipol 12401, 12403 weist einen eigenen „Antennenzweig“ 12410 und individuelle Zuleitungen auf, symbolisch bei 12404, 12408 dargestellt. Der Antennenzweig 12410 ist beiden Dipolen gemeinsam. Mit anderen Worten ist der Zweig 12410 gemäß einigen Aspekten ein gemeinsam verwendeter Antennenzweig der beiden gefalteten Dipole. Wie in 124 dargestellt ist, wird jeder gefaltete Dipol eine um 45 Grad geneigte lineare Polarisation produzieren. Zwei solche Dipole nebeneinander werden ein orthogonal polarisiertes Antennenpaar produzieren, das nachstehend genauer diskutiert ist. Ferner wird, falls die zwei Antennen gut abgestimmt sind, sehr wenig Kopplung zwischen den Antennen vorhanden sein.
  • 125A bis 124C stellen die dual polarisierte Antennenstruktur von 124, die auf einer mehrschichtigen PCB implementiert ist, gemäß einigen Aspekten dar. In einem Aspekt sind die dargestellten Dipole so konstruiert, dass sie an einer Frequenz von etwa 29 GHz arbeiten. In 125A bis 125C weist die PCB 12503 eine Masseebene von etwa 20 x 10 mm auf und ist der Teil, in den die Antennenstruktur 12511 integriert ist. Die Dipolzweige 12502, 12506, die in 125C benannt, jedoch in jeder der 125A bis 125C dargestellt sind, sind spezifisch für jede Antenne und sind mit planaren PCB-Kupferstreifen von etwa 2 mm Länge implementiert gemäß dem diskutierten Aspekt. Der vertikale Dipolzweig 12510, der von beiden Antennen gemeinsam verwendet wird, ist mit einem etwa 2,5 langen vertikalen Metallstab, der an die PCB montiert ist, implementiert. Die Löcher 12507 in der PCB auf den planaren Dipolzweigen 12502, 12506 sind zum Verhindern oder Reduzieren der Erregung schädlicher Substratwellen verwendet. Die PCB 12503 und die Erweiterung 12509 sind physikalisch dieselbe PCB. Mit anderen Worten ist die Erweiterung 12509 nur eine Form des PCB-Umrisses.
  • 126 stellt simulierte S-Parameter der in den 125A bis 125C dargestellten Antennenstruktur gemäß einigen Aspekten dar. Die als 12511 benannte Antennenstruktur von 125A, die jedoch in jeder der 125A bis 125C dargestellt ist, wurde unter Verwendung der elektromagnetischen Simulations-Software (SW) CST MICROWAVE STUDIO™ (CST™ MWS™) simuliert. Für die für diesen speziellen Aspekt beschriebene Simulation ist das Material der PCB 12503 in diesem Beispiel FR4 (εr=4,4), und das Material aller Leiter ist Kupfer. Die simulierten S-Parameter sind in 126 präsentiert. Die Ergebnisse zeigen, dass beide Antennen (z. B. symbolisch 12401, 12403 von 124 und diagrammatisch 12501, 12503 in den 125A bis 125C) sind im 29 GHz-Band gut impedanzangepasst, und die Kopplung zwischen den Antennen ist gering.
  • Die 127A und 127B stellen simulierte Fernfeldstrahlungsmuster der in den 125A bis 125C dargestellten Antennenstruktur gemäß einigen Aspekten dar. Die Strahlung der Dipole ist orthogonal polarisiert mit einer Kreuzpolarisationskomponente, die 10 bis 30 dB niedriger ist als die Hauptpolarisationskomponente, gemäß einigen Aspekten. In dieser PCB-Implementierung weisen beide Dipole 12501, 12503 eine maximale Richtwirkung von ungefähr 4 dBi und einen minimalen Gewinn von ungefähr 3 dBi auf. Die Simulationsergebnisse geben an, dass die Antennenstruktur 12511 auf die vorgesehene Weise arbeitet.
  • Leistungsverlust auf unerwünschte und schädliche Oberflächen- oder Substratwellenmoden kann in mmWellen-Schaltungen ein Problem sein. In der Praxis bedeutet das, dass RF-Leitung in das Substrat streut, was zusätzliche dielektrische Verluste verursacht und die Strahlungsmuster und die Polarisation der Antennen zerstört. In dieser Antennenstruktur 12511 ist die Erregung von Oberflächen- und Substratwellen durch Bohren von Löchern in die PCB auf dem Antennenbereich verhindert oder reduziert. 128A stellt eine Draufsicht der Antennenstruktur der 125A bis 125C mit Oberflächenwellenlöchern, die in die planaren Zweige der Dipolzweige gebohrt sind, gemäß einigen Aspekten dar. 128B stellt eine Draufsicht der Antennenstruktur der 125A bis 125C mit gebohrten Oberflächenwellenlöchern in einer weiteren Konfiguration gemäß einigen Aspekten dar. 128A stellt eine Technik dar, in der Löcher, von denen eines mit 12807 benannt ist und die in einigen Aspekten einen Durchmesser von 0,2 mm aufweisen, an den planaren Dipolzweigen 12802, 12806 auf eine Weise positioniert sind, dass die sich ungefähr in dem Bereich des Maximums des elektrischen Felds befinden.
  • Eine alternative Implementierung ist in 128B dargestellt, wo zusätzliche Löcher in der PCB außerhalb der Antenne in den Bereichen 12812, 12814, 21816 vorhanden sind, um die Oberflächen- und Substratwellenerregung weiter zu unterdrücken. In einer Dipolstruktur sind die Orte des Maximums des elektrischen Felds typischerweise nahe den offenen Enden der Dipolzweige. In diesem Aspekt sind die Löcher jedoch auch an anderen, weniger kritischen Bereichen der Antennen platziert, da es ist allgemein vorteilhaft ist, so viel verlustbehaftetes Material wie möglich in der Nähe der Antennen zu entfernen. Das verlustbehaftete Material enthält das Substrat, und in einigen Aspekten ist das Substrat Flammhemmer 4 (FR4).
  • 129 stellt eine alternative Implementierung einer dual polarisierten Antennenstruktur gemäß einigen Aspekten dar. 130A stellt eine Draufsicht der Antenne von 129 gemäß einigen Aspekten dar, während die 130B und 130C perspektivische Ansichten der Antenne von 129 gemäß einigen Aspekten sind. In 129 ist der gemeinsame Dipolzweig 12510, der in den 125A bis 125C dargestellt ist, durch die dicht getrennten individuellen Dipolzweige 12906 bzw. 12908 für jede Antenne ersetzt. Wie in den 125A bis 125C weist jede Antenne individuelle Zuleitungen 12903, 12905 auf und produziert um 45 Grad geneigte lineare Polarisation. Das dielektrische Substrat 13003 kann ein Dielektrikum mit εr = 4,3 und einem Verlustfaktor = 0,02@29 GHz sein. Die Antenne von 130A weist gemäß einigen Aspekten duale vertikale Elemente 13010, 13011 und planare Dipolzweige 13002, 13006 auf. die Löcher 13015 in beiden planaren Zweigen tragen in einem Aspekt dazu bei, Oberflächen- oder Substratwellen auf der PCB 13003 zu verhindern oder zu reduzieren. Zusätzliche Löcher 13012, 13014, 13016 können wie angegeben platziert sein, ebenfalls um zum Verhindern oder Reduzieren der Rate der Oberflächen- oder Substratwellen beizutragen. Die Zuleitungen 13005, 13007 können mit einer Signalquelle wie z. B. einer integrierten Hochfrequenzschaltung (RFIC) innerhalb des Substrats 13003 verbunden sein. Typischerweise würde die Verbindung durch Mikrostreifen- oder Streifenleitungs-Übertragungsleitungen hergestellt. Vias werden normalerweise in der mmWellen-Schaltung wegen der möglichen Impedanzanpassungsprobleme, die sie verursachen könnten, vermieden. Manchmal kann es notwendig sein, Vias zu verwenden (z. B. falls sich die RFIC auf der entgegengesetzten Seite der PCB zu den Zuleitungen befindet). Die dargestellten Abmessungen sind zu Simulationszwecken verwendet, aber normale Fachleute würden erkennen, dass andere Abmessungen gemäß den vorhandenen Konstruktionsgegebenheiten verwendet werden können.
  • Die 130B und 130C sind perspektivische Ansichten der Antenne von 129 gemäß einigen Aspekten. Der Ausschnitt von 130B stellt bei 13011 einen der beiden vertikalen Zweige dar, während 130C beide vertikalen Zweige 13010, 13011 darstellt.
  • 131A stellt eine Simulation der Gesamtstrahlungseffizienz gegen die Frequenz für die Antennenstrukturen der 130A bis 130C gemäß einigen Aspekten dar. Der Begriff „Gesamtstrahlungseffizienz“, wie er hier verwendet ist, bedeutet das Verhältnis (in dB) der Leistung, die durch die Antenne abgestrahlt wird, zu der maximal verfügbaren Leistung aus der Quelle (z. B. einem 50 Ohm-Signalgenerator wie z. B. einem RF-Sendeempfänger). Die Gesamtstrahlungseffizienz berücksichtigt sowohl die Impedanzfehlanpassungsverluste als auch die Verluste in der Antennenstruktur (dielektrische und Ohmsche Verluste, wenn die RF-Energie zu Wärme wird). 131A zeichnet die Effizienz einer der Antennen von 130C (die zwei orthogonale Antennen aufweist, die symmetrisch sind, so dass die Effizienz beider Antennen gleich sein wird) auf.
  • 131B stellt eine Draufsicht einer 4x1-Gruppe von Antennen des in den 130A bis 130C dargestellten Typs gemäß einigen Aspekten dar. 131C ist eine perspektivische Ansicht der 4x1-Gruppe von Antennen des in 131B dargestellten Typs gemäß einigen Aspekten. Die 4x1-Gruppe dual polarisierter Antennen weist vier dual polarisierte Antennenelemente 13121, 13123, 13125, 13127, jedes vom Typ, der in den 130A bis 130C dargestellt ist, gemäß einigen Aspekten auf. Jedes der Antennenelemente weist zwei vertikale Dipolzweige 13110, 13111 und zwei planare Dipolzweige 13102, 13106 auf. Die Gruppe weist die angegebenen Abmessungen für den Zweck der Simulation auf und ist an der Haupt-PCB 13103 über die Struktur 13109 angebracht. Das Antennenelement ermöglicht das Bilden kompakter Antennengruppen an dem Rand der PCB 13103, was es zur Implementierung in mobilen Funkvorrichtungen, in denen Platz sehr wertvoll ist, attraktiv macht.
  • Die 131D und 131E sind dargestellte Simulationsstrahlungsmuster 13100D und 13100E der 4x1-Antennengruppe der 131B und 131C an Oo-Phasenlage gemäß einigen Aspekten. Die 131F und 131G sind dargestellte Simulationsstrahlungsmuster 13100F und 13100G der 4x1-Antennengruppe der 131B und 131C an 120o-Phasenlage gemäß einigen Aspekten. Jedes Simulationsmuster gibt eine +45o-Gruppe oder eine - 45o-Gruppe an, wie in der Figur des simulierten Musters angegeben ist. Die Ergebnisse dieser Figuren sind von der Struktur von 131C, wobei sich „+45“ auf eine Antennengruppe bezieht, die durch um +45 Grad geneigte Dipole und -45 Grad in Bezug auf andere Dipolen gebildet ist. Die 131D und 131E sind für den Fall, wenn alle individuellen Antennenzuleitungen an der gleichen Phase des Eingangssignals erregt sind. Die 131F und 131G sind für den Fall, wenn die Phase jeder Zuleitung um 120 zu einem danebenliegenden Element verschoben ist. Das verursacht, dass die Hauptstrahlungskeule des Musters der Gruppe gegen die Mitte versetzt ist. Die Phasenlage wird verwendet, um die Antennenhauptstrahlungskeule in die gewünschte Richtung zu lenken.
  • Das Diagramm von 132 stellt eine Simulation der Kopplung im schlechtesten Fall zwischen benachbarten Antennen der Antennengruppe von 132 gemäß einigen Aspekten dar. Die Antennengruppe von 132 weist Antennen auf dem Substrat 13203 auf. Die Antennen beginnen bei 13209 und enthalten die benachbarten Antennen 13202. Als ein Beispiel gibt das Simulationsdiagramm die Kopplung zwischen benachbarten Antennen wie z. B. den zwei bei 13202 von 132 angegebenen Antennen an. S44 und S55 sind die Eingabeanpassung („Rückflussdämpfung“) der zwei benachbarten Antennen 13202, und S45 ist die Kopplung zwischen diesen beiden Antennen. Wie aus den Mustern zu sehen ist, ist die Kopplung zwischen den Antennen so gezeigt dass sie an allen interessierenden Frequenzen kleiner als -10 dB ist, gemäß einigen Aspekten, was eine ausreichende Isolation für MIMO-Verhalten ist.
  • 133 stellt eine Hüllenkorrelation für die 4x1-Antennengruppe der 131B und 131C an einer Oo-Phasenlage gemäß einigen Aspekten dar. 133 ist eine simulierte Hüllenkorrelation zwischen den beiden Antennen von 131B und 131C („+ 45-Gruppe“ und „-45-Gruppe“). Die Hüllenkorrelation wird verwendet, um die Korrelation zwischen zwei Antennen zu quantifizieren. Falls die Hüllenkorrelation 1 ist, dann empfangen beide Antennen exakt das gleiche Signal und sind somit für MIMO- oder Diversity-Empfang nutzlos. Der Idealfall der Hüllenkorrelation wäre null. Allgemein wird eine Hüllenkorrelation von weniger als 0,4 als sehr gut für MIMO-Verhalten betrachtet.
  • 134 stellt das Koordinatensystem für die nachstehend beschriebenen polaren Simulationsstrahlungsmuster gemäß einigen Aspekten dar.
  • Derzeit sind zwei Implementierungen für WiGig-Teilsysteme vorgeschlagen worden, und zwar Funkteilsysteme mit eingebettetem Die und Package-auf-Package-Funkteilsysteme. Der Die kann in einigen Aspekten ein Silizium-Sendeempfänger sein und kann mit den Antennen verbunden sein. In der Implementierung mit eingebettetem Die ist ein Die in dem Hauptsubstrat des Funksystems eingebettet mit, in einigen Aspekten, oberflächenmontierten Vorrichtungen oberhalb des Hauptsubstrats mit konformer Abschirmung, die beide bedeckt. In einigen Fällen befindet sich selektiv Gussmasse unterhalb der Abschirmung. Zusätzlich können Antennen auf der Unterseite des Hauptsubstrats vorgesehen sein, und ein Antennenverbindungselement kann nahe der Abschirmung vorgesehen sein. Das weist Vorteil eines kleinen XY-Formfaktors auf, weist jedoch den Nachteil auf, dass die Abstrahlung nur aus den Antennen an der Unterseite stattfindet.
  • Eine zweite Implementierung weist Package-auf-Package-Funkteilsysteme auf, die einen Die und oberflächenmontierte Vorrichtungen aufweisen, die an der Oberseite des Hauptsubstrats platziert sind, die durch ein weiteres Substrat mit einem Hohlraum für die oberflächenmontierten Vorrichtungen und für den Die bedeckt sein kann. In einigen Implementierungen können Antennen auf der Ober- und der Unterseite unter dem Hauptsubstrat und oberhalb des Package-auf-Package-Teilsystems platziert sein. Wieder kann ein Signalverbindungselement nahe dem Package-auf-Package-Modul auf dem Hauptsubstrat vorhanden sein. Diese Implementierung weist den Vorteil auf, dass Abstrahlung von beiden Seiten der Packages stattfindet, es gibt jedoch den Nachteil, dass ein großer XY-Formfaktor vorhanden ist, was zu Leistungsverlust aufgrund langer Speiseleitungen führen kann.
  • In den hier offenbarten Aspekten kann eine gegebene Anzahl von Elementen wie z. B. Antennen oder anderer Komponenten beschrieben sein. Normale Fachleute würden erkennen, dass die beschriebene Anzahl von Antennen und anderer Komponenten zur Erläuterung dienen und dass eine andere Anzahl von Antennen und anderen Komponenten in anderen Aspekten wie für die vorhandene Lösung erforderlich konfiguriert sein kann.
  • 135 stellt eine Funksystembaugruppe, die einen Die eingebettet innerhalb eines Hauptsubstrats und abgeschirmte oberflächenmontierte Vorrichtungen oberhalb des Hauptsubstrats aufweist, gemäß einigen Aspekten dar. Die hier beschriebene Funksystembaugruppe kann in der RF-Schaltung 325 und in der Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Funksystembaugruppe nicht darauf beschränkt ist.
  • Das Funkteilsystem 13500 ist in 135 dargestellt. Das Hauptsubstrat des Teilsystems ist bei 13502 zu sehen. Mit dem Hauptsubstrat ist eine mechanische Abschirmung 13516 verbunden, um gegen Hochfrequenzstörung (RFI) und elektromagnetische Störung (EMI) abzuschirmen. Im Inneren 13518 der Abschirmung sind oberflächenmontierte Vorrichtungen vorhanden, die Induktivitäten, Widerstände, Kondensatoren und dergleichen sein können. Der Die 13504 ist innerhalb des Hauptsubstrats 13502 eingebettet, während die Antennen 13506, 13508, 13510, 13512 und das Antennenverbindungselement 13520 an dem Hauptsubstrat angebracht und mit dem Die 13504 verbunden sind. In einigen Aspekten sind die Antennen mit anderen Antennen auf der Unterseite des Hauptsubstrats konfiguriert, um eine Antennengruppe zu bilden. Allgemein können keine Antennen durch eine Abschirmung abstrahlen, und somit sind in diesem Bereich keine Antennen platziert. Infolgedessen ist, obwohl der XY-Formfaktor in einigen Implementierungen klein sein kann, Abstrahlung nur von der Unterseite der Implementierungen vorhanden.
  • 136 stellt ein Funkteilsystem, das einen Die und an der Oberfläche montierte Vorrichtungen aufweist, die oberhalb des Hauptsubstrats innerhalb eines Hohlraums in einem Sekundärsubstrat platziert sind, gemäß einigen Aspekten dar. Das Funkteilsystem 13600 ist in 136 dargestellt. Das Teilsystem weist das Hauptsubstrat 13602 auf, das an seiner Unterseite die Antennen 13606, 13608, 13610, 13612 aufweist, die in einigen Aspekten in einer oder mehreren Antennengruppen mit Antennen an der Unterseite des Hauptsubstrats konfiguriert sind. Ein Die und oberflächenmontierte Vorrichtungen (nicht gezeigt) können innerhalb eines Sekundärsubstrats 13604 konfiguriert sein. Die Antennen 13614, 13616 können mit anderen Gruppen auf der Oberseite des Sekundärsubstrats 13604 als Antennengruppen konfiguriert sein. Das Verbindungselement 13618 ist bereitgestellt und ist in einigen Aspekten mit dem Die verbunden, um Funksignale, die abgestrahlt werden sollen, zuzuführen. Das Ergebnis des Vorstehenden ist ein Package-auf-Package-Funkteilsystem. Wie vorstehend diskutiert weist in einige Fällen, obwohl Abstrahlung von beide Seiten vorhanden ist, die Package-auf-Package-Konfiguration den Nachteil auf, dass sie einen großen XY-Formfaktor aufweist, der mehr Platz einnehmen kann, als in der mobilen Vorrichtung, in der diese Implementierung Verwendung finden kann, verfügbar ist.
  • 137 stellt eine Funksystembaugruppe, die einen eingebetteten Die innerhalb des Hauptsubstrats und oberflächenmontierte Vorrichtungen, die oberhalb des Hauptsubstrats innerhalb eines Hohlraums in einem Sekundärsubstrat platziert sind, aufweist, gemäß einigen Aspekten dar. Die Funkbaugruppe 13700 ist eine Kombination aus eingebettetem Die und Package-auf-Package-Teilsystem gemäß einigen Aspekten. Das Package weist gemäß einigen Aspekten ein erstes, oder primäres, Substrat 13702, auf, das an einem zweiten, oder sekundären, Substrat 13713 angebracht ist. Der Die 13704 ist innerhalb des Primärsubstrats 13702 in dem diskutierten Aspekt eingebettet. Der Hohlraum 13717 ist in verdeckter Ansicht gezeigt. Oberflächenmontierte Vorrichtungen wie z. B. die Antenne 13718 und die diskrete Vorrichtung 13720 (und es können mehrere von jedem vorhanden sein, wobei die Antennen einzeln oder in einer oder mehreren Gruppen konfiguriert sein können) sind an das Primärsubstrat gelötet oder auf andere Weise mit ihm verbunden und durch den Hohlraum 13717 bedeckt oder befinden sich auf andere Weise darin, gemäß einigen Aspekten. Einige oberflächenmontierte Vorrichtungen, die Antennen enthalten können, die einzeln oder in einer oder mehreren Antennengruppen konfiguriert sind, befinden sich innerhalb des Hohlraums 13717 des Sekundärsubstrats gemäß einigen Aspekten. Die Antennen 13706, 13708, 13710, 13712 sind gemäß einigen Aspekten an der Unterseite des Primärsubstrats 13702 montiert. Wie in zusätzlichen Figuren nachstehend zu sehen ist, können in einigen Aspekten die Antennen mit anderen Antennen als eine oder mehrere Antennengruppen konfiguriert sein. Ein Verbindungselement 13720 kann in einigen Aspekten vorgesehen sein, um dem Die 13704 Funksignale zuzuführen. In anderen Aspekten können flexible Verbindungen das erste Substrat oder das zweite Substrat mit einem oder mehreren dritten Substraten, Packages oder Platinen in dem Gesamtsystem verbinden. Das Primärsubstrat 13702 mit dem eingebetteten Die 13704 und das daran angebrachte Sekundärsubstrat mit oberflächenmontierten Vorrichtungen, die Antennen enthalten, die innerhalb eines Hohlraums des Sekundärsubstrats 13713 montiert sind, enthalten gemäß einigen Aspekten eine Kombination aus einem eingebetteten Die plus Package-auf-Package. Ferner können in einigen Aspekten die Antennen und/oder Antennengruppen an den Seiten entweder des Primärsubstrats oder des Sekundärsubstrats oder von beiden platziert sein, zusätzlich zu der Oberseite und der Unterseite, um Abstrahlung in seitlichen Richtungen bereitzustellen. Solche Vorrichtungen könnten in einigen Aspekten in der Längsstrahlungs-Betriebsart arbeiten.
  • 138A ist eine perspektivische Ausschnittansicht einer Funksystembaugruppe, die einen eingebetteten Die innerhalb des Primärsubstrats und an der Oberfläche montierte Vorrichtungen, die oberhalb des Primärsubstrats innerhalb eines Hohlraums in dem Sekundärsubstrat platziert sind, gemäß einigen Aspekten aufweist. Die Kombination 13800 kombinierter eingebetteter Die/Package-auf-Package weist den Die 13804, der in dem Primärsubstrat 13802 eingebettet ist, und den sekundäre Die 13813 mit oberflächenmontierten Vorrichtungen 13838, die in einigen Aspekten Antennen und Antennengruppen sein können, innerhalb des Hohlraums 13817 in dem Sekundärsubstrat 13813 auf. Einige der dargestellten oberflächenmontierten Vorrichtungen innerhalb des Hohlraums 13817, wie z. B. bei 13820, können gemäß einigen Aspekten bei Bedarf diskrete Schaltungskomponenten sein. An der Unterseite des Primärsubstrats 13802 sind in den vorstehend diskutierten Konfigurationen die Antennen 13806, 13808, 13810, 13812. An der Oberseite des Sekundärsubstrats 13813 sind gemäß einigen Aspekten die Antennen 13814A, 13814B, 13816A, 13816B, die wie vorstehend diskutiert entweder einzeln oder in Antennengruppen montiert sind.
  • 138B ist eine perspektivische Ansicht des Funksystems von 138A, die eine Unterseite des Primärsubstrats gemäß einigen Aspekten darstellt. Die Kombination 13801 aus kombiniertem eingebettetem Die/Package-auf-Package weist einen Die (nicht gezeigt), der in dem Primärsubstrat 13802 eingebettet ist, und das Sekundärsubstrat 13813 mit oberflächenmontierten Vorrichtungen 13818, 13820, die in einigen Aspekten Antennen 13818 oder Antennengruppen aufweisen können, die innerhalb des Hohlraums 13817 in dem Sekundärsubstrat 13813 montiert sind, auf. Einige der dargestellten oberflächenmontierten Vorrichtungen innerhalb des Hohlraums 13817 können gemäß einigen Aspekten bei Bedarf diskrete Schaltungskomponenten 13820 sein. An der Unterseite des Primärsubstrats 13802 sind in den vorstehend diskutierten Konfigurationen die Antennen 13806, 13808, 13810, 13812. An der Oberseite des Sekundärsubstrats 13813 gemäß einigen Aspekten die Antennen 13814A, 13814B, 13816A, 13816B, die entweder einzeln oder in Antennengruppen montiert sind.
  • 139 ist eine perspektivische Ansicht des Funksystems von 138A, die das Innere des Sekundärsubstrats gemäß einigen Aspekten darstellt. Die Kombination 13900 eingebetteter Die/Package-auf-Package weist den Die 13904 eingebettet in das Primärsubstrat 13902 und das Sekundärsubstrat 13913 mit oberflächenmontierten Vorrichtungen wie z. B. 13918 in einigen Aspekten auf. Der Die 13904 kann mit dem Substrat 13902 durch Lötkontakte 13925 verbunden sein. Oberflächenmontierte Vorrichtungen wie z. B. 13918 können gemäß einigen Aspekten Antennen oder Antennengruppen aufweisen, die innerhalb des Hohlraums 13917 in dem Sekundärsubstrat 13913 montiert sind. Einige der dargestellten oberflächenmontierten Vorrichtungen innerhalb des Hohlraums 13917 können gemäß einigen Aspekten bei Bedarf diskrete Schaltungskomponenten 13920 sein. An der Unterseite des Primärsubstrats 13902 sind in den vorstehend diskutierten Konfigurationen die Antennen 13906, 13908, 13910, 13912. An der Oberseite des Sekundärsubstrats 13913 sind gemäß einigen Aspekten die Antennen 13914A, 13916A zu sehen, die entweder einzeln oder in Antennengruppen montiert sind. Das Verbindungselement 13922 kann in einigen Aspekten als eine Quelle für Funksignale für den Die 13910 vorgesehen sein.
  • 140A ist eine perspektivische Teildraufsicht des Funksystems von 138A, die Lötkontakte zur mechanischen Verbindung und/oder elektrischen Verbindung gemäß einigen Aspekten darstellt. Ein Die (nicht gezeigt) kann in einigen Aspekten in das Primärsubstrats 14002 eingebettet sein. Oberflächenmontierte Vorrichtungen 14018, 14020 des vorstehend beschriebenen Typs sind verbunden mit dem Primärsubstrat 14002 gemäß einigen Aspekten dargestellt. In einigen Aspekten würde ein Sekundärsubstrat, das einen Hohlraum aufweist, wie in einigen der vorstehenden Figuren dargestellt ist, die oberflächenmontierten Vorrichtungen bedecken. Lötkontakte, von denen einige als 14022, 14024 und 14026, 14028 benannt sind, werden verwendet, um das Sekundärsubstrat in einigen Aspekten zu verbinden, wie nachstehend genauer diskutiert wird. Ein Verbindungselement 14020 kann in einigen Aspekten vorgesehen sein.
  • 140B ist eine perspektivische Teilansicht des Funksystems von 138A, die Lötkontakte, die auf einem Sekundärsubstrat so konfiguriert sind, dass sie mit den Lötkontakten von 140A zusammenpassen, gemäß einigen Aspekten darstellt. Das obere Sekundärsubstrat 14013, das allgemein bei 14000 dargestellt ist, weist in einigen Aspekten einen Hohlraum 14017 des vorstehend diskutierten Typs auf. Lötelemente, von denen einige als 14022A, 14024A und 14026A, 14028A benannt sind, sind auf dem Sekundärsubstrat 14013 konfiguriert, so dass sie mit den in 140A dargestellten Lötkomponenten zusammenpassen und, wenn die zwei Mengen von Lötverbindungen zurückgeflossen sind, Lötverbindungen bereitstellen, die zur mechanischen Verbindung zwischen den zwei Substraten und in einigen Aspekten auch zur elektrischen Verbindung verwendet werden. Obwohl hier 2x2-Elementgruppen und 2x4-Elementgruppen dargestellt sind, würden normale Fachleute verstehen, dass NxM-Elementgruppen an der Oberseite, der Unterseite oder den Seiten des Primärsubstrats und/oder des Sekundärsubstrats gemäß der gewünschten Lösung konfiguriert sein können. In einigen Aspekten kann, wenn sich die Antennengruppen auf der Oberseite, der Unterseite und entlang der Seiten des Primärsubstrats und/oder des Sekundärsubstrats befinden, die Strahlungsrichtung in irgendeiner aus einer Anzahl von Richtungen abhängig von der algorithmischen Steuerung der Antennenfeuerung und der Antennenpolarität gesteuert werden.
  • Wegen der Notwendigkeit für Querstrahlungs-Betrieb der Antennen und auch wegen des sehr begrenzten Raums innerhalb mobiler Vorrichtungen, in denen solche Antennen Verwendung finden können, ist es wünschenswert, Querstrahlungs-Antennenoptionen zu finden, die sehr klein sind, die bei 5G-mmWellen-Frequenzen arbeiten und die weniger Raum einnehmen als und deren Herstellung weniger kostet als höherwertige mehrschichtige Aufschichtungs-Antennen. Eine Lösung ist, dass eine kleine Oberflächenkomponente an dem Rand der Haupt-PCB zum Gebrauch in einer mobilen Benutzervorrichtung gelötet oder auf andere Weise angebracht werden kann. Die Lösung kann durch Schneiden eines Stücks einer preiswerten PCB (wie in Tabelle 6, die nachstehend diskutiert ist), die gewöhnlich in mobilen Vorrichtungen mit einer Mitten-Via zu sehen ist, und teilweise beschichtete Seitenwänden, die mit der Haupt-PCB-Masse verbindet, um als ein Wellenleiter zu funktionieren, implementiert sein. Der unbeschichtete Teil der Oberflächenkomponente ist ein erweitertes dielektrisches Material, das eine Impedanzanpassung von dem Wellenleiter zu Luft bereitstellt. Die Länge des erweiterten dielektrischen Materials kann als ein Einstellknopf zur Impedanzanpassung und Strahlformen agieren, um das Ziel zu erreichen, das in einer gegebenen Konstruktion gewünscht ist. Es wurde gefunden, dass eine solche Oberflächenkomponente eine ziemlich breite Bandbreite erreichen kann, ungefähr 30 % bei 28 GHz und mit einem mehr gerichteten Strahl im Vergleich zu normalen Monopolantennen, und außerdem für Dualpolarisationsbetrieb verwendet werden kann. In einigen Aspekten können die simulierten Konstruktionsparameter von Tabelle 5 erreicht werden. Tabelle 5
    Polarisation Vertikal (senkrecht zur Platine)
    einzelnes Ant-.Element 10 dB Impedanzbandbreite 2,5 GHz
    Frequenzbereich 27,0 GHz - 29,5 GHz
    Mittelfrequenz fc = 28,25 GHz
    Referenzimpedanz 50 Ohm
    Einzelnes Ant.-Element realisierter Gewinn 4-5 dBi
    Gewinn (+/-60° thetalphi in Hauptrichtung) 1-2 dBi
    Gewinn (+/-90° thetalphi in Hauptrichtung) -1-0 dBi
    Kreuzpolarisationsverhältnis (Halb-Power-Bean- Breite) > 20 dB
    Gesamteffizienz > 0,8 (> -1 dB)
    Gruppenelement -zu -Element - Isolation > 20 dB
  • 141A stellt eine Einzelelement-Querstrahlungs-Antenne, die eine an einer PCB angebrachte Oberflächenkomponente umfasst, gemäß einem Aspekt dar. Die Einzelelement-Querstrahlungs-Antenne kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Einzelelement-Querstrahlungs-Antenne nicht darauf beschränkt ist.
  • Die Oberflächenkomponente 14101 ist an die Haupt-PCB 14103, die die Haupt-PCB einer mobilen Vorrichtung sein könnte, gelötet oder auf andere Weise daran angebracht. Elemente der Oberflächenkomponente sind beschichtet, wie nachstehend diskutiert, und sind von den in der Figur angegebenen Abmessungen, und die Monopolantenne ist innerhalb der Oberflächenkomponente bei 14105. Die Monopolantenne 14105 kann durch eine 6 mm-Mikrostreifenzuleitung 14107 von der Unterseite der PCB, wo sie an eine Signalquelle wie z. B. eine integrierte Hochfrequenzschaltung (RFIC) angeschlossen sein kann, gespeist werden. Die Monopolantenne 14105 kann ein Via innerhalb der kleinen PCB 14101 sein, die an die Speiseleitung 14107 angeschlossen ist. Die Oberflächenkomponente funktioniert als eine wellenleiterähnliche Abdeckung und macht den Strahl, der aus dem Monopol abgestrahlt wird, deutlich mehr gerichtet im Vergleich zu dem Strahl eines normalen Monopols. Ein erweitertes Dielektrikum 14109 kann so gesehen werden, dass es das oberflächenmontierte beschichtete Oberflächenelement 14101, das die Antenne 14105 aufweist, zur Luft erweitert. Das erweiterte Dielektrikum 14109 stellt eine allmähliche Impedanzwandlung von dem Monopol in dem Wellenleiter zur freien Luft dar. Die Dielektrikumslänge kann als ein Einstellknopf agieren, wobei die Länge für Anforderungen an die Impedanz für eine gewünschte Konstruktion bestimmt wird, und trägt dazu bei, eine breite Bandbreite im Vergleich zu einer normalen Wellenleiterantenne, die zur Luft offen ist, bereitzustellen. Ferner kann, da das erweiterte Dielektrikum nur einige wenige Millimeter lang ist, diese Querstrahlungs-Antenne auf dem Rand einer PCB einer mobilen Vorrichtung, wo Platz sehr wertvoll ist, platziert sein.
  • 141B stellt die Beschichtung und Materialeinzelheiten der Einzelelement-Antennenstruktur von 141A gemäß einem Aspekt dar. Beispielsweise kann die Kupferbeschichtung auf 5 Seiten der Oberflächenkomponente, die Isola FR408 HR-Material sein kann, einschließlich der Unterseite, 30 Mikrometer Kupfer sein, und der erweiterte Dielektrikumsteil kann unbeschichtet sein. Mit anderen Worten kann das Antennenelement durch ein einzelnes kleines Stück einer PCB ohne Kombinieren realisiert sein, was die Kosten für die Massenproduktion verringert. Beispielhafte Metalle und Dielektrika sind in Tabelle 6 zu sehen, wobei die Metallleitfähigkeit in Siemens pro Meter gemessen ist und in diesem Aspekt die Kupferleitfähigkeit ist. Die Dielektrizitätskonstante, εr und der Verlustfaktor für die in diesem Aspekt verwendeten Materialien sind ebenfalls in Tabelle 6 zu sehen. Das angegebene Metall ist eine normalerweise verwendete preiswerte PCB wie aus der Tabelle 6 zu sehen ist. Zusätzlich ist das erweiterte dielektrische Material der unbeschichtete Abschnitt derselben Oberflächenkomponente. Eine Art, die Antenne zu implementieren, ist durch Schneiden eines kleinen Stücks einer normalen PCB (mit einer/einem eingebauten Durchkontaktierung/Monopol) und Beschichten eines Teil ihrer Oberflächen, anstatt zwei Komponenten (Wellenleiter + erweitertes Dielektrikum) herzustellen und sie zusammenzufügen. Das ist einer der Gründe, warum die Aspekte preiswert und einfach herzustellen sind. Tabelle 6
    Oberflächenkomponente Haupt-PCB
    Metall 58*10^6 S/m 58*10^6 S/m
    Dielektrikum εr = 3,63 εr = 4
    Verlustfaktor = 0,01 Verlustfaktor = 0,018
    @28,25 GHz @28,25 GHz
    (Isola FR408 HR) (R-1551WN (Prepreg))
  • 141C stellt eine Endansicht der Einzelelement-Antenne, die in den 141A und 141B dargestellt ist, gemäß einem Aspekt dar. Die Monopolantenne 14105 ist in diesem Aspekt mit den gegebenen Abmessungen zu sehen, und die obere PCB-Schicht ist mit einer speziellen Dicke, hier 32 Mikrometer, dargestellt. Es wird durch einen normalen Fachmann verstanden, dass die vorgetragenen verschiedenen Abmessungen und Metalle und die verschiedenen Dielektrika nur für einige Aspekte verwendet sind, und dass andere solche Materialien abhängig von der speziellen vorhandenen Konstruktion verwendet werden können. Die Monopolantenne 14105 kann in einigen Aspekten durch ein Via, die an der Speiseleitung 14107 angebracht ist, gebildet sein.
  • 141D stellt eine 4-Antennenelementgruppe dar, die vier Antennenelemente des in den 141A und 141B dargestellten Typs aufweist, jedes mit einem Abstand von einer halben Wellenlänge (λ/2), gemäß einem Aspekt dar. In diesem Aspekt ist jedes Antennenelement gleich, was Abmessungen, Material und andere Parameter betrifft, dem Antennenelement der 141A bis 141C, und die Gruppe ist konfiguriert, mit der gleichen Polarisation zu feuern.
  • 142 stellt die Bandbreite der in den 141A und 141B dargestellten Antenne für zwei unterschiedliche Längen eines erweiterten Dielektrikums gemäß einem Aspekt dar. Die Kurve 14201 stellt die simulierte Bandbreite über den angegebenen Frequenzbereich für ein erweitertes Dielektrikum von 3,5 mm dar. Die Kurve 14203 gibt die Bandbreite über den angegebenen Frequenzbereich für ein erweitertes Dielektrikum von 5 mm an. Hier ist S11 zum Messen der Bandbreite an dem minus 10 dB-Punkt verwendet, wie es üblicherweise zum Anpassen der Eingangsimpedanz vorgenommen wird. Die Kurven der 142 sind mit einem Aspekt simuliert, der eine 6 mm-Speiseleitung aufweist. Die Simulation gibt an, dass das kürzere erweiterte Dielektrikum von 3,5 mm Länge eine bessere Bandbreite aufweist als der Aspekt mit dem längeren erweiterten Dielektrikum von 5 mm Länge. Wie zu sehen ist, ist die Bandbreite für die Kurven 14201 von ungefähr 23 GHz bis ungefähr 34 GHz. Der Gewinn, der in den nachstehenden simulierten Kurven dargestellt ist, wird jedoch angeben, dass ein Kompromiss in Bezug auf Bandbreite und Gewinn der zwei Größen des erweiterten Dielektrikums vorhanden ist.
  • 143 stellt die Gesamteffizienz über einen Frequenzbereich der in den 141A und 141B dargestellten Antenne gemäß einem Aspekt dar. Dieses Diagramm misst die Effizienz der Antennenstruktur in der Strahlungsleistung. Die beste Effizienz ist allgemein 0 dB, während minus-dB-Messungen einen Verlust in der Antennenstruktur und damit eine geringere Effizienz über einen Frequenzbereich angeben. Die simulierten Ergebnisse sind in 143 bei 14301, das für das erweiterte Dielektrikum von 3,5 mm Länge ist, und bei 1403, das für ein erweitertes Dielektrikum von 5 mm Länge ist, zu sehen. Wie aus dem Diagramm zu sehen ist, ist der Kreuzungspunkt für die zwei Längen des erweiterten Dielektrikums bei ungefähr 28,2 GHz, so dass in dieser dargestellten Antennenstruktur die kürzere Länge des erweiterten Dielektrikums von 3,5 mm eine bessere, wenngleich abnehmende, Effizienz zwischen 27 und 28,2 GHz aufweist. Jenseits des Punkts 14305 weist dann das erweiterte Dielektrikum von 5 mm, das durch den Graphen 14303 dargestellt ist, eine bessere Effizienz zwischen 28,2 GHz und 29,5 GHz. Der Punkt der Darstellung ist, dass, weil erweiterte Dielektrika unterschiedlicher Länge unterschiedliche Effizienz an unterschiedlichen Frequenzen bereitstellen können, das Anpassen der Länge als einer der Parameter für eine gegebene gewünschte Lösung verwendet werden kann.
  • 144 stellt die Gesamteffizienz der in den 141A und 141B dargestellten Antenne über einen Frequenzbereich, der größer ist als der von 143, gemäß einem Aspekt dar. Der breitere Frequenzbereich von 144 ist eine bessere Angabe der Gesamtleistung. Der Graph 14401 ist für das erweiterte Dielektrikum von 3,5 mm Länge, und der Graph 14403 ist für das erweiterte Dielektrikum von 5 mm Länge. Der Kreuzungspunkt 14405 für die Gesamteffizienz bei 28,2 GHz ist der gleiche wie der Kreuzungspunkt 14305 von 143. 144 stellt dar, dass ein zweiter Kreuzungspunkt 14407 an einer höheren Frequenz von ungefähr 30,3 GHz vorhanden ist, wobei an diesem Punkt der Graph 14403 beginnt, sehr schnell an Effizienz zu verlieren, während der Graph 14401 eine relativ konstante Effizienz beibehält und tatsächlich die Effizienz über einen Teil des Frequenzbereichs steigert. Infolgedessen ist zu sehen, dass die Gesamteffizienz für die diskutierte Antennenstruktur von dem speziellen Frequenzbereich, den man untersucht, abhängt. 144 ist eine sogar bessere Darstellung der Tatsache, dass der Graph 14401, der eine Länge des erweiterten Dielektrikums von 3,5 mm angibt, eine bessere Bandbreite aufweist als der Graph 14403, der für ein erweitertes Dielektrikum von 5 mm ist. Das ist eine Bestätigung der aus 142 gezogenen Schlussfolgerung.
  • 145 stellt die maximale realisierte Verstärkung über einen Frequenzbereich der in den 141A und 141B dargestellten Antenne gemäß einem Aspekt dar. Wenn er in diesem Kontext verwendet ist, bedeutet der maximale realisierte Gewinn simulierten Gewinn, der in der Haupt- (Maximal-) Abstrahlungsrichtung erreicht wird, nicht nur wegen der Antennenstruktur selbst, sondern auch weil der simulierte Gewinn Impedanzfehlanpassungen an dem Eingang zu der Antenne berücksichtigt. Beispielsweise berücksichtigt der simulierte maximale realisierte Gewinn eine 6 mm-Speiseleitung, was nicht nur zu dem theoretischen Gewinn führt, sondern zu dem tatsächlichen Gewinn aufgrund des theoretischen Gewinn und anderer Faktoren, die in der realen Antenne in Konkurrenz stehen. Die anderen Figuren, die simulierte Größen darstellen, berücksichtigen ebenfalls die 6 mm-Speiseleitung. Der Graph 14501 stellt den maximalen realisierten Gewinn über den Frequenzbereich für ein erweitertes Dielektrikum von 3,5 mm Länge dar, und der Graph 14503 stellt den maximal realisierten Gewinn über die angegebene Frequenz für ein erweitertes Dielektrikum von 5 mm dar. Wie aus den zwei Graphen zu sehen ist, weist das längere erweiterte Dielektrikum, nämlich 14503, einen besseren maximalen realisierten Gewinn über den Frequenzbereich, der in 145 angegeben ist, auf, obwohl das erweiterte Dielektrikum von 3,5 mm eine breitere Bandbreite aufweisen kann, wie in 142 zu sehen ist.
  • 146 stellt den maximalen realisierten Gewinn über einen weiteren Frequenzbereich der in den 141A und 141B dargestellten Antenne gemäß einem Aspekt dar. Der Frequenzbereich von 145 ist eine Teilmenge des Frequenzbereichs von 146. Betrachtet über den breiteren Frequenzbereich von 146, von 24 GHz bis 34 GHz, ist zu sehen, dass der maximale realisierte Gewinn der Antennenstruktur mit einem erweiterten Dielektrikum von 5 mm Länge, der durch den Graphen 14601 dargestellt ist, konsistent und zunehmend größer ist als der maximale realisierte Gewinn der Antennenstruktur mit einem erweiterten Dielektrikum von 3,5 mm Länge, das durch den Graphen 14603 dargestellt ist. Somit obwohl 142 darstellt, dass die Antennenstruktur mit einem erweiterten Dielektrikum kürzerer Länge eine breitere -10 db-Bandbreite aufweist. 146 stellt dar, dass die Antennenstruktur mit dem erweiterten Dielektrikum längerer Länge einen größeren maximalen realisierten Gewinn aufweist.
  • 147 stellt die Isolation zwischen zwei benachbarten Antennenelementen der in 141D dargestellten Antennengruppe gemäß einem Aspekt dar. Über den dargestellten Frequenzbereich ist zu sehen, dass der Graph 14703, der die Isolation zwischen benachbarten Elementen, von denen jedes ein erweitertes Dielektrikum von 5 mm Länge aufweist, darstellt, besser ist als die Isolation zwischen zwei benachbarten Elementen der Gruppe mit einem erweiterten Dielektrikum von 3,5 mm Länge, was durch den Graphen 14701 zu sehen ist. Negativerer dB bedeutet niedrigeres Kopplungsniveau zwischen benachbarten Elementen und somit bessere Isolation. Wenn die 142 bis 147 zusammen betrachtet werden, ist es offensichtlich, dass der Konstrukteur eine Anzahl von Kompromissen für die Länge des erweiterten Dielektrikums eingehen muss, abhängig von der gewünschten Lösung für irgendeine spezielle Konstruktion. Diese Menge von Figuren stellt dar, wie das Anpassen der Länge des erweiterten Dielektrikums implementiert sein kann, mit anderen Worten, ob für die dargestellten Aspekte ein erweitertes Dielektrikum von 3,5 mm Länge oder ein erweitertes Dielektrikum von 5 mm Länge verwendet werden soll. Ein normaler Fachmann wird verstehen, dass nur zwei Längen des erweiterten Dielektrikums in diesen Graphen simuliert worden sind, nämlich 3,5 mm und 5 mm, dass jedoch Längen mit anderen Abmessungen für das erweiterte Dielektrikum bei Bedarf für eine gegebene Konstruktion simuliert und verwendet werden können.
  • 148A stellt ein dreidimensionales Strahlungsmuster bei 28,25 GHz für das in den 141A und 141B dargestellte Antennenelement gemäß einem Aspekt dar. 148B stellt ein dreidimensionales Strahlungsmuster bei 28,25 GHz für das in den 141A und 141B dargestellte Antennenelement gemäß einem Aspekt dar. Der Aspekt ist für ein einzelnes Antennenelement, jedoch mit einer anderen Länge des erweiterten Dielektrikums. In diesen beiden Figuren ist die Hauptrichtung der Strahlung insoweit zu dem Rand der PCB, als der diskutierte Antennenaspekt für Querstrahlungs-Betrieb implementiert ist. Wie in den beiden Figuren zu sehen ist, ist der maximale realisierte Gewinn in dB für jede der 148A und 148B 3,93 dB bzw. 5,17 dB. Die Richtung ist aus der Tatsache zu sehen, dass die Schattierung in jeder der 148A und 148B in der vertikalen Tabelle des realisierten Gewinns neben jedem Strahlungsmuster aufgeschlüsselt ist. Falls man einen Schnitt des Strahlungsmusters der 148A oder 148B entlang der Z-X-Ebene nimmt, wird man das Strahlungsmuster der E-Ebene sehen, und falls man einen Schnitt entlang der X-Y-Ebene der 148A oder 148B nimmt, wird man das Strahlungsmuster für die H-Ebene sehen für das Antennenelement in dem diskutierten Aspekt.
  • 148C stellt ein dreidimensionales Strahlungsmuster bei 25,25 GHz für die in 141D dargestellte 4-Elementantennengruppe, wobei jedes Antennenelement eine erste Länge des erweiterten Dielektrikums aufweist, gemäß einem Aspekt dar. 148D stellt ein dreidimensionales Strahlungsmuster bei 25,25 GHz für die in 141D dargestellte 4-Elementantennengruppe, wobei jedes Antennenelement eine zweite Länge des erweiterten Dielektrikums aufweist, gemäß einem Aspekt dar. Ähnliche Kommentare können in Bezug auf die 148C und 148D abgegeben werden, wie sie mit Bezug auf die 148A und 148B in Bezug auf die E-Ebenen- und H-Ebenen-Schnitte abgegeben wurden, obwohl sich der Gewinn für jede Länge des erweiterten Dielektrikums unterscheidet, wie durch die Antennenmuster zu sehen ist, die in die Tabellen des realisierten Gewinns neben jedem Strahlungsmuster aufgeschlüsselt sind. Die Anmerkung für den realisierten Gewinn ist 1,05E+01, was 1,05 x 10^1 = 10,5 dB bedeutet. 7,65E+00 bedeutet 7,65 x 10^0 = 7,65dB Das zeigt wieder, dass die Gruppe mit 5 mm Dielektrikum einen höheren Gewinn erreicht (besser fokussierte/r Strahl/Energie)
  • 149 stellt ein E-Ebenen-Strahlungsmuster an einer gegebenen Frequenz für das in den 141A und 141B dargestellte Antennenelement gemäß einem Aspekt dar. In 149 stellt das Strahlungsmuster 14901 das Strahlungsmuster für ein erweitertes Dielektrikum von 3,5 mm Länge dar, und das Strahlungsmuster 14903 stellt das Strahlungsmuster für ein erweitertes Dielektrikum von 5 mm Länge dar. Wie aus 149 zu sehen ist, weist das Strahlungsmuster 14901 für ein erweitertes Dielektrikum von 3,5 mm Länge weniger Gewinn auf als das Element mit einem erweitertes Dielektrikum von 5 mm Länge, das bei 14903 angegeben ist.
  • 150 stellt ein E-Ebenen-Kreuzpolarisations-Strahlungsmuster an einer gegebenen Frequenz für die in 141A und 141B dargestellte Antenne gemäß einem Aspekt dar. Bezug nehmend zurück auf die 148A und 148B, falls man einen Schnitt an der Z-X-Ebene nimmt, ist dieser Schritt äquivalent der Festlegung von ∅ bei null Grad, was eine Ansicht der E-Ebene ergibt, wie z. B. in 149. Für eine Empfangsantenne mit Co-Polarisation in Bezug auf eine Sendeantenne des diskutierten Typs (z. B. im Wesentlichen gleicher Polarisation mit der Sendeantenne) würde besser als 3 dB Gewinn in der Hauptrichtung, die eine Kantenrichtung der mobilen Vorrichtung ist, gemessen, angesichts dessen, dass die Antenne für Querstrahlungs-Betrieb konfiguriert ist. Falls die Empfangsantenne andererseits in Kreuzpolarisation mit einer Sendeantenne des diskutierten Typs ist (z. B. im Wesentlichen orthogonale Polarisation zu der Sendeantenne), wie es für die Strahlungsmuster von 150 der Fall ist, würde beispielsweise sehr wenig Gewinn der Sendeantenne gemessen, nämlich ein Maximum von etwa -37 dB in der Hauptrichtung.
  • 151 stellt ein H-Ebenen-Co-Polarisations-Strahlungsmuster für die in den 141A und 141B dargestellte Antenne gemäß einem Aspekt dar. Bezug nehmend zurück auf die 148A und 148B ist, falls man einen Schnitt an der X-Y-Ebene von 148A oder 148B nehmen würde, ist dieser Schnitt äquivalent der Festlegung von Θ bei neunzig Grad, was eine Ansicht der H-Ebene ergibt. Für eine Empfangsantenne mit Co-Polarisation in Bezug auf eine Sendeantenne des diskutierten Typs, wie in 151, würde ein Gewinn besser als 3 dB in der Hauptrichtung von 151 gemessen.
  • 152 stellt ein H-Ebenen-Kreuzpolarisations-Strahlungsmuster an einer gegebenen Frequenz für die in den 141A und 141B dargestellte Antenne gemäß einem Aspekt dar. Dieses Strahlungsmuster ist für eine Empfangsantenne, die in Kreuzpolarisation mit einer Sendeantenne des diskutierten Typs ist. Wieder wird wegen der Kreuzpolarisation sehr wenig des gesendeten Gewinns gemessen, beispielsweise ungefähr -35 dB in der Hauptrichtung.
  • 153A stellt eine alternative Idee zum Implementieren des Antennenelements ähnlich der einfach polarisierten Antenne, die in den 141A und 141B dargestellt ist, gemäß einem Aspekt dar. Die Oberflächenkomponente, die den beschichteten Abschnitt 15301 und den unbeschichteten Abschnitt 15309 aufweist, der der obere Teil der Antenne sein kann, und der untere Teil der Antenne ist mit der Haupt-PCB 15303 zusammengeführt. Das Bezugszeichen 15304 stellt einen erweiterten dielektrischen Teil der Haupt-PCB 15303 dar, der geschnitten ist, um zu der Wellenleiterform zu passen, und das Bezugszeichen 15305 ist der Monopol, der durch ein Via innerhalb der kleinen Oberflächenkomponenten-PCB gebildet ist, gemäß diesem Aspekt. Das Zusammenführen eines Teils der Antennenstruktur mit der Haupt-PCB verringert die Gesamthöhe oberhalb der Oberfläche der Haupt-PCB 15303, was in speziellen kompakten Anwendungen kritisch sein kann. Es wird erwartet, dass das dielektrische Material der Haupt-PCB 15303 einen ähnlichen dielektrischen Verlust aufweist wie der der kleinen Oberflächenkomponenten-PCB, da jetzt ein Teil der elektromagnetischen Welle durch die Haupt-PCB 15303 läuft. Mit anderen Worten ist ein Teil der Antenne unter der Oberfläche der Haupt-PCB 15303, um die Höhe zu reduzieren. Die Haupt-PCB 15303 weist ein ähnliches dielektrisches Material wie das der Oberflächenkomponente auf. Die beiden sind miteinander kombiniert (in einigen Aspekten gelötet), um eine Wellenleiterstruktur zu bilden.
  • Beispielsweise kann in einigen Aspekten das dielektrische Material der Oberflächenkomponenten-PCB und der Haupt-PCB eine εr von 4,6 an einer Frequenz von 10 GHz und einen Verlustfaktor von TanD = 0,004 an einer Frequenz von 10 GHz aufweisen. Eine PCB mit diesen Parametern ist eine gewöhnlich verwendete PCB. Das Machen der Haupt-PCB zu einem Teil der Wellenleiterkomponente wird außerdem eine horizontale Speisung möglich machen, die Dualpolarisation bereitstellen kann, wie nachstehend diskutiert. Obwohl eine PCB mit den vorstehenden Parametern zur Simulation dieses speziellen Aspekts verwendet wurde, können PCBs, die andere Parameter als die diskutierten aufweisen, verwendet werden, abhängig von den Anforderungen einer speziellen Konstruktion.
  • 153B stellt das in 153A dargestellte Antennenelement mit einer dickeren Haupt-PCB 15303 und zusätzlichen Einzelheiten dar, die einen vertikalen Speiseanschluss und einen horizontalen Speiseanschluss und einen horizontalen Monopol 15307 gemäß einem Aspekt darstellen. Jede weist eine Speiseleiterbahn auf, die mit einer RFIC verbindet, gemäß einigen Aspekten. Falls die Dicke der Haupt-PCB 15303 wenigstens die Hälfte der Wellenleiterhöhe ist, kann angesichts dessen, dass der horizontale Monopol an der einen Hälfte der Höhe des Wellenleiters ist, der horizontale Mikrostreifen 15312 den horizontalen Monopol 15307 an dem Mittelpunkt speisen. Der vertikale Monopol 15305 kann durch den Mikrostreifen von der Unterseite der Haupt-PCB (nicht gezeigt) gespeist werden. Der vertikale und der horizontale Monopol sind orthogonal zueinander, um Dualpolarisation bereitzustellen, wie nachstehend genauer diskutiert ist. Da ein Teil des Wellenleiters in diesem Aspekt in der Haupt-PCB ist, sollten vertikale Metallwände innerhalb der Haupt-PCB vorhanden sein. Das kann durch dichte vertikale Vias implementiert sein, die ebenfalls nachstehend diskutiert sind. In den 141A und 141B ist eine PCB auf ein kleines Stück zugeschnitten, um die Oberflächenkomponentenantenne zu sein. In diesem Fall gibt es kein Zuschneiden für die Haupt-PCB gemäß einigen Aspekten.
  • 154A stellt die Oberflächenkomponente der 141A und 141B als eine übereinandergeschichtete Struktur gemäß einigen Aspekten dar. Die Oberflächenkomponenten der übereinandergeschichteten Strukturen sind bei 15401 und 15401' zu sehen. Die Oberflächenkomponente 15401 ist oben auf der Haupt-PCB 15403, und die Oberflächenkomponente 15401' ist an der Unterseite der Haupt-PCB 15403.
  • 154B stellt das in 154A dargestellte Antennenelement mit zusätzlichen Einzelheiten gemäß einem Aspekt dar. Die Speisestreifenleitung 15407 innerhalb der Haupt-PCB verbindet mit dem Monopol 15405 in der Oberflächenkomponente 15401. In diesem Fall ist 15407 nicht mehr fähig, von der Unterseite der Antennenstruktur zu speisen, da die Haupt-PCB in der Mitte der übereinandergeschichteten Wellenleiterstruktur ist. Sie muss von dem Ende des Wellenleiters speisen, wie dargestellt, gemäß einigen Aspekten. Das kann den Gewinn und die Anpassung beeinflussen, wie vorstehend mit Bezug auf den realisierten Gewinn diskutiert ist. Das erweiterte Dielektrikum 15409 ist der unbeschichtete Abschnitt der Oberflächenkomponente 15401.
  • 155A ist eine perspektivische Ansicht der Dualpolarisationsantenne von 153B nach dem Zusammenlöten der kleinen Oberflächenkomponente und der Haupt-PCB gemäß einem Aspekt. Ein Teil des Wellenleiters 15501 ist mit der Haupt-PCB 15503 zusammengeführt, mit dem erweiterten Dielektrikum 15509. Die Abmessungen eines speziellen Aspekts der Dualpolarisationsantenne sind angegeben. Der horizontale Mikrostreifen 15512 auf der Haupt-PCB erstreckt sich in den Wellenleiter und wirkt als der horizontale Monopol. Die Vias 15514 sind verwendet, um die Oberseite und die zweite Massemetallschicht der Haupt-PCB zu verbinden. 155B stellt eine transparente Ansicht von 155A, die die inneren Abmessungen des Wellenleiters und der Mikrostreifen-Speiseleitung 15511 auf der Unterseite der Haupt-PCB für den vertikalen Monopol 15505 aufweist, gemäß einem Aspekt dar. In der Simulation ist ein Teil der vertikalen Bodenwand des Wellenleiters unterhalb der Oberfläche der Haupt-PCB durch ein ideales (massives) Metall angenähert. In der Praxis kann sie durch dichte Masse-Vias implementiert sein.
  • 155C ist eine Vorderansicht der Dualpolarisationsantenne von 155A und 155B gemäß einem Aspekt. Die Vorderansicht ist mit Blick in das erweiterte Dielektrikum 15509 der 155A oder 155B. Die Abmessungen sind in Bezug auf die Antenne 15505 gemäß einigen Aspekten zu sehen. 15515 ist ein erweiterter Abschnitt des horizontalen Mikrostreifens, der als der horizontale Monopol wirkt, und 15505 ist der vertikale Monopol in diesem Aspekt.
  • 155D ist eine Seitenansicht der Dualpolarisationsantenne von 155A und 155B gemäß einem Aspekt. In dieser Ansicht ist der vertikale Monopol nicht zu sehen, da er durch die vertikale Bodenwand des Wellenleiters verdeckt ist, und der horizontale Monopol ist auch durch die obere Metallschicht der Haupt-PCB verdeckt. 15516 zeigt eine Öffnung in der vertikalen Bodenwand des Wellenleiters, wo die vertikale Mikrostreifenzuführung 15514 eintritt. 15511 ist die Mikrostreifenzuleitung für den vertikalen Monopol. In einigen Aspekten kann die Öffnung 15516 rechteckig sein.
  • 156A ist ein Diagramm der Rückflussdämpfungs- (S11) Kurven für sowohl die horizontale Zuleitung (15603) als auch die vertikale Zuleitung (15601) der Antenne von 155A gemäß einem Aspekt. Sowohl die vertikale als auch die horizontale Zuleitung (Polarisationen) erreichen eine Breitbandeingangsimpedanzanpassung (S11 < -10 db) von 27 GHz bis 34 GHz, was das potentielle 5G-Band um 28 GHz abdeckt. Die optimale Impedanzanpassung für die vertikale Zuleitung, dargestellt durch die Kurve 15701, tritt bei 29,8 GHz auf, während der optimale Punkt für die horizontale Zuleitung bei 30,2 bis 30,4 GHz auftritt.
  • Die 156B und 156C stellen ein Muster des simulierten realisierten 3D-Gewinns bei 28 GHz für die vertikale Zuleitung und die horizontale Zuleitung der Antenne von 155A gemäß einem Aspekt dar. Die zwei Figuren stellen dar, dass der maximale realisierte Gewinn für jede Zuleitung (Polarisation) ähnlich ist, mit einem maximalen realisierten Gewinn von 5,2 dB für die vertikale Zuleitung und einem maximalen realisierten Gewinn von 4,7 dB für die horizontale Zuleitung.
  • 157A stellt einen simulierten Durchlauf des E-Ebenenmusters für vertikale Speisung für den angegebenen Frequenzbereich gemäß einem Aspekt dar. Sie zeigt eine Gewinnvariation von 1,1 dB über den Frequenzbereich (4,7dB bei 27 GHz und 5,8 bei 29,6 GHz). 157B stellt einen simulierten Durchlauf des G-Ebenenmusters für horizontale Speisung für den angegebenen Frequenzbereich gemäß einem Aspekt dar. Sie gibt ebenfalls eine Gewinnvariation um 1 dB über den Frequenzbereich an (3dB bei 27 GHz und 4 bei 29,6 GHz).
  • 158 stellt den realisierten Gewinn für die E-Ebenenmuster für horizontale Speisung der Antenne von 155A an drei Phi-Einstellungen gemäß einem Aspekt dar. Das Muster 15801 stellt die Verstärkung für das auf 60 Grad eingestellte Phi dar, das Muster 15803 stellt die Verstärkung für das auf 90 Grad eingestellte Phi dar, und das Muster 15805 stellt die Verstärkung für das auf 120 Grad eingestellte Phi dar. Das Ergebnis zeigt, dass das horizontale Polarisationsmuster höhere Gewinne bei etwa 30 Grad links und rechts von der Querrichtung (90 Grad) erreicht.
  • Polarisations-Diversity ist eine der Antennen-Diversity-Techniken, die sowohl dazu beitragen, die Signalqualität und Zuverlässigkeit zu verbessern, als auch die Abschwächung von Mehrpfadstörung und Schwund unterstützen. Polarisations-Diversity erfordert im Allgemeinen keine zusätzliche Bandbreite und/oder physikalische Trennung zwischen den Antennen, und nur eine dual polarisierte Antenne kann zur Implementierung verwendet werden. Unglücklicherweise leiden dual polarisierte Antennen häufig an Kreuzkopplung zwischen ihren Anschlüssen. Um zu spezifizieren, wie gut eine solche Antenne ihre beiden Polarisationen trennt, werden normalerweise die Begriffe Antennen-Anschluss-zu-Anschluss-Isolation, Kreuzpolarisation und Polarisationsisolation verwendet. Der Diversity-Gewinn hängt von der Kreuzkopplung in der Antenne ab, die angibt, dass die Kreuzpolarisation tatsächlich für ein gut funktionierendes Polarisations-Diversity-Schema wichtig ist. Beispielsweise sollten zwei Erregungsanschlüsse auf einer dual polarisierten Antenne voneinander isoliert sein, so dass die gepaarten komplementär polarisierten Antennen die Immunität gegen die Störung, die durch irgendeine fehlangepasst Polarisation verursacht ist, verbessert können.
  • 159A stellt ein Antennenelement mit orthogonal vertikaler und horizontaler Erregung gemäß einigen Aspekten dar. Das hier beschriebene Antennenelement kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das Antennenelement nicht darauf eingeschränkt ist. 159B stellt ein Antennenelement mit +45 Grad und -45 Grad Erregung gemäß einigen Aspekten dar. Zwei dual polarisierte Haupt-Antennenstrukturen 15900, 15902, die die Patchelemente 15901, 15903 verwenden sind in de 159A und 159B gezeigt.
  • Polarisations-Diversity-Techniken können die zwei orthogonalen Erregungsschemas 15907, 15909 des Antennenelements 15901, wie in 159A gezeigt, und 15908, 15910 des Antennenelements 15903, wie in 159B gezeigt, benutzen.
  • In 159A sind die beiden Anschlüsse 15907, 15909 orthogonal platziert, so dass jeder Anschluss eine vertikale Polarisation (V-Polarisation) bzw. horizontale Polarisation (H-Polarisation) repräsentiert. In 159B sind die zwei Erregungsanschlüsse 15908, 15910 an einer um ±45 geneigten Erregung platziert. Die Polarisation kann durch die Phasenbeziehung zwischen den Erregungssignalen in beiden Anschlüssen von 159B bestimmt werden.
  • Das erste Verfahren, das in 159A gezeigt ist, basiert auf der Tatsache, dass die zwei orthogonalen Polarisationen unkorreliert sind. Deshalb können zwei orthogonal ausgerichtete Antennenelemente die Polarisationsisolation zwischen sich erreichen. Ein weiteres Verfahren, das in 159B gezeigt ist, nutzt den Signalauslöschungsmechanismus durch die Phasenbeziehung auf um ±45 Grad geneigten Antennenerregungselementen. 160A stellt die Verwendung eines Null-Grad-Phasendifferenzprozesses zum Bestimmen der V-Polarisation gemäß einigen Aspekten dar, und 160B stellt die Verwendung eines Einhundertachtzig-Grad-Phasendifferenzprozesses zum Bestimmen der H-Polarisation gemäß einigen Aspekten dar. Beide Figuren repräsentieren eine um ±45 Grad geneigte Erregung.
  • 160A zeigt, dass vertikale Polarisation 16013 mit phasengleicher Erregung für beide Anschlüsse realisiert werden kann. In diesem Fall wird die horizontale Polarisation bei 16009, 16011 zu einem gegenphasigen Signal. Somit wird es ausgelöscht und führt zu vertikal polarisierter Strahlung 16013 gemäß einigen Aspekten.
  • 160B zeigt, dass horizontale Polarisation durch eine 180 Grad-Phasendifferenz zwischen zwei Anschlüssen realisiert werden kann. In diesem Fall ist die vertikale Polarisation 16019, 16021 das gegenphasige Signal und wird ausgelöscht. Somit führt das zu horizontal polarisierter Strahlung 16027 gemäß einigen Aspekten.
  • Die vorstehenden zwei Verfahren weisen unterschiedliche Sachverhalte auf. Für das erste Verfahren, das in 159A gezeigt ist, erreicht dieser Typ der Antenne die Polarisationsisolation aufgrund der Platzierung der Erregungsanschlüsse oder Elemente 15907, 15909.
  • 161A stellt das Antennenelement von 159A mit vertikalen und horizontalen Erregungsanschlüssen gemäß einigen Aspekten dar. In 161A ist jeder der Erregungsanschlüsse 16107, 16109 orthogonal platziert und repräsentiert vertikale Polarisation bzw. horizontale Polarisation. In 161A stellt 16100 die Antenne 16103 auf der laminaren Struktur 16101 dar. 161B stellt simulierte Strahlungsmuster von Co-Polarisation und Kreuzpolarisation gemäß einigen Aspekten dar.
  • In 161B stellt die obere Kurve 16121 die Co-Polarisation dar, und die untere Kurve 16123 stellt die Kreuzpolarisation dar. Die Differenz zwischen der Co-Polarisation und der Kreuzpolarisation ist die Polarisationsisolation, und in diesem simulierten Fall wird eine Isolation von ungefähr 23,86 dB erhalten (z. B. die Differenz in dB zwischen dem Punkt m1 und dem Punkt m2 bei null Grad). Um der Polarisations-Diversity willen ist es wünschenswert, eine höhere Polarisationsisolation zu haben, so dass bessere Strahlungssignalqualität für jede Polarisation erhalten werden kann. Da jeder Anschluss 16107, 16109 jeweils jede Polarisation repräsentiert, ist die Anschluss-zu-Anschluss-Isolation proportional der Polarisationsisolation. Deshalb wird aufgrund der endlichen Anschluss-zu-Anschluss-Isolation die Polarisationsisolation mit diesem Typ von Antenne leicht herabgesetzt.
  • Wie in 161B zu sehen ist, führt aufgrund der endlichen Anschluss-zu-Anschluss-Isolation das unerwünschte Koppeln von Signalen mit dem anderen Polarisationsanschluss zu einem hohen Kreuzpolarisationsniveau in dieser Antennenstruktur.
  • Andererseits erfordert die in 159B gezeigte Antennenstruktur im Wesentlich gleichzeitige Erregung für beide Anschlüsse, und die Polarisation hängt von der Phase des Erregungssignals ab, wie vorstehend erläutert. Dieser Typ der Antennenstruktur 15905 weist Immunität gegen die Anschluss-zu-Anschluss-Signalkopplung auf und führt somit zu höherer Polarisationsisolation. Diese Konfiguration weist jedoch ihren eigenen Sacherhalt aufgrund der Notwendigkeit des Zustands der gleichzeitigen Anregung auf.
  • Deswegen erfordert diese Antenne in einigen Aspekten einen 180 Grad-Hybridkoppler, wie z. B. einen Rat-Race-Ring, um die zwei Polarisationen der Polarisations-Diversity halber zu isolieren. Da die Größe eines Rat-Race-Rings und anderer Hybride relativ groß ist, vergrößert er die Größe des Antennenelements und die Komplexität der Signalspeiseleitungen und könnte auch den Signalverlust erhöhen. Das präsentiert Herausforderungen bei der Erzeugung einer großen Antennengruppe mit gewünschter Element-zu-Element-Beabstandung.
  • 162A stellt ein 4x4-Antennenschaltbild unter Verwendung orthogonal erregter Antennenelemente gemäß einigen Aspekten dar. Die Antennengruppe 16200 ist so dargestellt, dass sie auf dem Substrat 16201 ist. Dieser Aspekt einer dual polarisierten Antennengruppe weist ebenfalls Schwächen auf. Die Elemente 16203, 16205, 16207, 16209 sind als Beispiele für vier Antennenelemente der 4x4-Gruppe benannt. Die Anschlüsse P11 bzw. P12 repräsentieren einen Erregungsanschluss mit horizontaler Polarität und einen Erregungsanschluss mit vertikaler Polarität.
  • Die Anschlüsse P13, P14, die Anschlüsse P15, P16 und die Anschlüsse P17, P18 repräsentieren jeweils paarweise Erregungsanschlüsse mit horizontaler Polarisation und vertikaler Polarisation. Der Rest der 4x4-Gruppe ist aufgebaut wie die vorstehenden vier Antennenelemente der Gruppe aufgebaut sind.
  • 162B stellt simulierte Strahlungsmuster für die 4x4-Gruppe von 162A mit dual polarisierten Antennenelementen gemäß einigen Aspekten dar. Das Diagramm 16221 stellt Co-Polarisation dar, und das Diagramm 16223 stellt Kreuzpolarisation dar, gemäß einigen Aspekten.
  • 162C stellt ein simuliertes Strahlungsmuster an einem +45 Grad-Abtastwinkel mit einer dual polarisierten Antennengruppe gemäß einigen Aspekten dar. Basierend auf den Simulationsergebnissen erreicht diese Gruppenantenne nur ungefähr 23 dB Polarisationsisolation, wie in 162B gezeigt ist, in der Differenz zwischen Punkt m1 und Punkt m2, die an höheren Abtastwinkeln weiter verschlechtert sein kann, wie in 162C dargestellt ist.
  • 162C zeigt die Verschlechterung, und es ist im Vergleich deutlich, dass ein höherer Abtastwinkel mehr Verschlechterung aufweisen würde. Ferner gibt der Graph des Simulationsergebnisses der Figur an, dass nur 19,6 dB Polarisationsisolation an einem +45 Grad-Abtastwinkel erreicht werden kann, wie in der Differenz zwischen dem Punkt m1 und dem Punkt m2 in 162C gezeigt ist. Da die Kreuzpolarisation in phasengesteuerten Gruppensystemen zu einer Herausforderung wird, ist in idealen Strahlformungs-MIMO-Anwendungen eine verbesserte oder höchstmögliche Polarisationsisolation erwünscht.
  • Verglichen mit einer herkömmlichen orthogonalen dual polarisierten Antenne ermöglicht die nachstehend beschriebene vorgeschlagene Antennenkonfiguration zur Signalauslöschung höhere Kreuzpolarisationsunterdrückung gemäß einigen Aspekten. Für das einzelne Antennenelement einer solchen Gruppe ist die vorgeschlagene Antennentopologie simuliert worden und zeigt mehr als 11 dB Kreuzpolarisationsunterdrückung als ihr herkömmliches Pendant. Für eine 4x4-Gruppe wurden neue Topologien simuliert und gaben 38 dB verbesserte Kreuzpolarisationsunterdrückung an im Vergleich zu einer 4x4-Gruppe, die Antennenelemente verwendet.
  • Die Simulation hat gezeigt, dass die Kreuzpolarisationsleistung an höheren Abtastwinkeln und herkömmlichen phasengesteuerten Gruppensystemen (z. B. ohne die offenbarte Unterdrückungstechnik) weiter herabgesetzt sein kann. Die offenbarten Antennengruppenschemas halten jedoch eine hohe Kreuzpolarisationsunterdrückung selbst an höheren Abtastwinkeln aufrecht, was zu einer besseren Qualität des Signals in drahtlosen Kommunikationssystemen führt, was insbesondere für Aufwärtsstreckenübertragung wichtig ist. Außerdem können diese vorgeschlagenen Verfahren verglichen mit einer um ±45 Grad geneigten Antenne die Verwendung umfangreicher 180 Grad-Hybrid- oder Rat-Race-Koppler vermeiden und führen somit zum Reduzieren der Komplexität des Signalspeisenetzes. Da die Kreuzpolarisationsunterdrückung durch die vorgeschlagene 4-Anschluss-Herangehensweise, die in Verbindung mit den Antennenelementen der 163A, 163B und 163C dargestellt und nachstehend diskutiert ist, erreicht wird, können einfache und kompakte Signal-Splitter verwendet werden, um die Speisenetze zu ersetzen, wie in den 165A-165C beschrieben wird. Ähnliche Vorteile können für einige Aspekte von Antennengruppen erreicht werden, wie in den nachstehenden 166A-166C dargestellt und mit Bezug auf sie diskutiert ist.
  • Als eine Lösung für Probleme auf beiden vorstehend beschriebenen dual polarisierten Antennenkonfigurationen kann eine Gegenphasen-Auslöschtechnik auf die Antennenstruktur mit orthogonaler Erregung angewandt werden, um das Kreuzpolarisationsniveau zu unterdrücken, das durch ein unerwünschtes Kopplungssignal mit einem anderen Polarisationsanschluss verursacht ist, gemäß einigen Aspekten. Ein zusätzlicher Gegenphasenanschluss kann für jeden Polarisationsanschluss bereitgestellt sein. Somit weist die dual polarisierte Antennenkonfiguration vier Anschlüsse (vertikal, horizontal, anti-vertikal und anti-horizontal) auf. Das unerwünschte Kopplungssignal mit einem anderen Polarisationsanschluss kann durch Koppeln des Signals aus einem Gegenphasenanschluss ausgelöscht werden, während die Co-Polarisationssignale kombiniert und verbessert werden. Ein solcher Aspekt ist in dem Antennenelement der 163A-163C zu sehen. 163A stellt eine dual polarisierte differentielle 4-Anschluss-Patchantenne in einer Gegenphasenkonfiguration gemäß einigen Aspekten dar. Das 4-Anschluss-Antennenelement von 163A basiert auf der orthogonalen Erregungsstruktur, die in 159A gezeigt ist, wobei der vertikale und der horizontale Erregungsanschluss orthogonal platziert sind. Ferner sind zwei zusätzliche (antihorizontale (anti-H) und anti-vertikale (anti-V)) Anschlüsse eingeführt, um Topologien zum Verbessern der Kreuzpolarisationsunterdrückung zu erzeugen. In dieser 4-Anschluss-Konfiguration können die zueinander weisenden Anschlüsse zusammen mit einer 180 Grad-Phasendifferenz erregt werden (z. B. H und anti-H für horizontale Polarisation und V und anti-V für vertikale Polarisation, wie in 163A gezeigt ist). In der vorgeschlagenen Antennenelementstruktur 16300 von 163A ist der Strahler bei 16301 zu sehen, und ein Koppler ist bei 16303 zu sehen. Die 4-Anschluss-Struktur weist die Antennenanschlüsse 16307 (vertikale Polarisation), 16309 (horizontale Polarisation), 16311 (anti-vertikal) und 16313 (anti-horizontal) auf. Da die Kreuzpolarisation in einem einzelnen Element unterdrückt ist, kann die Gruppenantenne, die die 4-Anschluss-Antennenelemente 16307, 16309, 16311, 16313 aufweist, auch hohe Polarisationsisolation erreichen.
  • 163B stellt die Antennenkonfiguration von 163A in Seitenansicht gemäß einigen Aspekten dar. 163C stellt eine laminierte Struktur-Aufschichtung, die die Ebenen L1-L6 aufweist, für die Antennenkonfigurationen der 163A und 163B gemäß einigen Aspekten dar, obwohl mehr als 6 Ebenen vorhanden sein können. Bei 16302 von 163B ist zu sehen, dass der Strahler 16301 an der Ebene L1 der Darstellung der 6-Ebenen-Aufschichtung 16304 von 163C implementiert ist. Der Koppler 16303 ist an der Ebene L4 der Aufschichtung in diesem Aspekt implementiert. Die Antennenanschlüsse werden mit Hilfe von T-Abzweigungs-Splittern gespeist, wie nachstehend genauer diskutiert ist. Die verschiedenen Anschlüsse sind in Ebene L5 und werden durch Vias gespeist, die von den T-Abzweigungs-Splittern verbinden, wobei die T-Abzweigungs-Splitter in einer Schicht unterhalb der GND-Schicht L6 wären, beispielsweise in einer Schicht L7 (nicht gezeigt), in einem diskutierten Aspekt. Die Vias 16309A' (die den horizontalen Anschluss speist), 16307A' (die den vertikalen Anschluss speist) und 16313A' (die den anti-horizontalen Anschluss speist), sind zu sehen, und das Via 16311A' (die den anti-vertikalen Anschluss speist) ist hinter dem Via 16307A' verborgen und deshalb in der Seitenansicht von 163B nicht zu sehen. Da das elektrische Feld auf jedem gegenüberliegenden Rand einer Patchantenne eine entgegengesetzte Polarität aufweist (d. h. 180 Grad-Phasendifferenz), wie in 163D gezeigt ist, ermöglicht ein zusätzliches gegenphasiges Signal die Unterdrückung von Kreuzpolarisationsniveaus durch Auslöschen der unerwünschten gekoppelten Signale für die Kreuzpolarisation (nicht strahlende Ränder), während die Co-Polarisation in strahlenden Rändern kombiniert und beibehalten wird, wie in 163E gezeigt ist.
  • 164 stellt simulierte Strahlungsmuster des 4-Anschluss-Antennenkonfigurationsaspekts der 163A bis 163C gemäß einigen Aspekten dar. In 164 stellt die obere Kurve 16421 Co-Polarisation dar, und die untere Kurve 16423 stellt Kreuzpolarisation dar. Basierend auf diesem simulierten Ergebnis werden 39,4 dB Polarisationsisolation erreicht. Das ist eine Verbesserung um ungefähr 16 dB der Kreuzpolarisationsunterdrückung im Vergleich zu dem in 161B für den Fall des orthogonalen Anschlusses von 161A gezeigten Ergebnis.
  • 165A stellt eine 4-Anschluss-Erregungsantennentopologie mit Speiseleitungen von einer Speisequelle zu dem der vier Eingänge gemäß einigen Aspekten dar. Die Speisequelle kann eine integrierte Hochfrequenzschaltung (RFIC) für jeden der vier Anschlüsse gemäß einigen Aspekten sein. 165B stellt die Speiseleitungen in der 4-Anschluss-Konfiguration von 165A mit dem angesteuerten Patch der gestapelten Patchantenne überlagert auf den Speiseleitungen gemäß einigen Aspekten dar. In 165A sind die Speiseleitungen so dargestellt, dass sie auf dem Substrat 16501 sind. Die vertikale Speisequelle P1V bei 16508, die gemäß einigen Aspekten ein RFIC-Anschluss ist, ist mit dem T-Abzweigungs-Splitter 16505 verbunden, der mit der Leitung 16507A verbunden ist, die mit dem Speisepunkt 16507 der Antenne für vertikale Polarisation verbindet. Die Leitung 16509A verbindet von dem T-Abzweigungs-Splitter 16505 zu dem Speisepunkt 16509 für die Anti-Polarisation V gemäß einigen Aspekten. Die horizontale Speisequelle P1H, die gemäß einigen Aspekten ein RFIC-Anschluss ist, ist bei 16512 als mit dem T-Abzweigungs-Splitter 16514 verbunden dargestellt. Die Leitung 16511A ist von dem Splitter 16514 verbunden und verläuft weiter zu dem horizontalen Polarisationsspeisepunkt 16511, während die Leitung 16513A, die mit dem Splitter 16514 verbunden ist, weiter zu dem Anti-H-Speisepunkt 16513 verläuft. In 165B ist die Speiseleitungskonfiguration von 165A mit dem angesteuerten Element einer gestapelten Patchantenne überlagert bei 16515 dargestellt. Der Rest der Speisequellen und Speiseleitungen ist ähnlich oder gleich denjenigen in 165A. Eine solche Konfiguration trägt zum Reduzieren der Größe, der Speisenetzverluste und Kosten bei, während die verbesserte Isolation und Kreuzpolarisationsparameter beibehalten werden.
  • 165C stellt eine 12-Ebenen-Aufschichtung für die Aspekt von 165B dar. Pfade und Konfigurationen von der RFIC 16510 zu dem Streifenleitungs-T-Abzweigungs-Splitter sind in Schicht L7 der Baugruppen-Aufschichtung von 165C gemäß einigen Aspekten implementiert. Die Schicht L7 ist oberhalb einer weiteren Masseschicht von L8, wie in 165C gezeigt ist, gemäß einigen Aspekten. Die vorgeschlagene 4-Anschluss-Antennenstruktur kann einfache und kompakte T-Abzweigungs-Splitter, die in 165A bei 16505, 16514 zu sehen sind, als das Signalspeisenetz verwenden, da sie keinen Rat-Race-Ring erfordert, um die Polarisation zu isolieren, und führt somit zur Vereinfachung des Signalspeisenetzes.
  • In der Aufschichtung von 165C ist die Antenne mit Masse in den ersten 6 Schichten (L1 - L6) konstruiert, und die Signalspeiseleitungen sind in Schicht L7 konstruiert in einigen Aspekten. In dem diskutierten Aspekt sind die T-Abzweigungs-Leistungssplitter 16505, 16514 in der Signalspeiseschicht in L7 implementiert. Die vertikale Polarisationsquelle 16508 der RFIC 16510 ist mit dem T-Abzweigungs-Splitter 16505 verbunden. Der Splitter 16505 verbindet mit der Leitung 16507A die mit dem vertikalen Anschluss 16507 verbindet. Die Leitung 16509A verbindet von dem Splitter 16505 zu dem Anti-V-Anschluss 16509. Die horizontale Polarisationsquelle 16512 der RFIC 16510 ist mit dem T-Abzweigungs-Splitter 16514 verbunden. Der Splitter 16514 verbindet mit der Leitung 16511A, um den H-Anschluss 16511 zu speisen. Die Leitung 16513A verbindet mit dem Splitter 16514, um ein Anti-H-Signal für den Anti-H-Anschluss 16513 bereitzustellen. Die 180 Grad-Phasendifferenz für jeden Polarisationsanschluss kann durch eine Phasenverzögerung erzeugt werden, die in einigen Aspekten durch die Längendifferenz der physikalischen Übertragungsleitung oder durch einen Phasenschieber konstruiert ist. Normale Fachleute würden erkennen, dass andere Aufschichtungs-Konstruktionen möglich sind.
  • Wie vorstehend erläutert sind, um das Kreuzpolarisationsniveau zu unterdrücken, die Auslöschungsanschlüsse in die Antennenstruktur mit orthogonaler Erregung eingeführt. Da zwei gegenüberliegende Anschlüsse eine 180 Grad-Phasendifferenz aufweisen, kann das unerwünschte gekoppelte Signal ausgelöscht werden. Somit führt das zu der Verbesserung der Polarisationsisolation. Zusätzliche Verfahren zur Kreuzpolarisationsunterdrückung in einer Gruppenkonfiguration können jedoch implementiert sein. Ein solches Verfahren ist die nachstehend diskutierte 4-Anschluss-Antennengruppen-Erregung.
  • Da die Kreuzpolarisation in einem einzelnen Element unterdrückt wird, wie vorstehend diskutiert, kann die Gruppenantenne, die eine 4-Anschluss-Erregungsantenne aufweist, ebenfalls höhere Polarisationsisolation und Kreuzpolarisationsunterdrückung erreichen. 166A stellt ein 4x4-Antennengruppen-Schaltbild unter Verwendung von 4-Anschluss-Elementen, die in Speisenetzen integriert sind, gemäß einigen Aspekten dar. Bei 16600 ist die 4x4-Antennengruppe auf der PCB 16601 dargestellt, wobei vier der sechzehn Antennenelemente bei 16603, 16605, 16607 und 16609 benannt sind. Das Speisenetz 16603H (horizontal) und 16603V (vertikale Polarisation) für das Antennenelement 16603 ist ähnlich der dualen T-Splitter-Speiseschaltung von 165B. Jede Antenne weist 4-Anschluss-Erregungsantennenelemente auf, wie in 165B gezeigt ist, mit 0,5λ, Abstand zwischen jedem Antennenelement.
  • Die 166B und 166C stellen simulierte Strahlungsmusterergebnisse für die 4-Anschluss-Antennengruppe von 166A gemäß einigen Aspekten dar. Basierend auf den simulierten Ergebnissen werden ungefähr 61 dB Polarisationsisolation erreicht, wie durch die Differenz zwischen dem Punkt m1 und dem Punkt m2 auf den simulierten Mustern 16621 und 16623 von 166B zu sehen ist, wobei die obere Kurve 16621 die Co-Polarisation darstellt und die untere Kurve 16623 die Kreuzpolarisation darstellt. Das ist eine Verbesserung von ungefähr 37 dB im Vergleich zu der dual polarisierten Gruppe von 4B.
  • Zusätzlich ist das simulierte Strahlungsmusterergebnis an einem +45 Grad-Abtastwinkel durch die Differenz zwischen dem Punkt m1 und dem Punkt m2 auf den simulierten Mustern 16622 und 16624 von 166C gezeigt, wobei die obere Kurve 16622 die Co-Polarisation darstellt und die untere Kurve 16624 die Kreuzpolarisation darstellt. Es sind ungefähr 59 dB Polarisationsisolation erreicht. Das ist eine Verbesserung der Kreuzpolarisationsunterdrückung um ungefähr 40 dB im Vergleich zu dem in 162C gezeigten Ergebnis. Selbst an einem 60 Grad-Abtastwinkel können 57 dB Polarisationsisolation erreicht werden, wie in 166C zu sehen ist. Das bestätigt, dass die Gruppe, die die vorgeschlagenen 4-Anschluss-Antennenelemente aufweist, selbst bei höheren Abtastwinkeln eine höhere Polarisationsisolation erreichen kann.
  • Zusätzlich zu der 4-Anschluss-Erregungsgruppenantenne kann die Gegenphasen-Auslöschtechnik durch Erzeugen von Gruppen unter Verwendung eines orthogonalen 2-Anschluss-Erregungsantennenelements auf geeignete Weise in einer N-mal-M-Gruppenkonfiguration (N und M sind gerade Zahlen, z. B. 2x2, 2x4, 4x4 und so weiter) realisiert werden. Durch Ausrichten eines Gruppenunterabschnitts mit anderen benachbarten Gruppenunterabschnitten, in vertikaler und/oder horizontaler Richtung invertiert, kann die offenbarte Gegenphasen-Auslöschtechnik in Antennengruppenkonfigurationen wie nachstehend diskutiert realisiert werden.
  • Eine erste Konfiguration ist in 167A gezeigt. 167A stellt eine Gruppenkonfiguration unter Verwendung von dual polarisierten 2-Anschluss-Antennenelementen gemäß einigen Aspekten dar. Eine Gruppe aus dual polarisierten 2-Anschluss-Antennenelementen, wie z. B. vorstehend beschrieben, ist was dual polarisierte 2-Anschluss-Antennenelemente, die bei 16700 von 161A gezeigt sind, als die Gruppen 16706, 16708, 16710 und 16712 einschließend verwendet. Jedes Antennenelement weist die 2 Anschlüsse auf, wie bei [P11, P12], [P13, P14], [P21, P22], [P23, P24] für den Gruppenunterabschnitt 16706 zu sehen ist, wobei die Anschlüsse so konfiguriert sind, dass sei paarweise mit V-Polarisations- und H-Polarisations-Signalen gespeist werden, gemäß einigen Aspekten. Jeder 2x2-Element-Unterabschnitt ist in Bezug auf jeden der anderen Unterabschnitte in der Gruppe invertiert, um die 4x4-Gruppe zu konfigurieren.
  • Beispielsweise ist die horizontale Invertierung zwischen den Gruppen 16706 und 16708 dadurch dargestellt, dass die Anschlüsse P15, P17, P25, P27 in Bezug auf die Anschlüsse P11, P13, P21 und P23 horizontal invertiert sind. Vertikale Invertierung zwischen den Gruppen 16706 und 16710 ist dadurch dargestellt, dass die Anschlüsse P32, P34, P42 und P44 in Bezug auf die Anschlüsse P12, P14, P22 und P24 vertikal invertiert sind. Die horizontale und vertikale Invertierung zwischen den Anschlüssen der Elemente der verbleibenden 2x2-Unterabschnitte ist ähnlich dargestellt. Durch Erregen jedes 2x2-Gruppenunterabschnitts mit 180 Grad-Phasendifferenzsignalen kann diese 4x4-Gruppenantenne die Kreuzpolarisation weiter unterdrücken. 167B und 167C stellen simulierte Strahlungsmusterergebnisse der Antennengruppe von 167A gemäß einigen Aspekten dar.
  • In 167B stellt die obere Kurve 16721 die Co-Polarisation dar, und die untere Kurve 16723 stellt die Kreuzpolarisation dar. Basierend auf den Simulationsergebnissen werden ungefähr 54,8 dB Polarisationsisolation erreicht, was eine Verbesserung von ungefähr 32 dB im Vergleich zu 162B ist. Zusätzlich ist das simulierte Strahlungsmuster an einem +45 Grad-Abtastwinkel in 167C gezeigt, wobei die obere Kurve 16722 die Co-Polarisation darstellt und die untere Kurve 16724 die Kreuzpolarisation darstellt. Es sind ungefähr 56 dB Polarisationsisolation erreicht. Das sind ungefähr 36 dB Kreuzpolarisationsunterdrückung im Vergleich zu dem in 162C gezeigten Ergebnis. In diesem Fall wird auch eine höhere Kreuzpolarisationsunterdrückung selbst bei Abtastwinkeln höher als 60 Grad aufrechterhalten, wie aus dem Vergleich der dB-Differenz der entsprechenden Co-Polarisations- und Kreuzpolarisations-Diagrammen der 167B und 167C zu sehen ist.
  • 168A stellt eine weitere Gruppenkonfiguration unter Verwendung von dual polarisierten 2-Anschluss-Antennenelementen gemäß einigen Aspekten dar. Es sind die 2x2-Antennen-Unterabschnitte 16806, 16808, 16810 und 16812 dargestellt. Die Antennenelemente der 2x2-Gruppe weisen jedes benachbarte Antennenelement innerhalb des 2x2-Gruppenunterabschnitts invertiert in Bezug auf jedes der anderen Antennenelemente innerhalb des2x2-Gruppenunterabschnitts auf. Beispielsweise ist der Anschluss P11 des Elements 16806A in Bezug auf den Anschluss P13 des Elements 16806B horizontal invertiert. Der Anschluss P12 des Elements 16806A ist in Bezug auf den Anschluss P22 des Elements 16806C vertikal invertiert. Die Anschlüsse P11 und P12 des Elements 16806A sind jeweils in Bezug auf die Anschlüsse P24 und P23 des Elements 16806D, das dem Element 16806A diametral gegenüber liegt, invertiert. In diesem Fall ist der Anschluss P11 in Bezug auf den Anschluss P23 horizontal invertiert, und der Anschluss P12 ist in Bezug auf den Anschluss P24 vertikal invertiert. Im Allgemeinen weist jedes Element einen Anschluss auf, der in Bezug auf ein weiteres Element, das sich im rechten Winkel zu ihm in dem Unterabschnitt befindet, invertiert ist, und weist zwei Anschlüsse auf, die in Bezug auf das Element, das sich ihm diametral gegenüber in dem Unterabschnitt befindet, invertiert sind, in dem diskutierten Aspekt. Allgemein kann eine gewisse Verschlechterung im Vergleich zu idealen symmetrischen Gruppenkonfigurationen erwartet werden. Es kann erwartet werden, dass das Vermeiden von Asymmetrie bessere Antennenleistung erreicht.
  • Durch Erregen jedes benachbarten Antennenelements mit 180 Grad-Phasendifferenzsignalen kann diese Gruppenantennenkonfiguration das Kreuzpolarisationsniveau unterdrücken. 168B und 168C stellen Simulationsergebnisse für Strahlungsmuster für die Antennengruppenkonfiguration von 168A gemäß einigen Aspekten dar. In 168B stellt die obere Kurve 16821 die Co-Polarisation dar, und die untere Kurve 16823 stellt die Kreuzpolarisation dar. Basierend auf den Simulationsergebnissen werden 63,5 dB Polarisationsisolation erreicht, was eine Verbesserung von ungefähr 40 dB im Vergleich zu 162B ist. Zusätzlich ist das simulierte Strahlungsmuster an einem +45 Grad-Abtastwinkel in 168C gezeigt, wobei die obere Kurve 16822 die Co-Polarisation darstellt und die untere Kurve 16824 die Kreuzpolarisation darstellt. Es werden ungefähr 74 dB Polarisationsisolation erreicht, was eine Verbesserung der Kreuzpolarisationsunterdrückung von ungefähr 55 dB im Vergleich zu dem in 162C gezeigten Ergebnis ist. In diesem Fall wird selbst bei Abtastwinkeln größer als 60 Grad auch ein hoher Wert der Kreuzpolarisationsunterdrückung aufrechterhalten.
  • Die Allgegenwärtigkeit drahtloser Kommunikation hat weiterhin eine Menge von herausfordernden Problemen hervorgebracht. Insbesondere haben sich weitere Herausforderungen mit dem Aufkommen von 5G aufgrund sowohl der großen Vielzahl von Vorrichtungen mit ganz verschiedenen Anforderungen als auch des Spektrums, das verwendet werden soll, entwickelt. Herausfordernde Probleme entstehen unter anderem wegen der Notwendigkeit der räumlichen Abdeckung abgestrahlter Funkwellen und aus dem Aufrechterhalten der Signalstärke, wenn sich die mobile Vorrichtung zu anderen Orten bewegt, oder weil ein Benutzer von Zeit zu Zeit die mobile Vorrichtung in eine andere Orientierung bringen kann. Das kann zu der Verwendung einer großen Anzahl von Antennen, variierende Polaritäten, Strahlungsrichtungen, variierender räumlicher Diversity der abgestrahlten Funkwellen zu einer variierenden Zeit und zugehörigen Problemen führen. Insbesondere haben die Bereiche der Frequenzbänder, die zur Kommunikation verwendet werden, zugenommen, kürzlich aufgrund der Integration von Trägeraggregation lizenzierter und nicht lizenzierter Bänder und der anstehenden Verwendung der mmWellen-Bänder.
  • Ein Problem mit zunehmender Wichtigkeit sind die Ineffizienzen, die Millimeterwellen-Strahlformungsantennen zugeordnet sind. Insbesondere stellt eine Millimeterwellen-Strahlformungsantenne allgemein Abdeckung in einer Richtung bereit und weist einen engen Strahl auf. In Fällen, in denen die Millimeterwellenantenne mobil ist (z. B. V2X-mmWellen-Kommunikation) muss sie sich häufig an einer Basisstation in einer Richtung ausrichten, und nach einer speziellen Zeit kann es erforderlich sein, dass sie sich in einer anderen Richtung ausrichtet. Zusätzlich kann eine einzelne Millimeterwellenantenne ineffizient sein, wenn an hohen Frequenzen kommuniziert wird, weil der Signaldurchdringungsverlust durch die Luft hoch sein kann (z. B. 60 dB Verlust für den ersten Meter vs. 36 - 38 dB Verlust für den ersten Meter für 2G/3G/4G-Kommunikation).
  • Aspekte beziehen sich auf Systeme, Vorrichtungen, Einrichtungen, Zusammenstellungen, Verfahren und computerlesbare Medien für mmWellen-Strahllenkung und Antennenumschaltung, um 360°-Abdeckung bereitzustellen. Die mmWellen-Strahllenkungs- und Antennenumschaltungs-Aspekte können in die mmWellen-Kommunikationsschaltung 300 integriert sein, die in 3A gezeigt ist, obwohl die mmWellen-Strahllenkungs- und Antennenumschaltungs-Aspekte nicht darauf beschränkt sind. Ein Antennenblock kann mehrere (z. B. wenigstens vier) phasengesteuerte Antennengruppen aufweisen, wobei jede Antennengruppe dual polarisiert (z. B. horizontal oder vertikal polarisiert) sein kann, so dass Strahlen horizontal oder vertikal gelenkt werden können. Zusätzlich kann jede der phasengesteuerten Antennengruppen innerhalb des Antennenblocks einem separaten Sendeempfänger zugeordnet sein, so dass ein oder mehrere Sendeempfänger zum Abtasten für verfügbar eNBs dediziert sein, können, während die restlichen ein oder mehrere Sendeempfänger für mmWellen-Signalkommunikation verwendet werden können. Beispielsweise können zwei der verfügbaren Sendeempfänger für 2 × 2-MIMO-Kommunikation mit einem eNB verwendet werden, während die restlichen zwei Sendeempfänger zum Abtasten verfügbarer eNBs für eine nachfolgende Übergabe verwendet werden können.
  • 169 stellt einen mastmontierten mmWellen-Antennenblock mit mehreren Antennengruppen für Verkehrsvernetzungs-Kommunikation (V2X-Kommunikation) gemäß einigen Aspekten dar. Bezug nehmend auf 169 kann der Antennenblock 16900 die Antennengruppen 16906, 16908, 16910 und 16912 aufweisen, die auf einem Antennenmast 16916 montiert sein können. Der Antennenmast 16916 mit den Antennengruppen 16906 - 16912 kann auf einer Plattform 16914 montiert sein. Die Plattform 16914 kann eine Leiterplatte sein und kann eine oder mehrere andere Komponenten wie z. B. Sendeempfänger und/oder andere Komponenten, die in 174 dargestellt sind, aufweisen. In einigen Aspekten kann der Antennenblock 16900 zur Millimeterwellen-Kommunikation in einer mobilen Einheit (z. B. einem Fahrzeug) verwendet werden. In diesem Zusammenhang kann der Antennenblock 16900 eine aerodynamische Abdeckung 16902, wie z. B. eine „Haifischflossen“-Abdeckung zur Montage auf dem Fahrzeugdach aufweisen.
  • Wie in 169 dargestellt ist, kann jede der vier Antennengruppen 16906 - 16912 auf dem Antennenmast 16916 in einer Konfiguration 16904 montiert sein, wobei jede der Gruppen um 90° gegen eine benachbarte Antennengruppe versetzt ist. In diesem Zusammenhang weisen, falls eine erste Antennengruppe (16906) in eine westliche Richtung weist, die verbleibenden Gruppen (16908, 16910 und 16912) in eine nördliche, östliche bzw. südliche Richtung. Obwohl der Antennenblock 16900 mit vier Antennengruppen dargestellt ist, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und eine andere Anzahl von Antennengruppen in einer anderen Konfiguration kann ebenfalls verwendet werden.
  • 170 stellt beispielhafte Strahllenkung und Antennenumschaltung in einer Millimeterwellen-Antennengruppe, die mit einem einzelnen entwickelten Node-B (eNB) kommuniziert, gemäß einigen Aspekten dar. Bezug nehmend auf 170 kann ein Kommunikationssystem 17000 den Antennenblock 16900 von 169 mit vier Antennengruppen 16906 - 16912 in Kommunikation mit einem eNB 17002 aufweisen. Der Antennenblock 16900 kann sich auf einem sich bewegenden Fahrzeug befinden, und 170 stellt drei separate Positionen des Antennenblocks 16900 dar, wenn sich das Fahrzeug von Position P1 zu Position P3 bewegt. Wie in 170 zu sehen ist, ist zum Zeitpunkt T1 das Fahrzeug mit dem Antennenblock 16900 an Position P1 und verwendet die Antennengruppe 16910, um mit dem eNB 17002 zu kommunizieren. Wenn sich das Fahrzeug mit dem Antennenblock 16900 zum Zeitpunkt T2 zur Position P2 bewegt, kann der Antennenblock 16900 weiterhin die Antennengruppe 16910 (mit einem anderen Strahl als dem Strahl, der an der Position P1 verwendet wurde) verwenden, um mit dem eNB 17002 zu kommunizieren. Wenn sich das Fahrzeug mit dem Antennenblock 16900 zum Zeitpunkt T3 zu Position P3 bewegt, kann der Antennenblock 16900 die Antennengruppen umschalten und die Antennengruppe 16908 verwenden, um mit dem eNB 17002 zu kommunizieren (da die Antennengruppe 16908 in die Richtung des eNB 17002 weist). Die Empfangssignalstärke der Signale, die von dem eNB 17002 ausgehen, kann verwendet werden, um die Richtung der empfangenen Signale (z. B. die Richtung des eNB 17002) zu bestimmen (oder zu schätzen) und eine entsprechende Antennengruppe zu verwenden, die an der bestimmten Richtung des eNB ausgerichtet ist.
  • 171 stellt beispielhafte Strahllenkung und Antennenumschaltung in einer Millimeterwellen-Antennengruppe, die mehreren entwickelten eNBs kommuniziert, gemäß einigen Aspekten dar. Bezug nehmend auf 171 kann ein Kommunikationssystem 17100 den Antennenblock 16900 von 169 mit vier Antennengruppen 16906 - 16912 in Kommunikation mit den eNBs 17102 und 17104 aufweisen. Der Antennenblock 16900 kann sich auf einem Fahrzeug befinden, das sich in der Richtung 17106 bewegt, von Position P0 zu Position P4. In einigen Aspekten kann jede der vier Antennengruppen 16906 - 16912 einem entsprechenden Sendeempfänger zugeordnet sein, der auf einem oder mehreren Millimeterwellenbändern arbeitet. Wie in 171 zu sehen ist, ist zum Zeitpunkt T0 das Fahrzeug mit dem Antennenblock 16900 an Position P0 und verwendet die Antennengruppe 16912, um mit dem eNB 17104 über den Antennenstrahl 17112 zu kommunizieren.
  • In einigen Aspekten kann jede der Antennengruppen 16906 - 16912 eine dual polarisierte phasengesteuerte Antennengruppe sein, so dass ein horizontal polarisierter und ein vertikal polarisierter Strahl gleichzeitig von einer Antennengruppe (z. B. 2X2-MIMO-Konfiguration) unter Verwendung von zwei Sendeempfängern kommuniziert werden können. Beispielsweise kann die Antennengruppe 16912 in einer 2 × 2-MIMO-Konfiguration mit dem eNB 17104 über zwei Sendeempfänger unter Verwendung eines vertikal und eines horizontal polarisierten Strahls, der als Strahl 17112 repräsentiert ist, kommunizieren (z. B. kann ein Sendeempfänger mit einem vertikal polarisierten Strahl und der Antennengruppe 16912 kommunizieren, und ein zweiter Sendeempfänger kann mit einem horizontal polarisierten Strahl unter Verwendung derselben Antennengruppe 16912 kommunizieren).
  • Da zwei Sendeempfänger zur Kommunikation mit dem eNB 17104 verwendet werden, können die restlichen Sendeempfänger (z. B. zwei verbleibende Sendeempfänger in Fällen, in denen eine Kommunikationsvorrichtung mit vier Sendeempfängern in dem Fahrzeug verwendet ist, wie in 174 dargestellt ist) verwendet werden, um verfügbare Kommunikationskanäle für einen weiteren eNB abzutasten. Beispielsweise und wie in 171 zu sehen ist, können eine oder mehrere der verbleibenden Antennengruppen 16906 - 16910 einen oder mehrere Strahlen 17108 verwenden, um nach verfügbaren eNBs abzutasten. In einigen Aspekten können einer oder mehrere der Abtaststrahlen 17108 bestimmen, dass ein weiterer eNB 17102 zur Kommunikation verfügbar ist. Die Sendeempfänger, die den Abtaststrahlen 17108 zugeordnet sind, können verwendet werden, um Signale von dem eNB 17102 zu empfangen, und die empfangenen Signale können weiter verarbeitet werden, um den Empfangssignalstärkenindikator (RSSI) oder andere Signalqualitätsmetriken, die diesen Signalen zugeordnet sind, zu bestimmen. Eine Entscheidung darüber, ob zu dem neuen eNB umgeschaltet werden soll oder nicht, kann basierend auf dem RSSI oder den anderen Qualitätsmetriken getroffen werden.
  • Zum Zeitpunkt T1 ist das Fahrzeug mit dem Antennenblock 16900 an der Position P1 und verwenden die Antennengruppen 16912 und 16910, um mit den eNBs 17104 und 17102 unter Verwendung der Antennenstrahlen 17114 bzw. 17116 gleichzeitig zu kommunizieren. Die Kommunikation zwischen dem Fahrzeug mit dem Antennenblock 16900 und den eNBs 17104 und 17102 kann eine 2 × 2-MIMO-Kommunikation mit dual polarisierten Antennengruppen 16912 und 16910 unter Verwendung aller vier verfügbaren Sendeempfängern verwenden. Zum Zeitpunkt T1 kann ein Prozessor, der dem Antennenblock 16900 zugeordnet ist (z. B. der Anwendungsprozessor 17403 in 174) basierend auf Signalqualitätsmessungen bestimmen, von dem eNB 17104 zu dem eNB 17102 umzuschalten, während der Antennenblock mit beiden eNBs 17104 und 17102 verbunden ist. Beispielsweise kann das Umschalten zwischen den eNBs darauf basieren, dass die Empfangssignalqualität (z. B. die Empfangssignalstärke) unter einen Schwellenpegel fällt.
  • Zum Zeitpunkt T2 ist das Fahrzeug mit dem Antennenblock 16900 an der Position P2 und verwendet den Antennenstrahl 17118, der der Antennengruppe 16910 zugeordnet ist, um nur mit dem eNB 1710 zu kommunizieren. Ähnlich ist zum Zeitpunkt T3 das Fahrzeug mit dem Antennenblock 16900 an der Position P3 und verwendet den Antennenstrahl 17120, der der Antennengruppe 16910 zugeordnet ist, um mit dem eNB 17102 zu kommunizieren. Während es an Position P3 ist, können die verbleibenden Sendeempfänger, die nicht zum Senden des Strahls 17120 verwendet werden, verwendet werden, um verfügbare Kommunikationskanäle zu dem eNB 17102 unter Verwendung einer oder mehrerer der verbleibenden Antennengruppen abzutasten. In Fällen, in denen die Signalqualität von einer oder mehreren der verbleibenden Gruppen höher ist
  • Zum Zeitpunkt T4 ist das Fahrzeug an der Position P4 und hat von der Antennengruppe 16910 zu der Antennengruppe 16908 umgeschaltet, um mit dem eNB 17102 unter Verwendung des Antennenstrahls 17122 zu kommunizieren. Die Kommunikation mit dem eNB 17102 kann unter Verwendung einer Millimeterwellen-2 × 2-MIMO-Konfiguration ausgeführt werden, unter Verwendung von zwei Sendeempfängern und Dualpolarisation für die Antennengruppe 16908 (z. B. ein vertikal polarisierter von einem Sendeempfänger und ein horizontal polarisierter Strahl von einem zweiten Sendeempfänger können zur Kommunikation mit dem eNB 17102 verwendet werden). Während die Millimeterwellenkommunikationsvorrichtung (z. B. 17400), die den Antennenblock 16900 verwendet, mit dem eNB 17102 über den Antennenstrahl 17122 und zwei der verfügbaren Sendeempfängern kommuniziert, können die verbleibenden Sendeempfänger eine oder mehrere der verbleibenden Antennengruppen verwenden, um verfügbare Kommunikationskanäle unter Verwendung der Abtaststrahlen 17110 abzutasten.
  • In einigen Aspekten können einer oder mehrere der Sendeempfänger innerhalb der Millimeterwellenkommunikationsvorrichtung (z. B. 17400 in 174) dedizierte Abtast-Sendeempfänger sein und eine oder mehrere der Antennengruppen 16906 - 16912 verwenden, um ständig verfügbare Kommunikationskanäle nach einer neuen eNB oder Basisstation abzutasten. In diesem Zusammenhang kann die Millimeterwellenkommunikationsvorrichtung mit einem ersten eNB (z. B. 17104) verbunden sein, und nachdem die dedizierten Abtast-Sendeempfänger einen zweiten eNB (z. B. den eNB 17102) lokalisieren, kann eine Verbindung zu beiden eNBs 17104 und 17102 aufgebaut werden (wie in 171 an Position P1 zu sehen ist). Zum Zeitpunkt T2 ist eine weiche Übergabe erreicht worden, da die Millimeterwellenkommunikationsvorrichtung die Verbindung zu dem eNB 17104 unterbrochen hat und nur mit dem eNB 17102 über den Antennenstrahl 17118 kommuniziert.
  • In einigen Aspekten können einer oder mehrere der Empfänger innerhalb der Millimeterwellenkommunikationsvorrichtung zum Abtasten der verfügbaren Kommunikationskanäle nach einem neuen eNB dediziert sein. Sobald ein neuer eNB detektiert wird und die Signalqualitätsindikatoren oberhalb eines Schwellenpegels für die empfangenen Signale sind, kann eine harte Übergabe durch Anhalten der Kommunikation mit einem aktuellen eNB und dann Einleiten einer Verbindung mit dem neuen eNB ausgeführt werden.
  • 172 stellt gleichzeitige Millimeterwellenkommunikation mit mehreren Vorrichtungen unter Verwendung eines Antennenblocks mit mehreren Antennengruppen gemäß einigen Aspekten dar. Bezug nehmend auf 172 weist das Kommunikationssystem 17200 mehrere Fahrzeuge (17204, 17206 und 17208) und einen eNB 17202 auf. Jedes der Fahrzeuge 17204 - 17208 kann mit einem Antennenblock (z. B. 16900) und einer Millimeterwellenkommunikationsvorrichtung (z. B. 17400) konfiguriert sein, die konfiguriert sind, auf einem oder mehrere Millimeterwellenfrequenzbändern und/oder einem oder mehreren anderen Kommunikationsbändern zu kommunizieren.
  • In einigen Aspekten kann das Fahrzeug 17208 aufgrund eines Unfalls oder einer anderen Verkehrsgefährdung, die als 17210 angegeben ist, unbeweglich sein. Das Fahrzeug 17206 kann bordeigene Fahrzeugkameras oder Näherungssensoren aufweisen, die die Verkehrsgefährdung 17210 unter Verwendung von Abtastsignalen 17212 detektieren können. Das Fahrzeug 17206 kann eine erste Antennengruppe verwenden, um über den Strahl 17216 mit dem eNB 17202 zu kommunizieren, und eine zweite Antennengruppe verwenden, um über den Strahl 17220 mit einem benachbarten Fahrzeug 17204 zu kommunizieren. In einigen Aspekten kann das Fahrzeug 17206 die Kommunikation über den Strahl 17002 zum Benachrichtigen des Fahrzeugs 17204 über die detektierte Verkehrsgefährdung 17210 verwenden, während das Fahrzeug 17206 über den Strahl 17216 in Kommunikation mit dem eNB 17202 ist.
  • In einigen Aspekten kann der eNB 17202 über die Verkehrsgefährdung 17210 benachrichtigt werden (z. B. durch das Fahrzeug 17208 oder ein anderes Fahrzeug), und der eNB 17202 kann andere Fahrzeuge, mit denen er in Kommunikation ist, über die Verkehrsgefährdung 17210 benachrichtigen. In Fällen, in denen das Fahrzeug 17204 die Benachrichtigung über die Verkehrsgefährdung 17210 vor dem Fahrzeug 17206 empfängt, kann das Fahrzeug 17204 die Kommunikation über den Strahl 17218 verwenden, um das Fahrzeug 17206 über die bevorstehende Verkehrsgefährdung 17210 zu benachrichtigen.
  • In diesem Zusammenhang kann jedes der Fahrzeuge 17204 - 17208 mehrere Sende- und Empfangs-Kommunikationspfade gleichzeitig verwenden. Beispielsweise in Fällen, in denen zwei Sende-/Empfangspfade mit dem eNB kommunizieren, können verbleibende Pfade zur Kommunikation mit einem benachbarten Fahrzeug unter Verwendung von V2V-Kommunikation (oder Kommunikation mit Infrastruktur oder einer Person, die V2X-Kommunikation verwendet) verwendet werden.
  • In einigen Aspekten kann ein Anwendungsprozessor (z. B. 17403) 4G/LTE-Kommunikation mit dem eNB 17202, 5G-Kommunikation mit einem weiteren Fahrzeug (V2V-Kommunikation) und Wi-Fi/802.11-Kommunikation für eine Fahrzeug/Mensch-Schnittstelle verwenden.
  • 173 stellt mehrere Strahlen, die zur Millimeterwellenkommunikation durch einen Antennenblock, der mehrere Antennengruppen enthält, verwendet werden können, gemäß einigen Aspekten dar. Bezug nehmend auf 173 kann das Kommunikationssystem 17300 einen Antennenblock 17304 (der Teil einer Millimeterwellenkommunikationsvorrichtung wie z. B. der Vorrichtung 17400 sein kann) in Kommunikation mit einem eNB 17302 aufweisen. Der Antennenblock 17304 kann die dual polarisierten Antennengruppen 17306 - 17312 aufweisen.
  • Da die Millimeterwellenkommunikationsvorrichtung, die den Antennenblock 17304 verwendet, beweglich sein kann, kann Strahlerfassung ausgeführt werden, wenn eine Millimeterwellenkommunikationsstrecke mit dem eNB 17302 aufgebaut wird. Beispielsweise kann die Millimeterwellenkommunikationsvorrichtung die verfügbaren Strahlen 17314 - 17318 durchlaufen und RSSI (oder einen anderen Signalqualitätsindikator) für jeden verfügbaren Strahl messen und den Strahl mit einem höchsten gemessenen Signalqualitätsindikator (z. B. den Strahl 17316) auswählen. Eine Tabelle der gemessenen Signalqualitätsindikatoren kann für nachfolgende Bezugnahme und Verwendung zum Umschalten von Strahlen oder Ausführen einer Übergabe gespeichert werden.
  • In einigen Aspekten können die Kommunikationsstrahlen im Voraus ausgewählt sein, um einen gegebenen Bereich abzudecken, und somit können die Richtungen jedes Strahls bekannt sein (oder die Richtung kann basierend auf Phasenschiebern, die zu einer Einstellung weisen, die für den Strahl verwendet ist, berechnet werden). In diesem Zusammenhang kann, sobald ein Strahl zur Kommunikation mit einem eNB ausgewählt ist, die Richtung des eNB bestimmt werden. Wenn sich das Fahrzeug bewegt, kann basierend auf der Fahrtrichtung und der Richtung des aktuellen eNB ein anderer Strahl ausgewählt werden.
  • 174 ist ein Blockdiagramm einer beispielhaften Millimeterwellenkommunikationsvorrichtung, die den Antennenblock mit mehreren Antennengruppen von 169 verwendet, gemäß einigen Aspekten. Bezug nehmend auf 174 kann die Kommunikationsvorrichtung 17400 einen Anwendungsprozessor 17403, ein Modem 17402, einen Zwischenfrequenz- (IF-) Umsetzungsblock 17404, eine Sendeempfängergruppe 17440, eine Schaltergruppe 17450 und eine Antennengruppenmenge 17460 aufweisen.
  • Die Antennengruppenmenge 17460 kann ähnlich dem Antennenblock 16900 von 169 sein. Insbesondere kann die Antennengruppenmenge 17460 die dual polarisierten Antennengruppen 17424, 17426, 17428 und 17430 aufweisen. Jede der Antennengruppen 17424 - 17430 ist einem entsprechenden Sendeempfänger 17442, 17444, 17446 und 17448 innerhalb der Sendeempfängergruppe 17440 zugeordnet. Wie in 174 zu sehen ist, ist jede der Antennengruppen 17424 - 17430 eine dual polarisierte Antennengruppe (z. B. 4 × 4-Antennengruppe) und kann zwei separate IF-Dateneingaben empfangen, die von unterschiedlicher Polarisation (z. B. horizontal oder vertikal) sein können und gleichzeitig durch zwei der Sendeempfänger innerhalb der Sendeempfängergruppe 17440 gesendet werden können.
  • Die Schaltergruppe 17450 weist die Signalschalter 17408, 17410, 17412 und 17414 auf, die mit entsprechenden IF-Dateneingängen 17406 gekoppelt sein können. Jeder der Schalter 17408 - 17414 erzeugt entsprechende geschaltete Ausgabesignale 17416, 17418, 17420 und 17422, die zu der Antennengruppenmenge 17460 kommuniziert werden.
  • Im Betrieb können Daten aus dem Modem 17402 über den IF-Umsetzungsblock 17404 in IF-Daten 17406 umgesetzt werden. Die IF-Daten 17406 können zu der Schaltergruppe 17450 kommuniziert werden. Der Anwendungsprozessor 17403 kann bestimmen, welche Sendeempfänger und welche Antennengruppen zum Kommunizieren von Signalen mit einem eNB und/oder einem weiteren Fahrzeug verwendet werden können und welche Sendeempfänger und Antennengruppen verwendet werden können, um einen oder mehrere Kommunikationskanäle nach verfügbaren eNBs oder Basisstationen abzutasten. In diesem Zusammenhang kann der Anwendungsprozessor 17403 einen oder mehrere der Schalter 17408 - 17414 innerhalb der Schaltergruppe 17450 feuern, wobei eines oder mehrere der geschalteten Ausgabesignale 17416 - 17422 zu entsprechenden Antennengruppen innerhalb der Antennengruppenmenge 17460 kommuniziert werden.
  • RF-Teilsysteme ((RF-Teilsysteme) oder (RFSs)) müssen in neuere mobile drahtlose Vorrichtungen für WiGig und 5G-Aspekte aufgrund hoher Datenratenanforderungen integriert werden. Solche Arten von RF-Teilsystemen verwenden häufig Mikrostreifenantennen, die als Mikrostreifengruppen konfiguriert sind, angesichts der kleinen Größen, die für den Betrieb an WiGig- und 5G-Frequenzen gewünscht sind. Eine Mikrostreifenantenne (auch als eine aufgedruckte Antenne bezeichnet) meint normalerweise eine Antenne, die unter Verwendung von Mikrostreifentechniken auf einer Leiterplatte (PCB) hergestellt ist. Eine individuelle Mikrostreifenantenne weist normalerweise einen Patch aus Metallfolie verschiedener Formen (eine Patchantenne) auf der Oberfläche einer PCB auf, mit der Metallfolienmasseebene auf der anderen Seite der Platine oder einer Masseebene an einer internen Ebene der PCB. Mikrostreifenantennen strahlen primär in der Querrichtung, was nicht für alle Anwendungsfälle des 5G- und WiGig-Betriebs geeignet sein kann. WiGig-RF-Systeme sind häufig in Deckeln von Laptops platziert aufgrund der Beschränkungen der Strahlungsrichtung der verwendeten Mikrostreifenantennen. Zusätzlich kann die Querstrahlung Probleme mit der spezifischen Absorptionsrate (SAR) verursachen, falls die Antenne zu dem menschlichen Körper (oder zu einer Anzeigevorrichtung) in einem tragbaren 5G-mmWellen-System abstrahlt. Lösungen für diese Probleme können das Verwenden mehrerer RFSs, die back-to-back gestapelt sind, um eine Rundumabdeckung für 5G zu erhalten, aufweisen. Das steigert jedoch die Dicke der und die Kosten für die Vorrichtung, erfordert einen großen Bereich auf der Oberfläche einer PCB, was das Teilsystem größer macht als es für eine optimale oder verbesserte Konstruktion benötigt würde. Ferner können Mikrostreifenantennen allgemein keine große Bandbreite erreichen und können tatsächlich manchmal nur eine schmale Bandbreite erreichen. Eine Gruppe solcher Mikrostreifenantennen kann unter manchen Umständen konstruiert sein, um in alle Richtungen abzustrahlen, es ist jedoch immer noch ein großer Spielraum vorhanden, um die Gesamtleistung zu verbessern, wie z. B. Verbessern der Bandbreite und RFS-Größe. Patchantennen stellen allgemein keine große Bandbreite bereit und können sich nicht für diesen Typ einer Verbesserung anbieten. Deshalb gibt es einen Bedarf für Antennen und Antennengruppen, die für WiGig und für 5G-Technologie und für andere mmWellen-Antennenkonstruktionen verwendet werden können.
  • Eine Lösung für das Vorstehende sind Via-Antennen, die Substrat-Vias aufweisen, die durch einen PCB-Produktionsprozess hergestellt werden. In verschiedenen Aspekten besetzen Via-Antennen weniger Oberflächenbereich als andere Antennen und weisen eine Bandbreite auf, die aufgrund der 3D-Struktur der Vias, die für Via-Antennen verwendet werden, für 5G-Technologie verwendet werden kann. Via-Antennen stellen in einigen Aspekten darin einen Vorteil für die Herstellung bereit, dass sie in internen Schichten des RFS, der PCB, die dielektrische Schichten aufweist, oder der Hauptplatine konstruiert sein können. Ferner können Via-Antennen im Wesentlich unsichtbar gemacht werden, weil sie in inneren, nicht sichtbaren Schichten einer PCB platziert sein können. Via-Antennen können als ein Monopol oder als ein Dipol konstruiert sein. Beispielsweise wird ein Aspekt mit einer einzigen Zuleitung das Via als eine Monopol-Via-Antenne funktionieren, während ein Back-to-back-Aspekt zu einer Via-Antenne führen wird, die als ein Dipol funktioniert. Zusätzlich können Via-Antennen als Gruppen konfiguriert sein, die Längsstrahler-Abstrahlung bereitstellen, was für Anwendungsfälle der 5G-Technologie in hohem Maße wünschenswert ist. Das Längsstrahler-Strahlungsmuster einer Via-Antenne kann für WiGig-RFS-Platzierung an der Basis des Laptops nützlich sein und somit die Kabellänge und den Verlust reduzieren. Ferner können in einigen Aspekten noch platineninterne Via-Antennen für 5G- und WiGig-Technologie konstruiert sein, um eine Option bereitzustellen, entweder ein WiGig-RFS oder ein 5G-RFS an der Basis eine Laptops zu platzieren. Via-Antennen können außerdem in eine Hauptplatine integriert sein, ohne eine gewünschte Abstrahlungsrichtung zu verlieren. Andere Vorteile enthalten eine Reduktion der Anzahl von RFSs, die für 5G-Betrieb benötigt werden, weil die Via-Antennengruppe für Längsstrahler-Abstrahlung mit wenigstens zwei Abdeckungsrichtungen konfiguriert sein kann, ein Ergebnis, das in früheren Konstruktionen mehrere RFSs benutzte.
  • Kosteneinsparungen für die Konstruktion sind auch erreicht, weil die Via-Antenne ein integrierter Teil einer PCB sein kann. Die Via-Antenne kann außerdem in im Wesentlichen irgendwelchen Zwischenschichten einer PCB zusammen mit einem Speisenetz für die Via-Antenne konstruiert sein. Wie vorstehend diskutiert ist es in einigen Situationen schwierig, eine vollständige 360 Grad-Abdeckung mit nur einer RF zu erhalten. Die Lösung dafür kann das Verwenden mehrerer RFSs back-to-back gestapelt, um eine 360 Grad-Abdeckung für 5G zu erhalten, enthalten. Das steigert jedoch die Dicke der und die Kosten für die Vorrichtung, erfordert einen großen Bereich auf der Oberfläche einer PCB, was das Teilsystem größer macht als es für eine optimale oder verbesserte Konstruktion benötigt würde. Via-Antennen andererseits, wenn sie back-to-back platziert sind, stellen eine gute Rundumabdeckung bereit und können dieses Problem lösen, während sie nur ein einziges RFS verwenden.
  • Verfügbare Lösungen für 5G-RFS weisen Patchantennen auf, die auf eine PCB aufgedruckt sind. Aufgrund der unidirektionalen Abstrahlung einer Patchantenne kann ein 5G-System mehr als ein RFS für die maximale Richtungsabdeckung erfordern. Das Hinzufügen mehrerer RFSs in dem System besetzt mehr Platz und außerdem zusätzliche Kosten. Ähnlich weisen existierende WiGig-RFSs eine aktive Antennengruppe aus Mikrostreifen- und planaren Dipolantennen auf. Die Gruppe ist konstruiert, um in allen Richtungen abzustrahlen, aber es ist immer noch ein großer Spielraum vorhanden, um die Gesamtleistung zu verbessern, wie z. B. Verbessern der Bandbreite und RFS-Größe. Patchantennen stellen allgemein keine große Bandbreite bereit und können sich nicht für diesen Typ einer Verbesserung anbieten.
  • Die aktuelle standardmäßige WiGig-RFS-Größe ist ungefähr 20x7x1,7 mm (Länge × Breite × Höhe). Die RFS-Länge kann durch Verwenden der vorgeschlagenen Via-Antennengruppe weiter reduziert werden, ohne einen Kompromiss für die Leistung einzugehen. Aktuelle WiGig-RFSs können primär am Deckel der Laptops platziert sein. Verwenden der vorgeschlagenen Via-Antennenimplementierung in den RFSs wird in einigen Aspekten jedoch die Option bereitstellen, das RFS an der Basis des Laptops und anderen Orten bereitstellen. Via-Antennen können innerhalb der PCB unter Verwendung mehrerer interner dielektrischer Schichten der PCB konstruiert sein. Das gibt gemäß einigen Aspekten die Flexibilität, Via-Antennengruppen und Speisenetze in im Wesentlichen irgendeiner der Schichten der PCB zu konstruieren und das Abstimmen der Antennenparameter zu unterstützen.
  • 175A ist eine Darstellung einer Via-Antennengruppe, die in einem Mobiltelefon konfiguriert ist, gemäß einigen Aspekten. Die hier beschriebene Via-Antennengruppe kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Via-Antennengruppe nicht darauf eingeschränkt ist. 175A stellt Gruppen von Via-Antennen 17505, 17507 auf einem Mobiltelefon dar. Wegen der Fähigkeit einer Via-Antenne, in zwei Richtungen abzustrahlen, kann eine Via-Antenne, oder hier eine Vier-Elemente-Via-Antennengruppe wie z. B. bei 17505, im Wesentlichen parallel zu der X-Achse platziert sein, um in der Y-Richtung des dargestellten Mobiltelefons abzustrahlen, wie bei 17505A, 17505B. Aus dem gleichen Grund kann eine Vier-Elemente-Via-Antenne 17507 im Wesentlichen parallel zu der Y-Achse platziert sein, um in der X-Richtung des dargestellten Mobiltelefons abzustrahlen, wie bei 17507A, 17507B, gemäß einigen Aspekten.
  • 175B ist eine Darstellung einer Via-Antennengruppe, die auf einer Hauptplatinen-PCB konfiguriert ist, gemäß einigen Aspekten. Die Hauptplatine 17502, die Schaltungskomponenten und leitfähige Leitungen bei 17509 aufweist, weist eine Via-Antennengruppe bei 17511 auf. Wegen der Fähigkeit der Via-Antenne, in zwei Richtungen abzustrahlen, kann die Strahlung, wie in dem Fall eines Mobiltelefons, ebenfalls in zwei Richtungen, 17511A und 17511B, sein.
  • 175C ist eine Darstellung einer Via-Antennengruppe, die in einem Laptop konfiguriert ist, gemäß einigen Aspekten. Wegen der Zweirichtungsabstrahlung einer Via-Antenne, die vorstehend diskutiert ist, ist die Platzierung der Via-Antennengruppe nicht auf den Deckel eines Laptops bei 17517 mit den Abstrahlungsrichtungen 17517A, 17517B beschränkt, sondern sie kann auch bei 17519, mit den Abstrahlungsrichtungen 17519A, 17519B, und 17521 auf der Basis eines Laptops mit den Abstrahlungsrichtungen 17521A, 17521B gemäß einigen Aspekten platziert sein.
  • Allgemein ausgedrückt können Via-Antennengruppen an irgendeinem Ort platziert sei, der die Anforderungen an Richtung, Frequenz und Strahlungsmuster des vorhandenen Anwendungsfalls erfüllt. Ein wichtiger Vorteil ist, dass Via-Antennen so platziert sein können, dass sie dazu beitragen, die spezifische Absorptionsrate (SAR) zu reduzieren. Mit anderen Worten können, wie in 175A für ein Mobiltelefon dargestellt ist, Via-Antennengruppen so platziert sein, dass ihre stärkste Abstrahlung in einer Richtung weg vom Ohr einer Person ist, wenn sie in das Mobiltelefon spricht. Wie in 175A zu sehen ist, kann in einigen Aspekten die Primärabstrahlung in der Y-Richtung (17505A, 17505B) oder der X-Richtung (17507A, 17507B) sein, während der Benutzer des Mobiltelefons im Allgemeinen in der Z-Richtung wäre (wobei die Z-Richtung in die Zeichenebene hinein oder aus ihr heraus wäre).
  • Ein zusätzlicher Vorteil hat mit dem Bereitstellen der Abstrahlung in einer einzelnen Richtung, falls gewünscht, zu tun. Während die Abstrahlung einer Via-Antenne im Allgemeinen in zwei entgegengesetzten Richtungen ist, kann gemäß einigen Aspekten, falls die Abstrahlung in einer einzelnen Richtung gewünscht ist, ein Metallreflektor gegenüber der Via-Antenne oder Via-Antennengruppe in der Richtung der unerwünschten Abstrahlung platziert sein, um die Strahlung in die gewünschte Richtung zu reflektieren.
  • Vias innerhalb von PCBs sind allgemein zum Verbindung von Metallleiterbahnen in mehrere Schichten verwendet worden. PCB-Vias können unterschiedliche Formen und Größen aufweisen, wie z. B. zylindrisch, rechteckig, konisch und andere geometrische Formen. Die Via-Antenne kann in einigen Aspekten hohl oder massiv konstruiert sein. Manchmal ist ein Via beschichtet, wobei das Loch des Vias nicht mit Metall gefüllt ist, was das Via hohl macht. In anderen Beispielen kann das Loch des Vias vollständig oder teilweise mit Metall gefüllt sein, um sie massiv zu machen. Der Unterschied kann von dem PCB-Herstellungsprozess für das Via und/oder den Anforderungen des Anwendungsfalls abhängen. Die Leistungsfähigkeit kann gemäß einigen Aspekten in einer Bestrebung, die gewünschten Anforderungen zu erreichen, simuliert werden. Die Via-Antenne kann gemäß einigen Aspekten an dem Rand oder der Mitte des Bodens des Vias gespeist werden. Die Masse und der Boden der Via-Antenne können in derselben Ebene konstruiert sein und unter Verwendung einer komplanaren Wellenleiter- (CPW-) Leitung gespeist werden. Ein Via kann durch irgendeine Anzahl von Schichten in die PCB hergestellt werden. Die beschriebene Via-Antenne kann außerdem gemäß einigen Aspekten unter Verwendung der gleichen Herangehensweise konstruiert sein.
  • 176A ist eine Querschnittsansicht einer Via-Antenne in einer mehrschichtigen PCB gemäß einigen Aspekten. Die PCB 17600 ist als eine Aufschichtung 17601 dargestellt, die N Schichten, Schicht 1 bis Schicht N, aufweist, wobei N eine ganze Zahl ist, gemäß einigen Aspekten. Eine oder mehrere der Schichten können eine dielektrische Schicht sein. Andere Schichten können leitfähige Schichten sein. Das Via 17603 ist so dargestellt, dass sie einen inneren Abschnitt aufweist, der gekrümmt sein kann, falls das Via eine konisches Via ist. Das Via für eine Via-Antenne ist jedoch nicht auf eine konische Form beschränkt. Das Via kann konisch, zylindrisch oder von irgendeiner anderen Form sein, die die Anforderungen der benötigten Lösung erfüllt. Eine Außenfläche des Vias ist bei 17603A und bei 17603B im Querschnitt dargestellt, und der innere Abschnitt ist zwischen 17603 A und 17603B in der Figur. Die Leitung 17605 kann gemäß einigen Aspekten eine Speiseleitung sein.
  • 176B ist eine perspektivische Ansicht einer Via-Antenne gemäß einigen Aspekten. Das Via 17603 ist in einigen Aspekten in isometrischer Ansicht so dargestellt, dass sie mehrere Schichten innerhalb der PCB 17601 ist. Wie vorstehend diskutiert funktioniert der Betrieb einer Via-Antenne als Monopolantenne. Folglich weist eine Via-Antenne eine größere Bandbreite auf als eine Mikrostreifenantenne, was der Via-Antenne einen Vorteil insbesondere an Millimeterwellenlängen verschafft. Allgemein ist eine Leistungsfähigkeit der Via-Antenne nicht von der PCB abhängig. Ferner funktionieren der Spalt G in 176A zwischen der Via-Antenne und Masse GND und die allgemeine konische Form der Via-Antenne in diesem Aspekt, um die Bandbreite im Vergleich zu der gewöhnlichen Mikrostreifenantenne zu erhöhen.
  • Die Leistung wird auch die durch Schichten und die Anzahl der Schichten, die für das Via verwendet sind, beeinflusst. Die Schichten, die für das Via verwendet sind, können ein Parameter sein, der angepasst werden kann, um die Leistungseigenschaften für die vorhandene Anwendung in verschiedenen Aspekten bereitzustellen, was manchmal als „Abstimmen“ der Antenne bezeichnet ist. In einigen Aspekten kann die Leistung einer Via-Antenne in den oberen Schichten der PCB ausgewertet werden, um zu bestimmen, ob die Leistungsanforderungen an einer gegeben Frequenz einer Konstruktion erfüllt sind. Falls die Anforderungen nicht erfüllt sind, können tiefere Schichten der PCB für die Via-Antenne in einem Abstimmungsprozess in einem Bestreben, die gewünschte Leistung zu erreichen, verwendet werden.
  • Als ein Beispiel für einen Vorteil kann, falls die gewünschte Leistung zusätzliche Höhe in einem Aspekt eines Vias erfordert, das Vias in einer oder mehreren zusätzlichen Schichten umkonfiguriert werden, um die Höhe zu vergrößern. Als ein weiteres Beispiel für einen Vorteil erscheint die Via-Antenne 17603 von 176B oben an der dargestellten Struktur, die in einigen Aspekten der Deckel eines Laptops sein kann. Falls es wünschenswert ist, dass die Antenne auf dem Deckel nicht zu sehen ist, könnte das Via an inneren Schichten, nicht an der ersten Schicht oder unteren Schicht, hergestellt sein, und die Via-Antenne wäre nicht zu sehen, sollte das eine wünschenswerte Lösung sein.
  • 177A ist eine Darstellung einer PCB-Via-Antenne in einer Innenansicht von der Oberseite des Vias einer PCB gemäß einigen Aspekten. Die Via-Antenne 17703 ist in der PCB 17701 als in verschiedenen Laminatschichten konfiguriert zu sehen. 177B ist eine Darstellung einer PCB-Via-Antenne gesehen von der Unterseite der PCB gemäß einigen Aspekten. Die Zuleitung kann in jeder wünschenswerten Schicht der PCB sein. Die Speiseleitung 17705 ist dargestellt, was in diesem Beispiel ein CPW ist. Es kann jedoch jeder planare Speisemechanismus verwendet werden, wie z. B. Streifenleitung, Mikrostreifenleitung oder irgendeine andere geeignete Übertragungsleitung. Die Abstrahlung wird in einer Richtung um das Via der Via-Antenne anstatt vertikal zu dem Via sein, somit hängt die Richtung der Abstrahlung davon ab, wo die Via-Antenne platziert ist, gemäß einigen Aspekten. Die Via-Antenne kann gemäß einigen Aspekten hohl oder massiv konstruiert sein und an dem Rand oder der Mitte des Bodens des Vias gespeist werden. Die Masse und der Boden der Via-Antenne können in derselben Ebene konstruiert sein.
  • 178A ist eine Draufsicht einer Via-Antennengruppe gemäß einigen Aspekten. 178A zeigt eine Via-Antennengruppenkonstruktion in der PCB 17801, wobei über die Anzahl der Antennenelemente 17803 in einer Gruppe gemäß einigen Aspekten basierend auf dem Antennengewinn und Strahlweitenanforderungen entschieden werden kann. Die Via-Antenne würde mit Querstrahler-Abstrahlung arbeiten, die wie vorstehend diskutiert für Monopol- und Dipolantennen charakteristisch ist. Die Via-Antennengruppe kann in dem oder als Teil des RFS oder nahe dem RFS platziert sein. In einigen Aspekten kann eine Via-Antennengruppe auch in einer separaten kleinen PCB konstruiert sein und dann in eine Hauptplatine oder ein RFS eingesteckt oder auf andere Weise damit verbunden werden, um Oberflächenwelleneinfluss auf die Antennenabstrahlung zu vermeiden oder die Reduktion von anderem Rauschen bereitzustellen, oder um Abstrahlung in schwer zu erreichenden Richtungen, die durch Hindernisse innerhalb der Vorrichtung, in der die Gruppe Verwendung findet, blockiert sein können, bereitzustellen. 178B ist eine Darstellung einer vertikalen Zuleitung für eine Via-Antenne gemäß einigen Aspekten.
  • 178C ist eine Darstellung einer horizontalen Zuleitung für eine Via-Antenne gemäß einigen Aspekten. Die Via-Antenne kann durch vertikale Zuleitung oder horizontale Zuleitung gespeist werden, wobei die Auswahl vertikaler oder horizontaler Zuleitung vorgenommen werden kann, um die Speiseleitungsstörungen auf der Antennenleistung zu reduzieren, die durch die Konstruktion bedingt ist, gemäß einigen Aspekten. Allgemein ist die vertikale Zuleitung bei 17805A dargestellt, wo das Via, die gespeist wird, bei 17803A ist, wie in 178B zu sehen ist, gemäß einigen Aspekten. Horizontale Zuleitung ist bei 17805A' dargestellt, wobei das Via, die gespeist wird, bei 17803A' ist, gemäß einigen Aspekten. Beide Konfigurationen erregen den Konus vertikal, so dass die Polarisation für jeden Typ der Zuleitung gleich sein wird.
  • Die Abstrahlung kann auf zwei Arten auftreten. Eine ist Abstrahlung aus der Antenne durch die Luft in einer gegebenen Abdeckungsrichtung. Eine weitere ist die Abstrahlung, die durch das dielektrische Material der PCB selbst läuft und schließlich durch die Luft abstrahlt. Folglich ist die Wahl des dielektrischen Materials, das gewählt ist, zum Reduzieren unerwünschter Abstrahlung durch das Dielektrikum wichtig. Ein Dielektrikum mit sehr geringem Verlust, mit einer niedrigeren Dielektrizitätskonstanten, ist wünschenswert, um eine solche Abstrahlung zu reduzieren. Eine zusätzliche Art, diese Typ unerwünschter Abstrahlung zu bekämpfen, ist es, Löcher in das dielektrische Material nahe dem Via zu bohren, was dazu neigt, diese unerwünschte Abstrahlung zu reduzieren, wenn nicht sogar zu entfernen. Ein Beispiel dafür ist in 188 gemäß einigen Aspekten zu sehen. In dem dargestellten Aspekt sind Löcher 18807 in die PCB 18801 neben der Via-Antenne 18803 gebohrt. Die Löcher werden funktionieren, um die unerwünschte Abstrahlung zu reduzieren und ihren Effekt auf die Gruppenantennenabstrahlung zu reduzieren, weil die Löcher verhindern, dass eine kontinuierliche Ebene vorhanden ist, auf der diese Strahlung, manchmal als Oberflächenwellen bezeichnet, verlaufen kann. Mit anderen Worten erzeugen die Löcher effektiv eine diskontinuierliche PCB, so dass Oberflächenwellen, wenn sie erzeugt werden, dazu neigen, abzuebben und die Antennenleistung nicht zu beeinflussen.
  • 179A ist eine perspektivische Ansicht einer Back-to-Back-Via, die als eine Dipol-Via-Antenne konfiguriert ist, gemäß einigen Aspekten. Die Dipol-Via-Antenne 17900 weist die Back-to-Back-Vias 17903A, 17903B auf und ist gemäß einigen Aspekten in 1,6 mm dickem Flammhemmer 4- (FR4-) Substrat konfiguriert. Die Höhe eines einzelnen konischen Vias ist 0,7 mm, die Durchmesser der Oberseite und der Unterseite sind 4 mm bzw. 0,25 mm, und der Spalt zwischen den Unterseiten des Dipols ist 0,2 mm, gemäß einigen Aspekten. 179B ist eine perspektivische Ansicht der Back-to-Back-Via von 179A, die als eine Dipol-Via-Antenne konfiguriert ist, die PCB-Laminatschichten darstellt, gemäß einigen Aspekten. Die Laminatschichten der PCB 17901 sind in Seitenansicht dargestellt, wobei die Back-to-Back-Vias bei 17903A, 17903A' dargestellt sind, gemäß einigen Aspekten. Das Speisen ist durch eine Speiseleitung dargestellt, wie vorstehend beschrieben, die zwischen den beiden Vias 17903A, 17903B platziert ist, gemäß einigen Aspekten. Die Speiseleitung kann um den Zylinder bei 17904 platziert sein, wobei das Speisen + bzw. - sein kann, in einigen Aspekten. Die Abmessungen der Konstruktion sind die Simulation erhalten, um die für den Anwendungsfall gewünschte Leistung zu erhalten.
  • 180 ist ein Diagramm der Rückflussdämpfung für die Dipol-Via-Antennenkonfigurationen der 179A und 179B gemäß einigen Aspekten. Die Dipol-Via-Antenne ist mit einer großen Bandbreite von 27,5 bis 30,5 GHz dargestellt. Die vier Datenpunkte in Dreiecken stellen eine Angabe für simulierte Ergebnisse bereit, die in Tabelle 7 für den diskutierten Aspekt dargelegt sind. Tabelle 7
    -9,05 dB bei 27,5 GHz
    -12,7 dB bei 28 GHz
    -24,4 dB bei 29 GHz
    -16,3 dB bei 29,5 GHz
  • 181A ist ein simuliertes komplanares Fernfeld-Strahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 27,5 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten. Die Größe der Hauptstrahlungskeule ist 2,08 dB bei null Grad mit einer Winkelstrahlweite (3 dB) von 55,1 Grad und wobei der Seitenstrahlungskeulenpegel als -12,7 dB für den diskutierten Aspekt simuliert ist. Die Konstruktion stellt einen guten Längsstrahlungs-Gewinn dar und stellt das Muster dar, das die Abdeckung in zwei entgegengesetzten Richtungen bereitstellt.
  • 181B ist ein simuliertes komplanares Fernfeld-Strahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 28 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten. Die Größe der Hauptstrahlungskeule ist 2,38 dB bei null Grad mit einer Winkelstrahlweite (3 dB) von 54,9 Grad, wobei der Seitenstrahlungskeulenpegel als -12,2 dB simuliert ist. Die Konstruktion stellt wieder einen guten Längsstrahlungs-Gewinn dar und stellt das Muster dar, das die Abdeckung in zwei entgegengesetzten Richtungen bereitstellt.
  • 181C ist ein simuliertes komplanares Fernfeld-Strahlungsmuster für die Dipol-Via-Antennenkonfiguration der 179A und 179B an einer Frequenz von 29,5 GHz unter Verwendung der Ludwig-Definition gemäß einigen Aspekten. Die Größe der Hauptstrahlungskeule ist 2,03 dB bei null Grad mit einer Winkelstrahlweite (3 dB) von 54,9 Grad, wobei der Seitenstrahlungskeulenpegel als -10,0 dB simuliert ist. Die Konstruktion stellt wieder einen guten Längsstrahlungs-Gewinn dar und stellt dar, dass das Muster die Abdeckung in zwei entgegengesetzten Richtungen bereitstellt.
  • 182 ist eine Zweielemente-Via-Antennengruppen-Konstruktion für den Betrieb an 28 GHz für 5G-Technologie gemäß einigen Aspekten. Die Zweielemente-Via-Antennengruppen-Konstruktion ist für 28 GHz für 5G-Technologie. Die Via-Antenne ist konstruiert, um das 5G-Millimeterwellenfrequenzband von 27,5 GHz bis 29,5 GHz abzudecken. Der obere Durchmesser des Konus ist 3,6 mm, und der untere Durchmesser des Konus ist 0,4 mm. Die Höhe des Konus ist 0,6 mm. Der Konus ist auf einer 0,8 mm dicken FR4-PCB konstruiert, die eine Dielektrizitätskonstante von 4,4 aufweist. Die Antennen sind horizontal platziert, was der Speisung entspricht, um Längsstrahlungs-Abstrahlung zu erhalten, wobei der Abstand zwischen den Mitten der Vias 8,80 mm ist.
  • 183 ist ein simuliertes Diagramm von Antennen-Rückflussdämpfung für die Zweielemente-Via-Antennengruppen-Konstruktion von 182 gemäß einigen Aspekten. Die Simulationsergebnisse enthalten die Rückflussdämpfung beider Antennen bei 18310 und 18315 und die Isolation zwischen den Antennen bei 18320. Die kleinste Rückflussdämpfung ist bei 29,5 Grad. Die Isolation am Punkt 5 ist -20,2 dB bei 27,7 GHz, und die Isolation bei Punkt 7 ist -26,3 dB bei 31,8 GHz.
  • 184A ist ein simuliertes Strahlungsmuster der Zweielemente-Via-Gruppe von 182, die an einer Frequenz von 27,5 GHz arbeitet, gemäß einigen Aspekten. Die Figur zeigt das Antennengruppenstrahlungsmuster 18401A bei 27,5.
  • 184B ist ein simuliertes Strahlungsmuster der Zweielemente-Via-Gruppe von 182, die an einer Frequenz von 29,5 GHz arbeitet, gemäß einigen Aspekten. Die Figur zeigt das Antennengruppenstrahlungsmuster 18401B bei 29,5 GHz.
  • 185 ist eine perspektivische Ansicht einer Via-Antenne, die in einer PCB konstruiert ist, gemäß einigen Aspekten. Die Figur zeigt, dass die PCB sechs dielektrische Schichten und eine Dicke von 0,8 mm aufweist. Die elektrische Permittivität des dielektrischen Materials ist 3,3, und die Dicke der vierten und der fünften Schicht ist 0,2 mm, und die anderen Schichten sind 0,1 mm. Die Via-Antenne ist durch die dritte Schicht zu der vierten Schicht der PCB konstruiert. Die Konstruktionsabmessungen und Form des Vias sind durch Simulation erhalten, um das WiGig-Frequenzband abzudecken, das 57 GHz bis 66 GHz abdeckt.
  • 186A ist eine Unteransicht der Masseebene der Via-Antenne von 185 gemäß einigen Aspekten. Die Antenne wird an dem Rand des kleineren Durchmessers des Konus gespeist.
  • 186B ist eine Seitenansicht der Via-Antenne von 185 gemäß einigen Aspekten. Die Abmessungen sind mit den Abmessungen von 185 konsistent.
  • 186C ist eine perspektivische Ansicht der Via-Antenne von 185 gemäß einigen Aspekten. Die Abmessungen sind mit den Abmessungen von 185 und 186B konsistent.
  • 187 ist ein simuliertes Diagramm der Via-Antennen-Rückflussdämpfung für die Via-Antenne von 185 gemäß einigen Aspekten. Bei Punkt 1 ist die Rückflussdämpfung -6,4 dB bei 57,0 GHz. Bei Punkt 2 ist die Rückflussdämpfung -8,7 dB bei 66,2 GHz.
  • Das Verhältnis der unerwünschten Polarisationsabstrahlung zu der gewünschten Polarisationsabstrahlung einer Antenne oder einer Antennengruppe ist als Kreuzpolarisation bekannt. Die Kreuzpolarisation beeinflusst die Antennenabstrahlungseffizienz und Isolation zwischen unterschiedlich polarisierten Antennenelementen einer Antennengruppe. Typischerweise zeigen 3D-Antennenelemente über einer zusammenhängenden Masseebene ein gewisses Niveau unerwünschter Kreuzpolarisation und unerwünschter Kopplung mit benachbarten Elementen, die die Effizienz einer eigenständigen Antenne und die Antennengruppeneffizienz herabsetzen. Wenigstens eine Veröffentlichung beschreibt Antennenkreuzpolarisationsreduktion unter Verwendung einer Defektmassestruktur (DGS) für planare Antennengeometrien. Ein Review-Dokument mit dem Titel „Printed Antenna Designs Using Defected Ground Structures - FERMAT www.e-fermat.org/files/articles/1534d5380e9790.pdf‟ zeigt verschiedene DGS-Geometrien unter einem Mikrostreifen-Patchantennenelement zum Reduzieren von Kreuzpolarisation. Einige der DGS-Strukturen, die in den folgenden Figuren gezeigt sind, sind mit 3D-Konusantennen simuliert. Diese Strukturen zeigen jedoch keine signifikante Reduktion der Kreuzpolarisation. Solche Strukturen können für planare Antennen geeignet sein, jedoch nicht für Antennenstrukturen vom 3D-Monopol/Konus-Typ.
  • Es wurde gefunden, dass das Modifizieren der Masseebene unter der 3D-Antenne, die senkrecht zu Masse sein kann, die Kreuzpolarisation und die Kopplung von Element zu Element für Gruppen reduziert und somit die Effizienz einer eigenständigen Antenne und die Antennengruppeneffizienz gemäß einigen Aspekten verbessert. Die 189A bis 190C stellen Komponenten einer modifizierten Massestruktur für eine 3D-Konusantenne gemäß einigen Aspekten dar.
  • 189A stellt eine Draufsicht 18900 eines konischen 3D-Antennenelements 18901 auf der PCB 18903 dar, wobei das Antennenelement auf die Oberseite der PCB gelötet sein kann. Das Antennenelement wird durch die Speiseleitung 18905, die in einigen Aspekten oben auf der PCB 18903 sein würde, gespeist. 18902 stellt bei 18902 die Masseebene 18907 für die konische 3D-Antenne von 189A, wobei die Masseebene innerhalb der PCB ist, gemäß einigen Aspekten dar.
  • 189B stellt eine Masseebene unter einer 3D-Antenne gemäß einigen Aspekten dar. Die Masseebene 18907 kann Kupfer sein.
  • In 189C ist eine Masseebenenmodifikation, wie z. B. die modifizierte Masseebene 18908, auf der PCB-Schicht 18909 gemäß einigen Aspekten gezeigt, die selektive Schlitzbildung und dadurch Modifizieren der zusammenhängenden Masseebene wie bei 18911 zu sehen ist, unter der 3D-Antenne 18901 aufweist, was einen in einigen Aspekten elektromagnetischen Effekt induziert, der die Kreuzpolarisation reduziert und den gewünschten Abstrahlungsgewinn verbessert. Die Bereiche 18910, 18912 sind Bereiche ohne Metall.
  • Wenn sie in einer Gruppe konfiguriert sind, unterbrechen solche Aspekte die zusammenhängende Masseebene und werden außerdem die Kopplung von Element zu Element in der Gruppe reduzieren und eine oder mehrere zugehörige Oberflächenwellen reduzieren. Solche Aspekte werden die 5G- und WiGig-Antennengruppenstrahlungseffizienz verbessern und werden für 5G-, WiGig- und andere Millimeterwellenmonopole nützlich sein, wie für Antennentypen, in denen die Antennen konstruiert sind, um auf Art einer PCB zu arbeiten. Es wurde gefunden, dass einige Aspekte, die solche Modifikationen einsetzen, eine signifikante Verbesserung der Kreuzpolarisation zeigen.
  • Kreuzpolarisationsstrahlung wurde in einigen Aspekten um -7 dB reduziert, und Co-Polarisationsstrahlung wurde um 1 dB in diesen Aspekten verbessert, was die hier beschriebenen Verbesserungen für Polarisations-Diversity in Mehr-Eingabe-Mehr-Ausgabe- (MIMO-) Systemen ideal macht.
  • 189D stellt 3D-Konusantennen wie z. B. 18901 mit verschiedenen mit Defekten versehenen Masseebenen wie z. B. 18911' dar. Wenigstens einige der Implementierungen in dieser Figur wurden simuliert. Die Ergebnisse der Simulation zeigten, dass diese mit Defekten versehenen Massestrukturen keine signifikante Unterdrückung der Kreuzpolarisation zeigen, wie die Konfiguration der 189A bis 189C. Mit Defekten versehenen Massestrukturen scheinen nicht geeignet, die Kreuzpolarisation für eine Antenne vom 3D-Monopol/Konus-Typ herabzusetzen.
  • Die hier beschriebenen Verbesserungen werden in einigen Aspekten die Leistung und das Verhalten von 3D-Monopolantennenelementen, die über einer Masseebene in einer PCB angeordnet sind, verbessern. Das ist insbesondere auf Millimeterwellen- (mmWellen-) Anwendungen anwendbar, wo die Antennengruppen typischerweise verwendet sind. In solchen Antennengruppen ist jede Antenne konstruiert, um in einer gewünschten Polarisation ideal abzustrahlen. In der Realität ist jedoch, zusätzlich zu der gewünschten abgestrahlten Polarisation, eine Streuung in die Polarisation, die im Wesentlichen senkrecht zu der gewünschten Polarisation ist, vorhanden. In einigen Aspekten wird die offenbarte Masseebenenmodifikation unter dem Antennenstrahlerelement die Streuung zu der unerwünschten Polarisation verringern und die Abstrahlung in der gewünschten Polarisation verbessern und somit die Antenneneffizienz verbessern und sie zur Verwendung als Teil einer Antennengruppe besser geeignet zu machen.
  • Die Verwendung von Antennen vom Monopoltyp für 5G- und WiGig-Anwendungen in Vorrichtungen mit kleinem Formfaktor weist in einigen Aspekten einen physikalischen Vorteil gegenüber Mikrostreifen-Patchantennen auf. Monopolantennen zeigen jedoch eine höhere Kreuzpolarisation, was die Antennenabstrahlungseffizienz und die Isolation zwischen vertikaler und horizontaler Polarisation beeinflusst. Um das Problem der Kreuzpolarisation zu lösen, oder in einigen Aspekten das Problem der Kreuzpolarisation zu verbessern, kann die Antennenmasse unterhalb der Monopolantenne selektiv modifiziert werden, um die Strahlungsstreuung zu der unerwünschte Polarisation zu reduzieren, wie vorstehend diskutiert ist, für einigen Aspekte.
  • Die hier beschriebenen Antennenstrukturen können in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die Antennenstrukturen nicht darauf eingeschränkt sind. Die 190A bis 190C stellen ein Beispiel einer konusförmigen Monopolantennenstruktur mit unterschiedlichen Typen von Masseebenen gemäß einigen Aspekten dar. In 190A zeigt 19000 die Monopol-3D-Antenne 19001 auf einer großen Masseebene 19007. In 190B ist 19002 eine Darstellung einer konischen 3-D-Monopolantenne 19001 mit einer begrenzten quadratischen Masseebene 19009. Obwohl eine quadratische Masseebene dargestellt ist, können auch andere Formen, wie z. B. rechteckig oder rund, verwendet werden. Bei 19004 zeigt 190C eine diagonal geschlitzte begrenzte Masseebene 19009 unter der Antenne 19001 mit konischer Form, wobei die diagonalen Schlitze bei 19011 zu sehen sind, gemäß einigen Aspekten.
  • In den 190A bis 190B ist die Antenne 19001 im Wesentlichen vertikal, und die Masseebene ist horizontal, die beiden sind z. B. senkrecht zueinander. Die Antenne 19001 mit unterschiedlichen Masseebenen wie in den 190A bis 190C dargestellt sind unter Verwendung von EM-Simulations-Software, Computer Simulation Technology™ (CST), simuliert worden, um die Ergebnisse der offenbarten Aspekte darzustellen. Diese Ergebnisse sind in den 191A und 191B dargestellt. Die 191A und 191B stellen einen Strahlungsmustervergleich zwischen den Antennenstrukturen der 190A bis 190C gemäß einigen Aspekten dar.
  • 191A stellt einen Kreuzpolarisationsvergleich des Falls mit der großen Masseebene von 190A, des Falls mit der quadratischen Masseebene von 190B und des Falls mit der geschlitzten Masseebene von 191C dar. 191A stellt dar, dass die große Masseebene 19003 und die begrenzte quadratische Masseebene 19009 ähnliche Kreuzpolarisationsniveaus aufweisen, mit Spitzen bei ungefähr -3 dB, gemäß einigen Aspekten. Die modifizierte Masseebene weist einen signifikant niedrigeren Kreuzpolarisationspegel mit sehr niedrigen Spitzen von ungefähr -10 dB für dieselbe konische Antenne 19001 auf, gemäß einigen Aspekten.
  • 191B stellt diesen Antennen-Co-Polarisationsstrahlungsgewinn für die drei unterschiedlichen Massestrukturen dar. Es ist zu sehen, dass die modifizierte Masseebene 19011 von 190C tatsächlich einen höheren Gewinn aufweist als mit der großen Masseebene 19003 von 190A oder der quadratischen Masseebene von 190B in der gewünschten Richtung gemäß einigen Aspekten. Somit können modifizierte Masseebenen wie z. B. die Masseebenen 19011 mit diagonalem Schlitz sehr nützlich sein können, wenn Kreuzpolarisationsreduktion gewünscht ist. Die 192A und 192B sind genauere Darstellungen einiger der Antennenstrukturen der 190A bis 190C gemäß einigen Aspekten. Eine konisch geformte 3-D-Antenne ist bei 19201 in perspektivischer Ansicht in 192A zu sehen. Der obere (oder größte) Durchmesser 19201 und der untere (oder kleinste) Durchmesser 19203 sind dargestellt. Die Antennenstruktur ist konstruiert, um bei 28 GHz für eine 5G-Anwendung zu strahlen. Die Abmessungen des Konus sind in 192A gezeigt, und die Konusantenne ist mit den drei unterschiedlichen Masseebenen simuliert. Die Masseebenen sind in den 190A bis 190C gezeigt. 192B zeigt die Abmessungen der diagonal geschlitzten begrenzten Masseebene 19011, wobei der untere Durchmesser der konischen Antenne als gepunktete Linie bei 19203 gezeigt ist, um die Platzierung des Konus auf der andere Seite der PCB anzugeben, gemäß einigen Aspekten. Die Masseebene 19211 ist diagonal geschlitzt, um den Stromlaufpfad unter der Antenne zu unterbrechen, gemäß einigen Aspekten.
  • Die 193A und 193B stellen eine Ober- und eine Unteransicht einer 3D-Antennenstruktur gemäß einigen Aspekten dar. Ein 3D-Antennenelement ist bei 19301 von 193A zu sehen, und die diagonal geschlitzte Masseebene 19311 ist in 193B zu sehen, wobei die Bereiche 19310, 19312 nicht metallisiert sind.
  • 194 ist ein grafischer Vergleich zwischen der Rückflussdämpfung der Antenne der 192A und 192B gemäß einigen Aspekten. In der Figur ist der Fall mit der großen Masseebene bei 19403, der Fall mit begrenzter quadratischer Masseebene ist bei 19407, und der Fall mit der modifizierten, diagonal geschlitzten Masseebene ist bei 19411, wobei die Bezugszeichen den Bezugszeichen in den 190A bis 190C entsprechen, in einigen Aspekten. Die jeweilige Rückflussdämpfungsfiguren bei 28 GHz von -6,5 dB für das Diagramm 19403, -10,0 dB für das Diagramm 19407 und -18,0 dB für 19411 stellen dar, dass die modifizierte, oder in dieser Konfiguration diagonal geschlitzte, Masseebene 19411 eine signifikant bessere Rückflussdämpfung aufweist als der Falls mit der großen Masseebene 19493 oder der Fall mit der quadratischen Masseebene 19407, gemäß einigen Aspekten.
  • Die 197A bis 197C stellen die E-Feldverteilung für die Massestrukturen von 190A bis 190C gemäß einigen Aspekten dar. In 195A ist die konische Antenne bei 19501 zu sehen. Die E-Feldverteilung für diesen Fall ist bei 19502, 19504 und 19508 dargestellt. In 195B ist die konische Antenne bei 19501' zu sehen, und die E-Feldverteilung für diesen Fall ist bei 19502', 19504' und 19508' dargestellt. In 195C ist die konische Antenne wieder bei 19501" zu sehen, und die E-Feldverteilung ist bei 19502", 19504" und 19508" dargestellt. Es ist aus 195C sehr deutlich, dass die Antenne mit diagonal geschlitzter begrenzter Masseebene eine andere E-Feldverteilung aufweist gegenüber derjenigen der anderen zwei Masseebenen, wobei die E-Feldänderung mit einer modifizierten diagonal geschlitzten Masseebene im Vergleich zu dem E-Feld der 195A und 195B die Kreuzpolarisation gemäß einigen Aspekten signifikant reduziert.
  • Die 196A bis 196C stellen Fünfelemente-Konusantennengruppen ohne und mit einer modifizierten Masseebene gemäß einigen Aspekten dar. 196A zeigt eine Konusantennengruppe 19600 mit einer als 19601 benannten Antenne und mit einem Reflektor 19602. 196B und 196C zeigen die Masseebene mit bzw. ohne Masseebenenmodifikation. Die 197A und 197B stellen einen Kreuzpolarisations-Strahlungsmustervergleich mit und ohne eine modifizierte Masseebene gemäß einigen Aspekten dar. 197A zeigt, dass der Kreuzpolarisationsgewinn um ungefähr 7 dB reduziert ist, während 197B zeigt, dass der Co-Polarisationsgewinn um 2,5 dB erhöht ist mit der modifizierten Masseebene, wobei der Vergleich bei 28,25 GHz ausgeführt ist. Die simulierten Ergebnisse zeigen den Nutzen einer modifizierten Masseebene unterhalb einer 3-D-Antenne.
  • Die 198A und 198B stellen den Effekt einer Masseebene auf die Antennenabstrahlung dar, wobei nur zwei der fünf Antennenelemente der Gruppe in jeder der beiden Figuren gezeigt sind, gemäß einigen Aspekten. 198A zeigt die Richtung zu dem Rand der Antennengruppe von 197B für die Masseebene ohne Modifikation. Wobei gemäß einigen Aspekten die maximale Abstrahlung im rechten Winkel zu dem Konus ist. 198B zeigt, dass die Gruppe mit der modifizierten Masseebene die Abstrahlung auf beiden Seiten des Rands ausgeglichen aufweist, was eine sehr symmetrische Querstrahler-Abstrahlung angibt, gemäß einigen Aspekten. Mit anderen Worten zeigt in 198B das Strahlungsmuster, dass die Abstrahlung nahezu perfekt in einem rechten Winkel zu der konischen Gruppe ist, im Vergleich zu einer Masseebene ohne eine geschlitzte Masseebene, wie in 198A zu sehen ist.
  • 199 stellt einen Vergleich der Rückflussdämpfung und Isolationsvergleich für eine Antennengruppe mit einer modifizierten Masseebene gemäß einigen Aspekten dar. 200 stellt einen Vergleich der Rückflussdämpfung und Isolation zwischen Antennenelementen für eine Antennengruppe mit einer nicht modifizierten Masseebene gemäß einigen Aspekten dar. Die zwei Figuren stellen eine Verbesserung der Rückflussdämpfung und der Isolation für die modifizierte Masseebene dar. Eine höhere Isolation ist für die Antennengruppenkonstruktion wichtig und somit ein weiterer Vorteil einer modifizierten Masseebene unterhalb einer 3-D-Antenne.
  • Die 201A bis 201C stellen eine PCB mit geschlitzten Masseebenen, die mit den 3D-Antennen zum Prüfen verwendet wurde, gemäß einigen Aspekten dar. 201A zeigt bei 20100 eine PCB 20101 mit einer quer geschlitzten Masseebene 20111. Die Oberseite der PCB weist Antennenzuleitungsgruppen und Montagekontaktstellen (nicht gezeigt) auf, während die Unterseite der PCB diagonal geschlitzte begrenzte Masseebenen aufweist. Die Unterseite der konischen Antennenelemente, von denen eines bei 20103 benannt ist, ist dargestellt. Die konischen Antennenelemente werden durch Speiseleitungen, von denen eine als 20107 benannt ist, gespeist.
  • 201B stellt diesen Aufbau mit gezeigten Speiseleitungen, von denen eine als 20107 benannt ist, dar, wobei die Schlitze nicht dargestellt sind. 201C stellt die Oberseite der PCB mit einem konischen 3D-Antennenelement der bei 20100 dargestellten Gruppe mit der dargestellten Speiseleitung 20107 dar. Die elliptischen Elemente, von denen eines als 20109 benannt ist, sind Verbindungselemente zum Verbinden der verschiedenen Elemente mit der Prüfeinrichtung für diesen Aspekt. FR-4-Material mit einer Dielektrizitätskonstanten von 3,5 und 0,15 mm Dicke ist zwischen den Antennenmontagekontaktstellen, der Antennenspeiseleitung und Masse verwendet. Die Antennenmontage-PADs und die Antennenspeiseleitung können auf derselben Ebene der PCB herstellt sein, während die geschlitzte Masseebene auf der anderen Seite des FR4-Substrats hergestellt sein kann, gemäß einigen Aspekten. Einige wenige dielektrische Schichten mehr können hinzugefügt sein, um die PCB zu verstärken, falls gewünscht.
  • Die Ergebnisse der Prüfung gaben an, dass die Rückflussdämpfung mit einer nicht modifizierten Masse mit 15 dB unzulässig hoch war, während die Rückflussdämpfung für die modifizierte (hier geschlitzte) Masseebene bei zulässigeren (ungefähr) -5 dB war mit einer annehmbar großen Bandbreite.
  • Die Verwendung mobiler Daten wächst weiterhin exponentiell mit einer Geschwindigkeit, die sich Jahr für Jahr nahezu verdual, und es wird erwartet, dass sich dieser Trend fortsetzt. Obwohl kürzliche Fortschritte der zellularen Technologie Verbesserungen in der Leistung und Kapazität mobiler Netze gebracht haben, wird weithin angenommen, dass solche Fortschritte immer noch nicht zum Aufnehmen des erwarteten Bedarfs an Netzdiensten für mobile Daten ausreichen.
  • Eine Herangehensweise zum Steigern der Kapazität mobiler Netze ist das Nutzen von Funkbändern höherer Frequenz. Millimeterwellenkommunikation verwendet beispielsweise Frequenzen im Bereich von 30-300 GHz, um nach heutigen Standards enorme Bandbreite bereitzustellen, beispielsweise in der Größenordnung von 20 GB/s. Die Ausbreitung von Millimeterwellenfunksignalen unterscheidet sich erheblich von vertrauteren Funksignalen in dem 2-5 GHz-Bereich. Zum einen ist die Reichweite vergleichsweise aufgrund der Dämpfung in der Atmosphäre erheblich eingeschränkt. Zusätzlich erfahren Millimeterwellensignale Blockierung, Reflexion, Beugung und Streuung aufgrund von Wänden, Gebäuden und anderen Objekten in weit höherem Ausmaß als Signale an niedrigerer Frequenz. Diese physikalischen Herausforderungen bieten auch einige nützliche Gelegenheiten für Konstrukteure von Kommunikationssystemen. Beispielsweise kann die begrenzte Reichweite von Millimeterwellenübertragungen sie für Betriebsmittelelement- (Zeitschlitz und Frequenz) Wiederverwendung bei Einsatz mit hoher Dichte in Häuserblöcken, Bürogebäuden, Schulen, Stadien und dergleichen, wo sehr viele Benutzer-Equipmentvorrichtungen vorhanden sein können, geeignet machen. Zusätzlich stellt das Potential zur präzisen Richtungssteuerung die Gelegenheit bereit, extensiven Gebrauch von Mehrbenutzer-Mehr-Eingabe/Mehr-Ausgabe- (MU-MIMO-) Techniken zu machen. Es werden Lösungen benötigt, um praktischen Gebrauch von diesen Gelegenheiten in Drahtlosnetzen mit hoher Richtwirkung zu machen.
  • Millimeterwellen- oder ähnliche Hochfrequenz-Kommunikationssysteme setzen typischerweise gerichtetes Strahlformen an der Basisstation und dem Benutzer-Equipment ein, um ein geeignetes Signal-Rausch-Verhältnis (SNR) zum Streckenaufbau zu erreichen und die Kommunikationskanalblockierungsprobleme zu überwinden, die 5G/neuer Funk- (NR-) Kommunikation gemeinsam sind. Es wird erwartet, dass 5G-Kommunikationssysteme den Betrieb in wenigstens einem Millimeterwellenband mit nicht weniger als acht aggregierten Komponententrägern (8-CA) unterstützen wird. Das Implementieren einer 5G-Empfängerschaltung, die diesen Typ der Kommunikation handhaben kann, kann aufgrund der Einschränkungen, die Multiplexproblemen des lokalen Oszillators (LO) an den Mischeranschlüssen zugeordnet sind, herausfordernd sein.
  • Wie hier verwendet gibt der Begriff „Umschaltbetriebsart“ eine Empfängerbetriebsart an, in der ein eingehendes RF-Signal verarbeitet und zum Erzeugen einer einzelnen Basisbandausgabe verwendet werden kann. In diesem Zusammenhang kann die Umschaltbetriebsart in Fällen verwendet werden, in der das RF-Eingabesignal zusammenhängende trägeraggregierte Signale aufweist, die zu einem Basisbandsignal mit einer Bandbreite führen, die kleiner ist als eine Bandbreite eines Kanalfilters vor der ADC-Verarbeitung.
  • Wie hier verwendet gibt der Begriff „Aufteilungsbetriebsart“ eine Empfängerbetriebsart an, in der ein eingehendes RF-Signal aufgeteilt und verarbeitet werden kann, um zwei Basisbandausgabesignale zu erzeugen. In diesem Zusammenhang kann die Aufteilungsbetriebsart in Fällen verwendet werden, in der das RF-Eingabesignal nicht zusammenhängende trägeraggregierte Signale oder zusammenhängende trägeraggregierte Signale aufweist, die zu einem Basisbandsignal mit einer Bandbreite führen, die größer ist als eine Bandbreite eines Kanalfilters vor der ADC-Verarbeitung.
  • Die hier beschriebene skalierbare Empfängerarchitektur kann in die RF-Schaltung 325 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl die skalierbare Empfängerarchitektur nicht darauf beschränkt ist. 202 stellt ein Blockdiagramm eines Empfängers dar, der in Umschalt- und Aufteilungsbetriebsart arbeitet. Bezugnehmend auf 202 sind die Empfänger 20202 und 20230 dargestellt, die in einer Umschaltbetriebsart bzw. einer Aufteilungsbetriebsart arbeiten. Der Empfänger 20202 kann rauscharme Verstärker (LNAs) 20218, Mischer 20214, Puffer 20206 und 20212, Teiler 20208 und Multiplexer 20210 und 20222 aufweisen.
  • Während des Betriebs des Empfängers 20202 wird ein differentielles LO-Signal 20204 anfangs durch die Puffer 20206 gepuffert und wird dann zu den Teilern 20208 und Multiplexern 20210 kommuniziert. Die LO-Signale an den Ausgängen der Multiplexer 20210 werden durch die Puffer 20212 gepuffert und dann zu den Abwärtsumsetzungsmischern 20214 kommuniziert. Das eingehende RF-Signal 20220 wird aufgeteilt, durch die LNAs 20218 verstärkt und wird dann durch die Mischer 20214 unter Verwendung der differentiellen LO-Signale aus der Ausgabe der Puffer 20212 abwärtsumgesetzt. Die abwärtsumgesetzten Ausgaben der Mischer 20124 werden über die Multiplexer 20222 miteinander kombiniert und als einzelnes Basisband-Ausgabesignal 20224 (BB1) kommuniziert.
  • Der Empfänger 20230 kann LNAs 20244, Mischer 20240, Puffer 20232 und 20238, Teiler 20234 und Multiplexer 20236 und 20248 aufweisen. Während des Betriebs des Empfängers 20230 wird ein differentielles LO-Signal 20205 anfangs durch die Puffer 20232 gepuffert und wird dann zu den Teilern 20234 und Multiplexern 20236 kommuniziert. Die LO-Signale an den Ausgängen der Multiplexer 20236 werden durch die Puffer 20238 gepuffert und dann zu den Abwärtsumsetzungsmischern 20240 kommuniziert. Das eingehende RF-Signal 20246 wird aufgeteilt, durch die LNAs 20244 verstärkt und wird dann durch die Mischer 20240 unter Verwendung der differentiellen LO-Signale aus der Ausgabe der Puffer 20238 abwärtsumgesetzt. Die abwärtsumgesetzten Ausgaben der Mischer 20214 werden separat über die Multiplexer 20248 ausgegeben und als separate Basisbandausgabesignale 20250 (BB1 und BB2) kommuniziert.
  • Die Empfänger 20202 und 20230 können mit Nachteilen verbunden sein, wenn sie an Frequenzen oberhalb von 6 GHz betrieben werden. Insbesondere können die Schalter 20210 und 20236 in den LO-Verteilungsschaltungen Herausforderungen erzeugen in der LO-Ansteuerung, die benötigt wird, um die Mischer 20214 bzw. 20240 anzusteuern, insbesondere wenn sie an mmWellen-Frequenzen betrieben werden. Insbesondere kann, wenn ein Mischer mit einer LO-Wellenform mit einer relativen Einschaltdauer von 25 % an mmWellen-Frequenzen angesteuert werden muss, die Stromaufnahme der LO-Verteilung problematisch werden. Die Stromaufnahme kann höher werden, wenn es notwendig wird, in der Aufteilungsbetriebsart zu arbeiten, um Fälle mit Trägeraggregation (CA) zu handhaben.
  • In einigen Aspekten kann die LO-Verteilung in der Empfängerarchitektur von 202 durch Entfernen der Multiplexer 20210 und 20236 in dem LO-Verteilungsnetz vereinfacht werden. Darüber hinaus können durch Entfernen der Multiplexer 20210 und 20236 in dem LO-Verteilungsnetz die Empfänger 20202 und 20230 durch Entfernen der Multiplexer 20222 und 20248 an dem Ausgang des Abwärtsumsetzungsmischers weiter vereinfacht werden, was zum Reduzieren der Last auf jedem der Mischer führt. Ein Diagramm hoher Ebene einer aktualisierten Empfängerarchitektur in Übereinstimmung mit einigen Aspekten und eine zugeordnete Wahrheitstabelle sind in 203 gezeigt.
  • 203 stellt ein Blockdiagramm eines Empfängers 20300, der segmentierte rauscharme Verstärker (LNAs) und segmentierte Mischer verwendet, gemäß einigen Aspekten dar. Der Empfänger 20300 weist zwei separate RF-Verarbeitungspfade 20306 und 20308 auf. Jeder Verarbeitungspfad kann einen segmentierten LNA und einen segmentierten Mischer aufweisen. Beispielsweise weist der RF-Verarbeitungspfad 20306 einen segmentierten LNA, der aus dem LNA 1-A 20312 und LNA 1-B 20314 besteht, und einen segmentierten Mischer, der aus den Mischern 20316 und 20318 besteht, auf. Ähnlich weist der RF-Verarbeitungspfad 20308 einen segmentierten LNA, der aus dem LNA 2-A 20322 und LNA 2-B 20324 besteht, und einen segmentierten Mischer, der aus den Mischern 20326 und 20328 besteht, auf. Die Abwärtsumsetzungsmischer 20316 und 20318 können die LO-Signale 20310 verwenden, und die Abwärtsumsetzungsmischer 20326 und 20328 können die LO-Signale 20311 verwenden. Die LO-Signale 20310 und 21311 können differentielle LO-Signale sein (z. B. können die LO-Signale 20310 und 21311 eine oder mehrere phasengleiche (I) und Quadratur- (Q-) LO-Signalkomponenten aufweisen).
  • Wie in 203 zu sehen ist, verwendet der Empfänger 20300 eine segmentierte Implementierung eines LNA und eine Abwärtsumsetzungsmischerkaskade auf eine Weise, um den Empfang zusammenhängender und nicht zusammenhängender Trägeraggregations- (CA-) Übertragungen mit der gleichen Konstruktion zu ermöglichen. Der LNA und die Mischer sind in zwei gleiche Hälften in jedem RF-Verarbeitungspfad segmentiert, die aktiviert oder deaktiviert werden können (z. B. über ein Steuersignal, das durch eine Steuerschaltung erzeugt wird, wie in 204 zu sehen ist), abhängig von der Zusammensetzung des Abwärtsstreckensignals. Durch Auswählen, welche Segmente (20312, 20314, 20322, 20324) des LNA und welche Segmente (20316, 20318, 20326, 20328) des Mischers aktiviert sind, kann die offenbarte Lösung des Empfängers 20300 konfiguriert sein, nicht zusammenhängende und zusammenhängende Trägeraggregations-Abwärtsstreckensignale zu empfangen, während eine im Wesentlichen konstante Eingangsimpedanz mit Blick in den Empfängereingang, der das eingegebene RF-Signal 20304 empfängt, beibehalten wird. Im Vergleich zu den Empfängern 20202 und 20230 von 20302 kann die Konfiguration des Empfängers 20300 ausgeführt werden, ohne die LO-Eingaben 20310 und 20311 in die Mischer (20316/20318 und 20326/20328) zu multiplexen. Da das Multiplexen der LO-Eingaben in den Mischer für 5G-Anwendungen nicht notwendig ist, ist der beispielhafte Empfänger 20300 einfacher und effizienter im Vergleich zu den Empfängern in 20302.
  • Die Wahrheitstabelle 20302 stellt dar, welche LNAs basierend auf der Betriebsart (z. B. Umschaltbetriebsart oder Aufteilungsbetriebsart) des Empfängers 20300 gefeuert werden können. Beispielsweise kann der Empfänger 20300 die Umschaltbetriebsart verwenden, um zusammenhängende Trägeraggregationssignale (die zu einem Basisbandsignal mit einer Bandbreite, die kleiner ist als eine Bandbreite eines Kanalfilters vor der ADC-Verarbeitung, führen) zu verarbeiten. Während einer Umschaltbetriebsart kann das Eingabe-RF-Signal 20304 nur zu dem RF-Verarbeitungspfad 20306 unter Verwendung der LNAs 1-A und 1-B und der Mischer 20316 und 20318 kommuniziert werden, um ein erstes Basisbandausgabesignal 20320 zu erzeugen. Die LNAs 2-A und 2-B (und die Mischer 20326 und 20328) können inaktiv bleiben oder können abgeschaltet sein. Ähnlich kann während einer Umschaltbetriebsart das Eingabe-RF-Signal 20304 nur zu dem RF-Verarbeitungspfad 20308 unter Verwendung der LNAs 2-A und 2-B und der Mischer 20326 und 20328 kommuniziert werden, um ein zweites Basisbandausgabesignal 20330 zu erzeugen. Die LNAs 1-A und 1-B (und die Mischer 20316 und 20318) können inaktiv bleiben oder können abgeschaltet sein.
  • Der Empfänger 20300 kann eine Aufteilungsbetriebsart verwenden, um nicht zusammenhängende Trägeraggregationssignale (oder zusammenhängende Trägeraggregationssignale, die zu einem Basisbandsignal mit einer Bandbreite, die größer ist als eine Bandbreite eines Kanalfilters vor der ADC-Verarbeitung, führen) zu verarbeiten. Während einer Aufteilungsbetriebsart kann das Eingabe-RF-Signal 20304 aufgeteilt werden, so dass ein erster Signalabschnitt in dem RF-Verarbeitungspfad 20306 verarbeitet wird und ein zweiter Signalabschnitt in dem RF-Verarbeitungspfad 20308 verarbeitet wird. In einigen Aspekten kann der LNA 1-A aktiviert sein, während der LNA 1-B abgeschaltet ist, und der LNA 2-A kann aktiviert sein, während der LNA 2-B abgeschaltet ist. In einer weiteren beispielhaften Aufteilungsbetriebsart kann der LNA 1-A aktiviert sei, während der LNA 1-B abgeschaltet ist, und der LNA 2-B kann aktiviert sein, während der LNA 2-A abgeschaltet ist.
  • 204 stellt ein Blockdiagramm eines Empfängers, der segmentierte rauscharme Verstärker (LNAs) und segmentierte Mischer verwendet, die in Aufteilungsbetriebsart arbeiten, um ein zusammenhängendes Trägeraggregationssignal zu verarbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 204 weist der Empfänger 20400 zwei separate RF-Verarbeitungspfade 20402 und 20404 auf. Jeder Verarbeitungspfad kann einen segmentierten LNA und einen segmentierten Mischer aufweisen. Beispielsweise weist der RF-Verarbeitungspfad 20402 einen segmentierten LNA, der aus dem LNA 1-A 20406 und LNA 1-B 20408 besteht, und einen segmentierten Mischer, der aus den Mischern 20410 und 20412 besteht, auf. Ähnlich weist der RF-Verarbeitungspfad 20404 einen segmentierten LNA, der aus dem LNA 2-A 20418 und LNA 2-B 20416 besteht, und einen segmentierten Mischer, der aus den Mischern 20418 und 20420 besteht, auf. Die Abwärtsumsetzungsmischer 20410 und 20412 können die LO-Signale 20403 verwenden, und die Abwärtsumsetzungsmischer 20418 und 20420 können die LO-Signale 20405 verwenden. Die LO-Signale 20403 und 20405 können differentielle LO-Signale sein (z. B. können die LO-Signale 20403 und 20405 eine oder mehrere phasengleiche (I) und Quadratur- (Q-) LO-Signalkomponenten aufweisen).
  • In einer beispielhaften Operation in Aufteilungsbetriebsart kann der Empfänger 20400 ein RF-Eingabesignal 20401 empfangen. Das RF-Eingabesignal 20401 kann ein zusammenhängendes Trägeraggregationssignal 20403 sein. Wie in 204 zu sehen ist, kann das zusammenhängende Trägeraggregationssignal 20403 acht Komponententräger (CC1 - CC8, jeweils 100 MHz) mit einer gesamten Signalbandbreite von 800 MHz aufweisen. Während der Aufteilungsbetriebsart kann das zusammenhängende Trägeraggregationssignal 20403 aufgeteilt werden, so dass die Komponententräger CC1 - CC4 durch den ersten Verarbeitungspfad 20402 verarbeitet werden können und die Komponententräger CC5 - CC8 durch den zweiten RF-Verarbeitungspfad 20404 verarbeitet werden können. In diesem Fall wird die Aufteilungsbetriebsart verwendet, weil die aggregierte Signalbandbreite (800 MHz) die Bandbreite der Kanalfilter (20424 oder 20434) übersteigt.
  • Der erste RF-Verarbeitungspfad 20402 kann ein Basisbandsignal 20422 erzeugen, das durch das Kanalfilter 20424 gefiltert werden kann, was ein gefiltertes Basisbandsignal 20426 erzeugt. Das gefilterte Basisbandsignal 20426 kann durch den ADC 20428 digitalisiert werden, um ein digitales Signal 20430 zu erzeugen, das dem zusammenhängenden Komponententrägersignal entspricht, das die Komponententräger CC1 bis CC4 enthält.
  • Ähnlich kann der zweite RF-Verarbeitungspfad 20404 ein Basisbandsignal 20432 erzeugen, das durch das Kanalfilter 20434 gefiltert werden kann, was ein gefiltertes Basisbandsignal 20436 erzeugt. Das gefilterte Basisbandsignal 20436 kann durch den ADC 20438 digitalisiert werden, um ein digitales Signal 20430 zu erzeugen, das dem zusammenhängenden Komponententrägersignal entspricht, das die Komponententräger CC5 bis CC8 enthält.
  • In einigen Aspekten kann der Empfänger 20400 ferner eine Steuerschaltung 20450 aufweisen, die eine geeignete Schaltung, Logik, Schnittstellen und/oder Code aufweisen kann und konfiguriert sein kann, ein oder mehrere Steuersignale zu erzeugen, die sowohl zum Umschalten zwischen der Aufteilungsbetriebsart und der Umschaltbetriebsart als auch für andere Steuerfunktionen verwendet werden. Beispielsweise kann die Steuerschaltung 20450 ein RX1-Steuersignal 20452 und ein RX2-Steuersignal 20454 erzeugen, die verwendet werden können, um eine oder mehrere Schaltungen innerhalb des ersten RF-Verarbeitungspfads 20402 bzw. des zweiten RF-Verarbeitungspfads 20404 zu aktivieren (z. B. anzuschalten) oder zu deaktivieren (z. B. abzuschalten).
  • Beispielsweise kann die Steuerschaltung 20450 Informationen (z. B. von einer Basisstation) über Signaleigenschaften, die dem Eingabe-RF-Signal 20401 zugeordnet sind, empfangen. Die beispielhaften Eingabeeigenschaften können Informationen, die angeben, ob das Eingabe-RF-Signal 20401 ein zusammenhängendes oder nicht zusammenhängendes Trägeraggregationssignal ist, die Bandbreite des Signals 20401 und so weiter aufweisen. Die Steuerschaltung 20450 kann außerdem solche Bestimmungen über das Eingabe-RF-Signal 20401 ohne Unterstützung einer äußeren Vorrichtung vornehmen. Beispielsweise kann die Steuerschaltung 20450 bestimmen, dass das eingehende RF-Signal 20401 ein zusammenhängendes Trägeraggregationssignal 20403, das acht Komponententräger aufweist, mit einer Gesamtbandbreite von 800 MHz ist. Die Steuerschaltung 20450 kann dann die Steuersignale 20452 und 20454 ausgeben, um die Aufteilungsbetriebsart zu aktivieren. Insbesondere können die Steuersignale 20452 und 20454 die LNAs 20406 und 20414 oder 20406 und 20416 (und die entsprechenden Mischer) aktivieren, um beide Verarbeitungspfade zu aktivieren, um die Ausgabesignale 20430 und 20440 zu erzeugen. In einigen Aspekten kann die Steuerschaltung 20450 die Aufteilungsbetriebsart aktivieren, wenn bestimmt wird, dass eine Bandbreite des eingehenden RF-Signals 20401 größer ist als eine Bandbreite, die den Kanalfiltern 20424 und 20434 zugeordnet ist, oder die Bandbreite, die den ADCs 20428 und 20438 zugeordnet ist. In einigen Aspekten können die Steuersignale 20452 und 20454 auch verwendet werden, um einen oder mehrere der LNAs, Mischer oder einer andere Schaltung, die während der Aufteilungsbetriebsart nicht verwendet werden, zu deaktivieren (oder abzuschalten).
  • In einigen Aspekten kann die Steuerschaltung 20450 die Umschaltbetriebsart auslösen, wenn bestimmt wird, dass das Eingabe-RF-Signal 20401 ein nicht zusammenhängendes Trägeraggregationssignal ist. Während der Umschaltbetriebsart kann die Steuerschaltung das Steuersignal 20452 erzeugen, das den ersten RF-Verarbeitungspfad 20402 aktiviert, um das gesamte Eingabe-RF-Signal 20401 zu verarbeiten. Die Steuerschaltung 20450 kann außerdem das Steuersignal 20454 erzeugen, das den gesamten zweiten RF-Verarbeitungspfad 20404 deaktiviert oder abschaltet.
  • In einigen Aspekten können die Steuersignale 20452 und 20454 verwendet werden, um verschiedene Schaltung innerhalb des Empfängers 20400 durch Hin- und Herschalten einer Gate-Vorspannung, durch Verwenden eines Aktivierungs/Deaktivierungs-Anschlussstifts oder durch andere Verfahren zu aktivieren oder zu deaktivieren. Beispielhafte LNA-Aktivierungs-Anschlussstifte sind in 205, 206, 1208 und 209 dargestellt.
  • Die hier beschriebenen Lösungen ermöglichen ferner die Implementierung eines skalierbaren Empfängerarchitektur, um Bandbreiteneinschränkungen in den Kanalfiltern (z. B. 20424 und 20434) und den Analog/Digital-Umsetzern (ADCs, 20428 und 20438) zu adressieren. 5G-Kommunikationssysteme werden den Betrieb in wenigstens einem Millimeterwellenband mit nicht weniger als acht aggregierte Komponententrägern unterstützen. Jeder Komponententräger kann eine Bandbreite von 100 MHz aufweisen für eine gesamte RF-Signalbandbreite von 800 MHz (z. B. das Signal 20403). Die Filter (20424, 20434) und ADCs (20428, 20438) hätten ein signifikantes Problem mit dem Erfüllen der Leistungs- und Linearitätsziele, um 800 MHz RF-Bandbreite zu handhaben. Kanalfilter höherer Ordnung können benötigt werden, um die ADCs gegen starke Blockierer zu schützen. Passive R-C-Filter können nicht imstande sein, einen adäquaten Schutz (Filtern) vor dem ADC bereitzustellen, und somit können aktive Filter notwendig sein. Das Erreichen aktiver Filter, die 800 MHz RF-Bandbreite handhaben können, kann schwierig zu implementieren sein mit existierenden CMOS-Technologien aufgrund der sehr hohen Verstärkung-Bandbreite-Produkte, die in den Op-Amps, die in dem aktiven Filter verwendet werden, notwendig wären.
  • Die hier diskutierten Implementierungstechniken für die Empfängerarchitektur können (1) Entfernen des Multiplexens der Wellenformen des lokalen Oszillators, die verwendet werden, um das empfangene Signal abwärtsumzusetzen, und (2) Abschalten (oder Stilllegen) einer Hälfte des RF-Verarbeitungspfads, wenn er in der Aufteilungsbetriebsart nicht verwendet wird, ohne Beeinflussen der Eingangsimpedanz, die bei Blick in den Empfänger gesehen wird, enthalten.
  • Es sind mehrere Vorteile der vorgeschlagenen Architektur gegenüber den in 20302 dargestellten Empfängerlösungen vorhanden. Erstens überwindet die vorgeschlagene Architektur (sie in den 203-209 zu sehen ist) die Schwierigkeiten bei der Implementierung eines aktiven Kanalfilters für sehr große Bandbreite und eines ADC mit sehr hoher Leistung durch Aufteilen der empfangenen Komponententräger in zwei (oder mehrere) dedizierte Pfade. Ein zweiter Vorteil der offenbarten Architektur oder Techniken stammt aus der Entfernung des Multiplexens der LO-Signale und liegt in der Reduktion oder Entfernung von Intermodulationsprodukten aufgrund des Mischens der LO-Signale in einer Multiplexerschaltung. Ein dritter Vorteil der offenbarten Architektur oder Techniken wird aus dem Stilllegen (oder Abschalten) einer Hälfte des Empfängers (z. B. während der Umschaltbetriebsart) abgeleitet, was zu Leistungseffizienz und einer längeren Batterielebensdauer führen würde. Ein vierter Vorteil der offenbarten Architektur oder Techniken ist die Vereinfachung der LO-Verteilung, was zu Energieeinsparungen an Frequenzen höher als 6 GHz führt (insbesondere wenn in der Aufteilungsbetriebsart gearbeitet wird). Schließlich kann aufgrund der Vereinfachung der gesamten Empfängerarchitektur die Steuerlogik (z. B. die Steuerschaltung 20450) ebenfalls vereinfacht werden.
  • 205 stellt ein Blockdiagramm eines Empfängers, der segmentierte LNAs und segmentierte Mischer verwendet, die in der Schaltbetriebsart mit Signalaufteilung an dem LNA-Eingang arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 205 kann der Empfänger 20500 ein genaueres Diagramm der Empfänger 20300 und 20400 in 203 bzw. 204 repräsentieren. Der Empfänger 20500 kann segmentierte LNAs aufweisen, die die LNA-Scheiben 20504, 20506, 20508 und 20510 aufweisen. Beispielsweise können die LNAs 20504 und 20506 einen segmentierten LNA bilden und die LNAs 20508 und 20510 können einen weiteren segmentierten LNA bilden. Falls ein segmentierter LNA eine effektive Größe W aufweist, kann jede der LNA-Scheiben wie z. B. die LNAs 20504 und 20506 eine effektive Größe W/2 aufweisen, wie in 205 zu sehen ist. Ähnlich kann der segmentierte LNA, der die LNA-Scheiben 20508 und 20510 aufweist, eine effektive Größe W aufweisen, und die LNA-Scheiben 20508 und 20510 können eine effektive Größe W/2 aufweisen.
  • Jeder der LNAs 20504, 20506, 20508 und 20510 ist sowohl mit entsprechenden Abwärtsumsetzungsmischern 20512, 20514, 20516 und 20518 als auch mit den Kanalfiltern 20536, 20538, 20540 und 20542 gekoppelt. Jeder der Mischer 20512, 20514, 20516 und 20518 ist konfiguriert, differentielle LO-Signale zu empfangen, die zum Abwärtsumsetzen der verstärkten RF-Signale, die von dem entsprechenden LNA empfangen werden, verwendet werden.
  • In einer beispielhaften Umschaltbetriebsart kann ein Eingabe-RF-Signal 20502 nur zu den LNAs 20504 und 20506 kommuniziert werden. Der RF-Verarbeitungspfad, der den LNA 20504, den Mischer 20512 und das Filter 20536 enthält, kann verwendet werden, um eine phasengleiche (I) Komponente 20544 eines Basisbandausgabesignals zu erzeugen. Insbesondere können die Signalausgaben 20515A und 20515B aus dem Mischer 20514 mit den Signalausgaben 20513A und 20513B aus dem Mischer 20512 verwendet werden, um differentielle Basisbandsignale 20520 und 20522 zu erzeugen, die dann durch das Filter 20536 gefiltert werden, um die I-Signalkomponente 20544 eines Basisbandausgabesignals zu erzeugen.
  • Ähnlich kann der RF-Verarbeitungspfad, der den LNA 20506, den Mischer 20514 und das Filter 20538 aufweist, verwendet werden, um eine Quadratur- (Q) Komponente 20546 eines Basisbandausgabesignals zu erzeugen. Insbesondere können die Signalausgaben 20515C und 20515D aus dem Mischer 20514 mit den Signalausgaben 20513C und 20513D aus dem Mischer 20512 verwendet werden, um differentielle Basisbandsignale 20524 und 20526 zu erzeugen, die dann durch das Filter 20538 gefiltert werden, um die Q-Signalkomponente 20546 des Basisbandausgabesignals zu erzeugen. In der in 205 dargestellten beispielhaften Umschaltbetriebsart können die LNAs 20508 und 20510 und der gesamte Verarbeitungspfad (der die differentielle LO-Signalverteilung enthält), der diesen LNAs zugeordnet ist, aus Gründen der Effizienz deaktiviert und abgeschaltet werden. Wie in 205 zu sehen ist, erzeugt jeder der Mischer 20512-20516 sowohl I- als auch Q-Signalausgaben.
  • In einigen Aspekten kann die Umschaltbetriebsart nur durch die RF-Verarbeitungsketten, die den LNAs 20508 und 20510 zugeordnet sind, ausgeführt werden, während die RF-Verarbeitungsketten, die den LNAs 20504 und 20506 zugeordnet sind, deaktiviert und abgeschaltet sein können. Falls das RF-Eingabesignal 20502 durch die LNAs 20508 und 20510 verarbeitet wird, werden die entsprechenden verstärkten Signale zu den Mischern 20516 und 20518 zur Abwärtsumsetzung basierend auf differentiellen LO-Signalen kommuniziert. Der Mischer 20516 erzeugt differentielle Basisbandsignale 20528 und 20530, die durch das Filter 20540 gefiltert werden, um die I-Signalkomponente 20548 eines Basisbandausgabesignals zu erzeugen. Der Mischer 20518 erzeugt differentielle Basisbandsignale 20532 und 20534, die durch das Filter 20542 gefiltert werden, um die Q-Signalkomponente 20650 des Basisbandausgabesignals zu erzeugen.
  • 206 stellt ein Blockdiagramm eines Empfängers, der segmentierte LNAs und segmentierte Mischer verwendet, die in der Aufteilungsbetriebsart mit Signalaufteilung an dem LNA-Eingang arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 206 kann der Empfänger 20600 ein genaueres Diagramm der Empfänger 20300 und 20400 in 203 bzw. 204 repräsentieren. Der Empfänger 20600 kann segmentierte LNAs aufweisen, die die LNA-Scheiben 20604, 20606, 20608 und 20610 aufweisen. Beispielsweise können die LNAs 20604 und 20606 einen segmentierten LNA bilden, und die LNAs 20608 und 20610 können einen weiteren segmentierten LNA bilden. Falls ein segmentierter LNA eine effektive Größe W aufweist, kann jede der LNA-Scheiben wie z. B. die LNAs 20604 und 20606 eine effektive Größe W/2 aufweisen, wie in 206 zu sehen ist. Ähnlich kann der segmentierte LNA, der die LNA-Scheiben 20608 und 20610 aufweist, eine effektive Größe W aufweisen, und die LNA-Scheiben 20608 und 20610 können eine effektive Größe W/2 aufweisen.
  • Jeder der LNAs 20604, 20606, 20608 und 20610 ist sowohl mit entsprechenden Abwärtsumsetzungsmischern 20612, 20614, 20616 und 20618 als auch mit den Kanalfiltern 20636, 20638, 20640 bzw. 20642 gekoppelt. Jeder der Mischer 20612, 20614, 20616 und 20618 ist konfiguriert, differentielle LO-Signale zu empfangen, die zum Abwärtsumsetzen der verstärkten RF-Signale, die von der entsprechenden LNA-Scheibe empfangen werden, verwendet werden.
  • In einer beispielhaften Aufteilungsbetriebsart kann ein Eingabe-RF-Signal 20602 aufgeteilt werden (wie z. B. in 204 zu sehen ist), wobei ein erster RF-Signalabschnitt zu dem LNA 20606 kommuniziert wird und ein zweiter (verbleibender) RF-Signalabschnitt zu dem LNA 20608 kommuniziert wird. Der RF-Verarbeitungspfad, der den LNA 20606, den Mischer 20614 und die Filter 20636 und 20638 enthält, kann verwendet werden, um die I-Komponente 20644 und die Q-Komponente 20646 eines ersten Basisbandausgabesignals zu erzeugen. Der RF-Verarbeitungspfad, der den LNA 20608, den Mischer 20616 und die Filter 20640 und 20642 enthält, kann verwendet werden, um die I-Komponente 20648 und die Q-Komponente 20650 eines zweiten Basisbandausgabesignals zu erzeugen.
  • Insbesondere können die Signalausgaben 20615A und 20615B aus dem Mischer 20614 verwendet werden, um differentielle Basisbandsignale 20620 und 20622 zu erzeugen, die durch das Filter 20636 gefiltert werden, um die I-Signalkomponente 20644 des ersten Basisbandausgabesignals zu erzeugen. Die Signalausgaben 20615C und 20615D aus dem Mischer 20614 können verwendet werden, um differentielle Basisbandsignale 20624 und 20626 zu erzeugen, die durch das Filter 20638 gefiltert werden, um die Q-Signalkomponente 20646 des ersten Basisbandausgabesignals zu erzeugen.
  • Ähnlich können die Signalausgaben aus dem Mischer 20616 verwendet werden, um differentielle Basisbandsignale 20628 und 20630 zu erzeugen, die durch das Filter 20640 gefiltert werden, um die I-Signalkomponente 20648 des zweiten Basisbandausgabesignals zu erzeugen. Die Signalausgaben aus dem Mischer 20616 werden außerdem verwendet, um differentielle Basisbandsignale 20632 und 20634 zu erzeugen, die durch das Filter 20642 gefiltert werden, um die Q-Signalkomponente 20650 des zweiten Basisbandausgabesignals zu erzeugen.
  • Wie in 206 angegeben ist, kann die Aufteilungsbetriebsart nur durch die RF-Verarbeitungsketten, die den LNAs 20606 und 20608 zugeordnet sind, ausgeführt werden, während sowohl die RF-Verarbeitungsketten, die den LNAs 20604 und 20610 zugeordnet sind, als auch die entsprechenden Mischer 20612 und 20618 (und auch Teile der LO-Verteilungsschaltung, die die LO-Signale für diese Mischer bereitstellt) deaktiviert und abgeschaltet werden können.
  • 207 stellt ein Blockdiagramm einer beispielhaften Signalerzeugungsschaltung mit lokalem Oszillator (LO-Signalerzeugungsschaltung) gemäß einigen Aspekten dar. Bezug nehmend auf 207 ist die LO-Verteilungsarchitektur 20700 dargestellt, die in Verbindung mit den hier offenbarten Empfängern (z. B. den Empfängern, die in 203, 204, 205, 206, 208 und 209 dargestellt sind) verwendet werden kann. Die LO-Verteilungsarchitektur 20700 weist die LO-Generatoren 20702 und 20714 auf, die verwendet werden können, um differentielle LO-Signale für mehrere segmentierte Mischer zu erzeugen. Das LO-Signal, das durch den LO-Generator 20702 erzeugt wird, kann durch den Teilerblock 20704 geteilt und dann in den Puffern 20706 der Stärke eins gepuffert werden. Jedes der gepufferten LO-Signale kann aufgeteilt und wieder durch die Puffer 20708 mit der Stärke zwei gepuffert werden. Die endgültigen differentiellen LO-Signale 20710 können aus den Puffern bei Bedarf ausgegeben werden. Beispielsweise kann die Steuerschaltung 20450 ein Aktivierungssignal erzeugen, das verwendet werden kann um anzugeben, welches differentielle LO-Signal 20710 zu einer entsprechenden Scheibe eines Mischers kommuniziert werden kann. Das LO-Signal, das durch den LO-Generator 20712 erzeugt wird, kann durch den Teilerblock 20714 geteilt und dann in den Puffern 20716 der Stärke eins gepuffert werden. Jedes der gepufferten LO-Signale kann aufgeteilt und wieder durch die Puffer 20718 mit der Stärke zwei gepuffert werden. Die endgültigen differentiellen LO-Signale 20720 können aus den Puffern bei Bedarf ausgegeben werden. Obwohl Puffer mit der Stärke von 2 in 207 dargestellt sind, ist die Offenbarung in dieser Hinsicht nicht eingeschränkt, und es können auch andere Typen von Puffern verwendet werden.
  • Die Wahrheitstabelle 20722 stellt Beispiele dafür bereit, welche differentiellen LO-Signale aktiviert und für verschiedene Betriebsarten einer hier offenbarten Empfängerarchitektur verwendet werden können. Beispielsweise ist während der Umschaltbetriebsart, die LO1 (z. B. wie in 205 zu sehen ist) verwendet, der LO1-Generator 20702 angeschaltet, und der LO2-Generator 20712 ist abgeschaltet. Die spezifischen Betriebsarten und spezifischen differentiellen LO-Signale, die aktiviert sind, sind in Tabelle 20722 zu sehen. Wie in Tabelle 20722 zu sehen ist, können abhängig davon, ob ein Empfänger in einer Umschalt- oder einer Aufteilungsbetriebsart arbeitet, Teile der LO-Verteilungsarchitektur 20700 abgeschaltet werden, das zu Effizienz und Energieeinsparungen führt.
  • Wie in der untersten Zeile von Tabelle 20722 zu sehen ist, sind während der Aufteilungsbetriebsart mit LO1 und LO2 die vier „a“-Ausgänge EIN, und die vier „b“-Ausgänge sind AUS. In einem weiteren Aspekt können während der Aufteilungsbetriebsart mit LO1 und LO2 die vier „b“-Ausgänge EIN sein, und die vier „a“-Ausgänge können AUS sein.
  • 208 stellt ein Blockdiagramm eines Empfängers, der einen segmentiertem Ausgabe-LNA und segmentierte Mischer verwendet, die in der Schaltbetriebsart mit Signalaufteilung an dem LNA-Ausgang arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 208 kann der Empfänger 20800 einen segmentierten Ausgabe-LNA 20802 aufweisen, der die LNA-Scheiben 20812, 20814, 20816 und 20818 aufweist. Jeder der LNAs 20812, 20814, 20816 und 20818 ist sowohl mit entsprechenden Abwärtsumsetzungsmischern 20804, 20806, 20808 und 20810 als auch mit den Kanalfiltern 20828, 20830, 20844 und 20848 gekoppelt. Jeder der Mischer 20804, 20806, 20808 und 20810 ist konfiguriert, differentielle LO-Signale zu empfangen, die zum Abwärtsumsetzen der verstärkten RF-Signale, die von der entsprechenden LNA-Scheibe des LNA 20802 empfangen werden, verwendet werden.
  • In einer beispielhaften Umschaltbetriebsart kann ein Eingabe-RF-Signal 20852 zu dem LNA 20802 kommuniziert und dann zur Verstärkung nur durch die LNA-Scheiben 20812 und 20814 gelenkt werden. In diesem Zusammenhang wird das Eingabe-RF-Signal an dem Ausgang des LNA 20802 gelenkt oder aufgeteilt. In dem in 208 dargestellten Umschaltbetriebsartszenario wird eine Kopie des RF-Eingabesignals 20852 zu den LNA-Scheiben 20812 und 20814 kommuniziert und dann zu den entsprechenden Mischern 20804 und 20806 ausgegeben. Der RF-Verarbeitungspfad, der den LNA 20812, den Mischer 20804 und das Filter 20828 enthält, kann verwendet werden, um eine phasengleiche (I) Komponente 20832 eines Basisbandausgabesignals zu erzeugen. Insbesondere können die Signalausgaben aus den Mischern 20804 und 20806 verwendet werden, um differentielle Basisbandsignale 20820 und 20822 zu erzeugen, die durch das Filter 20828 gefiltert werden, um die I-Signalkomponente 20832 des Basisbandausgabesignals zu erzeugen.
  • Ähnlich kann der RF-Verarbeitungspfad, der den LNA 20814, den Mischer 20806 und das Filter 20830 enthält, verwendet werden, um eine Quadratur- (Q-) Komponente 20834 des Basisbandausgabesignals zu erzeugen. Insbesondere können die Signalausgaben aus dem Mischer 20804 mit den Signalausgaben aus dem Mischer 20806 verwendet werden, um differentielle Basisbandsignale 20824 und 20826 zu erzeugen, die dann durch das Filter 20830 gefiltert werden, um die Q-Signalkomponente 20834 des Basisbandausgabesignals zu erzeugen. In der in 208 dargestellten beispielhaften Umschaltbetriebsart können die LNAs 20816 und 20818 und der gesamte Verarbeitungspfad (der die differentielle LO-Signalverteilung und Abwärtsumsetzungsmischer enthält), der diesen LNAs zugeordnet ist, aus Gründen der Effizienz deaktiviert und abgeschaltet werden. Wie in 208 zu sehen ist, erzeugt jeder der Mischer 20804-20810 sowohl I- als auch Q-Signalausgaben.
  • In einigen Aspekten kann die Umschaltbetriebsart nur durch die RF-Verarbeitungsketten, die den LNAs 20816 und 20818 zugeordnet sind, ausgeführt werden, während die RF-Verarbeitungsketten, die den LNAs 20812 und 20814 zugeordnet sind, deaktiviert und abgeschaltet sein können. Falls das RF-Eingabesignal 20852 durch die LNAs 20816 und 20818 verarbeitet wird, werden die entsprechenden verstärkten Signale zu den Mischern 20808 und 20810 zur Abwärtsumsetzung basierend auf differentiellen LO-Signalen LO2 kommuniziert. Der Mischer 20808 erzeugt differentielle Basisbandsignale 20836 und 20838, die durch das Filter 20844 gefiltert werden, um die I-Signalkomponente 20846 eines Basisbandausgabesignals zu erzeugen. Der Mischer 20810 erzeugt differentielle Basisbandsignale 20840 und 20842, die durch das Filter 20848 gefiltert werden, um die Q-Signalkomponente 20850 des Basisbandausgabesignals zu erzeugen.
  • 209 stellt ein Blockdiagramm eines Empfängers, der einen segmentiertem Ausgabe-LNA und segmentierte Mischer verwendet, die in der Aufteilungsbetriebsart mit Signalaufteilung an dem LNA-Ausgang arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 209 kann der Empfänger 20900 einen segmentierten Ausgabe-LNA 20902 aufweisen, der die LNA-Scheiben 20912, 20914, 20916 und 20918 aufweist. Jeder der LNAs 20912, 20914, 20916 und 20918 ist sowohl mit entsprechenden Abwärtsumsetzungsmischern 20904, 20906, 20908 und 20910 als auch mit den Kanalfiltern 20928, 20930, 20944 und 20948 gekoppelt. Jeder der Mischer 20904, 20906, 20908 und 20910 ist konfiguriert, differentielle LO-Signale zu empfangen, die zum Abwärtsumsetzen der verstärkten RF-Signale, die von der entsprechenden LNA-Scheibe des LNA 20902 empfangen werden, verwendet werden.
  • In einer beispielhaften Aufteilungsbetriebsart kann ein Eingabe-RF-Signal 20952 zu dem LNA 20902 kommuniziert und dann zur Verstärkung nur durch die LNA-Scheiben 20914 und 20916 aufgeteilt werden. In diesem Zusammenhang wird das Eingabe-RF-Signal 20952 an dem Ausgang des LNA 29092 aufgeteilt, wie in 209 zu sehen ist. In dem in 209 dargestellten Aufteilungsbetriebsartszenario werden zwei Abschnitte des RF-Eingabesignals 20952 zu den LNA-Scheiben 20914 bzw. 20916 und dann zu den entsprechenden Mischern 20906 und 20908 kommuniziert. Der RF-Verarbeitungspfad, der den LNA 20914, den Mischer 20906 und die Filter 20928 und 20930 aufweist, kann verwendet werden, um eine phasengleiche (I-) Komponente 20932 und eine Quadratur- (Q-) Komponente 20934 eines ersten Basisbandausgabesignals zu erzeugen, das einem ersten Abschnitt des RF-Eingabesignals 20952, das zu der LNA-Scheibe 20914 kommuniziert wird, entspricht. Insbesondere können die Signalausgaben aus dem Mischer 20906 verwendet werden, um differentielle Basisbandsignale 20920 und 20922 zu erzeugen, die durch das Filter 20928 gefiltert werden, um die I-Signalkomponente 20932 des ersten Basisbandausgabesignals zu erzeugen. Die Signalausgaben aus dem Mischer 20906 können außerdem verwendet werden, um differentielle Basisbandsignale 20924 und 20926 zu erzeugen, die durch das Filter 20930 gefiltert werden, um die Q-Signalkomponente 20934 des ersten Basisbandausgabesignals zu erzeugen.
  • Ähnlich kann der RF-Verarbeitungspfad, der den LNA 20916, den Mischer 20908 und die Filter 20944 und 20948 aufweist, verwendet werden, um eine I-Komponente 20946 und eine Q-Komponente 20950 eines zweiten Basisbandausgabesignals zu erzeugen, das einem zweiten Abschnitt des RF-Eingabesignals 20952, das zu der LNA-Scheibe 20916 kommuniziert wird, entspricht. Insbesondere können die Signalausgaben aus dem Mischer 20908 verwendet werden, um differentielle Basisbandsignale 20936 und 20938 zu erzeugen, die durch das Filter 20944 gefiltert werden, um die I-Signalkomponente 20946 des zweiten Basisbandausgabesignals zu erzeugen. Die Signalausgaben aus dem Mischer 20908 können außerdem verwendet werden, um differentielle Basisbandsignale 20940 und 20942 zu erzeugen, die durch das Filter 20948 gefiltert werden, um die Q-Signalkomponente 20950 des zweiten Basisbandausgabesignals zu erzeugen.
  • 210 stellt beispielhafte LO-Verteilungsschemas für Empfänger, die in einer Schaltbetriebsart arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 210 kann ein erstes LO-Verteilungsschema 21000 in Verbindung mit einem Empfänger, der in einer Umschaltbetriebsart arbeitet, wie z. B. dem Empfänger 20202 in 202, verwendet werden. Ein zweites LO-Verteilungsschema 21040 kann in Verbindung mit einem weiteren Empfänger, der in der Umschaltbetriebsart arbeitet, wie z. B. dem Empfänger 20500 von 205, verwendet werden. Das erste LO-Verteilungsschema 21000 enthält sowohl die Frequenzteiler 21004 und 21022 als auch die Puffer 21002, 21006, 21008, 21010, 21012, 21014, 21020, 21024, 21026, 21028, 21030 und 21032. Das erste LO-Verteilungsschema 21000 enthält außerdem die Abwärtsumsetzungsmischer 21016, 21018, 21034 und 21036, die die erzeugten differentiellen LO-Signale verwenden, die den Eingabe-LO-Signalen LO1 und LO2 entsprechen.
  • Das zweite LO-Verteilungsschema 21040 enthält sowohl die Frequenzteiler 21044 und 21062 als auch die Puffer 21042, 21046, 21048, 21050, 21052, 21060, 21064, 21066, 21068 und 21070. Das zweite LO-Verteilungsschema 21040 enthält außerdem die Abwärtsumsetzungsmischer 21054, 21056, 21072 und 21074, die die erzeugten differentiellen LO-Signale verwenden, die den Eingabe-LO-Signalen LO1 und LO2 entsprechen.
  • Wie in 210 zu sehen ist, verwendet das erste LO-Verteilungsschema 21000 einen Frequenzteiler, sieben Puffer und zwei Gruppen von Mischern. Im Vergleich dazu verwendet das zweite LO-Verteilungsschema 21040 einen Frequenzteiler, fünf Puffer und eine einzige Gruppe von Mischern. In diesem Zusammenhang können simulationsbasierte Schätzungen von ungefähr 20 % Stromeinsparungen mit dem zweiten LO-Verteilungsschema 21040 aufgrund der Vereinfachung des LO-Verteilungsnetzes realisiert werden.
  • 211 stellt beispielhafte LO-Verteilungsschemas für Empfänger, die in einer Aufteilungsbetriebsart arbeiten, gemäß einigen Aspekten dar. Bezug nehmend auf 211 kann ein erstes LO-Verteilungsschema 21100 in Verbindung mit einem Empfänger, der in einer Aufteilungsbetriebsart arbeitet, wie z. B. dem Empfänger 20230 in 202, verwendet werden. Ein zweites LO-Verteilungsschema 21140 kann in Verbindung mit einem weiteren Empfänger, der in der Aufteilungsbetriebsart arbeitet, wie z. B. dem Empfänger 20600 von 206, verwendet werden. Das erste LO-Verteilungsschema 21100 enthält sowohl die Frequenzteiler 21104 und 21122 als auch die Puffer 21102, 21106, 21108, 21110, 21112, 21114, 21120, 21124, 21126, 21128, 21130 und 21132. Das erste LO-Verteilungsschema 21100 enthält außerdem die Abwärtsumsetzungsmischer 21116, 21118, 21134 und 21136, die die erzeugten differentiellen LO-Signale verwenden, die den Eingabe-LO-Signalen LO1 und LO2 entsprechen.
  • Das zweite LO-Verteilungsschema 21140 enthält sowohl die Frequenzteiler 21144 und 21162 als auch die Puffer 21142, 21146, 21148, 21150, 21152, 21160, 21164, 21166, 21168 und 21170. Das zweite LO-Verteilungsschema 21140 enthält außerdem die Abwärtsumsetzungsmischer 21154, 21156, 21172 und 21174, die die erzeugten differentiellen LO-Signale verwenden, die den Eingabe-LO-Signalen LO1 und LO2 entsprechen.
  • Wie in 211 zu sehen ist, verwendet das erste LO-Verteilungsschema 21100 zehn Puffer und vier Mischer. Im Vergleich dazu verwendet das zweite LO-Verteilungsschema 21140 sechs Puffer und nur zwei Mischer. In diesem Zusammenhang können simulationsbasierte Schätzungen von ungefähr 40 % Stromeinsparungen mit dem zweiten LO-Verteilungsschema 21140 aufgrund der Vereinfachung des LO-Verteilungsnetzes realisiert werden.
  • Mikrowellenantennenteilsysteme, die in dem mmWellen-Frequenzbereich arbeiten, sind extrem klein, im Mikrometerbereich. Infolgedessen ist es wichtig, Wege zu finden, um die Größe von Antennen und von Funkteilsystemen, insbesondere die Dicke, zum Gebrauch in mobilen mmWellen-Vorrichtungen, wo der Platz wegen der Anforderungen an die Gehäusegröße und wegen der dichten Packung von Komponenten und Antennen sehr wertvoll ist, zu reduzieren. Ein Bereich, in dem ein besonderer Bedarf für eine Größenreduktion vorhanden ist, sind diskrete Komponenten, wie mehr Volumen verbrauchen als nicht-diskrete Komponenten. Somit gibt es einen Bedarf, das Volumen diskreter Komponenten dadurch zu reduzieren, dass sie aus einer ultradünnen Technologie hergestellt werden. Gleichzeitig sollten thermische, elektrische und mechanische Überlagerungsprobleme adressiert und reduziert werden. Die Verbesserung der Kosten ist ebenfalls eine wichtige Überlegung. Die Überlagerung von Komponenten, Antennen und Antennenteilsystemen aufeinander oder nebeneinander wird sowohl die Dicke als auch die Größe des Teilsystems reduzieren. Die Verwendung von Umspritzung mit Verbindungen in der Umspritzung ist ein weiteres Konzept, das es ermöglicht, dass sich Antennen auf den Seiten eines Teilsystems befinden, und thermische und mechanische Verbesserung gegenüber konkurrierenden Technologien bereitstellt.
  • 212 ist eine Seitenansicht eines nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems, das ein Verbindungselement verwendet, gemäß einigen Aspekten. Das hier beschriebene im Die eingebettete Funksystem kann in die Antennengruppenschaltung 330 der mmWellen-Kommunikationsschaltung 300, die in 3A gezeigt ist, integriert sein, obwohl das im Die eingebettete Funksystem nicht darauf eingeschränkt ist.
  • Der Aspekt weist den nicht eingegossenen gestapelten eingebetteten Package-auf-Package-Die 21200 auf, der die nicht eingegossene Baugruppe 21205 und Baugruppe 21207 aufweist. Das Package 21205 kann ein laminiertes Substrat wie z. B. eine PCB aufweisen, in der die RFIC 21206 eingebettet ist. Wie es in diesem Kontext verwendet ist, bedeutet „nicht eingegossen“, dass der Die 21206 nicht von einer Gussmasse oder Einkapselung umhüllt ist. Die für die z-Höhe der verschiedenen Teile der Packages dargestellten Abmessungen dienen nur anschaulichen Zwecken und dienen dazu, die extrem kleinen Abmessungen darzustellen, mit denen gearbeitet wird, wenn das Volumen einer mobilen Vorrichtung, in der die Packages Verwendung finden, sehr eingeschränkt ist. Zusätzlich können die ersten wenigen Mikrometer an der Oberseite und der Unterseite der PCB 21205 Vorimprägnierungs-(PrePreg-) Schichten sein, die vor dem Kern der PCB sein können, in der die RFIC eingebettet ist. PrePreg ist aufgrund ihrer Dicke verwendet. Die Dicke von PrePreg kann sehr dünn sein, beispielsweise 23 um oder 30 um. PrePreg kann ein Epoxidmaterial sein, obwohl es auch ein Laminatmaterial sein kann, z. B. ein Kupfer-Clad-Laminat (CCL). Die Technologie ist nicht auf organische polymerbasierte Laminate beschränkt, sondern kann auch keramikbasierte anorganische Schichten sein. Wie es in der Antennensubstratindustrie verwendet ist, kann „Kern“ den inneren Teil eines Substrats bedeuten, der dicker ist als und starrer sein kann als andere Bereiche des Substrats, wie z. B. PrePreg. Das Package 21205 ist insofern nicht eingegossen, als dass sie ein laminares Substrat wie z. B. PCB ohne Einkapselung innerhalb des Packages ist. Die Abschirmung 21201 ist oben auf dem Package 21205, um die Komponenten 21203 gegen RFI/EMI abzuschirmen. Das Verbindungselement 21223 kann eine oder mehrere der Packages mit der Außenwelt verbinden. In einigen Aspekten stellt das Verbindungselement 21223 Zwischenfrequenz- (IF-) Signale zum Senden durch das System bereit. Das Package 21205 weist den RFIC-Die 21206 auf, der die Zuleitung für die verschiedenen Antennen und Antennengruppen, die nachstehend diskutiert sind, mit Hilfe von Leiterbahnen und Vias wie jeweils erforderlich gemäß einigen Aspekten bereitstellt.
  • Obwohl ein RFIC-Die 21206 dargestellt ist, würden Fachleute erkennen, dass mehr als ein RFIC-Die bereitgestellt sein kann, um in einem oder mehr als einem Frequenzband zu arbeiten. Mit anderen Worten kann in Aspekten wenigstens ein RFIC-Die vorhanden sein. Die dargestellten Packages können gemäß einigen Aspekten Antennen und Antennengruppen mit vielen unterschiedlichen Konfigurationen, Betriebsfrequenzen und Bandbreiten aufweisen. In 212 sind die Antennenstrukturen 21209, 21211, 21213, 21215 und 21219 dargestellt. Diese können Einzelantennen in Seitenansicht oder Antennengruppen wie z. B. 1xN-, 2xN-, ... , NxN-Elementgruppen in Blickrichtung in die Zeichenebene der Figur sein. In einem Beispiel kann die Antenne 21209 eine Dual-Patchantenne mit einem Abstand d2 sein, in diesem Aspekt mit 165 Mikrometer zwischen den Patchantennenelementen 21210 und 21212, und einer weitere Abmessung d1 zwischen dem Patchantennenelement 21210 und Masse. Abhängig von den Abständen d1 und d2 wird die Bandbreite der Antenne wegen des variierenden Volumens der Patchantenne variieren.
  • Wie nachstehend beschrieben wird, weist die PCB 21205 eine laminare Struktur auf, die in diesem Aspekt als die Ebenen L1 bis L6 dargestellt ist. Wegen der verschiedenen Ebenen können die Antennenelemente wie z. B. 21210, 21212 an verschiedenen Abständen d2 zwischen Dual-Patchantennenelementen platziert werden, und wegen der Vielheit von Ebenen kann der Abstand d1 zwischen dem Patchantennenelement 21210 und GND ebenfalls an verschiedenen Abständen eingestellt sein, was zu einer Auswahl von Bandbreiten führen kann, wie sie für eine gegebene Konstruktion benötigt sein können. Anders ausgedrückt ist der Abstand zwischen den Dual-Patchantennenelementen 21210 und 21212 nicht auf 165 Mikrometer beschränkt, sondern kann wegen der verfügbaren dicht gepackten Laminatebenen auf irgendeinen aus mehreren Abständen eingestellt sein. Das gleiche gilt für den Abstand zwischen dem Dual-Patchantennenelement 21210 und der Masseebene 21214, was eine Fähigkeit schafft, die Bandbreite zu messen. Die Ebenen L1-L6 sind jedoch nur einer von vielen Aspekten. Andere Konstruktionen können viel mehr sehr dicht gepackte Schichten aufweisen, weit mehr als die dargestellten sechs Schichten L1-L6, und diese sehr dicht gepackten Schichten können für verschiedene Funktionen je nach Bedarf verwendet werden.
  • Weiter mit der Beschreibung von 212 kann 21224 in einigen Aspekten eine Antenne oder eine Antennengruppe sein wie z. B. die 1xN-, 2xN-, ... , NxN-Elementgruppen, die vorstehend kurz diskutiert sind. In einigen Aspekten kann 21224 eine eigenständige Antenne sein, die mit Hilfe einer oberflächenmontierten Vorrichtung (SMD) konfiguriert ist, was manchmal als oberflächenmontierte Technologie (SMT) bezeichnet ist. In einigen Aspekten kann, falls keine ausreichende Höhe für eine benötigte Antenne oder Antennengruppe innerhalb der PCB 21205 vorhanden ist, die Antenne oder Antennengruppe 21210, 21212 gemäß einigen Aspekten beispielsweise so konfiguriert sein, dass das Antennenelement 21212 oben auf der PCB 21205 platziert ist, um das benötigte Volumen bereitzustellen. In einem weiteren Beispiel kann das Dual-Patchelement 21212 oben auf der oberflächenmontierten Vorrichtung 21224 anstatt oben auf der PCB 21205 platziert sein, um die Antenne oder Antennengruppe mit zusätzlicher Höhe zu versorgen, was in einigen Aspekten vergrößertes Volumen und verbesserte Bandbreite bereitstellen wird, wie vorstehend diskutiert.
  • Ein weiteres Beispiel ist an Antenne 21215 zu sehen. In diesem Beispiel weist die Antenne (oder Antennengruppe, wie vorstehend diskutiert) 21215 das Patchantennenelement 21218 innerhalb des Substrats 21205 auf, das, wie vorstehend diskutiert, ein komplexes und sehr dicht gepacktes Substrat sein kann. Das Dual-Patchantennenelement 21217 kann auf einer zweiten Antennenplatine 21207 sein. In einigen Aspekten kann die Antennenplatine 21207 ein Dielektrikum, eine Keramik, eine PCB und dergleichen sein, die ebenfalls ein dicht gepacktes laminares Substrat sein kann, sehr ähnlich der PCB 21205. Infolgedessen kann die Antennenfunktion zwischen oder unter mehr als einer Antennenplatine geteilt sein, was zu einer Package-auf-Package-Konfiguration führt. Deshalb kann dann, falls nicht genug z-Höhe auf einem Medium vorhanden ist, ein Teil der Antenne auf einem zweiten Medium, wie z. B. 21207, implementiert sein, um die gewünschte z-Höhe bereitzustellen, um das Volumen zu erhalten, um die gewünschten Parameter wie z. B. in einigen Aspekten Bandbreite, geringeren Verlust und dergleichen bereitzustellen. Mit anderen Worten können angesichts der extrem kleinen Abmessungen der Dicke eines Substrats aufgrund, in einigen Fällen, von Anforderungen an den Formfaktor zum Betrieb an mmWellen- oder anderen Frequenzen, die Antennenelemente (und diskreten Komponenten) auf einem oder mehreren zusätzlichen Medien platziert sein.
  • In einigen Aspekten können Antennenelemente an der Oberseite und/oder der Unterseite der PCB 21205, an den Seiten der PCB 21205 und in verschiedenen zusätzlichen Konfigurationen platziert sein, was zu zusätzlicher Substratdicke und bei Bedarf vergrößerter Bandbreite führt. Ähnlich können die Antennenfunktionen ebenfalls zwischen oder unter unterschiedlichen Antennenplatinen aufgeteilt sein, beispielsweise der PCB 21205, die als das Hauptmedium betrachtet werden kann, und der Antennenplatine 21207, die als ein sekundäres Medium betrachtet werden kann.
  • Ferner können solche Medien oberhalb, unterhalb oder an der Seite des Substrats für verschiedene Funktionen verwendet werden, wie z. B. Erdung, Abschirmung, Zuleitungen und dergleichen. Ferner kann mehr als ein Medium 21224 oben auf der PCB 21205 sein. Es können auch mehrere Antennenmedien oben auf der PCB 21205 sein, von denen jedes einen Teil der oder alle Antennen oder Antennengruppen bereitstellt, wie vorstehend diskutiert. Das Gleiche gilt für die Platzierung von Antennenmedien unterhalb der oder an der Seite der PCB 21205. Ferner können die Sekundärmedien für parasitäre Elemente verwendet werden, um die Verstärkung oder die Form des Musters der Antennen bei Bedarf zu verbessern.
  • Die Antennen 21211, 21213, 21215 und 21219 können andere Antennen oder Antennengruppen sein, die auf der Antennenplatine 21207 konfiguriert sind und aus dem RFIC-Die 21206 gespeist werden. Ebenfalls dargestellt sind die Vias 21220 und 21222. In einigen Aspekten können viele Vias vorhanden sein. Allgemein gilt, dass der Durchmesser des Vias 21220, 21222 umso größer ist, je dicker das Substrat 21205 ist. In einigen Aspekten, in denen ultradünne Substrate benötigt werden, können die Vias von einem viel kleineren Durchmesser sein, wie nachstehend für andere Aspekte diskutiert ist. Vias wie z. B. 21228 können mit dem RFIC-Die 21206 durch Lötverbindungen wie z. B. 21227 verbunden sein. Die Vias können durch eine oder mehrere horizontale Schichten 21230 zur Verbindung mit Komponenten an anderer Stelle innerhalb des Funkteilsystems verbunden sein, wobei die horizontale Schicht 21230 mit Blick in die Zeichenebene gesehen ist.
  • 213 ist eine Seitenansicht eines eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems gemäß einigen Aspekten. In 213 weist das Package 21300 ein Substrat auf, das die Ebene 21301, beispielsweise eine Antennenplatine wie z. B. eine PCB, die Ebene 21303, die eine Gussmasse oder Einkapselung ist (die z. B. während der Herstellung der PCB gespritzt werden kann), und die Ebene 21305, die eine Antennenplatine wie z. B. eine PCB aufweisen kann, aufweist, gemäß einigen Aspekten. Die Ebene 21301 kann leitfähige Ebenen 21307 wie z. B. Leiterbahnen aufweisen, die Ebene 21303 kann leitfähige Ebenen wie z. B. 21309 und Vias wie z. B. 21319, 21319', oft als „Guss-Vias“ bezeichnet, aufweisen, und die Ebene 21305 kann leitfähige Ebenen 21311 aufweisen, die durch eine Lötverbindung 21426 mit den leitfähigen Ebenen 21309 verbunden sind, wobei die leitfähigen Ebenen und Vias des Packages 21300 konfigurierbar sind, um verschiedene Antennen und andere Komponenten aus den Dieen 21306, 21308 zu speisen, in einigen Aspekten. Obwohl die leitfähigen Ebenen 21307 und 21311 hier als kurze horizontale Schichten dargestellt sind, können sie in der Praxis längere leitfähige Schichten sein, gemäß verschiedenen Aspekten.
  • In einigen Aspekten können die leitfähigen Schichten 21307, 21311 unter Verwendung von Umverteilungsschichten (RDL) hergestellt sein. Vias (oder Guss-Vias in gegossenen Baugruppen) können durch Kupferstifte, durch Laser, die die Gussmasse oder andere Schichten durchstechen, und leitfähige Tinte oder andere Mittel hergestellt werden. Durch die Verwendung von Vias, leitfähigen Schichten und/oder RDLs kann/können der/die Die/e sehr schnell mit Antennen und Antennengruppen auf jeder Seite des Packages, die in einigen Aspekten Antennen sein können, die auf und innerhalb von SMDs 21316, 21318, 21320 ausgeführt sind, verbunden werden. Wegen der dicht gepackten Vias und dicht gepackten horizontalen Schichten können die Diee mit den Antennen oder Antennengruppen auf den Substraten 21301, 21305 mit wenig oder im Wesentlichen keiner Ausgangsverzweigung der Speisestruktur verbunden sein. Ferner können die Guss-Vias wie z. B. 21319, 21319' in Gräben dicht gepackter Vias konfiguriert sein, die mit metallisierten Schichten (hier ist nur die Schicht 21309 dargestellt, aber die Oberseite der Vias wie z. B. 21319 oder 21319' kann mit einer metallisierten Sicht über den Vias (nicht gezeigt) verbunden sein) um den Die oder die Diee verbunden sind, um einen Faraday-Käfig zu bilden, um die Diee und andere Komponenten gegen RFI und EMI abzuschirmen, in einigen Aspekten. Die Vias können sehr kleine Vias wie z. B. einzelne Stäbe sein.
  • Wenn Package-auf-Package mit Verbindungen hoher Dichte zwischen den Packages wie z. B. den Guss-Vias 21319, 21319' (Guss-Vias) verwendet werden, kann man die Packages separat aufbauen und ungleichartige Materialien verwenden, die für den unteren Die versus einem anderen Die darüber oder darunter zugeschnitten sind. Das verbessert außerdem den Ertrag, da die individuellen Diee in ihren jeweiligen Packages geprüft werden können, bevor sie gestapelt werden. Es ist ebenfalls wichtig zu verstehen, dass die Gussmasse vollständig eliminiert werden kann, falls erforderlich, und man die Guss-Vias durch Lotperlen ersetzen kann, die mit der oberen Baugruppe verbunden sind und als die vertikale Verbindung wirken.
  • In dem Aspekt von 213 können zwei oder mehr Diee 21306, 21308 innerhalb des Substrats enthalten und durch Kontakte wie z. B. Lothöcker, die Kupferfüllmaterial, Lötkontakte wie z. B. 21310 sein können, verbunden sind, oder die LGA/VGA-Kontaktstellen oder in einigen Aspekten ein Gehäuse sein können. Die Diee 21306, 21308 können irgendein Aspekt eines Dies sein, wie z. B. ein Flip-Chip-Die, Gehäuse der Chip-Größenordnung (CSP) auf Wafer-Ebene, ein für Drahtbonden geeigneter Die und dergleichen. Alternativ kann ein einzelner Die verwendet werden. Antennen wie z. B. 21316, 21318, 21320 sind auf einer ersten Seite des Substrats konfiguriert, während Antennen 21316', 21318', 21320' auf der entgegengesetzten Seite des Substrats konfiguriert sein können in einigen Aspekten.
  • Die vorstehenden Antennen können vom gleichen Typ von Antennen sein wie diejenigen, die mit Bezug auf 213 beschrieben sind, und können in einigen Aspekten auf oder innerhalb von SMDs sein. Weiterhin können die Antennen 21316, 21318, 21320 als eine Antennengruppe konfiguriert sein. Ferner können Antennen wie z. B. irgendwelche der oder alle vorstehenden Antennen auf oder innerhalb einer SMD ausgeführt sein, wie z. B. mit Bezug auf die Antenne (oder Antennengruppen) 2131224 von 213 diskutiert ist.
  • Auf einer oder beiden Seiten (wie z. B. 21301, 21305) des Packages 21300 können außerdem diskrete Komponenten 21322, 21324 und 21322', 21324' konfiguriert sein. Ferner können die Systeme 21321, 21321', manchmal als Einbaugruppensystem (SIP) bezeichnet, in einigen Aspekten auf der Oberseite (wie z. B. auf der oberen Oberfläche der Ebene oder PCB 21301) und/oder der Unterseite (wie z. B. auf der Unterseite von 21305) und/oder den Seiten des Packages 21300 konfiguriert sein, was eine Package-auf-Package-Konfiguration bereitstellt.
  • Ein SIP 21321, 21321' kann ein System sein, das dem Package, dem die Ebenen 21301, 21303, 21305 aufweist, auf denen die SIPs 21321, 21321' konfiguriert sind, sehr ähnlich ist. Die SIPs 21321, 21321' können auf dem Package gestapelt und mit ihm auf verschiedene Arten physikalisch verbunden sein. Ferner können die Diee 21306, 21308 mit dem Substrat 21303 durch geeignete Kontakte, die bei 21326 dargestellt sind, in einigen Aspekten verbunden sein. Solche geeigneten Kontakte können Kupferfüllmaterial, Löthöcker oder ein Package aufweisen. Die Kontakte 21326 können sehr kleine Verbindungen innerhalb des Körpers des Package-auf-Package-Aspekts sein. Solche Systemkonfigurationen stellen eine Package-auf-Package-Konfiguration dar.
  • Ferner können ein oder mehrere Diee jeder Baugruppe konfiguriert sein, an der gleichen Frequenz oder an unterschiedlichen Frequenzen zu arbeiten, so dass z. B. ein Die an 5G-Frequenzen arbeitet und ein zweiter Die an WiGig-Frequenzen arbeitet, weil die Dichte innerhalb der Packages wie beschrieben so hoch ist. Ferner können die Antennen/Antennengruppen des Package-auf-Package-Aspekts in irgendeiner aus einer Anzahl von Richtungen oder im Wesentlichen in jeder Richtung abstrahlen, je nach Bedarf, beispielsweise wegen der Orientierung der mobilen Vorrichtung. Mit anderen Worten können Antennen und Antennengruppen über die gesamte Baugruppe 21300, was im Wesentlichen jede gewünschte Richtung des Packages bedeutet, durch Stapeln und physikalisches Verbinden der Packages 21321, 21321' auf der Oberseite, der Unterseite und den Seiten des Packages 21300, oder in Kombinationen davon, wie gewünscht, und in Antennen- und Antennengruppen-Konfigurationen auf oder innerhalb der Packages 21321, 21321' wie gewünscht, gemäß einigen Aspekten platziert sein. Zusätzlich zu dem Vorstehenden kann das Package 21300 auf noch eine weitere Platine (nicht gezeigt) durch Lotperlen 21313, 21315 gelötet sein, die größer als die Lotperlen oder Kontakte 21326 dargestellt sind, weil, während die Lotperlen 21326 innerhalb des Package-auf-Package-Aspekts sind und sehr klein und sehr eng beabstandet sein können, die Lotperlen 21313, 21315 gemäß einigen Aspekten Verbindungen „zu der Außenwelt“ sind.
  • Beispielsweise kann die Platine, auf die das Package 21300 mit Hilfe der Lotperlen 21313, 21315 ferner gelötet ist, die Host-Platine für ein Telefon, ein Tablet, eine mobile Vorrichtung oder ein anderes Endbenutzer-Equipment gemäß einigen Aspekten sein.
  • Ein primärer Unterschied zwischen den 212 und 213 ist, dass die Diee von 213 durch Gussmasse, die die Konfiguration der Diee innerhalb des Substrats schützt und verfestigt, ummantelt sind. Der Vorteil des eingegossenen Aspekts ist, dass die eingebetteten Diee in dem nicht eingegossenen Substrat von 212 schwierig mit hohem Volumen herstellbar sind.
  • Eine Konfiguration mit eingegossenem Substrat ist besser mit Herstellung mit hohem Volumen kompatibel aufgrund, wie vorstehend diskutiert, des verbesserten Ertrags, da die individuellen Diee in ihren jeweiligen Packages geprüft werden können, bevor sie gestapelt werden. Zusätzlich können in einer eingegossenen Konfiguration Komponenten wie 21312, 21314 leicht innerhalb des eingegossenen Substrats konfiguriert werden. Der verwirklichte Die von 212 ist häufig spezifisch für das Einbetten nur eines einzelnen Dies gemäß einigen Aspekten. Ferner ermöglicht die eingegossene Konfiguration von 213 viel mehr dichte Schichten als die nicht eingegossene Konfiguration. In dem eingebetteten Die von 212 ist jede Komponente als ein System verbunden.
  • Falls ein Teil, wie z. B. ein Via, ausfällt, fällt das gesamte System innerhalb des Substrats aus. In der eingegossenen Konfiguration von 213 kann das Substrat selbst separat hergestellt werden, die Schichten, die die Diee verbinden, können separat verbunden sein, und das System wird bis zu dem letzten Schritt nicht miteinander verbunden, wobei der letzte Schritt das Zusammenlöten aller Teile ist. In dem Aspekt von 212 befindet sich intern kein Lötmetall, wobei das System Kupfer-Vias aufweist, von denen die meisten oder alle nahezu gleichzeitig zusammengefügt werden können. Anders ausgedrückt unterscheidet sich der Prozess zum Aufbauen einer eingegossenen gestapelten Baugruppe sehr von dem Aufbauen einer nicht eingegossenen Baugruppe.
  • Beispielsweise können in 213 Stifte auf die untere Schicht der oberen Baugruppe platziert oder beschichtet sein, und diese können zu einem großen Seitenverhältnis und sehr kleinen Durchmessern beschichtet werden. Dann werden die obere und untere Baugruppe unter Verwendung von Löten oder thermo-mechanischer Kompression verbunden. Die Gussmasse ist flüssig, wird eingespritzt und fließt dann und bedeckt die Lücken. Das ist ein Prozess mit höherer Dichte und größerem Ertrag als für eine nicht eingegossene Baugruppe.
  • Der Vorteil des eingegossenen Aspekts ist, dass die eingebetteten Diee in dem nicht eingegossenen Substrat von 212 schwierig mit hohem Volumen herstellbar sind. Eine Konfiguration mit eingegossenem Substrat ist besser mit Herstellung mit hohem Volumen kompatibel aufgrund, wie vorstehend diskutiert, des verbesserten Ertrags, da die individuellen Diee in ihren jeweiligen Packages geprüft werden können, bevor sie gestapelt werden. Zusätzlich können in einer eingegossenen Konfiguration Komponenten wie 21312, 21314 leicht innerhalb des eingegossenen Substrats konfiguriert werden. Der verwirklichte Die von 212 ist häufig spezifisch für das Einbetten nur eines einzelnen Dies gemäß einigen Aspekten.
  • Ferner ermöglicht die eingegossene Konfiguration viel mehr dichte Schichten als die nicht eingegossene Konfiguration. In dem eingebetteten Die von 212 ist jede Komponente als ein System verbunden. Falls ein Teil, wie z. B. ein Via, ausfällt, fällt das gesamte System innerhalb des Substrats aus. In der eingegossenen Konfiguration von 213 kann das Substrat selbst separat hergestellt werden, die Schichten, die die Diee verbinden, können separat verbunden werden, und das System wird bis zu dem letzten Schritt nicht miteinander verbunden, wobei der letzte Schritt das Zusammenlöten aller Teile ist. In dem Aspekt von 212 befindet sich intern kein Lötmetall, wobei das System Kupfer-Vias aufweist, von denen die meisten oder alle nahezu gleichzeitig zusammengefügt werden können.
  • 214 ist eine Seitenansicht eines eingegossenen gestapelten Packages oder eines eingebetteten Dieteilsystem-Funksystems, die zusätzliche Einzelheiten zeigt, gemäß einigen Aspekten. Die Ebenen der Technologien der individuellen Komponenten sind in einigen Aspekten in Tabelle 8 angegeben. Tabelle 8
    Element Option-1 Option-2 Bemerkung
    A 0,23-0,27 mm 0,23-0,27 mm Diese Höhe nimmt den Die und Kondensatoren mit niedrigem Profil auf
    B 0,08 mm 0,1 mm 3L kernloser ultradünner Interposer
    C 0,11 mm 0,11 mm Dicke der Gussmasse
    D 0,06 mm 0,06 mm Dicke des Dies
    E 0,050 - 0,1 mm 0,05-0,1mm 3L kernloses Substrat oder 3L RDL
    F 0,11-0,13 mm 0,11-0,13 mm Mikro-BGA-Höhe
    H 0,65mm-0,75 mm 0,67mm - 0,77 mm Gesamthöhe des Packages einschließlich Stapeln
    Abschirmung konform konform
  • Das Package 21401 stellt ein erstes Package dar, und das Package 21403 stellt ein zweites Package dar. 214 stellt eine dramatische Höhen- und Volumenreduktion durch Verwenden ultradünner Technologie wie z. B. Funktionen des im Substrat integrierten Frontends (iSFE) oder eines zum Substrat externen Frontends (eSFE) dar, die durch Aufdrucken der SMDs und anderer Komponenten in den Package-Substraten (z. B. Laminaten) oder der Host-PCB eines Funkteilsystems gebildet sind. Beispielsweise ist in 214 das Element 21415 ein Entkopplungskondensator (DECAP), der zum Reduzieren von Rauschen nützlich ist, und 21414 ist eine Funktion wie z. B. ein Filter, ein Balun (z. B. ein Transformator), ein Multiplexer, ein Koppler, ein Oberwellenfilter oder Antennen oder dergleichen, die als ein iSFE implementiert sind, wie nachstehend diskutiert ist. Der Pfeil 21413 gibt RF-Funktionen, die in dem Substrat als iSFE aufgedruckt sind, innerhalb des Substrats an. Die Elemente 21429, 21431, 21433 sind Diee, die gemäß einigen Aspekten mmWellen-, Wi-Fi- bzw. LTE-Funksysteme verwirklichen. Es ist bemerkenswert, dass das eSFE 21414 und der DECAP 21415 ungefähr von der Höhe der Diee sind, was drastische Höhen- und Volumenreduktion für diese Funktionen ermöglicht, wie nachstehend genau erläutert ist.
  • Der Pfeil 21409 gibt eine PCB-Ebene mit kurzen Masse--Signal-Masse- (GSG-) Übergängen vom Koax-Typ von oben nach unten und nach außen wie erforderlich an. GSGs sind Einführungen, die in hoch gesteuerte Impedanz ermöglicht und die Emission von Signalen über die Gussmasse oder über die Luft von oben nach unten reduziert. Der Pfeil 21411 gibt kurze Übergänge mit geringem Verlust nach außen an, wobei die Zielimpedanz 30 bis 60 Ohm ist, wie jeweils erforderlich, was mit Hilfe von Lotperlen 21412 sein kann.
  • Es sind auch horizontale Verbindungen 21417 dargestellt, die den Die 21406 mit Funktionen verbinden können, die durch die DECAPs, wie z. B. bei 21435, und das eSFE 21437 implementiert sind. Der Die 21406 in der Gussmasse 21424 kann außerdem mit den Dieen 21429, 21431, 21433 mit Hilfe horizontaler Verbindungen wie z. B. 21419 und Guss-Vias wie z. B. 214221 verbunden sein, die mit horizontalen Verbindungen in Ebene 21401 über die Lötverbindungen 21423 verbunden sein können.
  • 214 stellt eine Package-auf-Package-Implementierung dar, wobei ein Package eine Funkeinrichtung implementieren kann, die in einem oder mehreren Frequenzbereichen wie z. B. mmWellen, Wi-Fi oder LTE an den Dieen 21429, 21431, 21433 auf der Ebene 21401 arbeitet, und ein zweites Package kann eine Funkeinrichtung implementieren, die in einem weiteren Frequenzbereich wie z. B. mmWellen, Wi-Fi oder LTE in dem Die 21406 arbeitet. Die Funktionen 21414, 21415 in dem Package 21401 und 21435, 21437 in dem Package 21403 müssen nicht mehr in diskreten Funktionen implementiert sein, sondern können stattdessen direkt auf der PCB selbst aufgedruckt sein. In Tabelle 8 ist zu sehen, dass die dramatisch dünnen Abmessungen der Komponenten wie z. B. der DECAPs und Induktivitäten, die zum Implementieren von Funktionen wie z. B. einem Filter, einem Balun, einem Multiplexer, einem Koppler, einem Oberwellenfilter oder einer Antenne verwendet sind, so ultradünn sind, dass diese Komponenten auf der PCB selbst aufgedruckt sein können. Die iSFE- und eSFE-Technologie bietet die Möglichkeit, diese Komponenten direkt auf der PCB aufzudrucken, in derselben Ebene wie den Die, falls erwünscht, wie nachstehend erläutert ist.
  • 215 stellt einen Querschnitt einer Rechenplattform mit eigenständigen Komponenten eines RF-Frontend gemäß einigen Aspekten dar. 215 stellt einen Querschnitt 21500 einer Rechenplattform (z. B. einer Leiterplatte eines tragbaren Telefons) dar. Der Querschnitt 21500 weist eine PCB 21501, Lotperlen 21502, ein Laminat oder Substrat 21503 mit Mikrohöckern und Umverteilungsschichten, aktive und passive RF-Vorrichtungen (z. B. Drahtlos-Chip), die oberflächenmontierten Vorrichtungen (SMDs) 21505 und 21506 und eine Gussmasse 21507 auf.
  • Die SMDs 21505 und 21506 können Frontend-Komponenten aufweisen wie z. B. die vorstehend genannten Balune, Antennen, Diplexierer, Multiplexer, Filter (z. B. Bandpass- und Tiefpassfilter) usw. Diese SMDs führen wichtige Funktionen aus. Beispielsweise werden Balune zum Eliminieren von Gleichtaktrauschen verwendet, Diplexierer und Multiplexer ermöglichen das gemeinsame Verwenden von Antennen, und Bandpass/Tiefpassfilter weisen unerwünschte Signale und Blockierer ab. Wenn mehr Frequenzbänder den Rechenplattformen hinzugefügt sind, um zusätzliche Dienste bereitzustellen, wächst die Anzahl von Komponenten weiter. Diese Komponenten können jedoch ungefähr 50 % bis 70 % des Bereichs der Plattform besetzen und können ungefähr 30 % bis 50 % der gesamten Stückliste (BOM) kosten.
  • Eine Aspekte beschreiben ein im Substrat integriertes Frontend (iSFE) oder ein zum Substrat externes Frontend (eSFE), die durch Aufdrucken der SMDs und anderer Komponenten auf die Package-Substrate (z. B. Laminate) oder die Host-PCB gebildet sind. Dadurch sind Einsparungen der seitlichen Fläche und der Höhe der Plattform realisiert. Zusätzlich wird eine hochintegrierte Rechenplattform erreicht.
  • Einige Aspekte beschreiben eine Einrichtung (z. B. eine Rechenplattform), die einen Die (z. B. einen ProzessorDie) mit einer ersten Seite und einer ersten Menge von Lotperlen, die mit dem Die entlang der ersten Seite gekoppelt sind, aufweist. Die Einrichtung weist ferner ein laminatbasiertes Substrat benachbart der ersten Menge von Lotperlen auf, wobei das laminatbasierte Substrat ein darin eingebettetes Symmetriefilter aufweist und wobei das Symmetriefilter mit dem ersten Die über wenigstens eine der Lotperlen in der ersten Menge kommunikativ gekoppelt ist. Hier bildet das Laminat das iSFE. In einigen Aspekten kann, abhängig von der verfügbaren Anzahl von Schichten, der iSFE-Abschnitt auch direkt unterhalb des Dies sein.
  • In einigen Aspekten ist eine Einrichtung bereitgestellt, die Folgendes aufweist: einen ersten Übertragungspfad für ein erstes Frequenzband und einen zweiten Übertragungspfad für ein zweites Frequenzband, das von dem ersten Frequenzband verschieden ist. In einigen Aspekten weist die Einrichtung ferner einen Knoten auf, der dem ersten und dem zweiten Übertragungsweg gemeinsam ist, so dass der Knoten mit einer Antenne gekoppelt sein muss. In einigen Aspekten weist die Einrichtung eine Übertragungsnullschaltung auf, die mit dem gemeinsamen Knoten gekoppelt ist.
  • In einigen Aspekten stellt die Übertragungsnullschaltung die Übertragung von Nullen bereit, die Frequenzen sind, an denen die Signalübertragung zwischen Eingang und Ausgang angehalten ist. Ein Filter verwendet beispielsweise die Übertragungsnullfrequenzen zusammen mit den Frequenzen der Durchlassbandkante und der Welligkeit im Durchlassbereich, um die Übertragungsfunktion zwischen dem Eingang und dem Ausgang des Filters zu bilden, und zum Formen der Antwort des Filters. In einigen Aspekten ist die Einrichtung mit der Übertragungsnullschaltung Teil des iSFE.
  • Das iSFE verschiedener Aspekte kann kostengünstiger sein als andere Integrationsschemas wie z. B. Niedertemperatur-Einbrand-Keramik-(LTCC-) Prozesse oder IPD (integrierte passive Vorrichtungen) auf SOI (Silizium-auf-Isolator) oder Si mit hohem Widerstand oder teurere Laminatbaugruppen. Das iSFE verschiedener Aspekte kann auf Silizium (Si) als eigenständige Komponente oder integriert in eine Si-Baugruppe oder in eine PCB, auf der sich das Si befindet, spezifisch angepasst sein. Der Querschnitt 21500 stellt das Laminat 21503 mit integrierten SMDs 21505 und 21506 dar.
  • 216 stellt einen Querschnitt einer Rechenplattform mit integrierten Komponenten eines RF-Frontend innerhalb eines Laminats oder Substrats gemäß einigen Aspekten dar. 216 stellt den Querschnitt 21600 der Rechenplattform dar. Diejenigen Elemente von 216, die die gleichen Bezugszeichen (oder Namen) wie die Elemente irgendeiner anderen Figur aufweisen, können auf irgendeine Weise ähnlich der beschriebenen arbeiten oder funktionieren, sind jedoch nicht darauf beschränkt. 216 ist hier verwendet, um iSFE und eSFE darzustellen. Obwohl 216 keine Antennen darstellt, werden nachfolgende Figuren hier darstellen, wie die Komponenten und/oder Technologie, die in 216 beschrieben sind, mit mmWellen-Antennen oder Antennen eines anderen Frequenzbereichs implementiert sein können, um einen ultradünnen ProzessorDie-Antennenkomponenten-Chip zu erhalten.
  • Der Querschnitt 21600 stellt das Laminat 21603 mit integrierten SMDs 21605 und 21606 dar. Im Vergleich zu dem Querschnitt 21200 ist hier die BOM reduziert, weil diskrete Komponenten 21505 und 21506 nicht mehr als eigenständige Komponenten benötigt werden und vollständig in das Laminat 21603 integriert sind, das vollständige iSFE-Komponenten bildet. In einigen Aspekten verwendet das Laminat 21603 eine Standard-Siliziumbaugruppensubstrattechnologie mit minimaler Anzahl von Schichten (z. B. weniger als 5 Schichten) und Integrieren/Aufdrucken der Funktionalität des gesamten Frontends in das/auf das Substrat 21603. Das laminatbasierte Substrat 21603 der verschiedenen Aspekte ist kostengünstig unter Verwendung herkömmlicher Schemas wie z. B. kernbasierter oder kernloser Substrate hergestellt. Das laminatbasierte Substrat 21603 der verschiedenen Aspekte ist für Siliziumbaugruppe oder eigenständige Komponente mit dünnem Kern und dünnen vorimprägnierten Schichten förderlich. Das laminatbasierte Substrat 21603 der verschiedenen Aspekte ist auch für Ausgangsverzweigung und für iSFE förderlich. In einigen Aspekten kann das Laminat 21603 eine Metallschicht als die minimale Anzahl von Schichten oder mehrere Schichten aufweisen, abhängig von der Verfügbarkeit der Substratdicke.
  • In einigen Aspekten können, wenn ein Laminat mit einer einzigen Schicht oder 1,5 Schichten oder einer geringen Anzahl von Schichten verwendet wird, Lötverbindungen anstelle von Vias verwendet werden, und der Bereich unterhalb der Vorrichtung auf der Haupt-PCB kann auch als Abschnitte von Induktivitäten und Kondensatoren verwendet werden. Obwohl 216 Lotperlen auf der Oberseite und der Unterseite des Substrats zeigt, ist zu verstehen, dass die Lotperlen durch eine Schachbrettanordnungs- (LGA-) Verbindung ersetzt werden, wobei die Lotperle durch eine Verbindung normaler Oberflächenmontagetechnologie (SMT) ersetzt werden. In einigen Aspekten können Cu- (Kupfer-) Säulen auf der Oberseite und Unterseite oder einer der Ebenen verwendet werden. In einigen Aspekten kann das Substrat einen Hohlraum für den Die neben den integrierten passiven Komponenten aufweisen.
  • In einigen Aspekten kann das Laminat 21603 unter Verwendung von Materialien hergestellt werden, die in gewöhnlichen Packages und PCBs verwendet werden. In einigen Aspekten ist die Material-Permeabilität (εr) des Laminats 21603 im Bereich von 2-30. In einigen Aspekten kann die Dicke des Laminats 21603 im Bereich von 2 µm bis 200 µm sein, abhängig von Dichte- und Isolationsanforderungen. In einigen Aspekten kann das Laminat 21603 unter Verwendung von Mikro-Vias und Durchgangslöchern oder nur einer der Verbindungen hergestellt werden. In einigen Aspekten kann das Laminat 21603 so klein wie 2 Metallschichten mit einem Kern/PrePreg-Material sein. In einigen Aspekten ist das laminatbasierte Substrat unabhängig von Mikro-Vias.
  • Wenn die minimale Anzahl von Metallschichten oder dünne Package-Substrate verwendet werden, ist zu verstehen, dass das lokale Vorhandensein von Masse signifikante Störeffekte hinzufügen kann; während solche Störeffekte in speziellen Fällen sehr nützlich sind, können sie auch die Kopplung zwischen wechselseitig gekoppelten Induktivitäten herabsetzen. In einem solchen Aspekt können die Hauptschichten des Packages in speziellen Gebieten keine lokal vorhandene Masse aufweisen. Zusätzlich ist auch zu verstehen, dass mehrere der Komponenten in den Schaltplänen unter Verwendung diskreter Komponenten wie z. B. SMT-Bandpassfiltern, SMT-Kondensatoren und Induktivitäten oder auf Si-Kondensatoren und Induktivitäten implementiert sein können. Es ist nicht zwingend, dass alle Abschnitte immer als aufgedruckte Komponenten auf dem Substrat integriert sind. Einige Aspekte können auch eine ungerade Anzahl von Schichten in der kernlosen Implementierung eines solchen Substrats aufweisen. Wenn die minimale Anzahl von Schichten verwendet ist, eignen sich die Techniken verschiedener extrem gut für flexible/biegsame Elektronik.
  • Durch Verwenden der richtigen Kombination aus Materialien, Dicke, Konstruktionsregeln und Architektur kann ein vollständiges Frontend für Wi-Fi, BT (Bluetooth) und ein globales Navigationssatellitensystems (GNSS) in dem Substrat 21603 implementiert und integriert sein. Die Aspekte sind jedoch nicht auf die vorstehenden Kommunikationsstandards beschränkt. In einigen Fällen kann Hardware, die anderen Standards wie z. B. WiGig oder 5G-Signalen, die höher als 10 GHz sind, zugeordnet sind, in dem Substrat 21603 implementiert und integriert sein. Somit können, viele, wenn nicht alle, der eigenständigen Komponenten um den Silizium-Chip 21604 vollständig oder nahezu vollständig eliminiert werden, und das Package kann dünner, preiswerter, kleiner und mit besserer Leistung hergestellt werden. Beispielsweise ist die Dicke der Gussmasse 21607 kleiner als die Dicke der Gussmasse 21607, und somit ist die Baugruppendicke (z. B. die Höhe) reduziert.
  • In einigen Aspekten weist das Laminat 21603 ein integriertes Symmetriefilter für jedes Frequenzband auf, das mit anderen Symmetriefiltern in anderen Frequenzbändern mit minimaler Schaltung verbunden sein kann. Somit wird das gemeinsame Verwenden einer einpoligen Antenne oder das gemeinsame Verwenden einer Dipolantenne über mehrere Bänder in Übereinstimmung mit einigen Aspekten erreicht. In einigen Aspekten sind dominante induktive und dominante parasitäre kapazitive Konstruktionen eingesetzt, um Frontend-Komponenten in dem ultradünnen Substrat 21603 und der PCB 21601 ohne signifikante zusätzliche Verarbeitungskosten und ohne die Notwendigkeit für Nicht-Standard-PCB/Substratmaterialien zu integrieren. Durch Verwenden parasitärer Kapazitäten wird eine minimale Anzahl physikalisch realisierbarer Komponenten verwendet, um gewünschte Antworten innerhalb des Bands und außerhalb des Bands zu erreichen. In einigen Aspekten ist in dem Package selbst keine physikalische Masse verwendet. Stattdessen wird in einigen Aspekten die Masse der Referenzplatine verwendet, um eine Metallschicht des Laminats 21603 und/oder die PCB 21601 freizustellen.
  • 217 stellt eine intelligente Vorrichtung oder ein Computersystem oder ein SoC (Einchipsystem), die/das teilweise in dem Laminat/Substrat implementiert ist, gemäß einigen Aspekten dar. Die Konnektivität 21770 kann mehrere unterschiedliche Typen von Konnektivität aufweisen. Um das zu verallgemeinern ist die Berechnungsvorrichtung 21700 mit zellularer Konnektivität 21772 und drahtloser Konnektivität 21774 dargestellt. Zellulare Konnektivität 21772 bezieht sich allgemein auf zellulare Netzkonnektivität, die durch drahtlose Träger bereitgestellt ist, wie z. B. über GSM (globales System für Mobilkommunikation) oder Variationen oder Ableitungen, CDMA (Codemultiplexmehrfachzugriff) oder Variationen oder Ableitungen, TDM (Zeitmultiplex) oder Variationen oder Ableitungen oder andere Standards für zellulare Dienste bereitgestellt ist. Drahtlose Konnektivität (oder drahtlose Schnittstelle) 21774 bezieht sich auf drahtlose Konnektivität, die nicht zellular ist, und kann persönliche Netze (wie z. B. Bluetooth, Nahbereich usw.), lokale Netze (wie z. B. Wi-Fi) oder Weitbereichsnetze (wie z. B. WiMAX) oder andere drahtlose Kommunikation enthalten. In einigen Aspekten sind verschiedene Frontend-Komponenten der zellularen Konnektivität 21774 wie z. B. Antennen, Balune, Diplexer, Triplexer, Multiplexer, Bandpassfilter, Tiefpassfilter usw. als iSFE implementiert.
  • Die vorstehende Technologie findet unter anderem in Implementierungen mobiler Vorrichtung Anwendung. In früheren Implementierungen von IC-Antennenanwendungen mobiler Vorrichtungen besitzt die Prozessor-IC eine direkte Schnittstelle zu der Antenne. Zukünftige mmWellen-Anwendungen oder Anwendungen anderer Frequenzbereiche werden jedoch erfordern, dass Zwischenfunktionen wie z. B. Multiplexer, Symmetrieglieder, Filter oder dergleichen in einer Schaltung zwischen dem ProzessorDie und der Antenne platziert sind. Weil der Platz in Benutzervorrichtungen wie z. B. Mobiltelefonen extrem klein ist, müssen diese Funktionen, die heute normalerweise durch diskrete Komponenten und oberflächenmontierte Vorrichtungen (SMDs) implementiert sind, viel dünner sein als solche diskreten Komponenten und SMDs und viel weniger Volumen einnehmen. Beispielsweise wird erwartet, dass zukünftige Aufschichtungsdicken in dem Bereich von weniger als 100 Mikrometer für den Die und weniger als 200 Mikrometer für Komponenten sind. Folglich müssen diese Komponenten ultradünn sein.
  • Ferner können zukünftige Implementierungen auch mmWellen-Anwendungen mit Wi-Fi-, WiGig- und LTE-Anwendungen kombinieren. Somit wird ein Bedarf für eine Verbindung zwischen Netzen, die in variierenden Frequenzbereichen arbeiten, vorhanden sein. Es wird deshalb ein Bedarf vorhanden sein, dass eine Zwischenschaltung zwischen beispielsweise mmWellen-Antennenlösungen und Wi-Fi-Antennenlösungen in Package-auf-Package- oder Nebeneinander-Implementierungen vorhanden ist. Das gleiche kann für das Zusammenschalten mit LTE- und WiGig-Antennenlösungen gesagt werden. Mit anderen Worten können gestapelte Funkeinrichtungen an unterschiedlichen Frequenzen mit Zwischenkomponenten wünschenswert sein. Es ist zwingend, dass SMDs und Komponenten zwischen Chip und Antenne ultradünne PCB-ähnliche Lösungen mit ultraniedrigem Profil sind, weil mit Package-auf-Package mehr Funkeinrichtungen, mehr Filtern und mehr andere drahtlose Komponenten vorhanden sind, deren Dichte wahrscheinlich kontinuierlich ansteigt. iSFE- und eSFE-Technologie bietet eine Lösung für den Bedarf an ultradünnen Komponenten für diese Funktionen und Verbindungen. Das Verwenden von iSFE- und eSFE-Technologien ermöglicht das Aufdrucken der benötigten Funktionen wie z. B. Symmetrieglieder, Filter und dergleichen direkt in das Substrat selbst, um ultradünne Komponenten herzustellen, die PCB-ähnliche Komponenten sind, und hohe Komponenten wie z. B., die vorstehenden diskreten Komponenten und relativ großen SMDs zu eliminieren oder wesentlich zu reduzieren. Mit anderen Worten können unter Verwendung der iSFE- und eSFE-Technologie die benötigten Funktionen in das Substrat selbst gedruckt werden, nicht als eine Komponente, sondern als aufgedruckte Induktivitäten und Kondensatoren und andere Funktionen, die üblicherweise in diskreten Komponenten zu finden sind. Eine Vielzahl unterschiedlicher Induktivitäten und Kondensatoren kann in das Substrat gedruckt werden und unter anderem zum Zusammenschalten unterschiedlicher Netze, die in Package-auf-Package-Konfigurationen implementiert sind, die von Wi-Fi-Frequenzen bis LTE-Frequenzen zu mmWellen-Frequenzen reichen können, verwendet werden. Als ein Beispiel sind zweischichtige Strukturen als Kondensatoren implementiert worden, wobei die Kondensatorplatten im Bereich von 20 bis 30 Mikrometer sind. Diese Ergebnisse machen diese Komponenten nahezu so unsichtbar (hinsichtlich der Dünnheit) wie möglich, und so dünn, dass sie in derselben Ebene wie der Die sind.
  • 218 ist eine Seitenansicht eines eingebetteten eingegossenen Package-auf-Package-Die-Funksystems, das die vorstehend beschriebenen ultradünnen Komponenten verwendet, die zwischen dem Die und der/den Antenne(n) konfiguriert sein können, gemäß einigen Aspekten. Die Package-auf-Package-Implementierung von 218 ist der Implementierung von 215 sehr ähnlich, obwohl in 218 Funktionen wie z. B. Symmetrieglieder, Filter und dergleichen, die durch eSFE-Technologie implementiert sind, auf der PCB 21808 selbst aufgedruckt sein können, gemäß einigen Aspekten. Das Package 21801 stellt ein erstes Package dar, und das Package 21803 stellt ein zweites Package dar. 218 stellt eine dramatische Höhen- und Volumenreduktion durch Verwenden ultradünner Technologie wie z. B. Funktionen des im Substrat integrierten Frontends (iSFE) oder eines zum Substrat externen Frontends (eSFE) dar, die durch Aufdrucken der SMDs und anderer Komponenten in den Package-Substraten (z. B. Laminaten) oder der Host-PCB eines Funkteilsystems gebildet sind. Beispielsweise ist in 218 das Element 21805 ein Entkopplungskondensator (DECAP), und 21811 ist eine iSFE-Komponente, die beide in der Schaltung verwendet sein können, um RF-Funktionen wie z. B. ein Filter, ein Balun, einen Multiplexer, einen Koppler, ein Oberwellenfilter oder eine Antenne oder dergleichen, die als ein iSFE implementiert sind, zu implementieren, wie vorstehend diskutiert ist. Diese RF-Funktionen können in dem Substrat als iSFE gedruckt sein. Die Elemente 21806, 21807, 21809 sind Diee, die gemäß einigen Aspekten mmWellen-, Wi-Fi- bzw. LTE-Funksysteme verwirklichen. Es ist bemerkenswert, dass das eSFE 21811 und der DECAP 21805 in dem Bereich der Höhe der Diee sind, was drastische Höhen- und Volumenreduktion für diese Funktionen ermöglicht, weil sie in iSFE- und/oder eSFE-Technologie implementiert sind. Der Pfeil 21821 gibt eine PCB-Ebene mit kurzen Masse--Signal-Masse- (GSG-) Übergängen vom Koax-Typ von oben nach unten und nach außen wie erforderlich an. Der Pfeil 21823 gibt kurze Übergänge mit geringem Verlust nach außen an, wobei die Zielimpedanz 30 bis 60 Ohm ist, wie jeweils erforderlich, was mit Hilfe von Lotperlen 21819 sein kann. Der Die 21806 und die eSFE-Komponente 21807 können beide auf der PCB 21808 aufgedruckt sein, wobei die eSFE-Komponente 21807 Teil der Schaltung wie vorstehend beschrieben ist oder sie bildet, gekoppelt zwischen dem Die 21806 und der Antenne (in der Zeichnung wegen Platzüberlegungen nicht gezeigt). Es sind auch horizontale Verbindungen 21810, 21812 dargestellt, die den Die 21806 mit Funktionen verbinden können, die durch die DECAPs, wie z. B. bei 21815, und das eSFE 21817 implementiert sind. Der Die 21806 in der Gussmasse 21824 kann außerdem mit dem DECAP 21815 und dem iSFE 21817 verbunden sein, die Funktionen zwischen dem Die 21806 und der/den Antenne(n) (nicht gezeigt) durch horizontale Verbindungen wie z. B. 21812 und Guss-Vias (ebenfalls nicht gezeigt) implementieren können.
  • 218 stellt eine Package-auf-Package-Implementierung dar, wobei ein Package eine Funkeinrichtung implementieren kann, die in einem oder mehreren Frequenzbereichen wie z. B. Wi-Fi oder LTE bei 21807, 21809 auf der Ebene 21801 arbeitet, und ein zweites Package kann eine Funkeinrichtung implementieren, die in einem weiteren Frequenzbereich wie z. B. mmWellen in dem Die 21806 arbeitet. Die Funktionen 21805, 21811 in dem Package 21801 und die Funktionen 21813, 21815, 21817 in oder auf dem Package 21803 sind nicht als diskrete Komponenten implementiert, sondern sind stattdessen direkt auf der PCB selbst aufgedruckt. Das ist ein Vorteil, den die iSFE- und/oder eSFE-Technologie bietet, mit dem zusätzlichen Vorteil des Aufdruckens von Funktionen wie z. B. bei der eSFE 21813 auf derselben Ebene wie der Die, hier 21806, was die Fähigkeit bietet, diese Komponenten direkt auf der PCB aufzudrucken, in derselben Ebene wie der Die falls gewünscht, implementiert wie vorstehend erläutert. Zusätzlich können in den beschriebenen Package-auf-Package-Aspekten die eSFE- und iSFE-Funktionen vertauscht werden. Beispielsweise kann das iSFE oder eSFE, das einen Wi-Fi-Die 21807 unterstützt, unterhalb der oder auf derselben Ebene wie der mmWellen-Die 21806 platziert sein, und umgekehrt. Mit anderen Worten muss das iSFE/eSFE, das einen speziellen Die an einem speziellen Frequenzbereich unterstützt, nicht in derselben Ebene sein wie der Die, den es unterstützt. Das bietet den Vorteil zum Anordnen der iSFE/eSFE-Schaltung, die einen Die unterstützt, in einer anderen Ebene als der Die, der unterstützt wird, um den Vorteil von mehr Platz auszunutzen, der in einer andren Ebene verfügbar sein kann, gemäß einigen Aspekten.
  • 219 ist eine Seitenansicht des eingegossenen gestapelten eingebetteten Package-auf-Package-Die-Funksystems mit drei aufeinander gestapelten Packages gemäß einigen Aspekten. Bei 21900 sind allgemein drei Packages bei 21901, 21902 und 21903 dargestellt. Die Packages sind so dargestellt, dass sie an LTE-Frequenzen durch die Operation des Dies 21906, an Wi-Fi-Frequenzen durch die Operation des Dies 21908 bzw. an mmWellen-Frequenzen durch die Operation des Dies 21910 in einigen Aspekten arbeiten. Die Packages können eingegossene Packages sein mit Gussmasse an 21924, 21926. Die dargestellten Komponenten sind im Wesentlichen die gleichen entsprechenden Komponenten, wie sie in 218 beschrieben sind, gemäß einigen Aspekten, und können ähnlich funktionieren, wobei eSFE-Komponenten und iSFE-Komponenten die Funktionen der Schaltung zwischen den Dieen und Antennen in einigen Aspekten bereitstellen. Die eSFE- und iSFE-Funktionen können vertauscht sein. Beispielsweise kann das iSFE oder eSFE, das den Wi-Fi-Die 21908 unterstützt, unterhalb der oder auf derselben Ebene wie der mmWellen-Die 21910 platziert sein, was in einigen Aspekten ein Vorteil für die Verwendung des Platzes sein kann.
  • Jedes der hier betrachteten Teilsysteme kann unter Verwendung eines integrierten Chips, eines Einbaugruppensystems, von Software, die auf einen Prozessor abläuft usw. implementiert sein.
  • Es ist eine mmWellen-RF-Architektur für 5G 30 GHz- und 40 GHz-Bänder zusammen mit dem WiGig 60 GHz-Band offenbart, die auf zwei Chips, einer BBIC und einem Hochfrequenz-Frontend (RFFE), hier auch als eine RFIC bezeichnet, basieren kann. Die BBIC und RFIC sind gemäß einigen Aspekten über ein einzelnes RF-Kabel miteinander verbunden. Die offenbarte Architektur ermöglicht gleichzeitiges und autonomes Senden und Empfangen für 5G 2x2-Mehr-Eingang-mehr-Ausgang- (MIMO-)Antennengruppen, entweder in dem 24-29,5 GHz-Spektrum oder in dem 37-45 GHz-Spektrum, parallel zu gleichzeitigem und autonomem Senden und Empfangen für WiGig 2x2-MIMO in dem 57-70 GHz-Spektrum. Die vorstehenden zwei 5G-Frequenzbänder sind die Frequenzbänder, die allgemein zum Unterstützen einer weltweiten Bestandseinheit- (SKU-) Produkts verwendet werden, das das aufkommende vereinbarte 5G-Spektrum kombiniert mit dem neuesten WiGig-Kanal gemäß einigen Aspekten enthält. Mit anderen Worten kann ein Mobiltelefon konfiguriert sein, weltweit zu arbeiten, unabhängig von dem 5G-Frequenzband, das in einer gegebenen Geographie wo sich das Telefon befinden könnte, unterstützt wird (d. h. zum Senden und Empfangen in der gegebenen Geographie verwendet wird). Das offenbarte System stellt diese Fähigkeit mit dem zusätzlichen Vorteil der Verwendung nur eines Kabels zwischen IC-Teilsystemen und mit einer sehr kleinen Anzahl von Frequenz-Synthesizern, in einigen Aspekten mit einer minimalen Anzahl von Synthesizern, bereit. Ein Frequenz-Synthesizer weist allgemein einen digitalen Phasenregelkreis (DPLL) und einen spannungsgesteuerten Oszillator (oder einen digital gesteuerten Oszillator) auf. Die Begriffe DPLL und Synthesizer können hier austauschbar verwendet sein. Obwohl die offenbarte Architektur für den Anwendungsfall des 24-29,5 GHz-Spektrums und des 37-45 GHz-Spektrums ist, werden normale Fachleute erkennen, dass die offenbarte Architektur nicht auf diesen Anwendungsfall eingeschränkt ist. Sollte für verschiedene Geographien letztlich auf andere Frequenzbänder entschieden werden, würde die offenbarte Architektur in den Spektren des entschiedenen Anwendungsfalls arbeiten.
  • In einigen Fällen hier kann das 24-29,5 GHz-Spektrum als 28 GHz, 29,5 GHz oder 30 GHz bezeichnet sein, das 37-45 GHz-Spektrum kann als 39 GHz oder 40 GHz bezeichnet sein, und das 57-70 GHz-Spektrum kann als 60 GHz- oder 70 GHz-Spektrum bezeichnet sein, lediglich als Kurzbezeichnung.
  • Die Standards-Gruppe für 5G hat derzeit vereinbart, dass für das 5G-Ökosystem nur eines der vorstehenden zwei 5G-Frequenzbänder zur jeder gegebenen Zeit für eine Vorrichtung verwendet wird. Beispielsweise kann eines der 5G-Frequenzbänder in den Vereinigten Staaten unterstützt und verwendet werden, während das andere der 5G-Frequenzbänder in Europa unterstützt und verwendet werden kann. Andere Beispiele für Länder können bereitgestellt werden. Oder es kann sein, dass ein Internet-Diensteanbieter (ISP) Dienste in einem der 5G-Frequenzbänder anbietet, während ein weiterer ISP in demselben Land Dienste in dem zweiten der 5G-Frequenzbänder anbietet. Weil vereinbart ist, dass nur eine der vorstehenden zwei 5G-Frequenzbänder zu einer gegebenen Zeit für eine Vorrichtung verwendet wird, wird in einer gegebenen Geographie eines der beiden 5G-Frequenzbänder „ungenutzt“ oder „nicht unterstützt“ sein (d. h. nicht zum Senden oder Empfangen in der gegebenen Geographie verwendet), wobei das spezielle ungenutzte Band von dem Land, in dem die Vorrichtung verwendet wird, oder dem ISP, der verwendet wird, abhängt.
  • Für ein 2x2-MIMO-Antennenteilsystem sind zwei Datenströme (beispielsweise ein Datenstrom mit vertikaler Polarisation und ein Datenstrom mit horizontaler Polarisation) vorhanden, die in demselben Frequenzband gesendet und empfangen werden. Ein Anliegen ist, wie zwei Datenströme, die schließlich an derselben Frequenz von einem MIMO-Antennenteilsystem abgestrahlt werden, über ein einzelnes RF-Kabel von einer BBIC oder einem ähnlichen Teilsystem zu einer RFIC oder einem ähnlichen Teilsystem zur gleichen Zeit ohne unzulässige Verzerrung oder andere RF-Probleme übertragen werden sollen. Ein Aspekt des offenbarten Systems ist es, das „ungenutzte“ Frequenzband aus den vorstehenden zwei 5G-Bändern zu verwenden, um einen der zwei MIMO-Ströme in dem Frequenzband über das RF-Kabel, das die BBIC und die RFIC verbindet, zu senden/empfangen und dadurch wegen der Trennung zwischen den zwei 5G-Frequenzbändern ausreichende Isolation zwischen den beiden Datenströmen bereitzustellen, um die Verzerrung, die durch sie Signale verursacht wird, auf ein Niveau zu verringern, das das Senden und Empfangen für eine drahtlose Benutzervorrichtung wirtschaftlich akzeptabel macht. Im Betrieb führt die BBIC direkte Umsetzung von dem / auf das Basisband auf/von RF aus, und die RFIC führt primär Aufteilen/Kombinieren von Signalen zum Senden zu / Empfangen von mmWellen-Antennenelementen aus, die in einem einheitlichen Antennenteilsystem für 5G und WiGig platziert sind, gemäß einigen Aspekten. Das System ist nachstehend in 220 gezeigt, gemäß einigen Aspekten.
  • Das Verwenden des alternativen („ungenutzten“) 5G-Bands für den zweiten MIMO-Strom erfordert nur eine einzelne Kette in der BBIC für jedes Band und spart somit Siliziumgröße ein. Ein einzelner DPLL für beide MIMO-Ströme ermöglicht das Einsparen von sowohl mehr Siliziumplatz als auch Energieverbrauch. Ein einzelner DPLL für beide MIMO-Ströme stellt Phasenrauschenkohärenz zwischen den beiden Strömen bereit, was zur MIMO-Leistung beiträgt, beispielsweise Streckenbudget und Empfangsempfindlichkeit, im Gegensatz zu einer Synthesizer-Lösung mit einem gemeinsamen Referenztakt. Das Vermeiden von Synthesizern in der RFIC ermöglicht das Einsparen von Siliziumgröße, eliminiert Sogeffekte und Frequenzsprünge eines Synthesizer-VCO oder reduziert sie in hohem Maße und ermöglicht so viel schnellere Übergänge von TX zu RX und umgekehrt, was schließlich zu besserer Systemleistung führt. Zusätzlich bedeutet, dass keine Synthesizer in der RFIC vorhanden sind, dass die RFIC keine komplexen Synchronisationsschemas und Kalibrierung zwischen mehreren RFICs mit unabhängigen Synthesizern erfordert, um Strahlformen für eine große Gruppe auszuführen, in einigen Aspekten. Die direkte Umsetzung auf RF-mmWellen-Frequenzen verbessert die Widerstandsfähigkeit gegen unerwünschte Ausläufer und Emissionen, was normalerweise große Filter vermeidet und somit Siliziumgröße einspart. Zusätzlich verbessert die direkte Umsetzung auf mmWellen-Frequenzen, wie hier beschrieben, die Koexistenz mit anderen Kommunikationsprotokollen wie z. B. Langzeitentwicklung (LTE), drahtloses lokales Netz (WLAN), Bluetooth (BT) und globales Navigations- und Satellitensystem (GNSS) aufgrund der Trennung (nachstehend diskutiert) zwischen mmWellen-Frequenzen und Frequenzen unterhalb von 6 GHz dieser Protokolle.
  • 220 ist ein Blockdiagramm auf hoher Ebene einer mmWellen-RF-Architektur für 5G und WiGig gemäß einigen Aspekten. Das System 22000 weist die BBIC 22001 auf, die mit einer oder mehreren RFICs 22003 über 22003 mit Hilfe von RF-Kabeln 22002 bis 22002 verbunden ist. In einigen Aspekten können ein oder mehr Kabel vorhanden sein, jedes mit seiner eigenen RFIC, wie durch die vertikalen Punkte in der Zeichnung angegeben ist. Mit anderen Worten können N Kabel und N RFICs vorhanden sein. In einigen Aspekten könnte 8 ein Wert für N sein, beispielsweise für Basisstationen, und 2, beispielsweise für Mobiltelefone. Normale Fachleute können Systeme mit anderen maximalen Werten für N implementieren, abhängig von den Konstruktionsanforderungen. Jede RFIC ist mit einem mmWellen-Antennenteilsystem für 5G und WiGig, 22005 bis 22005, gekoppelt. In einigen Aspekten können ein oder mehr Antennenteilsysteme vorhanden sein, jedes mit seiner eigenen RFIC, wie durch die vertikalen Punkte in der Zeichnung angegeben ist. Mit andren Worten können N Antennenteilsysteme mit Beispielwerten für N vorhanden sein, wie vorstehend diskutiert. Die Basisband/RF-Umsetzung (und umgekehrt), die in der BBIC 22001 ausgeführt wird, wird in einigen Aspekten mit nur zwei Synthesizern vorgenommen: einem Synthesizer für direkte Aufwärts/Abwärtsumsetzung für WiGig in dem 57-70 GHz-Spektrum parallel mit einem weiteren Synthesizer für 5G-Dual-MIMO-Ströme, einer aus dem Paar von Strömen in dem 24-29,5 GHz-Spektrum und einer in dem Paar von Strömen in dem 37-45 GHz-Spektrum, wie nachstehend diskutiert ist. Es ist kein zusätzlicher Synthesizer für die RFICs 22003 bis 22003 erforderlich.
  • 221 stellt einen Frequenzumsetzungsplan für eine mmWellen-RF-Architektur für 5G und WiGig gemäß einigen Aspekten dar. Das Aufwärtsumsetzungsschema in 221 ist für einen Sender (TX). Die Abwärtsumsetzung für den Empfänger (RX) ist im Konzept im Wesentlichen gleich. Im Allgemeinen wird ein 5G MIMO-Dualstrom über ein einzelnes RF-Kabel mit ausreichender Isolation aufgeteilt. Ein erster MIMO-Strom (aus zwei) wird direkt von Basisband auf RF während des Sendens aufwärtsumgesetzt und von RF auf Basisband während des Empfangs abwärtsumgesetzt, entweder in dem 5G 24-29,5 GHz-Frequenzband oder in dem 5G 37-45 GHz-Frequenzband. Der zweite MIMO-Strom verwendet das alternative RF-Band, das derzeit nicht benutzt wird, entweder das 37-45 GHz-Frequenzband oder das 24-29,5 GHz-Frequenzband. Ein einziger Synthesizer erzeugt sowohl die RF-Frequenz als auch eine Zwischenfrequenz (IF) durch Multiplizieren der RF mit 3/2 in dem Fall des 24-29,5 GHz-Bands oder durch Multiplizieren der RF mit 2/3 in dem Fall des 37-45 GHz-Bands. Die LO-Frequenz wird dann gemäß einigen Aspekten aus Subtrahieren des der RF von dem IF erzeugt. Sowohl die IF als auch der LO werden über ein einzelnes Kabel mit ausreichender Isolation von dem ersten MIMO-Strom in der RF angesteuert. In der RFIC wird in einigen Aspekten während des Sendens ein Mischer verwendet, um die RF-Frequenz für den zweiten MIMO-Strom durch Multiplizieren des IF- und des LO-Signals wiederherzustellen (oder während des Empfangs das RF-Signal in IF durch Multiplizieren mit dem LO-Signal aus der BBIC umzusetzen). Jeder der MIMO-Ströme ist über eine RF-Kette (die PAs, LNAs, Phasenschieber und Kombinierer/Splitter enthält) mit einer dedizierten Antennengruppe verbunden, jeder Strom mit einer anderen Polarisation (ein Strom mit horizontaler Polarisation und der andere Strom mit vertikaler Polarisation), gemäß einigen Aspekten. Das vorstehende Multiplizieren und die vorstehende Subtraktion können als Frequenzumsetzungen durch einen Frequenzumsetzer, der eine Multipliziererschaltung aufweist, und einen Frequenzumsetzer, der eine Subtraktionsschaltung aufweist, oder eine Kombination beider Schaltungen betrachtet werden, gemäß einigen Aspekten.
  • Das System 22100 weist ein analoges Kombinations-RF-Silizium auf, das eine BBIC 22101 aufweist. In diesem Aspekt ist der DAC 22110 mit dem Mischer 22112 gekoppelt, der mit dem Verstärker 22114 gekoppelt ist, der mit dem Bandpassfilter (BPF) 22116 in der Bank der BPFs 22160 gekoppelt ist. Der Digital/Analog-Umsetzer (DAC) 22110 sendet ein horizontal polarisiertes 5G-Breitbandsignal zu dem Mischer 22112. Der DAC 22111 ist mit dem Mischer 22113 gekoppelt, dessen Ausgang mit dem Verstärker 22115 gekoppelt ist, wobei der Verstärker mit dem BPF 22117 gekoppelt ist. Ein Synthesizer, der einen digitalen Phasenregelkreis (DPLL) 22118 aufweist und der einen digital gesteuerten Oszillator (DCO) (nicht gezeigt) aufweisen kann, erzeugt ein Signal im 5G 37 GHz - 45 GHz-Spektrum, das das vertikal polarisierte Breitbandsignal aus dem DAC 22111 über den Mischer 22113 in das 5G 37 GHz - 45 GHz-Band aufwärtsumsetzt, das dann verstärkt und über das Kabel 22102 mit Hilfe des BPF 22117 zu der RCIF 22103 gesendet wird. Ein 5G-Signal des lokalen Oszillators (LO) von 12-15 GHz wird durch Multiplizieren des 5G-Signals in das 37-45 GHz-Band mit 1/3 bei 22122 erzeugt, wobei das LO-Signal dann über den Verstärker 22124 und das BPF 22126 über das Kabel 22102 gesendet wird. Ein zweites 5G-RF-Signal, das ein Signal im 24-29,5 GHz-Band ist, wird durch Multiplizieren des Synthesizer-Ausgabesignals mit 2/3 bei 22120 erzeugt. Das 5G-RF-Signal in dem 24-29,5 GHz-Band wird dann mit dem horizontal polarisierten Basisbandsignal aus dem DAC 22110 in dem Mischer 22112 gemischt, um das horizontal polarisierte Basisbandsignal in das 24-29,5 GHz-Band aufwärtsumzusetzen.
  • Zusätzlich gibt es, da der vertikal polarisierte Strom und der horizontal polarisierte Strom in demselben 5G-Frequenzband für TX oder RX durch ein 2x2-MIMO-Antennenteilsystem in einigen Aspekten sein werden, eine Notwendigkeit, die beiden Ströme von der BBIC über dasselbe Kabel zu der RFIC zu senden, ohne dass ein Strom den anderen Strom auf dem Kabel verzerrt. Das kann in einigen Aspekten durch Verschieben eines der Ströme in ein anderes (d. h. „ungenutztes“) Frequenzband in der BBIC erreicht werden, um die beiden Ströme in zwei Frequenzbändern getrennt durch eine ausreichende Frequenz zu senden, um Isolation zwischen den zwei Strömen bereitzustellen, wenn sie über das Kabel gesendet werden. Wenn die beiden Ströme durch die RFIC empfangen werden, kann der Strom, der in ein anderes Frequenzband verschoben wurde, zu seinem Frequenzband zum Senden durch das Antennenteilsystem über eine RF-Kette zurück verschoben werden. Anders ausgedrückt werden, wenn sie aus der BBIC über das Kabel gesendet werden, die beiden Ströme durch das Frequenzband getrennt, und nachdem sie durch die RFIC empfangen worden sind, werden die beiden Ströme durch getrennte RF-Ketten im Silizium getrennt. Als ein Beispiel kann, falls die beiden Ströme ein vertikal polarisiertes Signal in dem 30 GHz-Band und ein horizontal polarisiertes Signal in dem 30 GHz-Band sind, einer der beiden Ströme zum Senden über das Kabel in das 40 GHz-Band aufwärtsumgesetzt werden, und dann, wenn er an der RFIC empfangen wird, zurück in das 30 GHz-Band verschoben werden. Es kann darauf geachtet werden vorzusehen, dass die beiden Ströme an jeweils dem gleichen Leistungspegel sind (was ohnehin eine Anforderung für MIMO ist), um selbstinduziertes Rauschen in einem Frequenzband, das vorhanden sein und Rauschen in dem anderen Frequenzband verursachen kann, zu minimieren oder aufzulösen, trotz Aufwärtsumsetzung und Abwärtsumsetzung. Zwei Ströme an relativ demselben Leistungspegel kann in einigen Aspekten Leistungspegel innerhalb etwa 10 dB zueinander bedeuten. Ferner und wie nachstehend beschrieben wird, sollte das Signal in jedem Frequenzband sein eigenes BPF auf der BBIC an dem Eingang zu dem Kabel und auf der RFIC an dem Ausgang des Kabels aufweisen, um jedes Signal zu trennen, was auch das vorstehende Rauschen reduziert. Die beiden Ströme können dann gesendet werden, ein Strom über das 5G-Frequenzband, das in der speziellen Geographie, in der die Benutzervorrichtung verwendet wird, unterstützt und verwendet wird, und der andere Strom über das 5G-Frequenzband, das in der speziellen Geographie ungenutzt oder „nicht unterstützt“ ist.
  • In der folgenden Beschreibung wird, falls die Geographie oder der ISP, die/der der Benutzervorrichtung zugeordnet ist, in dem 28 GHz-Band arbeitet, der Kontakt 22131 des Schalters 22130A auf die Position 22134 eingestellt, der Kontakt des Schalters 22150A wird auf die Position 22154 eingestellt, und das „ungenutzte“ Frequenzband ist das 39 GHz-Band, gemäß einigen Aspekten. Ähnlich wird, falls die Benutzervorrichtung in eine Geographie einer einen ISP-Bereich verlagert wird, die/der das 39 GHz-Band unterstützt, der Kontakt 22131 des Schalters 22130A auf die Position 22132 eingestellt, und der Kontakt 22151 des Schalters 22150A wird auf die Position 22152 eingestellt, und das „ungenutzte“ Frequenzband ist das 28 GHz-Band, gemäß einigen Aspekten. Obwohl das Wort „Kontakt“ eine mechanische Verbindung bedeuten könnte, kann, wie es hier verwendet ist, „Kontakt“ auch eine elektrische Verbindung bedeuten, wobei eine elektronische Vorrichtung vorgespannt oder auf eine andere Weise auf eine spezielle Position „eingestellt“ wird. Schalter können hier als geeignete Schaltungen elektronischer Vorrichtung implementiert sein, wie z. B. Feldeffekttransistor- (FET-) Schaltungen oder Schaltungen anderer Vorrichtungen. Die elektronischen Vorrichtungen können als die hier beschriebenen Schalter agieren und können konfiguriert sein, automatisch auf die geeignete Position eingestellt zu werden, wenn sich die Benutzervorrichtung von einer Geographie oder einem ISP-Bereich zu einer anderen Geographie oder einem anderen ISP-Bereich bewegt, so dass das „ungenutzt“ Frequenzband zu dem „verwendeten“ Frequenzband wird, wie vorstehend in diesem Abschnitt diskutiert ist.
  • Die RFIC 22103 enthält die BPFs 22130, 22140 und 22150, die in der BPF-Bank 22162 dargestellt sind. Das BPF 22130 ist mit dem Schalter 22130A verbunden, der einen Kontakt 22131 aufweist, der auf die Positionen 22132 und 22134 eingestellt werden kann, abhängig von der Geographie oder dem ISF, wie vorstehend diskutiert. Die Position 22132 verbindet mit dem Mischer 22138, der dann mit dem Splitter/Verstärker 22139 verbindet, dessen Ausgang mit dem Antennenteilsystem 22190 gekoppelt ist. Die BPFs 22130, 22140 und 22150 sind gemäß einigen Aspekten mit dem Kabel 22102 verbunden. Das BPF 22130 empfängt am Eingang 22129 ein horizontal polarisiertes RF-Signal in dem Band 24-29,5 GHz, das mit Hilfe des BPF 22116 in das Kabel 22102 eintritt. Das BPF 22140 empfängt am Eingang 22141 das LO-Signal zwischen 12-15 GHz, das mit Hilfe des BPF 22126 in das Kable eintritt. Das BPF 22150 empfängt bei 22149 das horizontal polarisiert Signal in dem 37-45 GHz-Band, das in das Kabel mit Hilfe des BPF 22117 eingetreten ist. Das BPF 22150 ist mit dem Schalter 22150A verbunden, der den Kontakt 22151 aufweist, der auf die Positionen 22152 und 22154 eingestellt werden kann. Die Position 22154 verbinden mit dem Splitter/Verstärker 22158 und stellt dadurch das vertikal polarisierte Signal in dem 39 GHz-Band für das Antennenteilsystem 22190 bereit, gemäß einigen Aspekten. Das LO-Signal in dem 12-15 GHz-Band, das an dem Eingang 22141 empfangen wird, läuft von dem BPF 22140 über die Leitung 22142 zu den Mischern 22138 und 22156 weiter. Der Mischer 22156 ist mit dem Splitter/Leistungsverstärker 22158 verbunden. Wenn der Kontakt 22131 des Schalters 22130A auf die Position 22132 eingestellt ist, läuft das horizontal polarisierte Signal in dem 24-29,5 GHz-Band zu dem Mischer 22138 weiter, wo es mit dem LO-Signal in dem 12-15 GHz-Band gemischt wird, um das horizontal polarisierte Signal in dem 39 GHz-Band für den Splitter/Leistungsverstärker 22139 und dann für das Antennenteilsystem 22190 bereitzustellen. Somit läuft das vertikal polarisierte Signal in dem 39 GHz-Band direkt von der Position 22152 über den Splitter/Leistungsverstärker 22153. Das horizontal polarisierte Signal in dem 39 GHz-Band wird erzeugt, wenn der Kontakt des Schalters 22130A mit dem Mischer 22138 mit Hilfe der Position 22132 verbunden ist und das Mischen des LO-Signals und des 24-29,5 GHz-Signals in dem Mischer 22138 das horizontal polarisierte 39 GHz-Signal erzeugt, gemäß einigen Aspekten.
  • Wenn der Kontakt 22131 des Schalters 22130 auf die Position 22134 eingestellt ist, läuft das horizontal polarisierte Signal in dem 24-29,5 GHz-Band, das an dem Eingang 22139 des BPF 22130 über das BPF 22116 empfangen wird, direkt zu dem Splitter/Leistungsverstärker 22136 und wird zu dem Antennenteilsystem 22190 übertragen. Wenn der Kontakt 22151 des Schalters 22150A auf die Position 22154 eingestellt ist, wird das vertikal polarisierte Signal in dem 37-45 GHz-Band, das über das BPF 22117 an dem Eingang 22139 des BPF 22130 empfangen wird, in dem Mischer 22156 mit dem LO-Signal in dem 12-15 GHz-Band aus dem BPF 22140 gemischt, um das vertikal polarisierte Signal in dem 28 GHz-Band zu erzeugen. Somit wird das vertikal polarisierte Signal in dem 28 GHz-Band durch Mischen erzeugt, und das horizontal polarisierte Signal in dem 28 GHz-Band wird dadurch erzeugt, dass der Schalter 22130A auf den Kontakt 22134 eingestellt ist, um das horizontal polarisierte Signal direkt zu dem Splitter/Leistungsverstärker 22136 zu senden. 221A ist ein Schaltbild der Frequenzzuweisung für das 5G 40 GHz-Frequenzband, wie es mit Bezug auf 221 erläutert ist, gemäß einigen Aspekten. Das Frequenzaufwärtsumsetzungsschema von 221 dient zum Senden. Das Abwärtsumsetzungsschema zum Empfangen ist im Konzept im Wesentlichen gleich dem Schema zum Senden. In 221A ist der DPLL1 so dargestellt, dass er ein Signal in dem 5G 37-43,5 GHz-Frequenzband bereitstellt, das verwendet werden kann, um ein vertikal polarisiertes 5G-Signal auf das 37-43,5-Frequenzband aufwärtsumzusetzen, gemäß einigen Aspekten. Das Multiplizieren des Signals in dem 37-43,5-Frequenzband mit 2/3 ergibt ein Signal in dem 5G 24-29,5 GHz-Frequenzband, das verwendet werden kann, um ein horizontal polarisiertes 5G-Signal auf das 24-29,5 Frequenzband aufwärtsumzusetzen, gemäß einigen Aspekten. Das Ausgabesignal aus dem DPLL1 kann auch mit 1/3 multipliziert werden, um ein LO-Signal in dem 12-15 GHz-Frequenzband zu bilden, wie dargestellt, gemäß einigen Aspekten. In 221A ist der DPLL2 so dargestellt, dass er in ein dem WiGig 57-70 GHz-Frequenzband bereitstellt. Dieses WiGig-Signal kann verwendet werden, um horizontal polarisierte WiGig-Signale und vertikal polarisiert WiGig-Signale auf weitgehend die gleiche Weise wie sie für das Schaltbild der Frequenzbandzuweisung für das 5G 40-GHz-Frequenzband beschrieben wurde, zu modulieren, ebenfalls für die Aufwärtsumsetzung zum Senden, gemäß einigen Aspekten.
  • Phasenrauschenkohärenz zwischen den verschiedenen MIMO-Strömen wird gewahrt durch das Stützen auf das Konzept, dass die Synthesizerquelle, die verwendet wird, um einen Strom über das ungenutzte 5G-Frequenzband aus einem der zwei Bänder (40 GHz oder 390 GHz) zu verschieben, auch verwendet wird, um den Strom zurück zu seiner geeigneten Sendefrequenz zu verschieben, wie in 221B zu sehen ist. 221B stellt eine Synthesizerquelle, die zum Verschieben des zweiten Frequenzbandstroms aus zwei Frequenzbandströmen über das ungenutzte 5G-Frequenzband verwendet wird, gemäß einigen Aspekten dar. In 221B führt das Kabel 22102 die Signale IF1, IIF2 und das Signal LO des lokalen Oszillators über individuelle Leitungen in dem Kabel gemäß einigen Aspekten. Das Signal IF2 wird mit dem lokalen Oszillator LO bei 22112B gemischt, um das IF2-Signal auf das geeignete 5G-Band aufwärtsumzusetzen. Das aufwärtsumgesetzte Signal wird dann in den Mischer 22138B eingegeben, wo das gleiche LO-Signal verwendet wird, um das Signal auf das geeignete 5G-Band abwärtsumzusetzen. Die Aufwärtsumsetzung fügt Phasenrauschen hinzu, aber die Abwärtsumsetzung subtrahiert das gleiche Phasenrauschen in Übereinstimmung mit den nachstehenden Gleichungen (1) und (2). Die Ergebnisse sind durch Laborprüfung verifiziert worden. sin ( ω L O t + φ ( t ) ) sin ( ω I F 2 t ) = 0.5 cos ( ω L O t + ω I F 2 t + φ ( t ) ) + image
    Figure DE112017006442T5_0013
    cos ( ω L O t + ω I F 2 t + φ ( t ) ) sin ( ω L O t + φ ( t ) ) = 0.5 sin ( ω I F 2 t ) + image
    Figure DE112017006442T5_0014
  • In einigen Aspekten weist das Signal IF2 gegen das Signal IF1 eine Verzögerungsdifferenz von ungefähr ΔT < 1 nsec auf, äquivalent zu 1 GHz. 221C stellt das Phasenrauschspektrum hinsichtlich der Phasenrauschleistung über ein Frequenzband von 100 MHz dar. Die Kurve 22170 über dieses Frequenzband gibt einen vernachlässigbaren Rauschbeitrag oberhalb von 100 MHz an.
  • 222 stellt ein Sende-Aufwärtsumsetzungs-Frequenzschema für 5G für ein 40 GHz-Frequenzband gemäß einigen Aspekten dar. Ein Beispiel für Aufwärtsumsetzen auf das 5G 40 GHz-Band unter Nutzung des „ungenutzten“ 5G 30 GHz-Bands ist gezeigt: der vertikale Polarisationsstrom ist direkt auf das 30 GHz-Band umgesetzt, während der horizontale Polarisationsstrom das 30 GHz-Band verwendet und dann durch Mischen mit dem LO zurück auf das 40 GHz-Band umgesetzt wird.
  • In 222 weist das System 22200 die BBIC 22201 auf, die durch das Kabel 22202 mit der RFIC 22203 verbunden ist. 222 ist sehr ähnlich zu 221, fügt jedoch das WiGig-Signal parallel mit den zwei 5G-Signalen hinzu. In der BBIC 22201 weist der DAC Basisband-WiGig als eine Ausgabe auf. Der DAC 22231 ist mit dem Mischer 22233 verbunden. Ein DPLL 22234 für das geeignete WiGig-Frequenzband, hier 57-71 GHz, ist mit einem zweiten Eingang mit dem Mischer 22233 verbunden. Die Mischfunktion stellt dann eine WiGig-RF in dem WiGig-Band 57-71 GHz bereit, die zu dem Verstärker 22235 und dann zu dem BPF 22237 in der BPF-Bank 22260 läuft, gemäß einigen Aspekten. Die RFIC 22203 weist das BPF 22241 in der BPF-Bank 22262 auf, das das WiGig-RF 57-71 GHz-Bandsignal über das Kabel 22202 als eine Eingabe an 22238 empfängt, wo es direkt zu dem Splitter/Leistungsverstärker 22243 und dann zu dem Antennenteilsystem 22290 in dem geeigneten Gigahertz-Frequenzband, hier als 60 GHz bezeichnet, übertragen wird gemäß einigen Aspekten.
  • Die Kombination des DAC 22210 und des Mischers 22212, des DPLL 22218, der Multiplizierer 22220 und 22222, der Verstärker 22214, 22224 und der BPFs 22216, 22226 ist im Wesentlichen die gleiche Kombination und stellt im Wesentlichen die gleichen Funktion bereit wie die Kombination des DAC 22110, des Mischers 22112, des DPLL 22118 und der Multiplizierer 22120 und 22122, der Verstärker 22114, 22124 bzw. der BPFs 22116, 22126 von 221. Mit anderen Worten erzeugt der DPLL 22218, wie der DPLL 22118 von 221, ein vertikal polarisiertes 5G-Signal in dem 37-45 GHz-Frequenzband. Ähnlich, wie in 221, wird das Signal in dem 37-45 GHz-Band mit 1/3 multipliziert, um das Signal LO des lokalen Oszillators in dem 12,3-15 GHz-Band bereitzustellen, das dann in dem Verstärker 22224 verstärkt und über das Kabel 22202 über das BPF 22226 übertragen wird, gemäß einigen Aspekten. Das Signal aus dem DPLL 22218 wird ebenfalls mit 2/3 multipliziert bei 22220, um ein Signal in dem 24-29,5 GHz-Frequenzband bereitzustellen, das das horizontal polarisierte 5G-Basisbandsignal aus dem DAC 22210 auf ein horizontal polarisiertes Signal in dem 24-29,5 GHz-Band mit Hilfe des Mischers 22212 aufwärtsumsetzt, gemäß einigen Aspekten. Ähnlich ist die Kombination des DAC 22211, des Mischers 313, des Verstärkers 315 und des BPF 317 im Wesentlichen gleich der Kombination des DAC 22111, des Mischers 22113, des Verstärkers 22115 und des BPF 22117 von 22121 und stellt im Wesentlichen die gleiche Funktion bereit. Mit anderen Worten wird das vertikal polarisierte Basisbandsignal aus dem DAC 22211 über den Mischer 22213 in ein vertikal polarisiertes Signal in dem 37-45 GHz-Band aufwärtsumgesetzt, das dann über den Verstärker 22215 und das BPF 22217 für das Kabel 22202 bereitgestellt wird, gemäß einigen Aspekten.
  • Die RFIC 22203 weist die Kombination aus dem Bandpassfilter 22230, dem Schalter 22230A, dem Kontakt 22221, dem Mischer 22238 und dem Splitter/Leistungsverstärker 22239 auf, die im Wesentlichen die gleiche Kombination ist wie das BP 22130, der Schalter 22130A, der Kontakt 22131 und der Mischer 22138 von 221 ist und im Wesentlichen die gleiche Funktion bereitstellt. Ähnlich ist das BPF 22240 mit dem Mischer 22238 und dem Mischer 22256 über die Leitung 22242 verbunden, was in Kombination im Wesentlichen gleich der Kombination aus dem BPF 22140, der Leitung 22142, dem Mischer 22138 und dem Mischer 22156 von 221 ist und im Wesentlichen die Funktion bereitstellt. Schließlich ist die Kombination aus dem BPF 22250, dem Schalter 22250A, dem Kontakt 22251 und dem Splitter/Leistungsverstärker 22253 im Wesentlich gleich dem BPF 22150, dem Schalter 22150A, dem Kontakt 22151, dem Mischer 22156 und dem Splitter/Leistungsverstärker 22158 von 221 und stellt im Wesentlichen die gleiche Funktion bereit. Folglich wird, wie in 221, das horizontal polarisierte Signal in dem 39 GHz-Band dadurch bereitgestellt, dass der Kontakt 22231 auf die Position 22232 eingestellt ist, so dass das horizontal polarisierte Signal in dem 39 GHz-Band durch das horizontal polarisierte 24-29,5 GHz-Signal, das durch das Kabel 22202 über das BPF 22216 zu dem BPF 22230 läuft, erzeugt wird. Dieses Signal wird dann durch die Operation des Mischers 22238 mit dem LO-Signal in dem 12,3-15 GHz-Band abwärtsumgesetzt, das über das Kabel 22202 über das BPF 22226 zu dem Eingang 22241 des BPF 22240 der RFIC 22203 läuft. Ähnlich zu 221, wenn Kontakt 22251 des Schalters 22250A der RFIC 22203 auf die Position 22252 eingestellt ist, läuft das vertikal polarisierte Signal in dem 39 GHz-Band direkt von dem 37-45 GHz-Signal über das BPH 2217 in der BBIC 22201 über das Kabel 22202 zu dem Eingang 22249 des BPF 22250 zu dem Splitter/Leistungsverstärker 22253 Das vertikal polarisierte 39-GHz-Frequenzsignalband wird deshalb direkt erzeugt.
  • 223 stellt ein Sendeaufwärtsumsetzungsschema für ein 28 GHz-Sendeszenario gemäß einigen Aspekten dar. In diesem Fall wird, da angenommen ist, dass das 28 GHz-Band wegen geographischer oder ISP-Anforderungen in Betrieb ist, der Kontakt des Schalters 22330A auf Position 22333 eingestellt sein, und der Kontakt des Schalters 22350A wird auf die Position 22352 eingestellt sein. In 223 stellt die Kombination aus dem BPF 22330, dem Schalter 22330A, dem auf die Position 22333 eingestellten Kontakt 22331 und dem Splitter/Leistungsverstärker 436 im Wesentlichen die gleiche Funktion bereit wie das BPF 22130, der Schalter 22130A, der auf die Position 22134 eingestellte Kontakt 22231 und der Splitter/Leistungsverstärker 22136 in 221 und sind im Wesentlichen die gleiche Kombination, gemäß einigen Aspekten. Die Kombination aus dem BPF 22340, dem Mischer 22356, dem BPF 22350, dem Schalter 22350A, dem auf die Position 22352 eingestellten Kontakt 22351 und dem Splitter/Leistungsverstärker 22358 sind im Wesentlichen die gleiche Kombination wie die Kombination aus dem BPF 22150, dem Schalter 22150A, dem auf die Position 22154 eingestellten Kontakt 22151, dem Mischer 22156 dem Splitter/Leistungsverstärker 22158 von 221 und stellen im Wesentlichen die gleiche Funktion bereit, gemäß einigen Aspekten.
  • Jetzt zu den Strömen, die die vertikal polarisierten und horizontal polarisierten Signale in dem 28 GHz-Band aufweisen, wird das horizontal polarisierte Signal aus dem Basisband auf das 24 bis 29,5 GHz-Band in dem Mischer 22312 der BBIC 22301 aufwärtsumgesetzt und wird über den Verstärker 22314 und das BPF 22316 zu dem Eingang des Kabels 22302 übertragen, gemäß einigen Aspekten. Das horizontal polarisierte Signal in dem 24-29,5 GHz-Band wird deshalb an dem Eingang 22329 des BPF 22330 empfangen und direkt zu dem Splitter/Leistungsverstärker 22336 zum Übertragen zu dem Antennenteilsystem 22390 übertragen, gemäß einigen Aspekten.
  • Das vertikal polarisierte Signal wird auf das 37-45 GHz-Band in dem Mischer 22313 der BBIC 22301 aufwärtsumgesetzt und wird über den Verstärker 22315 und das BPF 22317 zu dem Eingang des Kabels 22302 übertragen, gemäß einigen Aspekten. Dieses vertikal polarisierte Signal wird über das Kabel 22302 an dem Eingang 22349 des BPF 22350 empfangen. Das Signal wird über den Kontakt 22351 des Schalters 22350A, der wie vorstehend diskutiert auf die Position 22352 eingestellt ist, übertragen. Das vertikal polarisierte Signal in dem 37-45 GHz-Band wird deshalb zu dem Mischer 22356 übertragen. Das Signal des lokalen Oszillators LO in dem 12,3-15 GHz-Band wird über den Verstärker 22324 und das BPF 22326 der BBIC 22301 zu dem Kabel 22302 übertragen, gemäß einigen Aspekten. Dieses Signal wird durch die RFIC an dem Eingang 22341 des BPF 22340 empfangen und wird über die Leitung 22342 zu beiden Mischern 22338 und 22356 übertragen. Das vertikal polarisierte Signal in dem 37-45 GHz-Band wird in dem Mischer 22356 mit dem LO-Signal abwärtsumgesetzt, um das vertikal polarisierte Signal, jetzt in dem 28 GHz-Frequenzband, zu erzeugen, das zu dem Splitter/Leistungsverstärker 22358 und dann zu dem Antennenteilsystem 22390 übertragen wird, gemäß einigen Aspekten.
  • Das vorstehend diskutierte System arbeitet unter der Annahme, dass beide 5G-Frequenzbänder in einer gegebenen Geographie nicht zur gleichen Zeit arbeiten (nicht unterstützt werden). Sollte sich diese Bedingung ändern, so dass in einigen Geographien ein Bedarf vorhanden ist, dass beide 5G-Frequenzbänder gleichzeitig arbeiten, könnte das durch Bereitstellen eines zusätzlichen Frequenzsynthesizers in der BBIC erreicht werden, so dass beide 5G-Frequenzbänder über das Kabel gleichzeitig übertragen werden könnten, gemäß einigen Aspekten. Das ist ganz ähnlich dem WiGig-Frequenzband und dem 5G-Frequenzband, die über das Kabel übertragen werden, wie vorstehend diskutiert ist. In diesem Fall müsste immer noch eine RF-Kette für jedes 5G-Frequenzband in der RFIC vorhanden sein, aber es gäbe keinen Bedarf für Mischer, um ein Signal zurück in sein ursprüngliches 5G-Frequenzband zu verschieben.
  • 224A ist ein erster Abschnitt eines BBIC-Blockdiagramms gemäß einigen Aspekten. 224B ist ein zweiter Abschnitt eines BBIC-Blockdiagramms gemäß einigen Aspekten. In den 224A und 224B fehlt das vorstehend diskutierte LO-Signal. Die 224A und 224B sind jedoch aufgenommen, um die nachstehend diskutierten Funktionen darzustellen.
  • 224A stellt zusätzliche Einzelheiten für das in den 222 und 223 dargestellte System dar. In diesem Aspekt ist die Schaltung hinsichtlich phasengleichen und Quadratur- (IQ-) Komponenten beschrieben. Beispielsweise stellt 22401 ein Sendeschema dar, wobei das Element 22403A eine phasengleiche Signalquelle darstellt, während 22405A eine Quadratur-Signalquelle für WiGig darstellt. Das Element 22407 stellt die geeignete Takterzeugung dar. Bei 22403 ist das Empfangsschema für den gleichen Typ von Elementen dargestellt. Die gleichen Elemente sind für jedes der zwei 5G-Frequenzbänder für sowohl ein Sendeschema als auch ein Empfangsschema dargestellt. In 224B arbeiten die Schalter 22451, 22452, 22455, um das System in die TX-Betriebsart, RX-Betriebsart oder, falls gewünscht, zum Prüfen und zur Kalibrierung, in die Rückschleifenbetriebsart zu versetzen. Rückschleife bezieht sich auf das Lenken elektronischer Signale, digitaler Datenströme oder Flüsse von Elementen von ihrer Quelle durch ein System und zurück zu ihrer Quelle ohne gewollte Verarbeitung oder Modifikation. Das ist primär ein Weg zum Prüfen der Übertragungs- oder Transportinfrastruktur eines Systems. Es existieren verschiedene Implementierungen oder Aspekte. Als ein Beispiel kann ein Kommunikationskanal mit nur einem Kommunikationsendpunkt geprüft werden. Jede Nachricht, die durch einen solchen Kanal übertragen wird, wird sofort und nur durch diesen selben Kanal empfangen. In der Telekommunikation führen Rückschleifenvorrichtungen Übertragungsprüfungen von Zugangsleitungen von der versorgenden Vermittlungsstelle aus, die üblicherweise nicht die Unterstützung von Personal an dem versorgten Endgerät erfordern. Das offenbarte System weist diese Fähigkeit dadurch auf, dass der Schalter die RFIC trennt und die Schleife zwischen TX und RX zum Prüfen und zur Kalibrierung des Systems, normalerweise der Kalibrierung der BBIC, schließt, gemäß einigen Aspekten. In einigen Aspekten adressieren das Prüfen und die Kalibrierung IQ-Unsymmetrie. Die TX-, RX- und Rückschleifenbetriebsart werden durch die Funksendeempfängersteuerung (RTC) 22457 gesteuert, die Steuersignale von einer Prozessoreinheit (wie einen oder mehr als einen digitalen Prozessor aufweisen kann), nicht gezeigt, empfängt. Die Schalter 22451, 22452, 22455 weisen jeweils drei Positionen auf, die für jeden der Schalter als 22453, 22453A (oben), 22453B (unten) und 22453C (Mitte) benannt sind, gemäß einigen Aspekten. Wenn der Kontakt des Schalters 22453 auf 22453 A geschaltet ist (und die Kontakte der Schalter 22451 und 22455 ebenfalls auf die obere Position geschaltet sind), ist das System in der TX-Betriebsart. Wenn der Kontakt des Schalters 22453 auf 22453B geschaltet ist (und die Kontakte der Schalter 22451 und 22455 ebenfalls auf die untere Position geschaltet sind), ist das System in der RX-Betriebsart. Wenn der Kontakt des Schalters 22453 auf 22453C geschaltet ist (und die Kontakte der Schalter 22451 und 22455 ebenfalls auf die mittlere Position geschaltet sind), ist das System in der Rückschleifenbetriebsart. In einigen Aspekten kann die Rückschleifenbetriebsart verwendet werden, um bei Bedarf das/die vorstehend diskutierte Prüfen und Kalibrierung durchzuführen.
  • Der Zwischenfrequenz- (IF-) Schalter 22458, der als ein Schalter/Splitter/Kombinierer für das Senden und Empfangen von Strömen zu/von bis zu vier RFICs arbeitet, von denen jede ihr eigenes Kabel aufweist, wie vorstehend diskutiert. Hier sind die Kabel als 22402-1, 22402-2, 22402-3 und 22402-4 dargestellt. Einer oder mehrere der vier Ströme kann auf diese Weise zum Senden/Empfangen geschaltet sein.
  • Beispielhafte Blockdiagramme des Synthesizers A und des Synthesizers B sind in 224B ebenfalls dargestellt. Wenn ein Frequenzsynthesizer konstruiert wird, ist die genaue Frequenz, die synthetisiert werden soll, im Allgemeinen nicht die Anfangsfrequenz des Synthesizers. Allgemein kann die Anfangsfrequenz ein Vielfaches von 2 oder eine Division durch zwei der endgültigen Frequenz sein. Hier weist ein Synthesizer einen spannungsgesteuerten Oszillator (VCO) oder einen digital gesteuerten Oszillator (DCO) (nicht gezeigt) und einen DPLL wie z. B. 22460 auf. Der DCO erzeugt eine spezifische Frequenz, wie durch den DPLL streng gesteuert wird. Schließlich wird der Synthesizer eine spezifische Frequenz bereitstellen, beispielsweise eine Frequenz, auf der gesendet werden soll, gemäß einigen Aspekten. Zwei Teiler 22462, 22464 teilen ihre Eingabefrequenz, hier ein Signal in dem 46-60 GHz-Band, durch 2 und stellen die genannten Signale (in dem 23-30 GHz-Band und dem 11,5-15 GHz-Band) bereit. Diese Signale werden dann jeweils addiert, um, wie in der Figur angegeben, die gewünschte Frequenz zu erzeugen, wie z. B. hier eine LO-Frequenz in dem 37-45 GHz-Band für 5G (hier als 40 GHz als Kurzform angegeben). Der Synthesizer B arbeitet ähnlich, außer dass das Signal in dem anfänglichen Frequenzband (46-60 GHz) mit dem Signal in dem 11,5-15 GHz-Band addiert wird, um an dem Signal in dem 57-70 GHz-Band für WiGig anzukommen (hier als LO-60 GHz-Band als Kurzform angegeben).
  • 225 ist ein genaues Blockdiagramm einer integrierten Hochfrequenzschaltung (RFIC) gemäß einigen Aspekten. 225 ist ähnlich den RFIC-Komponenten der 222 und 223, jedoch mit zusätzlichen Einzelheiten. In der RFIC 22500 wird die Duplexsteuerung über den Duplexer 22505 zu und von der RFIC durch die Funksendeempfängersteuerung (RTC) 22503 gesteuert. Ein digitaler Niederenergie-Phasenregelkreis (LPDPPL) 22501 stellt den Takt bereit. Der LPDPPL 22501 weist eine herabgesetzte Frequenzleistung auf, jedoch einen sehr geringen Energieverbrauch. Der LPDPPL 22501 ist in einigen Aspekten als ein Takt für die RTC 22503 verwendet. Der LPDPPL 22501 ist, obwohl er zur Energieeinsparung gewollt herabgesetzt ist, ist zur Verwendung als Takt gut geeignet. Die RTC 22503 wird für solche Funktionen wie Versetzen des Systems in die Sendebetriebsart, Versetzen des Systems in die Empfangsbetriebsart, Versetzen des Systems in die Rückschleifenbetriebsart, Aufbauen spezieller Register für verschiedene Funktionen, Abstimmen der Verstärkung verschiedener Verstärker für verbesserte oder optimale Konfiguration und dergleichen verwendet, gemäß einigen Aspekten. Obwohl eine Funkeinrichtung ein sehr analoges System ist, sind Funktionen vorhanden, wie z. B. die vorstehenden, die digital gesteuert werden müssen, was durch die RTC erreicht werden kann, die ein digitales System ist, das dedizierte Steuerinformationen abtastet, hier als RTC-Dateneingabe in die RTC 22503 bezeichnet. Diese RTC-Daten kommen über das Kabel von der BBIC, die solche Informationen von einem Systemprozessor empfängt.
  • In einigen Aspekten werden alle Informationen über das Kabel gesendet und empfangen, so dass zusätzlich zu den vier Signalen über das Kabel (5G 28 GHz-Band-Signal, 5G 39 GHz-Band-Signal, LO und WiGig-Band-Signal) auch Steuersignale über das Kabel kommen. Folglich ist innerhalb des offenbarten 5G-mmWellen-Systems das Funksendeempfängersteuerungssystem vorhanden. Die RTC weist eine Komponente auf jeder Seite des Kabels auf, weist eine eigene Frequenz auf, die die Frequenzen des mmWellen-Systems, in dem es sich befindet, nicht stört und die Kommunikation zwischen dem offenbarten mmWellen-System und dem RTC-System bereitstellt, gemäß einigen Aspekten. Anders ausgedrückt wird die in 225 dargestellte RFIC durch die RTC 22503, die ihre Steuerbefehle über das Kabel von einem Steuerprozessor empfängt, gesteuert, gemäß einigen Aspekten. In einigen Aspekten kann sich die BBIC auf der Hauptplatine der mobilen Vorrichtung befinden, während sich die RFIC im Allgemeinen an einem Ort befindet, der Abstrahlung der Signale über das Antennenteilsystem in die Luft ermöglicht. In einigen Aspekten kann die RFIC an das Glas direkt unterhalb des Bildschirms innen in der mobilen Vorrichtung geklebt sein.
  • 225 stellt außerdem Paare von Viererkabel-Antennensteuerung wie z. B. 22502 dar, die Phasenschieber wie z. B. 22504 und bidirektionale Verstärker 22506 aufweisen, die als zwei Dreiecke in entgegengesetzter Richtung dargestellt sind, um Leistungsverstärkung zum Senden und rauscharme Verstärkung zum Empfangen zu kennzeichnen. Die Verstärker 22506 können auch individuelle Verstärker sein, einer zum Senden und einer zum Empfangen. Falls die Verstärker bidirektionale Verstärker zur Verstärkung in beiden Richtungen sind, würden sie in einigen Aspekten durch einen Befehl, der nachstehend diskutiert ist, von der RTC auf Leistungsverstärker (PA) zum Senden und rauscharmen Verstärker (LNA) zum Empfangen umgeschaltet. In 225 sind die Viererkabel-Paare 22515, 22517 für das WiGig 57-70 GHz-Band, die Viererkabel-Paare 22544, 22546 sind für das 5G 24-29 GHz-Band, und die Viererkabel-Paare 22565, 22568 sind für das 5G 37-44 GHz-Band. Die Viererkabel-Paare 22515, 22517 sind mit dem Splitter/Kombinierer 22509 über die bidirektionalen Verstärker 22511, 22513 verbunden. Die Schalter 22531, 22551 und die Mischerteilsysteme 22538, 22556 sind allgemeine Darstellungen der RFIC-Schalter-Mischer-Schaltung der 222 und 223.
  • 226 ist ein Blockdiagramm des vollständigen Systems, das Steuersignale auf dem Kabel darstellt, gemäß einigen Aspekten. Die BBIC 22601 ist mit der RFIC 22603 mit Hilfe des Kabels 22602 verbunden. Es ist zu sehen, dass der horizontal polarisierte 5G-Strom H zum Senden zu der und auch zum Empfangen von der RFIC 22605 an dem DAC 22620 bzw. dem ADC 22611 erzeugt wird. Der DAC 22610, der ADC 22611 und der DPLL 22613 arbeiten wie für die entsprechenden Komponenten in den 222 und 223 erläutert. Es ist zu sehen, dass der vertikal polarisierte 5G-Strom V zum Senden zu der und zum Empfangen von der RFIC 22603 an dem DAC 22621 bzw. dem ADC 22623 erzeugt und verschoben wird. Der DAC 22621, der ADC 22623 und der DPLL 22613 arbeiten ebenfalls wie für die entsprechenden Komponenten in den 222 und 223 erläutert. Das LO-Signal in dem 12,3-15 GHz-Band wird erzeugt wie in den 222, 223 beschrieben und durch das Kabel 22602 über die Leitung 22643 gesendet. Die Verstärker 22615, 22617 sind Verstärker für die H-Sende- bzw. Empfangsströme, wobei der aktive Verstärker durch den Schalter 22620 ausgewählt wird. Ähnlich sind die Verstärker 22626, 22628 Verstärker für die V-Sende- bzw. Empfangsströme, wobei der aktive Verstärker durch den Schalter 22622 ausgewählt wird. Allgemein ausgedrückt können die Schalter durch einen Steuerungsprozessor gesteuert werden, der das Senden und Empfangen des Systems steuern kann. Allgemein sind die Schalter alle in der Sendebetriebsart oder alle in der Empfangsbetriebsart.
  • Auf der RFIC-Seite werden der V-Strom und der H-Strom und LO zum Senden und Empfangen empfangen. Antennensteuerungs-Viererkabel sind allgemein bei 22664 bzw. 22666 zum Senden des vertikal polarisierten Stroms und des horizontal polarisierten Stroms dargestellt. Steuerungs-Viererkabel weisen Phasenschieber, PAs und LNAs auf, die in einigen Aspekten in einen bidirektionalen Verstärker integriert sein können, der zum Senden/Empfangen auf PA bzw. LNA schaltbar ist. Das horizontal polarisierte Signal in dem 24-29,5 GHz-Band wird über die Leitung 22652 empfangen. Wenn der Schalter 22630A in der Position 22634 ist, wird das horizontal polarisierte Signal in dem 24-29,5 GHz-Band zu der Viererkabel-Antennensteuerung 22674 gesendet. Wenn der Schalter 22630A in der Position 22632 ist, wird das horizontal polarisierte Signal in dem 24-29,5 GHz-Band 5G-LO-Signal in dem 12-15 GHz-Band in dem Mischer 22638 gemischt, und das resultierende horizontal polarisierte Signal in dem 37-45 GHz-Band wird aus dem Mischer 22638 zu der Antennensteuerungs-Viererleitung 22670 gesendet. Das vertikal polarisierte Signal in dem 37-45 GHz-Band wird an der Leitung 22654 empfangen. Wenn der Schalter 22650A in der Position 22661 ist, wird das vertikal polarisierte Signal in dem 37-45 GHz-Band zu der Antennensteuerungs-Viererleitung 22672 gesendet. Wenn der Kontakt des Schalters 22650A in der Position 22663 ist, wird das vertikal polarisierte Signal in dem 37-45 GHz-Band zu dem Mischer 22656 gesendet, wo es mit dem LO-Signal in dem 12-15 GHz-Band über die Leitung 22653 gemischt wird, und das resultierende vertikal polarisierte 24-29,5 GHz-Signal wird von dem Mischer 22656 zu der Antennensteuerungs-Viererleitung 22676 gesendet. Das Vorstehende war für die Sendebetriebsart. In der Empfangsbetriebsart sind die Operationen genau entgegengesetzt und stellen das empfangene Signal für die BBIC 01 bereit.
  • Wie vorstehend mit Bezug auf 225 diskutiert, wird die RFIC durch die RTC 22503 gesteuert, die ihre Steuerbefehle über das Kabel von einem Steuerungsprozessor empfängt, gemäß einigen Aspekten. Das ist in 226 dargestellt, wo das Steuermodem 22664, der LPDPPL 22662 und der Referenztakt 22666 Steuersignale, die von einem Prozessor über die Leitung 22668 empfangen werden, für die RFIC 22603 über die Leitungen 22645 bzw. 22646 bereitstellen. Der Referenztakt 22676 stellt den Takt für die RTC 22603 der RFIC bereit (wie in 225 diskutiert ist) und stellt außerdem den Takt für den LPDPPL 22662 und das Steuermodem 22664 bereit. Wie aus den Leitungen 22641, 22642, 22643, 22644, 22645 und 22646; und den Leitungen 22651, 22652, 22653, 22654, 22655 und 22656 zu sehen ist, werden alle Informationen von und zu der BBIC 22601 und zu und von der RFIC 22603 über nur ein Kabel 22602 übertragen. Interessant ist, dass der Referenztakt 766, der zur Steuerung verwendet wird, nur in einer Richtung auf dem Kabel 22602 gesendet wird, nämlich zu der RFIC. Das Steuermodem 22664 verbindet mit dem BPF 22671, und der LPDPPL 22662 und der Referenztakt 22666 verbinden mit dem Tiefpassfilter 22673 auf der BBIC-Seite. Das Steuermodem 22663 verbindet mit dem BPF 765 über die Leitung 755, um Steuersignale von dem Steuermodem 22664 in der BBIC zu empfangen. Ähnlich verbindet auf der RFIC-Seite der LPDPPL 22661 mit dem LPF 22667, um Signale aus der Leitung 22656 zu empfangen, gemäß einigen Aspekten.
  • Weiterhin ist die Tatsache interessant, dass, weil alle Signale auf den vorstehenden Leitungen laufen, die Bänke der BPFs (und für einige Steuersignale LPFs) auf jeder Seite des Kabels 22602 benötigt werden, um die Signale auf jeder Seite des Kabels 22602 voneinander zu trennen, so dass die Signale zu den geeigneten Komponenten gesendet werden, in beiden Richtungen. Die Signale werden von und zu der BBIC und von und zu der RFIC für die Sendebetriebsart und die Empfangsbetriebsart übertragen, gemäß einigen Aspekten.
  • 227 stellt eine schematische Zuweisung von Hochfrequenz (RF), Zwischenfrequenz (IF) und Frequenz des lokalen Oszillators (LO) für einen Durchlauf über eine Vielzahl von Kanalabschnittsoptionen gemäß einigen Aspekten dar. 227 stellt dar, dass mehrere Kanaloptionen vorhanden sind, hier 12 mögliche Kanäle, die Kombinationen von WiGig- und 5G-Kanälen sind. 227 stellt bei 22701 das WiGig-DPLL-Signal dar. Das vertikal polarisierte 5G 30 GHz-Signal und das horizontal polarisierte 30 GHz-IF-Signal sind bei 22703 und 22705 dargestellt. Das Signal des lokalen Oszillators ist bei 22707 dargestellt. Das 5G-Steuersignal ist bei 22709 dargestellt, und das WiGig-Steuersignal ist bei 22711 dargestellt.
  • 227 stellt das, dass, unabhängig von dem ausgewählten Kanal, eine gute Frequenztrennung zwischen und unter allen Signalen vorhanden ist, wie aus der vertikalen Achse zu sehen ist. Diese Frequenztrennung ermöglicht ausreichende Isolation der Frequenzbänder, wenn sie über das Kabel übertragen werden, wie vorstehend diskutiert ist. Allgemein ist keine zusätzliche Isolation auf dem Kabel und den Frequenzbandtrennungen, die die gewünschte Isolation bereitstellen, vorgesehen, wobei die Frequenzbänder über BPFs (und in einem Steuerungsfall einem LPF) empfangen werden, wie vorstehend mit Bezug auf die 222, 223 und 226 diskutiert ist, in einigen Aspekten. Die Informationen für 227 wurden aus einer einfachen Simulation abgeleitet. Die Dicke der Linien ist äquivalent der Bandbreite. Beispielsweise ist das WiGig-Signal in dem 57-71 GHz-Band und kann 4 GHz breit sein, während die 5G-Signale 1 GHz breit sein können. Es ist zu sehen, dass das LO-Signal eine sehr schmale Bandbreite aufweist. Das 5G-Steuersignal kann eine Bandbreite von 300 MHz aufweisen. Jede Liniendicke spiegelt die Breite des Kanals innerhalb des Bands wider.
  • 228 stellt ein LO-Sender-Aufwärtsumsetzungsschema mit fester Frequenz gemäß einigen Aspekten dar. Als eine Anfangsbemerkung lässt 228 eine Darstellung der BPLs und LPLs weg, die sowohl auf der BBIC-Seite als auch der RFIC-Seite in 226 dargestellt und vorstehend als wichtig für das offenbarte System diskutiert sind. Ähnlich lässt 229, wie nachstehend diskutiert werden soll, die BPLs und das LPL auf der BBIC-Seite weg. Die BPLs und LPLs sind in beiden Figuren lediglich wegen Platzmangel in den Zeichnungen weggelassen. Es ist jedoch zu verstehen, dass sich in der Praxis die BPLs und LPLs sowohl in 228 als auch in 229 wie in 226 dargestellt und beschrieben befinden würden und in beiden funktionieren würden.
  • In 228 ist die BBIC 901 mit der RFIC 22803 durch ein einzelnes Kabel 22802 verbunden. Die BBIC 22801 weist den DAC 22810 auf, der an dem Mischer 22812 angeschlossen ist, der an dem Verstärker 22816 angeschlossen ist, in einer Sendekonfiguration auf. Die Ausgabe des Verstärkers 22816 ist ein vertikal polarisiertes Signal in dem 5G 23,8-30,3 GHz-Frequenzband, wie nachstehend diskutiert, und wird über das Kabel 22802 auf die gleiche Weise wie in den 222, 223 und 226 übertragen. Wie vorstehend erwähnt sind wegen Überlegungen zur Zeichenfläche die BPFs auf jeder Seite des Kabels nicht gezeigt. Der DPLL 22818, mit einem 5G-Frequenzbereich von 23,8-30,3 GHz, ist ebenfalls mit dem Mischer 22812 verbunden. Der DPLL 22818 ist außerdem mit dem mit dem Mischer 22812A verbunden, gemäß einigen Aspekten. Ein zweiter Synthesizer 22818A (der als ein digitaler Niederenergie-Phasenregelkreis (LPDPPL) bezeichnet ist) erzeugt ein Signal mit fester Frequenz von 13,2 GHz. Der LPDPPL 22818A ist mit dem Mischer 22812A und dem Verstärker 22824 verbunden. Das Ausgabesignal des Verstärkers 22824 ist ein Signal der Frequenz 13,2 GHz und wird als ein LO-Signal über das Kabel 22802 zu der RFIC 22803 übertragen, gemäß einigen Aspekten. Der DAC 22811 ist mit dem Mischer 22813 verbunden, der auch mit dem Mischer 22812A verbunden ist, Der Ausgang des Mischers 22813 ist mit dem Verstärker 22815 verbunden. Die Ausgabe des Verstärkers 22815 ist ein vertikal polarisiertes Signal in dem 37-43,5 GHz-Frequenzband, wie nachstehend diskutiert ist, das über das Kabel 22802 zu der RFIC 22803 übertragen wird, gemäß einigen Aspekten. Die RFIC 22803 ist im Wesentlichen die gleiche Konfiguration wie die RFIC 22203, 22303 und 22603 in den 222, 223 und 226 und stellt im Wesentlichen die gleiche Funktion bereit, gemäß einigen Aspekten. Die BBIC 22801 weist außerdem die WiGig-Schaltung 22831, 22833, 22834 und 22835 und ihre zugeordnete Schaltung in der RFIC 22803 auf. Das Ausgabesignal des Verstärkers 22835 in dem WiGig 5G 57-72 GHz-Frequenzband wird über das Kabel 22802 in der gleichen Weise wie in den 222, 223 und 226 übertragen. Da der Betrieb dieser Schaltung wie vorstehend diskutiert ist, muss er hier nicht beschrieben werden.
  • Im Betrieb wird das horizontal polarisierte Basisbandsignal aus dem DAC 22810 in dem Mischer 22812 mit einem Signal in dem 5G 23,8 bis 30,3 GHz-Band aus dem DPLL 22818 gemischt, gemäß einigen Aspekten. Die Ausgabe des Mischers 22812 ist ein horizontal polarisiertes 5G-Signal in dem 23,8 bis 30,3 GHz-Frequenzband, das dann über das Kabel 22802 zu der RFIC 22803 über den Verstärker 22816 übertragen wird, gemäß einigen Aspekten. Die Ausgabe des LPDPPL 22818A wird über das Kabel 22802 zu der RFIC 22803 als ein LO-Signal von 13,2 GHz übertragen, gemäß einigen Aspekten. Die Ausgaben des DPLL 22818 und des LPDPPL 22818A werden in dem Mischer 22812A gemischt, um ein 5G-Signal in dem 5G 37-43,5 GHz-Frequenzband, das mit dem Mischer 22813 verbunden ist, bereitzustellen. Die Basisbandausgabe des DAC 22811 und die Ausgabe des Mischers 22812A werden in dem Mischer 22813 gemischt, um ein vertikal polarisiertes 5G-Signal aus dem DAC 22811 in dem 5G 37-43,5-GHz-Frequenzband, das über das Kabel 22802 zu der RFIC übertragen wird, bereitzustellen, gemäß einigen Aspekten. Der Betrieb der RFIC 903 in Bezug auf die Signale, die über das Kabel 22802 übertragen werden, ist im Wesentlichen gleich wie für die 222, 223 und 226 beschrieben ist, und muss hier nicht beschrieben werden.
  • Das in 228 dargestellte feste LO-Schema, das beispielsweise 13,2 GHz als die LO-Frequenz verwendet, ermöglicht auch MIMO-Phasenrauschen-Frequenzrauschen-Kohärenz und weist den Vorteil auf, dass es eine feste LO-Referenz in der RFIC aufweist, die auch zur genauen Zeitsteuerung verwendet werden kann. Dieses Schema erfordert einen zusätzlichen Synthesizer 22818A in 228 zum Erzeugen des festen LO. Mit anderen Worten wären in diesem Aspekt von 228 drei Synthesizer vorhanden, anstelle von zwei Synthesizern wie in den 222, 223 und 226. Da jedoch dieser zusätzliche Synthesizer 22818A sowohl zur Aufwärts- als auch zur Abwärtsumsetzung verwendet wird, wird sein induziertes Phasenrauschen ausgelöscht, und somit kann er um eine Größenordnung von wenigstens 10 weniger Energie verbrauchen, im Vergleich zu den andere hier verwendeten Synthesizern.
  • 229 stellt Dualumsetzung in einem Funksystem mit einer ersten Umsetzung mit einem festen LO, gefolgt von einer zweiten Umsetzung mit einem variieren den LO, gemäß einigen Aspekten dar. Direkte Umsetzung, wie hier beschrieben, die von dem Basisband direkt auf RF geht, weist Vorteile auf, kann jedoch zu Phasengleichheits- und Quadratur- (IQ-) Kalibrierungsproblemen führen. In einigen Aspekten kann duale Umsetzung verwendet werden, um solche Probleme zu adressieren und die IQ-Kalibrierung zu vereinfachen. Duale Umsetzung kann unter Verwendung einer ersten Umsetzung auf eine Frequenz, die niedriger ist als die letztlich gewünschte Frequenz, und dann eine Umsetzung auf die letztlich gewünschte Frequenz implementiert sein. 229 arbeitet wie mit Bezug auf die 222 und 223 beschrieben ist, außer dass duale Umsetzung verwendet ist. In 229 gibt der DAC 22910 ein horizontal polarisiertes Basisbandsignal aus und ist mit einem ersten Mischer 22912 verbunden, der mit einem zweiten Mischer 22944 verbunden ist. Ein erster DPLL 22918 erzeugt ein festes 5G-Signal bei 48 GHz, das mit 1/6 multipliziert wird, um ein 8 GHz-Signal zu erreichen, wobei dieses Signal mit dem Mischer 22912 verbunden ist, gemäß einigen Aspekten. Ein zweiter DPLL 22942 erzeugt ein 5G-Signal in dem 13-21 GHz-Band, das zu dem zweiten Mischer 22944 übertragen wird. In einer ersten Umsetzung in dem Mischer 22912 wird das horizontal polarisierte Signal aus dem DAC 22910 in ein horizontal polarisiertes Signal einer festen Frequenz von 8 GHz aufwärtsumgesetzt. An diesem Punkt kann das System in die Rückschleifenbetriebsart versetzt werden, wie vorstehend mit Bezug auf die Schalter 22451, 22453 und 22455 von 224B diskutiert ist, und Kalibrierungsprobleme können nach dieser ersten Umsetzung auf eine feste Frequenz, die niedriger (hier 8 GHz) ist als die letztlich gewünschte Frequenz, gelöst werden. Diese duale Umsetzung bietet den Vorteil, dass IQ-Ungleichgewichte einfacher gelöst werden, falls die erste Umsetzung auf eine feste Frequenz in einem relativ niedrigen Frequenzbereich ist.
  • Die zweite Umsetzung in dem zweiten Mischer 22944 setzt das horizontal polarisierte 8 GHz-Signal in ein horizontal polarisiertes 5G-Signal in dem gewünschten 22-29,5 GHz-Frequenzbereich um. Dieses horizontal polarisierte Signal in dem gewünschten 22-29,5 GHz-Frequenzbereich ist die Ausgabe des Verstärkers 22914, die über das Kabel 22902 übertragen wird, gemäß einigen Aspekten. Das vertikal polarisierte Signal aus dem DAC 22911 wird auf ähnliche Weise in einer dualen Umsetzung umgesetzt, die ähnlich der dualen Umsetzung für das horizontal polarisierte Signal aus dem DAC 22910 arbeitet, außer dass die tiefere Frequenz 24 GHz ist und die zweite Umsetzung in dem Mischer 22946 deshalb das vertikal polarisierte Signal auf den gewünschten 37-48-GHz-Frequenzbereich umsetzt, das über das Kabel 22902 über den Verstärker 22915 übertragen wird, gemäß einigen Aspekten. Das 48 GHz-Ausgabesignal des DPLL 22918 wird mit 1/3 multipliziert, um zu einem 5G LO-Signal von 16 GHz zu werden, das über das Kabel 22902 als die Ausgabe des Verstärkers 22924 übertragen wird, gemäß einigen Aspekten. Die RFIC 22903 funktioniert auf die gleiche Weise wie die zweite RFIC der 222, 223 und 226 und muss hier nicht beschrieben werden.
  • 230 stellt eine DTC-Struktur 23000 in Übereinstimmung mit einigen Aspekten dar. Die DTC-Struktur 23000 kann in einem DTx-Sender einer Kommunikationsvorrichtung vorgesehen sein. Die DTC-Struktur 23000 kann in die digitale Sendeschaltung 365 der in 3C gezeigten Sendeschaltung 315 integriert sein, obwohl die Struktur 23000 nicht darauf beschränkt ist. 230 zeigt eine vereinfachte Architektur; die DTC-Struktur 23000 kann in anderen Aspekten mehr Elemente als gezeigt aufweisen. Die in 230 gezeigte DTC-Struktur 23000 kann einen zeitverschachtelten DTC 23010 gekoppelt mit einer Unterschwingungsinjektionverriegelung in einem injektionsverriegelten mmWellen-Oszillator 23024 bereitstellen, wie nachstehend genauer diskutiert ist. Die Verwendung der Kombination aus dem zeitverschachtelten DTC 23010 und dem injektionsverriegelten mmWellen-Oszillator 23024 in einem DTCbasierten Phasenmodulator und Phasenschieber kann das Erzeugen von amplituden- und phasenmodulierten Signalen über die Bandbreite, die in Systemen der nächsten Generation verwendet wird, erlauben.
  • Die DTC-Struktur 23000 kann an einer RF-Frequenz arbeiten, die tiefer ist als eine mmWellen-Zielfrequenz. Der Takt 23002 für den DTC 23010 kann von einem Referenzsignal (REF) abgeleitet werden. Insbesondere kann das Referenzsignal dem Takt 23002 entweder über einen Phasenregelkreis (PLL) oder einen multiplizierenden Verzögerungsregelkreis (MDLL) 23004 zugeführt werden. Eine Ausgabe des PLL/MDLL 23004 kann einem digital gesteuerten Oszillator (DCO) 23006 zugeführt werden. Die Ausgabe des DCO 23006 kann der Bereich über die Kanalbandbreite sein, z. B. von 1700 MHz zu der gewünschten mmWellen-Frequenz. Die Ausgabe des DCO 23006 kann als eine Eingabe sowohl jedem der individuellen DTCs 23012 des zeitverschachtelten DTC 23010 zugeführt werden, als auch als Rückkopplung dem PLL/MDLL 23004 zugeführt werden. In einigen Aspekten können Mittel zum Empfangen eines Referenzoszillationssignals und/oder Mittel zum Reduzieren einer Frequenz des Referenzoszillationssignals auf ein Signal einer tieferen Frequenz durch den DCO 23006 implementiert sein.
  • Der zeitverschachtelte DTC 23010 kann individuelle DTCs 23012 und einen logischen Kombinierer 23014 beinhalten. Der logische Kombinierer 23014 kann in einigen Aspekten ein OR-Gatter oder ein Exclusive-OR- (XOR-) Gatter sein. In einigen Aspekten kann ein einziger logischer Kombinierer 23014 verwendet sein. Die Verwendung mehrerer individueller DTCs 23012 zusammen mit dem logischen Kombinierer 23014 kann eine höhere Arbeitsgeschwindigkeit als die der individuellen DTCs 23012 allein ermöglichen. Der zeitverschachtelte DTC 23010 kann darauf beschränkt sein, an Frequenzen bis zu ungefähr 6 GHz zu arbeiten, obwohl in einigen Aspekten höhere Frequenzen erhalten werden können. In einigen Aspekten können Mittel zum Modulieren, abhängig von dem Eingabesignal, einer Phase des Signals der tieferen Frequenz an dem DTC, um ein phasenmoduliertes Signal an einer Frequenz höher als die des Signals der tieferen Frequenz zu erzeugen, und/oder Mittel zum Übertragen des phasenmodulierten Signals von dem DTC zu einer Oszillatorschaltung durch den zeitverschachtelten DTC 23010 implementiert sein.
  • Für QPSK oder höhere Modulation können I/Q-Daten einem Rechteck/Polar-Umsetzer 23028 zugeführt werden. In einigen Aspekten könne Mittel zum Umsetzen eines rechteckigen Eingangssignals in ein polares Ausgangssignal durch den Rechteck/Polar-Umsetzer 23028 implementiert sein. Der Rechteck/Polar-Umsetzer 23028 kann die komplexen Zahlen in eine polare Form umsetzen. Das Ergebnis kann ein analoges phasenmoduliertes Signal sein. Das phasenmodulierte Signal kann mit einer vorbestimmten Phasenverschiebung (□1) an einem Kombinierer 23030 im Voraus kombiniert sein, um das phasenmodulierte Signal um einen vorbestimmten Betrag vorzuschieben oder zu verzögern. Die Ausgabe aus dem Kombinierer 23030 kann einem Seriell/Parallel-Umsetzer 23026 zugeführt werden. Der Seriell/Parallel-Umsetzer 23026 kann die Kombiniererausgabe in ein digitales Wort umsetzen und mehrere Kopien der digitalen Wörter gleichzeitig für alle individuellen DTCs 23012 des zeitverschachtelten DTC 23010 bereitstellen. Das digitale Wort kann die Zeitplanung angeben, mit der die individuellen DTCs 23012 einen Impuls zu einer speziellen Zeit bereitstellen sollen. Jeder individuelle DTC 23012 kann einen Impuls an einer tieferen Frequenz als der RF-DCO 23006 und mit unterschiedlicher Phase bereitstellen, so dass die individuellen DTCs 23012 zu unterschiedlichen Zeiten aktiviert und deaktiviert werden.
  • Wie in dem vorstehenden beispielhaften Aspekt können die individuellen DTCs 23012 an dem logischen Kombinierer 23014 kombiniert werden, um ein Zwischenfrequenzsignal bereitzustellen, das die n-fach höhere Frequenz als die der individuellen DTCs 23012 allein aufweisen kann, wobei n Anzahl der individuellen DTCs 23012 in dem zeitverschachtelten DTC 23010 ist. Die individuellen DTCs 23012 können die gewünschte Phasenmodulation basierend auf dem digitalen Wort anwenden. Der zeitverschachtelte DTC 23010 kann in einigen Aspekten somit auch ein oder mehrere Mittel zum Bereitstellen eines digitale Worts für mehrere individuellen DTCs 23012 des zeitverschachtelten DTC 23010, wobei das digitale Wort von einem polaren Ausgabesignal abhängig ist, Mittel zum Triggern der individuellen DTCs 23012 basierend auf dem digitalen Wort, Mittel zum Erzeugen paralleler Kopien des digitalen Worts, zum Senden zu den individuellen DTCs 23012, basierend auf dem polaren Ausgabesignal, Mittel zum logischen Kombinieren der Ausgaben aus den individuellen DTCs 23012, um das phasenmodulierte Signal zu erzeugen, Mittel zum dynamischen Verzögern einer Flanke des Referenz-Oszillatorsignals in jeder Periode, um Phasenmodulation einzuführen, um das phasenmodulierte Signal zu erzeugen, oder Mittel zum Verwenden der Flankeninterpolation, um Signale mit selbstausgerichteter Phasen basierend auf dem Signal der tieferen Frequenz zu erzeugen, aufweisen.
  • Die Ausgabe des zeitverschachtelten DTC 23010 kann für eine Ausgabetaktschaltung 23020 bereitgestellt werden. Die Ausgabetaktschaltung 23020 kann einen Impulsformer 23022 und einen injektionsverriegelten mmWellen-Oszillator 23024 beinhalten. Die Ausgabe des DTC 23010 kann in dem Impulsformer 23022 aufbereitet werden, um den relativen Oberwelleninhalt der Ausgabe des DTC 23010 zu verstärken (d. h. m × fDTC). Der Oberwelleninhalt kann an der mmWellen-Zielfrequenz sein. Die aufbereitete DTC-Ausgabe aus dem Impulsformer 23022 kann in den injektionsverriegelten Oszillator 23024 injiziert werden, der sich auf den Oberwelleninhalt verriegeln kann und eine Ausgabe an der mmWellen-Zielfrequenz produzieren kann. In einigen Aspekten, wie nachstehend in 233 gezeigt, kann der Impulsformer 23022 in den injektionsverriegelten Oszillator 23024 integriert sein. In einigen Aspekten können Mittel zum Erzeugen eines phasenmodulierten Signals an einer mmWellen-Frequenz an der Oszillatorschaltung basierend auf dem phasenmodulierten Signal durch die Ausgabetaktschaltung 23020 implementiert sein. Die Ausgabetaktschaltung 23020 kann in einigen Aspekten auch ein oder mehrere Mittel zum Verstärken einer Oberwelle des phasenmodulierten Signals und Mittel zum Verriegeln eines Oszillatorsignals der Oszillatorschaltung auf die Oberwelle, um das Ausgabeoszillatorsignal zu erzeugen, oder Mittel zum Injizieren, über in Reihe verbundene Transistoren, von Strom in einen Schwingkreis, um den Schwingkreis anzuregen, an der mmWellen-Frequenz zu schwingen, implementieren.
  • 231 stellt eine in offener Schleife kalibrierte DTC-Architektur 23100 in Übereinstimmung mit einigen Aspekten dar. Die DTC-Architektur 23100 kann die gleiche DTC-Architektur wie in 230 gezeigt sein. Die DTC-Architektur 23100 kann einen PLL/MPLL 23104 und eine Phaseninjektions- (PI-) Schaltung 23130 beinhalten. Ein spannungsgesteuerter Oszillator (VCO) 23102 kann eine Ausgabe bereitstellen, die dem PLL/MPLL 2314 zugeführt wird. Der VCO 23102 und der PLL/MPLL 23104 können gleich denen sein, die in 230 gezeigt sind, außer dass die Schaltung in dem PLL/MPLL 23104 genauer dargestellt ist.
  • Der PLL/MPLL 23104 kann einen Multi-Modulus-Teiler (MMD) 23106 und ein Paar von Flipflops 23108 beinhalten. Der MMD 23106 kann die Frequenz des Signals aus dem VCO 23102 auf eine Frequenz reduzieren, die durch die DTCs gemanagt werden kann (und weniger energieintensiv ist). Die Ausgabe des MMD 23106 kann dem Eingang jedes der Flipflops 23108 zugeführt werden. Die positiven und negativen Flanken des Taktsignals aus dem VCO 23102 können verwendet werden, um unterschiedliche Flipflops 23108, die auch als ein Flipflop der positiven Flanke und ein Flipflop der negativen Flanke bezeichnet sind, zu triggern. Die Ausgaben aus den Flipflops 23108 der positiven und negativen Flanke können der PI-Schaltung 23130 zugeführt werden.
  • Die Ausgaben aus den Flipflops 23108 der positiven und negativen Flanke können den Gruppen von Invertern (Puffern) 23110 in der PI-Schaltung 23130 zugeführt werden. Die Gruppen von Invertern 23110 können beispielsweise zwei Paare von Invertern aufweisen. Die Gruppen von Invertern 23108 können beispielsweise zwei Paare von Invertern aufweisen. Die Ausgaben aus den Flipflops 23108 können als Eingaben für jedes Paar der Inverter 23110 bereitgestellt sein. Die Ausgaben aus einem erste Paar der Inverter 23110 können für einen Multiplexer (MUX) 23112 bereitgestellt werden, und die Ausgaben aus einem zweiten Paar der Inverter 23110 können für einen „Course Edge Interpolator“ (CEI) 23114 bereitgestellt werden.
  • Die Ausgaben aus dem MUX 23112 und dem CEI 23114 können einem programmierbaren digital gesteuerten „Course Edge Interpolator“ (PG-DCEI) 23120 zugeführt werden. Der MUX 23112 und der CEI 23114 können verwendet werden, um eines der Signale aus den Invertern 23110 auszuwählen. Der PG-DCEI 23120 kann ein Paar von Invertern 23122 beinhalten, die die Signale von der MUX 23112 und dem CEI 23114 empfangen. Die Eingangsinverterausgaben können mehreren Zellen 23124 zugeleitet werden, von denen jede eine MOSFET-Kette umfasst, deren jeweilige Ausgabe zwischen Masse und einer Versorgungsspannung schwankt. Die Anzahl von Zellen 23124 kann in einigen Aspekten 2N sein, wobei N eine positive Ganzzahl ist. Die Ausgabe jeder Zelle 23124 kann einem Exit-Inverter 23126 zugeführt werden, bevor sie als die Ausgabe des DTC dem logischen Kombinierer zugeführt wird.
  • 232A stellt Zeitverschachtelung von DTCs, um die Taktfrequenz zu erhöhen, in Übereinstimmung mit einigen Aspekten dar. 232B stellt Taktsignale von 232A in Übereinstimmung mit einigen Aspekten dar. Die in 232A gezeigte Architektur 23200 kann eine Version des in 230 gezeigten DTC sein. Die Architektur 23200 kann beispielsweise ein Paar von DTCs beinhalten, von denen jeder einen anlogen Teil 23210 und einen digitalen Teil 23220 beinhalten kann. Das kann als Vereinfachung, der Einfachheit halber, der in den 230 und 231 gezeigten Architektur betrachtet werden. In der Praxis kann die Anzahl analoger Teile 23210 und digitaler Teile 23220 größer als zwei sein. Ein Referenzoszillatorsignal kann dem analogen Teil 23210 zugeführt werden und kann, wie vorstehend, sowohl den MMD 23212 als auch die PI 23214 aufweisen. Die Ausgabe des MMD 23212 kann als ein Taktsignal dem digitalen Teil 23220 zugeführt werden, dessen Ausgabe wiederum zu dem MMD 23212 zurückgeführt und für die PI 23214 bereitgestellt werden. Das Ausgabesignal (f0) aus den analogen DTC-Teilen 23210 kann einem Exclusive-OR (XOR) 23222 zugeführt werden, das verwendet werden kann, um die DTC-Frequenz auf 2f0 zu verdoppeln.
  • Wie in 232B gezeigt ist, sind eine Anzahl von Stufen verwendet, um das DCO-Taktsignal zu erzeugen. Basierend auf einem Referenztaktsignal kann jeder DTC in dem zeitverschachtelten DTC ein Vorwärts- und Rückwärts-Taktsignal bereitstellen, das eine Frequenz aufweist, die tiefer ist als die des DCO-Taktsignals aus dem VCO. Die Anzahl von DTCs, die in 232B gezeigt sind, ist zwei (N = 2), obwohl diese Anzahl, wie vorstehend, variieren kann. Wie gezeigt sind die Rückwärts- und Vorwärts-Taktsignale des ersten DTC jeweils um 0 und 1/4 des Zyklus versetzt, und die Rückwärts- und Vorwärts-Taktsignale der zweiten DTC sind jeweils um 1/2 und 3/4 des Zyklus versetzt. Die Rückwärts- und Vorwärts-Taktsignale jedes der DTC können individuell einem XOR unterzogen werden, was ein XOR-Taktsignal produziert, das die Frequenz des Referenztaktsignals verdual. Die XOR-Taktsignale aus den unterschiedlichen DTCs werden dann ebenfalls einem XOR unterzogen, um das DCO-Taktsignal an der gewünschten mmWellen-Frequenz zu produzieren. Das durch den DTC 23010 produzierte und in den Oszillator 23024 injizierte Signal ist kann an der Frequenz des RF-DCO 23006 sein, oder kann unterschiedlich sein, abhängig von dem Aspekt.
  • 233 stellt einen Serieninjektionsverriegelungsoszillator 23300 mit Impulsformung in Übereinstimmung mit einigen Aspekten dar. Wie in dem Aspekt von 233 gezeigt ist, können der Impulsformer 23022 und der injektionsverriegelte Oszillator 23024 integral als der Injektionsverriegelungsosziallator 23300 gebildet sein, anstatt dass sie in separaten Schaltungen oder Chips bereitgestellt sind. In anderen Aspekten können jedoch die zwei Schaltungen - die erste, um die gewünschte Oberwelle zu verstärken, und die zweite, um sich auf die Oberwelle zu verriegeln und ein Ausgabesignal zu produzieren - in unterschiedlichen Schaltungen bereitgestellt sein.
  • Der Injektionsverriegelungsosziallator 23300 kann sowohl einen Schwingkreis 23302 als auch eine Injektionsverriegelungsschaltung 23320 beinhalten. Die Injektionsverriegelungsschaltung 23300 kann auf dem Hinzufügen paralleler Vorrichtungen (MOSFETs) 23306 zu dem kreuzgekoppelten Paar 23310 beruhen, um die Störung in den Schwingkreis 23302 einzuführen. Die Störung wird durch einen RC-Nebenschluss 23304 für den Eingang der Parallelschaltung eingeführt.
  • Um das Phasenrauschen zu verbessern, kann der Oszillator 23300 abgestimmt werden, so dass er annähernd an der exakten Oberwelle des injizierten Signals ist, durch einen Prozess wie z. B. einen Prozess zur automatischen Bankauswahl (ABS-Prozess). Wir paralleler Injektion kann der Schwingkreis 23302 ein Signal bereitstellen, das die Überlagerung eines freilaufenden Oszillatorstroms und des injizierten Signals ist. Das erlaubt es, dass die Schwingkreisspannung und -Strom eine Phasenverschiebung relativ zu dem injizierten Signal erfahren. Um die Stärke des injizierten Signals in den Schwingkreis 23302 zu erhöhen, kann der RC-Nebenschluss 23304 vergrößert werden. Das kann Kompromisse zwischen der Injektionsstärke, dem Phasenrauschen und dem Abstimmen der natürlichen Oszillatorfrequenz erzeugen.
  • Anstatt eine einzelne Injektionsvorrichtung zu verwenden, kann wie gezeigt eine Serieninjektionsverriegelungsschaltung 23320 verwendet werden. Es wird darauf hingewiesen, dass in einigen Aspekten die Serieninjektionsverriegelungsschaltung 23320 sowohl an dem positiven als auch an dem negativen Eingang bereitgestellt sein kann. Die Serieninjektionsverriegelungsschaltung 23320 kann mehrere Vorrichtungen 23306 aufweisen, in die unterschiedliche Signale injiziert werden. Insbesondere kann das injizierte Signal individuelle Signale umfassen, die unterschiedliche Phasen aufweisen, so dass beide individuellen Signale nur über eine relativ kurze Zeitspanne an dem gleichen Wert (wie gezeigt positiv) sind im Vergleich zu der Länge des Impulses der individuellen Signale. Der Schwingkreis 23302 kann gezwungen oder abgestimmt werden, so dass er in Phase mit dem injizierten Signal (Strom) ist, was den vorstehend angegebenen Kompromiss verbessert. Zusätzlich kann das einen Anstieg der Injektionsstärke bereitstellen, ohne den Stromverbrauch zu erhöhen oder das belastete Q des Oszillators zu verschlechtern.
  • Ein phasenmodulierter lokaler Oszillator (LO) kann einen gesättigten Leistungsverstärker ansteuern, um einen polaren Sender bereitzustellen. In einigen Aspekten kann ein Klasse-C/D/D-1/E/F/F-1-Leistungsverstärker anstelle eines Klasse-A- oder A/B-Verstärkers verwendet werden, wodurch der Energieverbrauch des Verstärkers reduziert ist. Amplitudenmodulation kann durch verschiedene Mittel wie z. B. gewichtete Ströme, Kapazität oder Zuführungsmodulation in dem Leistungsverstärker eingeführt werden.
  • 234 stellt ein Verfahren zum Bereitstellen eines mmWellen-Frequenzsignals in Übereinstimmung mit einigen Aspekten dar. Das Verfahren kann durch die in den 230-233 gezeigten Strukturen ausgeführt werden. Bei Operation 23402 kann der Referenzoszillator ein RF-Oszillationssignal erzeugen. Das RF-Oszillationssignal kann in einem Frequenzbereich erzeugt werden, der zu einer erhöhten Ineffizienz für den DTC-Betrieb führt, z. B. höher als etwa 6 GHz. Dieses RF-Oszillationssignal kann verwendet werden, um ein phasenmoduliertes Ausgabesignal an einer mmWellen-Frequenz zu erzeugen.
  • Das empfangene RF-Oszillationssignal kann bei Operation 23404 auf ein Signal einer tieferen Frequenz reduziert werden. Das Signal der tieferen Frequenz kann durch ein Multi-Modulus-Teilsystem reduziert werden und somit das RF-Oszillationssignal geteilt durch eine Ganzzahl sein. Das Signal mit reduzierter Frequenz kann im Wesentlichen kleiner als etwa 6 GHz sein, z. B. einige hundert MHz oder ein paar GHz,
  • Bei Operation 23406 kann ein rechteckiges (I/Q) Eingangssignal empfangen werden. Das rechteckige Eingangssignal kann in ein polares Signal (Amplitude/Phase) umgesetzt werden. Das polare Signal kann weiter in ein digitales Wort umgesetzt und einem DTC zugeführt werden. Der DTC kann mehrere individuelle DTCs beinhalten, deren Ausgaben unter Verwendung eines logischen OR- oder XOR-Gatters kombiniert werden. Das digitale Wort kann für die individuellen DTCs gleichzeitig bereitgestellt werden.
  • Bei Operation 23408 kann die Phase des Signals der tieferen Frequenz in dem DTC moduliert werden. Die Phasenmodulation kann durch das umgesetzte Eingabesignal gesteuert werden. Die Ausgabe aus den individuellen DTCs kann kombiniert werden, um ein phasenmoduliertes Signal an einer Frequenz, die höher ist als die des Signals der tieferen Frequenz, zu erzeugen. In einigen Aspekten ist die höhere Frequenz die des RF-Oszillationssignals.
  • Das phasenmodulierte Signal kann von dem DTC zu einer Oszillatorschaltung übertragen werden. Die Oszillatorschaltung kann bei Operation 23410 ein phasenmoduliertes Signal an der mmWellen-Frequenz erzeugen. Die Oszillatorschaltung kann eine Oberwelle des eingegebenen phasenmodulierten Signals an der mmWellen-Frequenz verstärken und Strom in einen Schwingkreis an der Oberwelle injizieren, um zu induzieren, dass der Schwingkreis an der mmWellen-Frequenz schwingt. Die Strominjektion kann das Ausgabesignal der Oszillatorschaltung an der Oberwelle verriegeln, um das Ausgabeoszillatorsignal an der mmWellen-Frequenz zu produzieren. Die Strominjektion kann durch in Reihe verbundene Transistoren erfolgen.
  • Während der Kommunikation können Kommunikationsvorrichtungen außerdem Signale zwischen analogen und digitalen Signalen in der Sender- und Empfängerkette umsetzen. In einigen Kommunikationsvorrichtungen können die Sender- und Empfängerkette unter anderem Filter und Verstärker beinhalten. Eine solche Schaltung, wie auch Probleme der Rückwandplatine, können Inhomogenitäten in der Erzeugung eines Ausgabesignals verursachen und können verursachen, dass ein nicht ideales Ausgabesignal produziert wird. Konstrukteure von Kommunikationsvorrichtungen bestimmen weiterhin die Ursachen von Abweichungen von dem idealen Zustand des Signals und korrigieren die Abweichungen durch Kanalentzerrung, die Hardware- und/oder Software-Lösungen einsetzt.
  • Kanalentzerrung kann durch Rückkopplungsentzerrung unter Verwendung eines Entscheidungs-Rückkopplungs-Entzerrers (DFE) und/oder durch Feedforward-Entzerrung über einen Feedforward-Entzerrer (FFE) in dem Empfänger ausgeführt werden. Empfänger-FFE-Konstruktionen im Allgemeinen, die anders als Sender-FFE allein in der analogen Domäne implementiert sein können, können für einige Bedürfnisse unzureichend sein. Die analoge Implementierung kann aufgrund des Wunsches nach erhöhten Datenraten, der Anzahl von Abzweigungen und der Energieeffizienz und auch des begrenzten verfügbaren Schaltungsbereichs schwierig zu konstruieren und zu implementieren sein. Mit der Einführung von Mehr-Gigabit- (mmWellen-) Kommunikation und der damit einhergehenden Hochgeschwindigkeitsleistung verschiedener Komponenten und auch der Mehrpfadeffekte kann ein Symbol, das von einem Sender gesendet und durch einen Empfänger empfangen wird, eine gewisse Menge von Intersymbolstörung (ISI) erfahren. Energie, die vor einem gegebenen Symbol erscheint, ist Prä-Symbol-ISI oder Prä-Cursor, während Energie, die nach dem Symbol erscheint, Post-Symbol-ISI oder Post-Cursor ist, die beide mit der Verwendung des mmWellen-Bands ansteigen können. Eine Überlegung für Hochgeschwindigkeits-mmWellen-Kommunikation ist, dass, anders als bei Kommunikation mit tieferer Frequenz und niedrigerer Geschwindigkeit, extensive Unterschiede in der Post- und Prä-Cursor-Spreizung für LOS-Kanäle, die geringe bis moderate Post-Cursor-ISI-Spreizungen (1-4 ns) aufweisen können, und NLOS-Kanäle, die breitere ISI-Spreizungen von bis zu etwa 12 ns aufweisen können, existieren können.
  • Ein Filter mit endlicher Impulsantwort (FIR-Filter) mit mehreren Abgriffen kann verwendet werden, um Prä-Cursor-Effekte zu korrigieren. Die Verwendung von Hochgeschwindigkeits-Mehr-Gb/s-Datenkommunikation kann die Schwierigkeit der Implementierung aufgrund der beteiligten Funktionalität, die Verzögerung, Multiplizieren und Addieren analoger Signale in einer einzigen UI enthalten kann, erhöhen. Einige drahtlose mmWellen-Kanäle weisen lange Prä-Cursor-Ausläufer auf. Beispielsweise kann für mmWellen-Kanäle bei 5GS/s ein Prä-Cursor-Ausläufer etwa 10 ns lang sein (50UI). Um diesen erweiterte Ausläufer zu korrigieren und bei den hohen Datenraten, kann eine große Anzahl von Abzweigungen (z. B. 50) in dem FFE verwendet werden. Eine FFE-Implementierung, die eine große Anzahl von Abzweigungen verwendet, kann einen entsprechend großen Schaltungsbereich einsetzen und kann mehr Energie verbrauchen. Der Energieverbrauch in dem FFE kann mit der Anzahl von Abzweigungen exponentiell ansteigen, und der besetzte Bereich ist proportional dem Quadrat der Anzahl von Abzweigungen in einer Schaltmatrix-Konstruktion. Das kann ferner impliziert sein, wenn Quadratur-Phasenumtastungs- (QPSK-) Modulation oder Modulation höherer Ordnung verwendet wird.
  • In einem drahtlosen Dualpolariationsempfänger kann die FFE-Konstruktion die Komplikationen in Bezug auf das Auslöschen von Übersprechen erhöhen. Insbesondere kann in Kommunikationsvorrichtungen, in denen I/Q-Signale verwendet werden, I/Q-basierte kohärente Modulation wie z. B. QPSK, 16QAM usw. Übersprechen-ISI zwischen dem 1-Strom und dem Q-Strom zeigen. In dem Dualpolarisationssendeempfänger können der vertikal polarisierte (V-) Strom und der horizontal polarisierte (H-) Strom direkte ISI und Übersprechen-ISI erfahren. Hier beschriebene Aspekte können mehrere unterschiedliche Typen von ISI unabhängig auslöschen, die eines oder mehrere aus dem Folgenden enthalten: VI-zu-VI-ISI, VI-zu-VQ, VI-zu-HI, VI-zu-HQ, VQ-zu-VI, VQ-zu-VQ, VQ-zu-HI, VQ-zu-HQ, HI-zu-VI, HI-zu-VQ, HI-zu-HI, HI-zu-HQ, HQ-zu-VI, HQ-zu-VQ, HQ-zu-HI und HQ-zu-HQ.
  • 235 stellt einen Empfänger in Übereinstimmung mit einigen Aspekten dar. 235 stellt die Grundkomponenten eines Empfängers 23500 dar. Andere Schaltungen wie z. B. Filter und Mischer (um das empfangene Band nach unten auf das Basisband zu mischen) und dergleichen können vorhanden sein, sind jedoch zur Vereinfachung nicht gezeigt. Der Empfänger 23500 kann in eine Kommunikationsvorrichtung wie z. B. einen eNB, einen AP oder ein UE, integriert sein und kann eine Antenne 23502, einen FFE 23504, einen DFE 23506, eine Steuereinheit 23510 und einen Basisbandprozessor 23512 aufweisen. Der FFE 23504 kann ein kaskadierter FFE sein, wie nachstehend genauer diskutiert ist. Die Antenne 23502 kann konfiguriert sein, Signale von einem oder mehreren Sendern über die gleichen oder unterschiedliche Funkzugangstechnologien und unter Verwendung eines oder mehrerer Standards wie z. B. 24GPP oder IEEE 802.11 zu empfangen. Die Signale können durch eine oder mehrere Kommunikationsvorrichtungen wie z. B. einen eNB, einen AP oder ein weiteres UE bereitgestellt werden. Die Antenne 23502 kann strahlgeformte Signale von dem Sender empfangen. In einigen Aspekten können die strahlgeformten Signale dual polarisierte Signale sein, die V- und H-polarisierte Signale enthalten. In anderen Aspekten kann die kaskadierte FFE-Anordnung nicht auf Dualpolarisationssendeempfänger beschränkt sein.
  • Die empfangenen Signale können für den FFE 23504 bereitgestellt werden, der verwendet werden kann, um die Prä-Cursor-Ausläufer in dem Signal zu kompensieren. Das Prä-Cursor-kompensierte Signal kann dann dem DFE 23506 zugeführt werden, der ferner die Post-Cursor-Ausläufer kompensieren kann. Das Prä- und Post-Cursor-kompensierte Signal kann dem Basisbandprozessor 23512 zugeführt werden. Die FFE-Koeffizienten, DFE-Koeffizienten, Komparatorschwellenwerte, Taktzeitplanung und andere Schaltungseinstellungen wie z. B. die Zeitplanung für die Ausgabe eines oder mehrerer aus FFE 23504, DFE 23506 können durch die Steuereinheit 23510 gesteuert werden. Der Basisbandprozessor 23512 kann in einigen Aspekten als die Steuereinheit 23510 agieren.
  • 236 zeigt eine Basisimplementierung eines FFE in Übereinstimmung mit einigen Aspekten. Der FFE 23600, der in 236 gezeigt ist, kann in einem Empfänger vorgesehen sein und kann mehrere Verzögerungsschaltungen (Verzögerungen) 23602 der analogen Domäne (wie z. B. eine Verfolgen-und-Halten-Schaltung), mehrere Multiplizierer 23604 und einen Kombinierer 23606 aufweisen. Eine Eingabe und eine Ausgabe des FFE 23600 können analog sein. Jede Verzögerungsschaltung 23602 kann aus analogen Schaltungskomponenten wie z. B. Schaltern in Reihe gebildet sein, mit einem Kondensator 23614 zu Masse, der zwischen den Schaltern 23612 und einem Puffer 23616, der die Ausgabe aus dem letzten Schalter 23612 puffert, angeordnet ist.
  • Die Verzögerungen 23602 können in Reihe angeordnet sein. Eine analoge Spannung kann jeder Verzögerung 23602 zugeführt werden. Die Größe der Verzögerung, die durch die Verzögerung 23602 bereitgestellt ist, kann vorbestimmt sein und kann ein einzelnes Einheitsintervall (UI) sein. Die Verzögerung kann durch Ändern der Taktfrequenz anpassbar sein, obwohl in anderen Aspekten, falls das UI oder die Symbolrate fest ist, die Verzögerung nicht veränderbar sein kann. Die Verzögerung 23602 der Verfolgen-und-Halten-Schaltung kann eher durch die Taktfrequenz/Periode als durch die Kapazität bestimmt sein.
  • Die Spannung, die für jede Verzögerung 23602 bereitgestellt ist, kann auch an einem Multiplizierer 23604 gewichtet werden. Jeder Multiplizierer 23604 kann einen individuellen Koeffizienten (oder Gewicht) c0, c1, ... cn aufweisen, der ihm zugeordnet ist. Der Koeffizient c0, c1, ... cn der Multiplizierer 23604 kann der gleiche sein, oder wenigstens einer kann von wenigstens einem anderen Gewichtverschieden sein. Die Koeffizienten können irgendeinen positiven oder negativen Wert annehmen, einschließlich 1 oder 0. Die Koeffizienten können durch den Kanal bestimmt sein und können unterschiedlich sein, beispielsweise für NLOS- und LOS-Kanäle.
  • Das gewichtete Signal aus dem Multiplizierer 23604 kann einem Kombinierer 23606 zugeführt werden. Der Kombinierer 23606 kann die gewichtete Ausgabe vor einer Verzögerung 23602 mit der gewichteten Ausgabe nach derselben Verzögerung 23602 kombinieren. Der Kombinierer 23606 kann so angeordnet sein, dass die Ausgabe aus allen Verzögerungen 23602 als eine Ausgabe des FFE 23600 kombiniert werden kann. Die Ausgaben aus den Multiplizierern 23604 können gleichzeitig miteinander kombiniert werden. In diesem Fall kann das Eingabesignal ein kontinuierliches analoges Signal sein, während das Ausgabesignal ein diskretes analoges Signal sein kann.
  • Leistungsverlust kann basierend auf einer Anzahl von Abzweigungen und parasitärer Kapazität ansteigen. Um dazu beitragen, das zu verringern, stellen die 237A und 237B einen FFE 23700 in Übereinstimmung mit einigen Aspekten dar. Der FFE 23710 kann in dem in 235 gezeigten Empfänger verwendet sein. Der FFE 23700 kann mehrere FFE-Stufen 23710, die am Basisband arbeiten können, umfassen. Jede FFE-Stufe 23710 kann sowohl eine oder mehrere Verzögerungen 23704, Multiplizierer 23702 als auch Kombinierer 23706 beinhalten. In einigen Aspekten kann die Anzahl der Verzögerungen/FFE-Stufen 23710 durch Konstruktionsoptimierung begrenzt sein und kann von der Prozesstechnologie abhängen. Der FFE 23700 kann in die Basisbandverarbeitungsschaltung 392, die in 3E gezeigt ist, integriert sein, obwohl der FFE 23700 nicht auf eine solche Integration beschränkt ist. In einigen Aspekten können Mittel zum Bereitstellen von mehreren Typen von Signalen für mehrere in Reihe verbundene FFE-Stufen durch den FFE 23700 implementiert sein.
  • Das Signal von der Antenne (in den 237A-237B nicht gezeigt) zu jeder FFE-Stufe 23710 kann in polarisierte und Quadratur-Signale aufgeteilt sein. In I/Q-basierter kohärenter Modulation kann das Signal sowohl I-als auch Q-Komponenten aufweisen. In einem Dualpolarisationssendeempfänger können ein vertikal polarisiertes Signal und ein horizontal polarisiertes Signal vorhanden sein. Jedes aus dem V-Signal und dem H-Signal kann zwei (I- und Q-) Datenströme aufweisen. Somit können, wie in den 237A-237B gezeigt ist, insgesamt vier Datenströme (VI, VQ, HI, HQ) in dem Dualpolarisationssendeempfänger vorhanden sein. Die zwei Arten von ISI können ausgelöscht werden: direkte ISI (z. B. VI-zu-VI-ISI) und Übersprechen-ISI (z. B. VQ-zu-VI, VQ-zu-HI, VQ-zu-HQ usw.).
  • Somit können die individuellen Signale zu jeder FFE-Stufe 23710 eines oder beides aus vertikal und horizontal polarisierten Signalen oder I/Q-Signalen aufweisen. Die vertikal und horizontal polarisierten Signale können jeweils entlang vertikal und horizontal polarisierten Signalleitungen als Eingaben für die Verzögerungen 23704 auf den vertikal und polarisierten Signalleitungen bereitgestellt werden; die I- und Q-Signale können auf ähnliche Weise jeweils entlang I- und Q-Signalleitungen als Eingaben für die Verzögerungen 23704 auf den I- und Q-Signalleitungen bereitgestellt werden. Wie gezeigt können die individuellen Signale an den Abzweigungen vor und nach jeder Verzögerung 23704 kreuzgekoppelt sein, um das Auslöschen unter den Datenströmen bereitzustellen. Jeder der individuellen Ströme kann seine eigene Ausgabe aus der FFE-Stufe 23710 aufweisen. Somit können beispielsweise vor und nach jeder Verzögerung 23704 das vertikale und das horizontale für jedes der I/Q-Eingabesignale unter Verwendung von Gewichtskoeffizienten gewichtet und dann kombiniert werden. In einigen Aspekten, in denen sowohl vertikal und horizontal polarisierte Eingabesignale als auch I/Q-Eingabesignale bereitgestellt sind, wie z. B. die in 237A-237B gezeigten, kann jedes Signal mit jedem anderen Signal vor und nach jeder Verzögerung 23704 kombiniert werden.
  • Obwohl nur zwei FFE-Stufen 23710 gezeigt sind, können mehr als zwei FEE-Stufen verwendet werden. Die Verwendung mehrerer FFE-Stufen 23710 kann die Anzahl von Abzweigungen pro FFE-Stufe 23710 reduzieren und somit den Energieverbrauch, die Fläche und die Komplexität reduzieren. In einigen Aspekten kann der FFE 23700 somit außerdem wie gezeigt ein oder mehrere Mittel zum Verzögern der eingegebenen VI-, VQ-, HI- und HQ-Signale durch eine Reihe von Verzögerungen, um mehrere Gruppen verzögerter VI-, VQ-, HI- und HQ-Signale zu bilden, Mittel zum Gewichten jedes der VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung mit jedem von mehreren Typen von Gewichtskoeffizienten, um gewichtete VI-, VQ-, HI- und HQ-Signale an der Abzweigung zu bilden, Mittel zum Kombinieren der gewichteten VI-Signale an jeder Abzweigung, um ein VI-Ausgabesignal zu bilden, der gewichteten VQ- Signale an jeder Abzweigung, um ein VQ-Ausgabesignal zu bilden, der gewichteten HI-Signale an jeder Abzweigung, um ein HI-Ausgabesignal zu bilden, und der gewichteten HQ-Signale an jeder Abzweigung, um ein HQ- Ausgabesignal zu bilden, Mittel zum Bereitstellen jedes der VI-, VQ-, HI- und HQ-Ausgabesignale als eines aus einem VI-, VQ-, HI- und HQ-Eingabesignal für eine weitere FFE-Stufe oder als ein VI-, VQ-, HI- und HQ-Ausgabesignal des FFE, Mittel zum Verwenden der gewichteten VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung, um einen anderen Prä-Cursor-ISI-Typ auszulöschen, Mittel zum Wiederholen des Verzögerns, Gewichtens und Kombinierens auf Eingabesignalen für aufeinanderfolgende FFE-Stufen, Mittel zum initialen Einstellen der VI-, VQ-, HI- und HQ-Gewichtskoeffizienten für jedes der VI-, VQ-, HI- und HQ-Signale, die nicht an einer initialen Abzweigung sind, auf einen vordefinierten Wert, und/oder Mittel zum Aktualisieren der VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während eines Anpassungsprozesses, um die VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während des Gewichtens zu konvergieren und zu stabilisieren, implementieren.
  • Der Energieverbrauch jeder FFE-Stufe ist proportional dem Exponentiellen der Anzahl von Abzweigungen, und die Fläche ist proportional dem Quadrat der Anzahl von Abzweigungen. Um ein Beispiel für den reduzierten Energieverbrauch bereitzustellen, falls die Gesamtzahl von FFE-Abzweigungen = Nabz ist, dann:
    Anz. Stufen 1 2 M
    Energie ∝ exp(Nabz) ∝ 2*exp(Nabz/2) ∝ M*exp(Nabz/M)
    Fläche ∝ Nabz^2 ∝ 2*(Nabz/2)^2 ∝ M*(Nabz/M)^2
  • Wie zu sehen ist, können sowohl die Energie als auch die Fläche reduziert werden, wenn die Anzahl von Stufen ansteigt, jedoch die Anzahl von Abzweigungen/Stufe für die gegebene Gesamtzahl von FFE-Abzweigungen reduziert ist. In einigen Aspekten kann in jeder FFE-Stufe eine minimale Anzahl von Abzweigungen, wie z. B. zwei, vorhanden sein. Die FFE-Stufen 23710 können auf demselben Chip oder derselben Schaltung oder auf unterschiedlichen Chips oder Schaltungen angeordnet sein. In einigen Aspekten kann die Anzahl von Abzweigungen in jeder FFE-Stufe 23710 gleich sein (gleichmäßig verteilt). In einigen Aspekten kann die Anzahl von Abzweigungen in wenigstens einer der FFE-Stufen 23710 unterschiedlich sein. Beispielsweise kann die Anzahl von Abzweigungen von einer großen Anzahl von FFE-Stufen 23710 zu einer kleineren Anzahl von Abzweigungen abnehmen, oder kann von einer kleineren Anzahl auf eine größere Anzahl ansteigen, um die Gesamtleistung zu verbessern.
  • Außerdem können die Abzweigungen in einer oder mehreren der FFE-Stufen 23710 fähig sein, individuell aktiviert oder deaktiviert zu werden, oder in Gruppen aus mehr als einer Abzweigung aktiviert oder deaktiviert zu werden. Falls sie in Gruppen gesteuert werden können (z. B. durch die in 235 gezeigte Steuereinheit), können die Abzweigungen so gesteuert werden, dass sie eine konsistente Verteilung aufweisen. Beispielsweise kann jede zweite Abzweigung aktiv sein, oder jede dritte Abzweigung kann aktiv sein. In solchen Aspekten können die deaktivierten Abzweigungen umgangen werden.
  • Ähnlich können die FFE-Stufen 23710 fähig sein, durch die Steuereinheit individuell aktiviert oder deaktiviert zu werden. Die Aktivierung und Deaktivierung kann von einem oder mehreren Faktoren abhängig sein. Diese Faktoren können die Taktrate, das Modulationsschema, den Signaltyp (z. B. den verwendeten Standard, die Signalfrequenzen) und Kanalbedingungen und die Anzahl von Abzweigungen unter anderen Faktoren enthalten. In solchen Aspekten können die deaktivierten FFE-Stufen 23710 umgangen werden (z. B. unter Verwendung von Schaltern), so dass aktive FFE-Stufen 23710 miteinander verbunden sind. Das kann beispielsweise ermöglichen, dass der Energieverbrauch nach Bedarf zugeschnitten wird. Jede Verzögerung 23704 kann an einem UI fest sein.
  • Zusätzlich kann jeder Multiplizierer 23702 innerhalb einer speziellen FFE-Stufe 23710 ein ihm zugeordnetes individuelles Gewicht aufweisen. Die Gewichte der Multiplizierer 23702 innerhalb der speziellen FFE-Stufe 23710 können gleich sein, oder wenigstens eines kann von wenigstens einem anderen Gewicht verschieden sein. Wie vorstehend können die Spezifika der Multiplizierer 23702 innerhalb der FFE-Stufe 23710 von denen anderer FFE-Stufen 23710 verschieden sein. Das heißt, dass beispielsweise, obwohl die Multiplizierer 23702 einer FFE-Stufe 23710 die gleichen Gewichte zwischen den Verzögerungen 23704 und/oder zwischen verschiedenen individuellen Signalen aufweisen können, eines oder beide in einer anderen FFE-Stufe 23710 nicht gleich sein können.
  • In einigen Aspekten können die Gewichtungskoeffizienten jeder FFE-Stufe 23710 gleichzeitig aktualisiert werden aufgrund der Anpassung an Kanalbedingungen und/oder den Signaltyp, unter anderen Faktoren. In einigen Aspekten können die Gewichtungskoeffizienten in jeder FFE-Stufe 23710 zu unterschiedlichen Zeiten aktualisiert werden, so dass die Anpassung aufgrund der Koeffizientenmultiplikation in einer oder mehreren FFE-Stufen 23710 zu einer speziellen Zeit auftreten kann, während die Gewichtungskoeffizienten in einer oder mehreren FFE-Stufen 23710 fest bleiben, und die Koeffizientenanpassung in der einen oder den mehreren FFE-Stufen 23710 zu einer anderen Zeit ausgeführt werden kann, während die Gewichtungskoeffizienten in der einen oder den mehreren FFE-Stufen 23710 fest bleiben.
  • 238 stellt ein Verfahren zum Bereitstellen analoger Signalentzerrung gemäß einigen Aspekten dar. Das Verfahren 23800 kann in dem in 237 gezeigten FFE ausgeführt werden. Bei Operation 23802 können vertikal und horizontal polarisierte Eingabesignale für eine initiale FFE-Stufe eines FFE, der mehrere FFE-Stufen beinhaltet, bereitgestellt werden. Die FFE-Stufen können in Reihe verbunden sein, und die Eingaben können parallel sein. In einigen Aspekten können I/Q-Signale für die FFE-Stufen bereitgestellt werden. In einigen Aspekten können VI-, VQ-, HI-, HQ-Signale für jede FFE-Stufe bereitgestellt werden.
  • Bei Operation 23804 können die verschiedenen Signale an der Abzweigung gewichtet werden. Die vertikal und horizontal polarisierten Signale bilden jeweils gewichtete vertikal und horizontal polarisierte Signale. Die I/Q-Signale können ähnlich gewichtet sein, um entsprechend gewichtete polarisierte I/Q-Signale zu bilden. Wie vorstehend kann jedes aus dem V-Signal und dem H-Signal zwei (I- und Q-) Datenströme aufweisen. Jeder Typ des Signals kann unter Verwendung mehrerer unabhängiger Koeffizienten gewichtet werden, um mehrere unabhängig gewichtete Signale zu bilden. Somit kann beispielsweise jedes Signal mit einem VI-Koeffizienten, einem VQ-Koeffizienten, einem HI-Koeffizienten und einem HQ-Koeffizienten gewichtet werden. Außerdem können die Koeffizienten für jedes Signal für den gleichen Koeffiziententyp unabhängig sein. Somit kann der VI-Koeffizient für das VI-Signal von dem VI-Koeffizienten für das HQ-Signal unabhängig sein.
  • Bei Operation 23806 kann jedes gewichtete Signal an der vorhandenen Abzweigung, das mit demselben Koeffiziententyp gewichtet ist, kombiniert werden, um ein kombiniertes Signal zu bilden. Das heißt beispielsweise, alle Signale an der vorhandenen Abzweigung, die mit VI-Koeffizienten gewichtet sind, können kombiniert werden, um ein kombiniertes gewichtetes VI-Signal zu bilden. Jeder Signaltyp (VI, HI, VQ, HQ) kann ein kombiniertes gewichtetes Signal bilden. Das stellt Kreuzkorrelation unter den Signalen bereit.
  • Bei Operation 23808 wird bestimmt, ob irgendwelche weiteren Abzweigungen in der FFE-Stufe vorhanden sind. Wie vorstehend kann die FFE-Stufe mehrere Verzögerungen und somit Abzweigungen aufweisen. Die Anzahl von Abzweigungen kann unter den FFE-Stufen unabhängig sein, und somit kann sie für jede FFE-Stufe gleich oder unterschiedlich sein.
  • Falls mehr Abzweigungen vorhanden sind, kann bei Operation 23810 jedes Signal einer Verzögerung zugeführt werden. Jedes Signal kann vor der Rückkehr zu Operation 23804 um den gleichen Betrag verzögert werden. Somit kann jedes kombinierte Signal mit ähnlichen Signalen aus vorhergehenden Abzweigungen kombiniert werden. Das heißt beispielsweise, alle Signale an der vorhandenen Abzweigung gewichtet mit VI-Koeffizienten können mit allen Signalen an allen vorhergehenden Abzweigungen gewichtet mit VI-Koeffizienten kombiniert werden, um das kombinierte gewichtete VI-Signal zu bilden. Die kombinierten gewichteten Signale aus allen Abzweigungen können auch als VI', VQ', HI', HQ' angegeben werden.
  • Falls keine weiteren Abzweigungen vorhanden sind, kann dann bei Operation 23080 bestimmt werden, dass die letzte Verzögerung der vorhandenen FFE-Stufe erreicht worden ist. Bei Operation 23812 kann bestimmt werden, ob die letzte FFE-Stufe erreicht worden ist. Der FFE kann wenigstens zwei FFE-Stufen umfassen.
  • Falls bei Operation 23812 bestimmt wird, dass die letzte FFE-Stufe nicht erreicht worden ist, kann dann der Prozess der Operationen 23804-23808 (Gewichten, Kombinieren und Verzögern) für die Verzögerungen in der nächsten FFE-Stufe wiederholt werden. Bei Operation 23814 können die Ausgabesignale der letzten FFE-Stufe (VI', VQ', HI', HQ') als Eingabesignale für die nächste FFE-Stufe verwendet werden.
  • Falls bei Operation 23812 bestimmt wird, dass die letzte FFE-Stufe erreicht worden ist, kann der Prozess Ausgabesignale bereitstellen. Das heißt, dass die kombinierten Signale jedes Typs bei Operation 23816 als die Ausgabesignale aus dem FFE angenommen werden können. Der FFE kann Ausgabesignale abhängig von den Eingabesignalen und Koeffizienten erzeugen. Der Anpassungsprozess kann die Koeffizienten für jedes Signal in jeder Stufe berechnen und aktualisieren, während der FFE läuft. Anfangs können die Koeffizienten alle null (oder irgendwelche vordefinierte Werte) sein außer den Hauptabzweigungen. Die Koeffizienten können dann basierend auf den empfangenen Daten und dem Anpassungsprozess aktualisiert werden. Schließlich können die Koeffizienten durch die Anpassung konvergieren und stabilisieren. Die Anpassung kann kontinuierlich dem Prozess (23804 - 23814) folgen.
  • Wie vorstehend kann Entzerrung verwendet werden, um begrenzte Kanalbandbreite, Reflexion und Störung zu kompensieren. Entzerrung kann auch verwendet werden, um die Antwort von Symbolen der Antwort des langen Kanals unter sowohl LOS- als auch NLOS-Bedingungen auszulöschen, was ein Aspekt sein kann, der für mmWellen-Signale zu berücksichtigen ist. Eine gesteigerte Größe von Inter-Symbol-Störung (ISI) (in einigen zehn Symbolen) kann in dem mmWellen-Band (z. B. 60 GHz-Band) unter anderem aufgrund der gesteigerten Dämpfung und Mehrpfadproblemen existieren. Entzerrung kann verwendet werden, um Prä- und Post-Cursor-ISI zu kompensieren oder auszulöschen. Selbst wenn ein Kanal ideal ist, können Sender- und Empfängerschaltungen in einer Kommunikationsvorrichtung die Gesamtbandbreite begrenzen. In einige Fällen kann Entzerrung verwendet werden, um Bandbreiteneinschränkungen, die durch die Sender- und Empfängerschaltungen aufgebaut sind, aufzuheben.
  • Ein DFE ist einer der Entzerrer, die verwendet werden können, um die Effekte von Post-Cursor-ISI zu bekämpfen. Der DFE kann in dem Empfänger verwendet werden. Während NLOS-Kanäle an einem größeren Betrag an Post-Cursor-ISI als LOS-Kanäle leiden, kann Post-Cursor-ISI signifikant sein. LOS-Kanäle können relativ weniger ISI-Abzweigungen aufweisen und effizientere Modulationen wie 16QAM und 64QAM ermöglichen als NLOS-Kanäle. Es kann somit wünschenswert sein, die Anzahl von DFE-Abzweigungen für NLOS-Kanäle zu erhöhen. Die Anzahl von Abzweigungen die in einem DFE verwendet werden, kann fest codiert sein. Da sich die Anzahl von Abzweigungen mit der Modulation nicht ändert, könnte das zu einer Verschwendung von Hardware-Betriebsmitteln und Chip/Platinenfläche führen, die für andere Zwecke verwendet werden könnten.
  • In Übereinstimmung mit beispielhaften Aspekten ist eine konfigurierbare DFE-Konstruktion bereitgestellt. Die Anzahl von DFE-Abzweigungen kann gemäß der verwendeten Modulation angepasst werden. In einigen Aspekten kann die DFE-Abzweigungskonstruktion Quadraturphasenumtastung (QPSK) oder 16-Quadratamplitudenmodulation (QAM) für drahtlose Kommunikation durch Steuern eines einzelnen Signals auswählen (es wird darauf hingewiesen, dass, obwohl das auch auf Impuls-Amplitudenmodulation (PAM2) oder PAM4 für drahtgebundene Kommunikation gelten kann, QPSK und 16QAM hier zur Vereinfachung genannt sind). In einigen Aspekten können bis zu 150 Post-Cursors ausgelöscht werden, und etwa eine Hälfe der Post-Cursors können in der 16QAM-Betriebsart für LOS-Kanäle, die ein höheres Signal-Rausch-Verhältnis (SNR) und weniger Post-Cursor-ISIs aufweisen, ausgelöscht werden.
  • Die Zeitplanung für die erste DFE-Abzweigung kann stringenter sein als für spätere DFE-Abzweigungen. Die 239A und 239B stellen Konfigurationen eines rekonfigurierbaren DFE in Übereinstimmung mit einigen Aspekten dar. Der DFE 23900 kann in die Basisbandverarbeitungsschaltung 392, die in 3E gezeigt ist, integriert sein, obwohl der DFE 23900 nicht auf eine solche Integration beschränkt ist. Die 240A und 240B stellen Selektor/DFF-Kombinationskonfigurationen eines rekonfigurierbaren DFE in Übereinstimmung mit einigen Aspekten dar. Wie in den 239A und 239B gezeigt ist, kann der DFE 23900 einen Komparator 23910, ein SR-Latch 23920, die Latches 23930 und Selektor/D-Flipflop- (DFF-) Kombinationen 23940 umfassen. Abwärtsumgesetzte Signale von einer Antenne können an dem DFE 23900 empfangen werden und verwendet werden, um eine Ausgabe des DFE 23900 zu erzeugen. Die Komponenten des DFE 23900 können mit demselben Taktsignal (CLK) versorgt werden. Dem Komparator 23910 kann eine differentielle Eingabe zugeführt werden. Die binäre Ausgabe des Komparators 23910 kann dem SR-Latch 23920 zugeführt werden. Die differentielle Eingabe des SR-Latch 23920 kann in ein asymmetrisches Signal an dem Ausgang umgesetzt werden. Die Ausgabe des SR-Latch 23920 kann einem Paar von Latches 23930 zugeführt werden. Die erste DFE-Abzweigung kann zwischen dem SR-Latch 23920 und den ersten Latches 23930 genommen werden.
  • Die Ausgabe aus dem DFE 23900 kann über das zweite Latch 23932 bereitgestellt werden. Die Ausgabe des zweiten Latch 23932 kann als die zweite DFE-Abzweigung genommen werden. Die Ausgabe des zweiten Latch 23932 kann für eine erste der Selektor/DFF-Kombinationen 23940 bereitgestellt werden. In einigen Aspekten kann die erste Selektor/DFF-Kombination 23940 nur ein DFF aufweisen. In anderen Aspekten kann jede der Selektor/DFF-Kombinationen (später auch als Latch bezeichnet) 23942, 23944, 23946, 23948 sowohl einen Multiplexer als auch ein DFF aufweisen. Obwohl eine minimale Anzahl von Abzweigungen gezeigt ist (nach jeder ersten Selektor/DFF-Kombination 23940), können in einigen Aspekten bis zu 74 Flipflops (Verzögerungen) in jeder Kette in dem DFE 23900 verwendet werden. Die Gesamtzahl von Verzögerungen und somit Abzweigungen kann folglich 150 (2x74 + 2) sein. Die Anzahl von Flipflops kann in anderen Aspekten jedoch nicht auf ein Maximum von 74 beschränkt sein.
  • Der Selektor jeder Selektor/DFF-Kombination 23940 kann in einigen Aspekten ein Multiplexer sein. Die Eingaben des Selektors können die Ausgabe aus zwei unterschiedlichen Selektor/DFF-Kombinationen 23940 sein. Die Selektor/DFF-Kombinationen 23940 können angeordnet sein, um einen Pfad zu bilden, so dass die Eingaben der Selektor/DFF-Kombinationen 23940 (außer den zwei initialen) von sequenziellen und parallelen Selektor/DFF-Kombinationen 23940, auch als Ketten bezeichnet, sind. Das heißt, dass die Eingaben von der nächst niedriger nummerierten Selektor/DFF-Kombination 23940 (d. h. unmittelbar niedrigere Nummer) und von einer alternierenden niedriger nummerierten Selektor/DFF-Kombination 23940 (d. h. der letzten gerade oder ungerade nummerierten Selektor/DFF-Kombination 23940, abhängig davon, ob die Selektor/DFF-Kombination 23940 gerade oder ungerade nummeriert ist) sind. Die Selektor/DFF-Kombinationen 23940 können so angeordnet sein, dass die benachbarten Nummern eine sequenzielle Kette durch jede der Selektor/DFF-Kombinationen 23940 bilden, während die alternierenden Nummern parallele Ketten durch die Selektor/DFF-Kombinationen 23940 bilden.
  • Die Selektor/DFF-Kombinationen 23940 können so verbunden sein, dass der Selektor verwendet werden kann, um den Pfad anzupassen, um eine der zwei Ketten auszuwählen. Insbesondere können die Selektoren in den Selektor/DFF-Kombinationen 23940 mit demselben Auswahlsignal (Steuerungseingabe) verbunden sein, das auswählt ist, um die Eingabe (Dateneingabe) der Selektor/DFF-Kombination 23940 auszuwählen. Das kann die Auswahl der in 239A gezeigten sequenziellen Kette für eine erste Selektoreingabe und der in 239B gezeigten parallelen Ketten für eine zweite Selektoreingabe ermöglichen. Die Eingaben jedes Selektors können die Ausgaben der unmittelbar vorhergehenden Verzögerung/Abzweigung in der seriellen und parallelen Kette sein.
  • Die Anzahl von Abzweigungen (und die Orte in den Selektor/DFF-Kombinationen 23940) können von der Selektoreingabe und somit der Kette abhängig sein. Beispielsweise kann, wie in der in 239A gezeigten sequenziellen Kette gezeigt ist, die einen 1-Bit-Ausgabe-DFE zeigt, die Ausgabe der ersten Selektor/DFF-Kombination 23942 als die dritte DFE-Abzweigung genommen werden und als eine Eingabe für die dritte Selektor/DFF-Kombination 23946 bereitgestellt werden. Die Ausgabe der dritten Selektor/DFF-Kombination 23946 wird als die vierte DFE-Abzweigung genommen und als eine Eingabe für die zweite Selektor/DFF-Kombination 23944 bereitgestellt. Die Ausgabe der zweiten Selektor/DFF-Kombination 23944 wird als eine Eingabe für die vierte Selektor/DFF-Kombination 23948 bereitgestellt. Die Ausgaben der zweiten und vierten Selektor/DFF-Kombination 23944, 23948 können jeweils als DFE-Abzweigung 5 und 6 genommen werden.
  • In einigen Aspekten können Mittel zum Bestimmen eines Modulationsschemas eines Signals, das an dem DFE empfangen wird, durch den DFE 23900 implementiert sein. In einigen Aspekten kann der DFE 23900 außerdem wie gezeigt ein oder mehrere Mittel zum Bestimmen, basierend auf dem Modulationsschema, der Abzweigungsnummer von Abzweigungen, die in dem DFE verwendet werden sollen, Mittel zum Auswählen, welche aus einer seriellen Kette und parallelen Ketten in dem DFE verwendet werden sollen, basierend auf der Abzweigungsnummer, und/oder Mittel zum Kompensieren der Post-Cursor-ISI des Signals unter Verwendung der Ausgaben aus den Abzweigungen implementieren. In weiteren Aspekten kann der DFE 23900 außerdem wie gezeigt ein oder mehrere Mittel zum gleichzeitigen Triggern von mehreren Verzögerungen, und/oder, wenn parallele Ketten ausgewählt sind, Mittel zum Auswählen eines niedrigstwertigen Bits (LSB) unter Verwendung einer gelatchten Ausgabe zwischen einer ersten und einer zweiten der Abzweigungen eines höchstwertigen Bit (MSB) und/oder Mittel zum Vermeiden der Beeinflussung einer Verzögerung der ersten Abzweigung implementieren durch Bereitstellen von: Mitteln zum Nehmen der ersten Abzweigung von einer Eingabe eines ersten Latch und der zweiten Abzweigung von einer Ausgabe des zweiten Latch und Mitteln zum Verbinden eines Ausgangs des ersten Latch mit einem Eingang des zweiten Latch in einem ersten der parallelen Pfade und mit einem Selektoreingang eines Multiplexers in einem zweiten der parallelen Pfade implementieren. In einigen Aspekten können die Mittel zum Auswählen, welche aus einer seriellen Kette und parallelen Ketten verwendet werden soll, Mittel zum Anlegen des gleichen Selektorsignals an mehrere Multiplexer, die jeweils einer unterschiedlichen Verzögerung zugeordnet sind und einen Ausgang aufweisen, der mit einem Eingang der zugeordneten Verzögerung verbunden ist, und/oder Mittel zum Auswählen der seriellen Kette für QPSK und der parallelen Ketten für 16QAM oder höher umfassen.
  • Die Selektor/DFF-Kombination 23940 ist in 240A genauer gezeigt. Wie gezeigt kann die Ausgabe jedes Selektors (MUX) 24010, 24012, 24014, 24016 dem Eingang einer unterschiedlichen Verzögerung 24020, 24022, 24024, 24026 zugeführt werden, um eine einzelne Verzögerungskette zu bilden. Jede Verzögerung 24020, 24022, 24024, 24026 kann aus einem einzelnen D-Typ-Flipflop gebildet sein. Die Ausgabe jeder Verzögerung 24020, 24022, 24024, 24026 kann einem der Eingänge des nächsten Selektors 24010, 24012, 24014, 24016 zugeführt werden, der als 0 gezeigt ist, in anderen Aspekten jedoch 1 sein kann. Die Auswahl jedes Selektors 24010, 24012, 24014, 24016 kann gleich sein - das heißt, das gleiche Auswahlsignal kann an jeden Selektor 24010, 24012, 24014, 24016 angelegt sein.
  • Obwohl nur vier DFE-Abzweigungen gezeigt sind, kann das in einigen Aspekten erweitert sein, so dass beispielsweise bis zu 150 DFE-1-Bit-Abzweigungen verwendet werden können, um bis zu 150 Post-Cursors auszulöschen. In einigen Aspekten können mehr als 150 Abzweigungen verwendet werden, und somit können mehr als 150 Post-Cursors ausgelöscht werden. Die Anzahl von DFE-1-bit-Ab zweigungen kann in anderen Aspekten jedoch nicht auf ein Maximum von 150 beschränkt sein. Die in 239A gezeigte Anordnung kann für die QPSK-Betriebsart verwendet werden und die Verzögerungen in einer einzelnen Verkettung bereitstellen, wie gezeigt.
  • 239B zeigt die Anordnung, die ferner eine Schaltung für sowohl das niedrigstwertige Bit (LSB) als auch das MSB in einem 27-Bit-Ausgabe-DFE aufweist. Der LSB-Abschnitt des DFE 23900 kann die LSB-Komparatoren 23912, 23914 aufweisen. Der Ausgang der LSB-Komparatoren 23912, 23914 kann jeweils mit den LSB-SR-Latches 23922, 23924 gekoppelt sein. Die Ausgaben aus den SR-Latches 23920, 23922, 23924 können parallel als die erste DFE-Abzweigung genommen werden. Die Ausgabe aus den SR-Latches 23922, 23924 kann jeweils als Eingabe für die LSB-Latches 23936, 23938 bereitgestellt werden. Die Ausgabe aus den LSB-Latches 23936, 23938 kann als Eingaben für einen LSB-Multiplexer 23950 bereitgestellt werden. Das MSB-Bit kann als ein Selektorsignal für den LSB-Multiplexer 23950 verwendet werden, der wiederum das LSB bereitstellen kann. Das LSB kann nachfolgend für ein drittes Latch 23934 bereitgestellt werden, dessen Ausgabe als eine weitere Eingabe für die dritte Selektor/DFF-Kombination 23946 genommen werden kann. Die Steuerbits der Selektor/DFF-Kombination 23960 können anderes sein als die der Selektor/DFF-Kombination 23940, die in 239A gezeigt ist, obwohl die Hardware gleich sein kann. Die Kreuzkopplung zwischen den Selektor/DFF-Kombinationen 23940 kann wie in 239B gezeigt eliminiert sein, so dass zwei parallele Ketten bereitgestellt sind. Die zweite DFE-Abzweigung kann von der parallelen Ausgabe des zweiten und des dritten Latch 23932, 23934 genommen werden. Die dritte DFE-Abzweigung kann von der parallelen Ausgabe des ersten und des dritten Latch 23942, 23946 genommen werden. Die vierte DFE-Abzweigung kann von der parallelen Ausgabe des zweiten und des vierten Latch 23942, 23948 genommen werden. Die in 239B gezeigte Anordnung kann für die 16QAM- (PAM4-) Betriebsart verwendet werden und die Verzögerungen in zwei parallelen Ketten bereitstellen. Obwohl nur vier DFE-Abzweigungen gezeigt sind, kann das erweitert werden, so dass in einigen Aspekten bis zu 76 DFE-2-Bit-Abzweigungen (die nicht die Tri-Bit-DFE-Abzweigung 1 sind) verwendet werden können, um bis zu 76 Post-Cursors auszulöschen. Das kann auf eine 64QAM- (PAM6-) Modulation oder höher erweitert werden. Wie vorstehend kann in anderen Aspekten eine größere Anzahl von Bits als 76 Bits verwendet werden.
  • In einigen Aspekten kann die Ausgabe der Selektor/DFF-Kombination ein höchstwertiges Bit (MSB) und ein niedrigstwertiges Bit (LSB) sein. Insbesondere kann 16QAM I- und Q-PM4-Ströme (zwei orthogonale PAM4-Ströme) aufweisen. Das hießt, dass zwei Bits verwendet werden können, um vier Pegel zu repräsentieren: ein MSB und ein LSB. In einigen Aspekten können an der Abzweigung1 1 (die Ausgabe des SR-Latch 23920), 1 (die Ausgabe des SR-Latch 23922), 1 (die Ausgabe des SR-Latch 23924) den höchsten Pegel repräsentieren, während die anderen Pegel durch 1-0-1, 0-0-1, 0-0-0 (der tiefste Pegel) repräsentiert sein können. Wie gezeigt ist, weil der Schwellenwert des Slicers 23910 gleich 0 ist, der Schwellenwert des Slicers 23912 gleich +2, und der Schwellenwert des Slicers 23914 ist -2. Hier sind 0, +2, -2 relative, keine absoluten, Zahlen, basierend auf den vier Signalpegel -3, - 1, +1 und +3. Die Abzweigung 1-Konstruktion (239A und 239B) kann verwendet werden, um eine Ausgabe basierend auf der stringenten DFE-Abzweigung 1-Verzögerungseinschränkung bereitzustellen. Somit kann es das Trennen eines Flipflops in zwei serielle Latches (23930 und 23932 in 239A) ermöglichen, dass der MUX 23950 nach den initialen Latches (23930, 23936, 23938) platziert werden kann. Somit kann die durch den MUX 23950 verursachte Verzögerung das Beeinflussen der DFE-Abzweigung1-Verzögerung vermeiden. Falls der MUX 23950 vor den Latches 23930, 23936, 23938 platziert werden müsste, dann kann die DFE-Abzweigung 1 -Verzögerung aufgrund der hohen Verzögerung des MUX 23950 nicht fähig sein, die DFE-Abzweigung1-Verzögerungseinschränkung zu erfüllen.
  • Die Selektor/DFF-Kombination 23960 ist in 240B genauer gezeigt. Die Ausgaben der Selektoren 24030, 24032, 24034, 24036 können den Eingängen einer unterschiedlichen Verzögerung 24040, 24042, 24044, 24046 zugeführt werden, um parallele MSB- und LSB-Verzögerungsketten mit der halben Länge der Kette von 240A zu bilden. Die Ausgaben jeder Verzögerung 24040, 24042, 24044, 24046 können einem der Eingänge des nächsten Selektors 24030, 24032, 24034, 24036 zugeführt werden, der als 1 gezeigt ist, in anderen Aspekten jedoch 0 sein kann. Die Auswahl der Selektoren 24030, 24032, 24034, 24036 kann gleich sein - das heißt, das gleiche Auswahlsignal kann an die Selektoren 24030, 24032, 24034, 24036 angelegt sein.
  • 241 ist ein Verfahren zum Konfigurieren eines DFE in Übereinstimmung mit einigen Aspekten. Das Verfahren 24100 kann unter Verwendung der Strukturen der 239A-239B und 240A-240B ausgeführt werden. Bei Operation 24102 kann das Modulationsschema bestimmt werden. Der DFE kann das Modulationsschema identifizieren. Das Modulationsschema kann beispielsweise von der Kanal-ISI abhängen. Sowohl der Sender als auch der Empfänger können konfiguriert sein, das gleiche Modulationsschema zu verwenden. Das Modulationsschema kann in einigen Aspekten QPSK (PAM2) und 16QAM (PAM4) sein. Das Modulationsschema kann ferner von dem Typ des Kanals (LOS oder NLOS) und den parallelen Ketten für einen LOS-Kanal, wenn mmWellen-Frequenzen verwendet werden, abhängen.
  • Sobald das Modulationsschema bestimmt worden ist, kann der DFE bei Operation 24104 den Kettentyp und die Anzahl der Abzweigungen, die in dem DFE verwendet werden sollen, bestimmen. In einigen Aspekten kann die Anzahl der Abzweigungen bis etwa 150 Abzweigungen in PAM2 für NLOS-Kanäle und etwa die Hälfte (76 Abzweigungen) in der PAM4-Betriebsart für LOS-Kanäle sein. Die Signale aus den Abzweigungen können verwendet werden, um Post-Cursors in mmWellen-Frequenzen auszulöschen.
  • Bei Operation 24106 kann der DFE auswählen, welche aus einer seriellen Kette und parallelen Ketten verwendet werden sollen, basierend auf der Anzahl der Abzweigungen. Die serielle Kette und die parallelen Ketten können eine unterschiedliche Anzahl von Abzweigungen aufweisen, wobei die serielle Kette ein einzelnes Bit für NLOS-Kanäle bereitstellt und die parallelen Ketten ein MSB und ein LSB für LOS-Kanäle bereitstellen. In einigen Aspekten können irgendwelche zwei oder mehr der Operationen 24102, 24104 und 24106 kombiniert sein.
  • In Betrieb kann der DFE mehrere DFFs triggern, die die serielle Kette und die parallelen Ketten bilden. Der DFE kann die mehreren DFFs gleichzeitig triggern. Die Abzweigungen können von einer Ausgabe eines anderen DFF genommen werden. Ein Multiplexer kann eine Eingabe für jedes DFF bereitstellen. Jeder Multiplexer kann einem anderen DFF zugeordnet sein. Jeder Multiplexer kann mit dem gleichen Selektorsignal versorgt werden, um auszuwählen, welche aus der seriellen Kette oder den parallelen Ketten verwendet werden soll. Wenn die parallelen Ketten ausgewählt sind, kann ein LSB-Multiplexer verwendet werden, um das LSB auszuwählen. Die LSB-Multiplexerausgabe kann unter Verwendung einer gelatchten Ausgabe zwischen einer ersten und einer zweiten der Abzweigungen des MSB ausgewählt werden. Das heißt, dass die erste Abzweigung vor dem ersten Latch genommen werden kann und die zweite Abzweigung nach dem zweiten Latch genommen werden kann. Unabhängig davon, ob die serielle Kette oder die parallelen Ketten verwendet werden, kann bei Operation 24108 die Ausgabe aus den Abzweigungen verwendet werden, um die ISI eines Symbols auszulöschen.
  • Die Anzahl der Frequenzbänder, die zur Kommunikation verwendet werden, ist aufgrund der Integration von Trägeraggregation lizenzierter und nicht lizenzierter Bänder und der anstehenden Verwendung der mmWellen-Bänder angestiegen. mmWellen-UEs können sowohl hohe (oberhalb 6 GHz) als auch tiefe Frequenzen (LTE-Band) verwenden. Die höheren Frequenzen können eine große Bandbreite zur Datenkommunikation bereitstellen, die sehr hohe Datenraten ermöglichen, während die tieferen Frequenzen höhere Zuverlässigkeit bereitstellen können. Die höheren Bandbreiten können, während sie verwendet werden, um die Kommunikationsdatenrate zu erhöhen, Betriebsaspekte beeinflussen, einschließlich des Energieverbrauchs des Systems.
  • Zum Kommunizieren können empfangene RF-Signale in digitale Signale zum Verarbeiten in einer mobilen Vorrichtung oder einem UE umgesetzt werden, während digitale Daten zum Senden von der mobilen Vorrichtung oder dem UE in RF-Signale umgesetzt werden. Elemente in der Empfängerkette können einen Analog/Digital-Umsetzer (ADC) aufweisen, der ein RF-Signal von einer Antenne empfängt und das RF-Signal in ein digitales Signal umsetzt. Das digitale Signal aus dem ADC kann für ein Frontend bereitgestellt werden, das ein analoges Frontend und ein digitales Frontend beinhalten kann. Das digitale Frontend kann Kanalisierung und Filtern des RF-Signals von RF auf Basisband, Digitalisierung, Abtastratenumsetzung und gegebenenfalls Synchronisation bereitstellen.
  • Aufgrund der hohen Streckendämpfung, die durch atmosphärische Absorption und hohe Dämpfung durch feste Materialien verursacht ist, können mächtige Mehr-Eingang-mehr-Ausgang-Systeme (MIMO-Systeme) zum Senden in den mmWellen-Bändern verwendet werden. Das Verwenden von Strahlformen, um nach nicht blockierten gerichteten räumlichen Kanälen zu suchen, kann zusätzliche Überlegungen in Bezug auf mmWellen-Architektur einbeziehen, im Vergleich zu der Architektur, die zur Kommunikation über ein WPAN/WLAN verwendet wird. In solchen MIMO-Systemen kann jeder Antennenausgang ein Paar von ADCs zur digitalen Verarbeitung wie z. B. initialen Zugriff mit geringer Latenz, räumliches Multiplexen und Mehrbenutzer-Kommunikation verwenden. Der Energieverbrauch des ADC kann linear mit der Abtastrate und exponentiell mit der Anzahl von Auflösungs-Bits pro Abtastvorgang ansteigen. Als ein Ergebnis kann der gesamte Leistungsverlust in den ADCs aufgrund der großen Anzahl von Antennen und Breitbandkommunikation groß sein, wenn hochauflösende ADCs verwendet werden. Das kann Probleme für eine große Vielzahl mobiler Vorrichtungen in Bezug auf Batterielebensdauer erzeugen und kann in Vorrichtungen für Kommunikation vom Maschinentyp (MTC-Vorrichtungen), deren Batterien klein sind und für einen erweiterten Zeitraum ausreichen sollen, verschlimmert sein.
  • 242 stellt eine mmWellen-Architektur 24200 in Übereinstimmung mit einigen Aspekten dar. Die mmWellen-Architektur 24200 kann Hybridstrahlformen bereitstellen. Die mmWellen-Architektur 24200 kann in die in 3E gezeigte Empfangsschaltung 320 integriert sein, obwohl die mmWellen-Architektur 24200 nicht auf eine solche Integration beschränkt ist. Hybridstrahlformungsarchitekturen können sowohl digitales als auch analoges Strahlformen aufweisen. Digitales Strahlformen kann Flexibilität in der Strahlgestaltung auf Kosten einer Eins-zu-eins-Zuordnung zwischen der Sender-RF-Kette und der Antenne bereitstellen, was die Kosten, die Komplexität und den Energieverbrauch aufgrund der großen Anzahl von Antennen, die über die große Bandbreite arbeiten, erhöht. Kanalschätzung zwischen Sender- und Empfänger-Antennenpaaren kann die Komplexität des digitalen Strahlformens weiter erhöhen. Analoges Strahlformen kann andererseits den ausgegebenen Strahl mit nur einer RF-Kette unter Verwendung von Phasenschiebern formen. Analoges Strahlformen kann Strahlsuchen verwenden, um die optimalen Strahlen an dem Sender und dem Empfänger zu finden. Das Strahlsuchen kann Codebücher verwenden, deren Größe und auch die Ausrichtungsprobleme mit Verengen der Strahlgröße ansteigen können. Anderes als digitales Strahlformen kann analoges Strahlformen auf Richtwirkungsgewinn aufgrund der einzelnen verwendeten RF-Kette eingeschränkt sein. Analoges Strahlformen allein kann ferner den höchsten potentiellen Leistungsverlust in der Datenebene aufgrund eines Fehlens von Fähigkeiten wie z. B. Mehrbenutzer-Kommunikation, Störungsauslöschung und Mehrstrahlbildung und die höchste Latenz in der Steuerebene, die durch Faktoren wie z. B. langsame anfängliche Verbindung in der Sicherungsschicht zwischen dem UE und dem eNB und andauernde Synchronisation verursacht ist, aufweisen. In einigen Aspekten kann Hybridstrahlformen sowohl digitales als auch analoges Strahlformen verwenden, um die Anzahl von Antennenelementen zu erhöhen, während es die Anzahl von RF-Ketten begrenzt.
  • Die in 242 gezeigte mmWellen-Architektur 24200 kann eine analoge Strahlformungsarchitektur 24210 (auch als eine analoge Architektur einer phasengesteuerten Gruppe bezeichnet) und eine digitale Strahlformungsarchitektur 24220 beinhalten. Die analoge Strahlformungsarchitektur 24210 und die digitale Strahlformungsarchitektur 24220 können eine gemeinsam verwendete Schaltung 24206 aufweisen, die rauscharme Verstärker (LNAs) 24212, Mischer 24214, Verstärker mir variabler Verstärkung (VGAs) 24216, Tiefpassfilter 24218 und einen Oszillator 24222 aufweist. Die digitale Strahlformungsarchitektur 24220 kann mehrere ADCs mit variabler (oder geringer) Auflösung 24232 aufweisen, während die analoge Strahlformungsarchitektur 24210 einen einzelnen hochauflösenden ADC 24234 aufweisen kann. Die Auflösung der ADCs mit geringer Auflösung 24232 kann beispielsweise 29-3 Bits sein. Die mmWellen-Architektur 24200 kann eine geringe Latenz auf der Steuerebene und hohen Durchsatz auf der Datenebene aufweisen. Obwohl nicht gezeigt können andere Elemente vorhanden sein, wie z. B. Vorwärtskopplungs- oder Rückkopplungs-Kompensationsschaltung.
  • Wie gezeigt kann die mmWellen-Architektur 24200 RF-Signale von mehreren Antennen 24202 empfangen. Die Signale von den Antennen 24202 können den LNAs 24212 der analogen Strahlformungsarchitektur 24210 und der digitalen Strahlformungsarchitektur 24220 zugeführt werden. Die Ausgabe jedes LNA 24220 kann einen anderen Paar von Mischern 24214 zugeführt werden. Die Mischer 24214 können die komplexen (I/Q-) RF-Signale auf Basisband- oder Zwischenfrequenz- (IF-) Signale unter Verwendung von Signalen eines lokalen Oszillators aus einem Oszillator 24222 abwärtsumsetzen. Jedes der abwärtsumgesetzten Signale aus den Mischern 24214 kann für einen anderen VGA 24216 bereitgestellt werden. Das verstärkte Signal aus dem VGA 24216 wird für ein Tiefpassfilter 24218 bereitgestellt, das die verstärkten Signale für das Basisband filtert.
  • Wie vorstehend können Phasenschieber 24226 in der analogen Strahlformungsarchitektur 24210 verwendet werden, um die Phase jedes Paars von Signalen, das von einer entsprechenden Antenne 24202 ausgeht, anzupassen. Die phasenverschobenen Signale aus den Phasenschiebern 24226 können dann in einem Kombinierer 24228 kombiniert und einem einzelnen ADC oder einem einzelnen Paar von ADCs 24234 zugeführt werden. In einigen Aspekten kann der ADC 24234 ein hochauflösender ADC (z. B. 8 Bits oder mehr) sein. In der digitalen Strahlformungsarchitektur 24220 kann jedes gefilterte Signal einem anderen ADC 24232 mit variabler oder geringer Auflösung zugeführt werden, ohne dass es phasenverschoben ist.
  • Die mmWellen-Architektur 24200 kann ferner Stromspiegel oder Schalter 24224 (nachstehend zur Vereinfachung lediglich als Schalter bezeichnet) nach den Filtern 24218 aufweisen. Die Schalter 24224 können die Lenkung des empfangenen Signals zu entweder den Phasenschiebern 24226 oder den ADCs 24232 mit variabler (geringer) Auflösung ermöglichen. Die Schalter 24224 können durch die Steuereinheit 24240 gesteuert werden. Die Steuereinheit 24240 kann ein Basisband- oder ein anderer Prozessor sein. Die Steuereinheit 24240 kann unter anderem den Kanaltyp (z. B. LOS oder NLOS), den Signaltyp (z. B. Steuer- oder Datenebene), die Kanalbedingungen basierend auf einer oder mehreren gemessenen Qualitäten (z. B. SNR, Blockierung), der UE-Mobilität (z. B. gering) und/oder Modulationsschemas bestimmen. Die Steuereinheit 24240 kann basierend auf einer oder mehreren dieser Eigenschaften bestimmen, ob auf die Verwendung des analogen oder digitalen Pfads geschaltet werden soll.
  • 243 stellt eine Senderhybridstrahlformungsarchitektur 24300 in Übereinstimmung mit einigen Aspekten dar. Die Senderhybridstrahlformungsarchitektur 24300 kann ähnlich der in 242 gezeigten Empfänger-mmWellen-Architektur 24200 sein. Die Senderhybridstrahlformungsarchitektur 24300 kann eine analoge Strahlformungsarchitektur 24310 (auch als eine analoge Architektur einer phasengesteuerten Gruppe bezeichnet) und eine digitale Strahlformungsarchitektur 24320 beinhalten. Die analoge Strahlformungsarchitektur 24310 und die digitale Strahlformungsarchitektur 3020 können eine gemeinsam verwendete Schaltung 24306 aufweisen, die Leistungsverstärker (PAs) 24312, Mischer 24314, Verstärker mir variabler Verstärkung (VGAs) 24316, Tiefpassfilter 24318 und einen Oszillator 24322 aufweist. In einem beispielhaften Aspekt kann die digitale Strahlformungsarchitektur 24320 mehrere DACs mit variabler (oder geringer) Auflösung 24332 aufweisen, während die analoge Strahlformungsarchitektur 24310 einen einzelnen hochauflösenden DAC 24334 aufweisen kann. Die Auflösung der DACs mit geringer Auflösung 24332 kann beispielsweise 1 oder 2 Bits sein.
  • Wie gezeigt kann die Senderhybridstrahlformungsarchitektur 24300 digitale Signale von dem DFE (nicht gezeigt) empfangen. Die Signale von dem DFE können dem DAC 24334 und von dem DAC 24334 einem Separator 24328 zugeführt werden. Paare analoger Signale aus der analogen Strahlformungsarchitektur 24310 können für die Phasenschieber 24326 bereitgestellt werden. Die phasenverschobenen Signale aus den Phasenschiebern 24326 können zusammen mit Signalen aus den DACs 24332 der digitalen Strahlformungsarchitektur 24320 für die Schalter 24324 bereitgestellt werden. Die Schalter 24324 können das Schalten zwischen Paaren von phasenverschobenen Signalen und der Ausgabe aus dem digitalen DAC (mit geringer Auflösung) 24332 ermöglichen. Jedes Signal aus den Schaltern 24324 kann für ein Tiefpassfilter 24318 bereitgestellt werden, das das Signal vor der Verstärkung durch den VGA 24316 zu dem Basisband filtert. Das verstärkte Signal kann dann unter Verwendung der Mischer 24314, denen die Signale des lokalen Oszillators aus dem Oszillator 24332 zugeführt werden, auf die RF-Frequenz aufwärtsumgesetzt werden. Die RF-Signale können dann durch den PA 24312 verstärkt werden, bevor sie für mehrere Antennen 24302 bereitgestellt werden.
  • In einem Aspekt können die Sender- und EmpfängerArchitekturen in den 242 und 243 für modulare Architekturen konstruiert sein. Beispielsweise kann eine Architektur aufgebaut werden, die M Antennenempfänger und Sender beinhaltet, und dann können mehrere Kopien der Architektur verwendet werden, um ein N=k*M-Antennensystem aufzubauen.
  • In einigen Aspekten können Mittel zum Bestimmen der Kanal- und Signaleigenschaften von mmWellen-Signalen, die kommuniziert werden sollen, durch die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 implementiert sein. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder Senderhybridstrahlformungsarchitektur 24300 ferner, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass hochauflösende Quantisierung in der Empfänger-mmWellen-Architektur 24200 oder Umsetzung von digital auf analog in der Senderhybridstrahlformungsarchitektur 24300 verwendet werden soll, Mittel zum Auswählen einer analogen Strahlformungsarchitektur, einer Hybridstrahlformungsarchitektur, die die analoge Strahlformungsarchitektur und eine digitale Strahlformungsarchitektur umfasst, zur Verwendung zum Kommunizieren der mmWellen-Signale implementieren. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass Quantisierung mit geringer Auflösung oder Umsetzung von digital auf analog verwendet werden soll, Mittel zum Auswählen der digitalen Strahlformungsarchitektur zur Verwendung zum Kommunizieren der mmWellen-Signale implementieren, z. B. über die Steuereinheit 24240. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner Mittel zum Kommunizieren der mmWellen-Signale über Strahlformen unter Verwendung der ausgewählten analogen oder digitalen Strahlformungsarchitektur implementieren, z. B. über die Antennen 24202, 24302. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner Mittel zum Variieren einer Auflösung jedes der ADCs und DACs abhängig von den Kanal- und Signaleigenschaften der mmWellen-Signale, implementieren, z. B. über die Steuereinheit 24240 und die ADCs 24232 und/oder DACs 24334. In einigen Aspekten können, wie gezeigt, wenn die analoge Strahlformungsarchitektur ausgewählt ist, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner Mittel zum Phasenverschieben jedes der mmWellen-Signale zum Produzieren phasenverschobener Signale, z. B. über die Phasenschieber 24226, 24326, und Mittel zum Kombinieren der phasenverschobenen Signale, um ein kombiniertes Signal zu erzeugen, das quantisiert werden soll, z. B. über die Kombinierer 24228, implementieren. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner Mittel zum Steuern der Auswahl der analogen oder digitalen Strahlformungsarchitektur basierend wenigstens darauf, welcher aus einem LOS- oder NLOS-Kanal verwendet werden soll, um die mmWellen-Signale zu kommunizieren, welches aus einem Steuer- oder Datensignal die mmWellen-Signale sind, einem Signal/Rausch-Verhältnis (SNR) und einem Modulationsschema, das verwendet werden soll, um die mmWellen-Signale zu kommunizieren, implementieren, z. B. über die Steuereinheit 24240. In einigen Aspekten können, wie gezeigt, die Empfänger-mmWellen-Architektur 24200 und/oder die Senderhybridstrahlformungsarchitektur 24300 ferner Mittel zum gemeinsamen Verwenden analoger Komponenten durch die analoge und die digitale Strahlformungsarchitektur implementieren.
  • In einigen Aspekten kann der analoge Strahlformungsabschnitt verwendet werden, wenn ein LOS-Kanal, ein sehr hohes SNR, geringe UE-Mobilität und Blockierung vorhanden sind, da hohes SNR und ein hochauflösender ADC zur Verwendung von Modulationsschemas hoher Ordnung führen können. Zusätzlich kann, wenn eine hohe räumliche, bandinterne oder Nachbarkanal-Störung vorhanden ist, die Architektur von digitalem Strahlformen zu analogem Strahlformen umschalten durch Einstellen von Phasenschiebern, um die optimalen Phasenwerte digital für schnellen Betrieb zu berechnen. Andererseits kann der digitale Strahlformungsabschnitt verwendet werden, wenn der Sendeempfänger, der den Empfänger und Sender beinhaltet, der in den 242 und 243 gezeigt ist, in der Steuerebene arbeitet und entweder Signale aus mehreren Richtungen gleichzeitig empfängt (da analoges Strahlformen Sektordurchlauf ausführt, der eine hohe Verzögerung aufweist) und eine schnelle Synchronisation, initialen Zugriff, UE-Finden und schnelle Erholung von einer Blockierung aufweisen soll, oder Steuerebenensignalisierung kommunizieren soll, da eine solche Signalisierung Modulation niedriger Ordnung (z. B. BPSK, QPSK) verwenden kann, die das Verwenden eines hochauflösenden ADC vermeiden kann. Der digitale Strahlformungsabschnitt kann verwendet werden, wenn der Sendeempfänger in der Datenebene arbeitet und: über einen NLOS-Kanal kommuniziert, der mehrerer Pfade aufweist, wie Kombinieren mehrerer Pfade, um das effektive SNR zu erhöhen; wenn das SNR niedrig sein kann, was durch einen ADC mit geringer Auflösung mit vernachlässigbarem oder keinem Verlust erreicht werden kann; räumliches Multiplexen; Störungs-Nulling; und Mehrbenutzer-Kommunikation.
  • Eine Überlegung für Steuerebenenkommunikation kann die Latenz für initialen Zugriff und UE-Finden sein. Analoge Strahlformungsarchitekturen können auf hoch gerichtetem Senden beruhen. Um das zu erreichen, können sowohl das UE als auch der eNB eine Strahlsuche ausführen, um den optimalen Strahl zu bestimmen. Die Strahlsuche kann den initialen Zugriff aufgrund des großen Strahlraums verlangsamen. Wenn sowohl das UE als auch der eNB gerichtetes Strahlformen verwenden, kann die Zugriffsverzögerung ansteigen. Eine vollständig digitale Architektur, in der mehrere Richtungen gleichzeitig bestimmt werden können, kann eine Reduktion der des initialen Zugriffs erlauben.
  • Wie vorstehend erwähnt ist ein Kompromiss zwischen der Anzahl von Antennen und der Auflösung des ADC vorhanden, wenn die gesamte im Empfänger verbrauchte Energie bestimmt wird. 244 zeigt eine beispielhafte Simulation der Kommunikationsgeschwindigkeit in Übereinstimmung mit einigen Aspekten. Insbesondere zeigt 244 eine erreichbare Kommunikationsgeschwindigkeit unter dem gesamten Energieverbrauch, wenn die Anzahl von Antennen und die Auflösung des ADC optimiert sind. Wie gezeigt kann digitales Strahlformen eine höhere erreichbare Geschwindigkeit aufweisen als analoges Kombinieren, da digitales Strahlformen den Vorteil von räumlichem Abtasten und Kombinieren besitzt.
  • Ein NLOS-Kanal kann ein niedriges SNR aufweisen. Das kann zu der Verwendung von Modulationen niedriger Ordnung wie z. B. BPSK und QPSK umgesetzt werden, was die Ersetzung hochauflösender ADCs durch ADCs mit geringer Auflösung erlaubt. Für einen LOS-Kanal kann das SNR groß sein. Das kann Modulationen hoher Ordnung unterstützen, die hochauflösende ADCs oder eine großen Anzahl von Antennen verwenden. 245 zeigt eine Simulation von SNR in Übereinstimmung mit einigen Aspekten. Insbesondere zeigt 245 eine Simulation des effektiven SNR mit analogen und digitalen Architekturen über einen mmWellen-Kanal. Für einen deterministischen mmWellen-Kanal kann der SNR-Verlust aufgrund des analogen Strahlformens bestimmt werden. In einigen Simulationen kann analoges Strahlformen einen 3dB-Kombinationsverlust aufweisen, abhängig von der Korrelation zwischen Pfaden. Zusätzlich wurde für eine statistische mmWellen-Kanal-Simulation mit 64 Antennen an dem Sender und 16 Antennen an dem Empfänger aufgrund des analogen Strahlformens kein SNR-Verlust in einem LOS-Kanal beobachtet. In einer beispielhaften Simulation führte digitales Strahlformen für einen NLOS-Kanal zu einem Vorteil von 5-7 dB SNR.
  • Der Energieverbrauch in den in den 242 und 243 gezeigten Hybridstrukturen kann angemessen sein, da das analoge Basisbandstrahlformen und digitale Strahlformen die meisten Komponenten gemeinsam verwenden. Ein Unterschied kann die Verwendung analoger Basisband-Phasenschieber und eines einzelnen Paars hochauflösender ADCs für analoges Strahlformen und die Verwendung eines ADC mit variabler (oder geringer) Auflösung für digitales Strahlformen sein. In einigen Aspekten kann ein einzelner Phasendreher (Phasenschieber) Energie verbrauchen ähnlich einem Paar von ADCs mit niedriger bis mittlerer Auflösung bei 2 Gbps. Deshalb können in einem Aspekt, bei Ersetzen der Phasenschieber durch ADCs, analoges Basisband- und digitales Strahlformen beispielsweise die gleiche Energie verbrauchen. Als ein Ergebnis können gemischte Architekturen gemäß hier offenbarten Aspekten einen ähnlichen Energieverbrauch wie analoges Strahlformen aufweisen, und weniger, wenn ein hochauflösender ADC verwendet wird, während sie einen Leistungsgewinn aufweisen.
  • 246 stellt ein Verfahren zum 24600 Kommunizieren strahlgeformter mmWellen-Signale in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 24600 kann durch die in den 242 und 243 gezeigte Hybridarchitektur ausgeführt werden. Bei Operation 24602 kann das Verfahren 24600 verschiedene Eigenschaften von mmWellen-Signalen, die kommuniziert werden sollen, bestimmen. Diese Eigenschaften können sowohl Kanal- als auch Signaleigenschaften enthalten. Erstere können beispielsweise enthalten, ob der Kanal LOS oder NLOS ist, während letztere beispielsweise SNR, RSSI oder andere Maße der Signalqualität enthalten. Die Bestimmung kann auf früheren strahlgeformten mmWellen-Signalen ausgeführt werden, die durch die Hybridarchitektur gesendet oder empfangen worden sind.
  • Bei Operation 24604 kann basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass hochauflösende Quantisierung oder Umsetzung von digital zu analog verwendet werden soll, eine analoge Strahlformungsarchitektur, aus einer Hybridstrahlformungsarchitektur, die die analoge Strahlformungsarchitektur und eine digitale Strahlformungsarchitektur umfasst, ausgewählt werden, um zum Kommunizieren der mmWellen-Signale verwendet zu werden. Die analoge Strahlformungsarchitektur umfasst entweder einen einzelnen ADC oder einen einzelnen DAC, abhängig davon, ob eine Empfänger- oder Sender-Architektur verwendet wird. Ähnlich umfasst die digitale Strahlformungsarchitektur entweder mehrere ADCs oder mehrere DACs. In der analogen Strahlformungsarchitektur kann jedes der mmWellen-Signale phasenverschoben werden, um phasenverschobene Signale zu produzieren. Die phasenverschobenen Signale kann danach kombiniert werden, um ein kombiniertes Signal zu bilden, das quantisiert werden soll.
  • Bei Operation 24606 kann, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass Quantisierung mit geringer Auflösung oder Umsetzung von digital auf analog verwendet werden soll, die digitale Strahlformungsarchitektur ausgewählt werden, um zum Kommunizieren der mmWellen-Signale verwendet zu werden. Die Anzahl von Umsetzern in der analogen (1 Umsetzer) und digitalen (mehrere Umsetzer) Strahlformungsarchitektur kann unterschiedlich sein. Die Auflösung der Umsetzer in der digitalen Strahlformungsarchitektur kann fest (gering) oder variabel sein.
  • Bei Operation 24608 können die mmWellen-Signale unter Verwendung der ausgewählten analogen oder digitalen Strahlformungsarchitektur kommuniziert (empfangen oder gesendet) werden. Strahlformen kann verwendet werden.
  • Sendeempfänger können analoges, digitales oder Hybridstrahlformen bereitstellen. Digitales Strahlformen kann Flexibilität in der Strahlgestaltung auf Kosten einer Eins-zu-eins-Zuordnung zwischen der Sender-RF-Kette und der Antenne bereitstellen, was die Kosten, die Komplexität und den Energieverbrauch aufgrund der großen Anzahl von Antennen, die über die große Bandbreite arbeiten, erhöht. Kanalschätzung zwischen Sender- und Empfänger-Antennenpaaren kann die Komplexität des digitalen Strahlformens weiter erhöhen. Digitale Architekturen können auch Leistungsverschlechterungen erfahren, wenn eine bandinterne oder Nachbarkanal-Störung vorhanden ist oder wenn das SNR sehr hoch ist. Analoges Strahlformen kann den ausgegebenen Strahl mit nur einer RF-Kette unter Verwendung von Phasenschiebern formen. Analoges Strahlformen kann Strahlsuchen verwenden, um die optimalen Strahlen an dem Sender und dem Empfänger zu finden. Das Strahlsuchen kann Codebücher verwenden, deren Größe und auch die Ausrichtungsprobleme mit Verengen der Strahlgröße ansteigen können. Anderes als digitales Strahlformen kann analoges Strahlformen auf Richtwirkungsgewinn aufgrund der einzelnen verwendeten RF-Kette eingeschränkt sein. Analoges Strahlformen kann außerdem wegen der Verwendung hochauflösender ADCs und DACs energiehungrig sein. Analoges Strahlformen allein kann ferner den höchsten potentiellen Leistungsverlust in der Datenebene aufgrund eines Fehlens von Fähigkeiten wie z. B. Mehrbenutzer-Kommunikation, Störungsauslöschung und Mehrstrahlbildung, und die höchste Latenz in der Steuerebene, die durch Faktoren wie z. B. langsame anfängliche Verbindung in der Sicherungsschicht zwischen dem UE und dem eNB und andauernde Synchronisation verursacht ist, aufweisen.
  • Hybridstrahlformen kann verwendet werden, um die Vorteile von sowohl analogem als auch digitalem Strahlformen bereitzustellen, während es die Nachteile begrenzt. Außerdem kann eine Hybridarchitektur verwendet werden, in der adaptive ADCs und/oder DACs integriert sein können. Die Hybridarchitektur kann die Auflösung der ADCs (DACs) unter anderem gemäß dem Kanal, der Störung, den SNRs und/oder der Anzahl von UEs anpassen. Da der Energieverbrauch der ADCs und DACs exponentiell mit reduzierten Auflösungs-Bits abnehmen kann, kann eine solche Architektur Millimeterwellensysteme mit geringem Energieverbrauch ermöglichen.
  • Die 247A und 247B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar. Insbesondere stellt 247A eine mmWellen-Empfängerarchitektur (oder Empfängerstrahlformungsarchitektur) 24700 in Übereinstimmung mit einigen Aspekten dar. Die mmWellen-Empfängerarchitektur 24700 kann digitales Strahlformen bereitstellen. Die mmWellen-Empfängerarchitektur 24700 kann in die in 3E gezeigte parallele Empfangsschaltung 382 integriert sein, obwohl die mmWellen-Empfängerarchitektur 24700 nicht auf eine solche Integration beschränkt ist. Die mmWellen-Empfängerarchitektur / Empfängerstrahlformungsarchitektur 24700, die in 247A gezeigt ist, kann die rauscharmen Verstärker (LNAs) 24712, die Mischer 24714, die Verstärker mit variabler Verstärkung (VGAs) 24716, die Tiefpassfilter 24718, die ADCs 24732 und einen Oszillator 24722 aufweisen. Die ADCs 24732 können ADCs mit variabler Auflösung 24732 sein. Die Auflösung der ADCs mit variabler Auflösung 24732 kann zwischen 34 oder 35 Bits beispielsweise bis zu einer viel größeren Anzahl von Bits variieren, wie gewünscht. Wie gezeigt kann die Empfängerstrahlformungsarchitektur 24700 RF-Signale von mehreren Antennen 24702 empfangen. Die Signale von den Antennen 24702 können den LNAs 24712 zugeführt werden. Das verstärkte Signal aus jedem LNA 24712 kann in duale verstärkte Signale aufgeteilt werden und dann einem Paar von Mischern 24714 zugeführt werden. Das verstärkte Signal aus jedem LNA 24712 kann einem anderen, nicht überlappenden Mischerpaar der Mischer 24714 zugeführt werden. Die Mischer 24714 können die RF-Signale auf Basisband- oder Zwischenfrequenz- (IF-) Signale unter Verwendung von Signalen des lokalen Oszillators aus einem Oszillator 24722 abwärtsumsetzen. Jedes der abwärtsumgesetzten Signale aus den Mischern 24714 kann für einen anderen VGA 24716 bereitgestellt werden. Das verstärkte Signal aus dem VGA 24716 wird für ein Tiefpassfilter 24718 bereitgestellt, das die verstärkten Signale auf das Basisband filtert. Jede Antenne 24702 kann mit einem einzelnen Paar von ADCs mit adaptiver Auflösung 24732 verbunden sein. Die Gesamtzahl von ADCs 24732, 2Nr , kann somit das Duale der Anzahl Nr von Empfangsantennen sein.
  • Eine mmWellen-Senderarchitektur (oder eine Senderstrahlformungsarchitektur) 24710 ist in 247B gezeigt. Die Senderstrahlformungsarchitektur 24710 kann digitales Strahlformen bereitstellen. Die Senderstrahlformungsarchitektur 24710 kann beispielsweise die Leistungsverstärker (PAs) 24728, die Mischer 24714, die Verstärker mit variabler Verstärkung (VGAs) 24716, die Tiefpassfilter 24718, die DACs mit variabler Auflösung 24734 und einen Oszillator 24722 aufweisen (die Nummerierung ist in 247A gezeigt). Die Auflösung der DACs mit variabler Auflösung 24734 kann auf eine den ADCs 24732 ähnliche Weise variieren. Wie gezeigt kann die Senderstrahlformungsarchitektur 24710 digitale Signale von einem DFE (nicht gezeigt) empfangen. Die digitalen Signale von dem DFE können dem DAC 24734 zugeführt werden, wo die Signale in analoge Signale umgesetzt werden können. Das analoge Signal aus jedem DAC 24734 kann für ein Tiefpassfilter 24718 bereitgestellt werden, das das analoge Signal vor der Verstärkung durch den VGA 24716 auf das Basisband filtert. Das verstärkte Signal aus dem VGA 24716 kann dann unter Verwendung der Mischer 24714, denen die Signale eines lokalen Oszillators aus dem Oszillator 24722 zugeführt werden, auf die RF-Frequenz (mmWellen) aufwärtsumgesetzt werden. Die RF-Signale aus entsprechenden Paaren von Mischern 24714 können dann durch einen LNA 24712 (oder PA) verstärkt werden, bevor sie für mehrere Antennen 24702 bereitgestellt werden. Jede Antenne 24702 kann mit einem einzelnen Paar DACs mit adaptiver Auflösung 24734 verbunden sein. Die Gesamtzahl der DACs 24734, 2Nt , kann somit das Duale der Gesamtzahl Nt von Sendeantennen sein.
  • Die 248A und 248B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar. Insbesondere ist in 248A eine beispielhafte mmWellen-Senderarchitektur (oder Senderstrahlformungsarchitektur) 3510 gezeigt. Die Senderstrahlformungsarchitektur 24810 kann analoges Strahlformen bereitstellen. Die Senderstrahlformungsarchitektur 24810 kann beispielsweise die Leistungsverstärker (PAs) 24828, die Mischer 24814, die Verstärker mit variabler Verstärkung (VGAs) 24816, die Tiefpassfilter 24818 (die Nummerierung ist in 248B gezeigt), die DACs mit variabler Auflösung 24834, die Phasenschieber 24824, einen Separator 24828 und einen Oszillator 24822 beinhalten. Wie gezeigt kann die Senderstrahlformungsarchitektur 24810 digitale Signale von einem DFE (nicht gezeigt) empfangen. Die digitalen Signale von dem DFE können dem DAC 24834 zugeführt werden, wo die Signale in analoge Signale umgesetzt werden können. Das analoge Signal aus dem DAC 24834 kann dem Separator 24828 zugeführt werden, der das Signal in Paare analoger Signale aufteilen kann. Jedes Paar analoger Signale kann dann für einen Phasenschieber 24724 bereitgestellt werden. Die phasenverschobenen Signale aus jedem Phasenschieber 24724 können für ein Paar von Tiefpassfiltern 24818 bereitgestellt werden, die die analogen Signale vor der Verstärkung durch ein Paar von VGAs 24816 auf das Basisband filtern können. Die verstärkten Signale aus den VGAs 24816 können dann unter Verwendung eines Paars von Mischern 24814, denen die Signale des lokalen Oszillators aus dem Oszillator 24822 zugeführt werden, auf die RF-Frequenz (mmWellen) aufwärtsumgesetzt werden. Die RF-Signale aus den Paaren von Mischern 24814 können dann durch einen PA 24828 verstärkt werden, bevor sie für mehrere Antennen 24802 bereitgestellt werden.
  • 248B stellt eine mmWellen-Empfängerarchitektur (oder Empfängerstrahlformungsarchitektur) in Übereinstimmung mit einigen Aspekten dar. Die mmWellen-Empfängerarchitektur 24800 kann analoges Strahlformen bereitstellen. Die mmWellen-Empfängerarchitektur / Empfängerstrahlformungsarchitektur 24800, die in 248A gezeigt ist, kann die rauscharmen Verstärker (LNAs) 24812, die Mischer 24814, die Verstärker mit variabler Verstärkung (VGAs) 24816, die Tiefpassfilter 24818, die Phasenschieber 24824, einen Kombinierer 24826, die ADCs 24832 und einen Oszillator 24822 aufweisen. Wie in der mmWellen receiver architecture 24700 können die ADCs 24832 ADCs mit variabler Auflösung sein. Die Empfängerstrahlformungsarchitektur 24800 kann RF-Signale von mehreren Antennen 24802 empfangen. Die Signale von den Antennen 24802 können den LNAs 24812 zugeführt werden. Das verstärkte Signal aus jedem LNA 24812 kann in duale verstärkte Signale aufgeteilt werden und dann einem Paar von Mischern 24814 zugeführt werden. Das verstärkte Signal aus jedem LNA 24812 kann unterschiedlichen, nicht überlappenden Mischern 24814 zugeführt werden. Die Mischer 24814 können die RF-Signale auf Basisband- oder Zwischenfrequenz- (IF-) Signale unter Verwendung von Signalen eines lokalen Oszillators aus einem Oszillator 24822 abwärtsumsetzen. Jedes der abwärtsumgesetzten Signale aus den Mischern 24814 kann für einen anderen VGA 24816 bereitgestellt werden. Jedes verstärkte Signal aus dem VGA 24816 wird für ein Tiefpassfilter 24818 bereitgestellt, das die verstärkten Signale auf das Basisband filtert. Anstatt dass sie für mehrere ADCs bereitgestellt werden, wie in der mmWellen-Empfängerarchitektur 24700, können die Signale aus den entsprechenden Filterpaaren 24818 den Phasenschiebern 24824 zugeführt werden. Die Phasenschieber 24824 können verwendet werden, um die Phase jedes Paars gefilterter Signale, die von einer entsprechenden Antenne stammen, anzupassen. Die phasenverschobenen Signale aus den Phasenschiebern 24824 können dann in einem Kombinierer 24826 kombiniert und einem einzelnen ADC oder einem einzelnen Paar von ADCs 24832 zugeführt werden.
  • Die digitale Architektur 24700 und 24710, die in den 247A und 247B gezeigt ist, und die analoge Architektur 24800 und 24810, die in den 248A und 248B gezeigt ist, können parallel kombiniert werden, um alternativ auswählbare Sender- und Empfängerarchitekturen bereitzustellen. Obwohl nicht gezeigt können Schalter nach den Tiefpassfiltern angeordnet sein, um die Signale zwischen den Filtern und entweder den Phasenschiebern der digitalen Architektur oder direkt mit den ADCs oder DACs mit variabler Auflösung zu lenken. Die Hybrid-Architektur kann N r f t
    Figure DE112017006442T5_0015
    RF-Ketten und Nt Atennen an dem Sender und N r f r
    Figure DE112017006442T5_0016
    RF-Ketten und Nr Antennen an dem Empfänger aufweisen. Wie vorstehend kann jede RF-Kette an dem Sender mit einem Paar von DACs mit adaptiver Auflösung verbunden sein, und jede RF-Kette an dem Empfänger kann mit einem Paar von ADCs mit adaptiver Auflösung verbunden sein.
  • In einigen Aspekten können die Architekturen den Dynamikbereich des ADC/DAC für optimalen Energieverbrauch und eine gewünschte Signal-Treue (SNR) für einen Anwendungsfall adaptiv einstellen. In einigen Aspekten kann die Auflösung beispielsweise für Steuerebenenkommunikation wie z. B. Synchronisation, initialen Zugriff und UE-Finden herabgesetzt werden. In einigen Aspekten kann die Auflösung basierend auf Kanalbedingungen, wie z. B. wenn das SNR oder die Modulationsordnung abnimmt, herabgesetzt werden. Umgekehrt kann die Auflösung erhöht werden, wenn das SNR oder die Modulationsordnung ansteigt. Die Auflösung kann auch erhöht werden, wenn ein hoher Dynamikbereich verwendet werden soll aufgrund des Vorhandenseins einer erheblichen Störungsgröße bandintern und/oder zwischen benachbarten Kanälen. Die Auflösung kann auch herabgesetzt werden, wenn die Anzahl von UEs, die durch den eNB versorgt werden, in MU-MIMO abnimmt, was einem niedrigen Verhältnis von Spitzenleistung zu mittlerer Leistung (PAPR) entspricht. Andererseits kann die Auflösung erhöht werden, um den Effekt des Quantisierungsrauschens auf MU-MIMO-UEs mit einem niedrigen SNR zu reduzieren, wenn die verschiedenen UEs, die durch den eNB versorgt werden, unterschiedliche SNRs aufweisen oder wenn die Anzahl multiplexter UEs erhöht wird (und ein höheres PAPR aufweist). Die Auflösung kann ferner herabgesetzt oder erhöht werden, wenn der gemessene DC-Versatz, d. h. mit mittlere Schätzung, kleiner bzw. größer ist als ein vorbestimmter Sollwert. In einigen Aspekten kann die Auflösung basierend auf Zeitplanungsbedingungen herabgesetzt werden, wie z. B. während der eNB- (oder Zugangspunkt-) Suche oder während der Kommunikation der Präambel oder Midambel. In einigen Aspekten kann die Auflösung für NLOS-Kanäle, die eine erhöhte Anzahl von Mehrfachpfaden und somit ein höheres PAPR aufweisen, herabgesetzt sein.
  • In einigen Aspekten können Mittel zum Empfangen einer ersten Gruppe strahlgeformter mmWellen-Signale an mehreren Antennen durch die mmWellen-Empfängerarchitektur 24700 und/oder die mmWellen-Empfängerarchitektur 24800 implementiert sein, und Mittel zum Senden einer zweiten Gruppe von strahlgeformten mmWellen-Signalen von den Antennen können durch die Senderarchitektur 24710 und/oder Senderarchitektur 24810 implementiert sein. In einigen Aspekten können wie gezeigt die Empfängerarchitektur 24700/24800 und die Senderarchitekturen 24710/24810 ferner jeweils wie gezeigt Mittel zum Einstellen einer Auflösung eines ADC, der zum Empfangen verwendet wird, und eines DAC, der zum Senden verwendet wird, basierend auf einer Sendeempfänger-Leistungsverlusteinschränkung und ohne Reduzieren einer Anzahl von ADCs oder DACs implementieren. Die Einrichtung kann ferner Mittel zum Umsetzen der ersten oder zweiten Gruppe strahlgeformter mmWellen-Signale zwischen analogen und digitalen Signalen basierend auf der Auflösung des ADC oder DAC umfassen.
  • In einigen Aspekten können wie gezeigt die Empfängerarchitekturen 24700/24800 und die Senderarchitekturen 24710/24810 ferner Mittel zum Auswählen, welche aus einer analogen Strahlformungsarchitektur und einer digitalen Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur verwendet werden soll, um strahlgeformte mmWellen-Signale zu empfangen oder zu senden, implementieren beispielsweise über eine Steuereinheit. In einigen Aspekten können, wie gezeigt, die Empfängerarchitektur 24700/24800 und die Senderarchitektur 24710/24810 ferner Mittel zum Anpassen der Auflösung des ADC und des DAC basierend darauf, welche aus der analogen und digitalen Strahlformungsarchitektur ausgewählt ist, z. B. unter ähnlicher Verwendung einer Steuereinheit und der ADCs/DACs, implementieren. In einigen Aspekten können, wie gezeigt, die Empfängerarchitekturen 24700/24800 ferner wie gezeigt Mittel zum Kombinieren komplexer mmWellen-Signale, die von den Antennen empfangen werden, in ein kombiniertes Signal, z. B. über den Kombinierer 24826 ( 248A), und Mittel zum Zuführen des kombinierten Signals zu dem einzelnen DAC als eine Eingabe implementieren.
  • 249 stellt den Stromverbrauch eines ADC mit adaptiver Auflösung in Übereinstimmung mit einigen Aspekten dar. Insbesondere zeigt 249 eine erreichbare Rate von Eigen-Strahlformung mit ADCs mit adaptiver Auflösung für ein MIMO-Abwärtsstreckensystem. In der Simulation mit Nt = 64 Antennen an dem Sender und Nr = 16 Antennen an dem Empfänger und einem Rayleigh-Fading-Kanal. Unter Berücksichtigung der Waldenschen Gütezahl (FOM) (empirisch): F O M = L e i s t u n g f 2 2 E N O B
    Figure DE112017006442T5_0017
    mit fs = Abtastfrequenz, ENOB = effektive Anzahl von Bits, die kleiner ist als die Gesamtzahl von Bits aufgrund des Versatzes, von Verstärkungsfehlern, differentieller und integraler Nichtlinearität, Oberwellenstörungen, Schwankungsrauschen usw. Die Leistung eines MIMO-Abwärtsstreckenkanals kann sein: y q = Q ( H x + n )
    Figure DE112017006442T5_0018
    wobei H der Kanal ist, x die Eingabe ist und n das additive Gaußsche Rauschen mit Einheitsvarianz ist. Die erreichbare Rate an dem Empfänger kann durch Berechnen numerischer Transinformationen, R = EH{I(x,yq)), bestimmt werden. Die Leistung eines nicht quantisierten ADC kann unter Verwendung eines niedrigen (1-3)-Bit-ADC nahezu erreicht werden. Beispielsweise nähert das Verwenden eines 2-Bit-ADC die Kapazität von 16QAM-Modulation gut an. Wie in Tabelle 9 angegeben ist, kann der Gesamtenergieverbrauch für einen 2-Bit-ADC für 16 Antennen an dem Empfänger 25,6 mW sein. Falls eine feste ADC-Auflösung von 8 Bit für 16QAM-Modulation verwendet wird, kann sich der Energieverbrauch beispielsweise um das 50-Fache auf 1638,4 mw erhöhen. Somit kann bei Verwendung adaptiver ADCs gemäß einigen Aspekten die Energieeinsparung etwa 98,4 % sein. Als ein Ergebnis kann, abhängig von der Modulationsordnung, die Auflösung des ADC angepasst werden, um den Energieverbrauch zu reduzieren. Tabelle 9: Nr = 16, fs = 2Gsps, FOM = 100fJ
    Auflösung: Gesamtenergieverbrauch (mW)
    8 Bit 1638,4
    2 Bit 25,6
  • 250 stellt eine Bitfehlerraten-Leistung (BER-Leistung) in Übereinstimmung mit einigen dar, die eine uncodierte Bitfehlerraten- (BER) Leistung eines ADC mit adaptiver Auflösung in einem mmWellen-Kanal in Übereinstimmung mit einigen Aspekten zeigt. Wie in 250 gezeigt ist, kann die Leistung eines Empfängers, der einen unquantisierten ADC umfasst, durch Verwenden eines ADC mit niedrigem Bit beinahe erreicht werden.
  • 251 stellt ein beispielhaftes Verfahren zum Kommunizieren strahlgeformter mmWellen-Signale in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 25100 kann durch die in den 247 und 248 gezeigte Hybridarchitektur ausgeführt werden. Bei Operation 25102 kann das Verfahren 25100 bestimmen, ob mmWellen-Signale kommuniziert werden sollen. Die mmWellen-Signale können strahlgeformte MIMO-Signale sein, die über einen LOS- oder NLOS-Kanal kommuniziert werden können.
  • Die mmWellen-Signale können bei Operation 25104 empfangen oder bei Operation 25118 gesendet werden. Die mmWellen-Signale können über mehrere Antennen, die mit einer Hybrid-analog/digital-Strahlformungsarchitektur verbunden sind, kommuniziert werden. Die Hybridanalog/digital-Strahlformungsarchitektur kann verwendet werden, um die strahlgeformten mmWellen-Signale zu empfangen und/oder zu senden.
  • Nachdem die mmWellen-Signale bei Operation 25104 empfangen worden sind, kann die Auflösung eines oder mehrerer ADCs, die während des Empfangs verwendet werden, bei Operation 25106 eingestellt werden. In der analogen Domäne kann die komplexe (I/Q-) Ausgabe aus den Antennen kombiniert werden, bevor sie für einen einzelnen ADC bereitgestellt wird. In der digitalen Domäne können zwei ADCs für jede Antenne (einer für I und der andere für Q) vorhanden sein.
  • Ähnlich kann vor dem Senden der mmWellen-Signale bei Operation 25118 die Auflösung eines oder mehrerer DACs, die während des Sendens verwendet werden, bei Operation 25114 eingestellt werden. In der analogen Domäne können die komplexen (I/Q-) Signale, die für die Antennen bereitgestellt werden sollen, separiert werden, bevor sie für einen einzelnen DAC bereitgestellt werden. In der digitalen Domäne können 35 DACs für jede Antenne (eine für I und die andere für Q) vorhanden sein. Unabhängig davon, ob die mmWellen-Signale empfangen oder gesendet werden, kann die Auflösung auf einer Sendeempfänger-Leistungsverlusteinschränkung basieren. Die Leistungsreduktion kann ohne Reduzieren der Anzahl von ADCs oder DACs, die in der Hybridstruktur bereitgestellt oder während des Umsetzungsprozesses verwendet werden, sein.
  • Nachdem die Auflösung der ADCs bei Operation 25106 eingestellt worden ist, können die strahlgeformten mmWellen-Signale bei Operation 25108 in digitale Signale umgesetzt werden. Die umgesetzten Signale können in einem Basisbandprozessor verarbeitet werden, z. B. um Störungen innerhalb des Bands oder durch benachbarte Kanäle zu kompensieren. In einigen Aspekten kann die Auflösung abhängig davon, ob die strahlgeformten mmWellen-Signale gesendet oder empfangen werden, oder basierend darauf, welche aus der analogen und digitalen Strahlformungsarchitektur ausgewählt ist, unterschiedlich sein.
  • Ähnlich können, nachdem die Auflösung der DACs bei Operation 25114 eingestellt worden ist, die strahlgeformten Signale bei Operation 25116 in analoge Signale zum Senden über die Antennen umgesetzt werden. Die digitalen Signale können von einem Basisbandprozessor zugeführt werden.
  • In einigen Aspekten kann die Auflösung des ADC oder DAC von einem oder mehreren Faktoren abhängig sein und kann fähig sein, eine einzelne binäre Änderung (Zunahme/Abnahme) oder mehrere Änderungen zu implementieren, abhängig von dem Dynamikbereich des ADC/DAC und dem Faktor. Faktoren können beispielsweise den Signaltyp (Steuerung/Daten), die Signalqualität (z. B. SNR), die Modulation (z. B. 16QAM), die Anzahl versorgter UEs (für eNBs) oder eine Operation, die den strahlgeformten mmWellen-Signalen zugeordnet ist, enthalten. In einigen Aspekten kann die Auflösung für Synchronisation, initialen Zugriff, UE-Finden oder eNB-Suche herabgesetzt sein, mit Abnehmen des SNR/Modulationsordnung/Anzahl von UEs (niedrigeres PAPR), wenn ein gemessener DC-Versatz kleiner ist als ein vorbestimmter Sollwert oder während der Präambel oder Midambel eines Signals (im Gegensatz zu der Signallast). Andererseits kann die Auflösung erweitert werden als ein Ergebnis von: Ansteigen des SNR oder der Modulationsordnung, ein hoher Dynamikbereich wird aufgrund von Störung verwendet, UEs, die mit dem eNB, in dem die Hybrid-Struktur angeordnet ist, weisen unterschiedliche SNRs auf (was verwendet werden kann, um den Effekt von Quantisierungsrauschen auf UEs mit niedrigem SNR zu reduzieren), der gemessene DC-Versatz ist größer als der vorbestimmte Sollwert; und mit zunehmenden multiplexten UEs (höheres PAPR) oder mit einer zunehmenden Anzahl von Mehrfachpfaden (höheres PAPR) in einem NLOS-Kanal.
  • Da der Energieverbrauch der Phasenschieber in analogen und Hybridstrahlformungsstrukturen mit der Auflösung der Phasenschieber ansteigt, sind Phasenschieber mit wenigen Bits attraktiv für mmWellen-Systeme mit geringer Leistung. In einigen Fällen können jedoch Phasenschieber mit wenigen Bits zu einer hohen Gitterkeule, Leistungsverlust an dem Hauptstrahl und Strahllenkungswinkelfehler aufgrund von Quantisierungsrauschen führen. Die Speichergröße kann für ein Codebuch reduziert sein, das die zur Strahllenkung verwendeten Winkel beinhaltet. Das reduzierte Codebuch kann zusammen mit einer Bestimmung der optimalen Phasenwerte für Untergruppenantennen verwendet werden (analoges oder Hybridstrahlformen).
  • Die 252A und 252B stellen eine Sendeempfängerstruktur in Übereinstimmung mit einigen Aspekten dar. Insbesondere stellt 252A eine mmWellen-Empfängerarchitektur (oder Empfängerstrahlformungsarchitektur) 25200 in Übereinstimmung mit einigen Aspekten dar. Die mmWellen-Empfängerarchitektur/ Empfängerstrahlformungsarchitektur 25200 kann analoges Strahlformen bereitstellen. Die Empfängerstrahlformungsarchitektur 25200, die in 252A gezeigt ist, kann die rauscharmen Verstärker (LNAs) 25212, die Mischer 25214, die Verstärker mit variabler Verstärkung (VGAs) 25216, die Tiefpassfilter 25218, die Phasenschieber 25224, einen Kombinierer 25226, einen ADC 25232 und einen Oszillator 25222 aufweisen. Die Empfängerstrahlformungsarchitektur 25200 kann RF-Signale von mehreren Antennenelementen 25202 empfangen. Die Signale von den Antennenelementen 25202 können den LNAs 25212 zugeführt werden. Das verstärkte Signal aus jedem LNA 25212 kann in duale verstärkte Signale aufgeteilt werden und dann einem Paar von Mischern 25214 zugeführt werden. Das verstärkte Signal aus jedem LNA 25212 kann einem anderen, nicht überlappenden Mischer 25214 zugeführt werden. Die Mischer 25214 können die RF-Signale auf Basisband- oder Zwischenfrequenz- (IF-) Signale unter Verwendung von Signalen eines lokalen Oszillators von einem Oszillator 25222 abwärtsumsetzen. Jedes der abwärtsumgesetzten Signale aus den Mischern 25214 kann für einen unterschiedlichen VGA 25216 bereitgestellt werden. Jedes verstärkte Signal aus dem VGA 25216 wird für ein Tiefpassfilter 25218 bereitgestellt, das die verstärkten Signale auf das Basisband filtert.
  • Anstatt dass sie für mehrere ADCs bereitgestellt werden, wie in einer digitalen Empfängerarchitektur, können die Signale aus den entsprechenden Filterpaaren 25218 den Phasenschiebern 25224 zugeführt werden. Die Phasenschieber 25224 können verwendet werden, um die Phase jedes Paars gefilterter Signale, die von einer entsprechenden Antenne stammen, anzupassen. Die phasenverschobenen Signale aus den Phasenschiebern 25224 können dann in einem Kombinierer 25226 kombiniert und einem einzelnen ADC oder einem einzelnen Paar von ADCs 25232 zugeführt werden. Obwohl nur eine Gruppe von Phasenschiebern 25224 gezeigt ist, können mehrere Gruppen verwendet werden. Diese Gruppen können primäre Phasenschieber für RF und Basisband und sekundäre Phasenschieber für IF und digitale Phasenschieber enthalten.
  • Eine beispielhafte mmWellen-Senderarchitektur (oder eine Senderstrahlformungsarchitektur) 25210 ist in 252B gezeigt. Die Senderstrahlformungsarchitektur 25210 kann analoges Strahlformen bereitstellen. Die Senderstrahlformungsarchitektur 25210 kann beispielsweise die Leistungsverstärker (PAs) 25230, die Mischer 25214, die Verstärker mit variabler Verstärkung (VGAs) 25216, die Tiefpassfilter 25218, die DACs mit variabler Auflösung 25234, die Phasenschieber 25224, einen Separator 25228 und einen Oszillator 25222 beinhalten. Wie gezeigt kann die Senderstrahlformungsarchitektur 25210 digitale Signale von einem DFE (nicht gezeigt) empfangen. Die digitalen Signale von dem DFE können dem DAC 25234 zugeführt werden, wo die Signale in analoge Signale umgesetzt werden können. Das analoge Signal aus dem DAC 25234 kann dem Separator 25228 zugeführt werden, der das Signal in Paare analoger Signale separieren kann. Jedes Paar analoger Signale kann dann für einen Phasenschieber 25224 bereitgestellt werden. Die phasenverschobenen Signale aus jedem Phasenschieber 25224 können für ein Paar von Tiefpassfiltern 25218 bereitgestellt werden, die die analogen Signale vor der Verstärkung durch ein Paar von VGAs 25216 auf das Basisband filtern können. Die verstärkten Signale aus den VGAs 3916 können dann unter Verwendung eines Paars von Mischern 25214, denen die Signale des lokalen Oszillators aus dem Oszillator 25222 zugeführt werden, auf die RF-Frequenz (mmWellen) aufwärtsumgesetzt werden. Die RF-Signale aus den Paaren von Mischern 25214 können dann durch einen PA 25230 verstärkt werden, bevor sie für mehrere Antennenelemente 25202 bereitgestellt werden.
  • Analoges Strahlformen kann den ausgegebenen Strahl mit nur einer RF-Kette unter Verwendung von Phasenschiebern formen. Die Antennenelemente in den Sendeempfängerstrukturen (d. h. den Architekturen 25200, 25210) der 252A und 252B können in einem festen vordefinierten Muster angeordnet sein, wobei die gesamte Antennengruppe in Untergruppenantennen aufgeteilt werden kann. Da jedes Antennenelement mit einem einzelnen analogen Phasenschieber verbunden sein kann, kann eine Menge eindeutiger Phasenverschiebungswerte für jedes Antennenelement, als ein Codebuch-Eintrag bezeichnet, eine eindeutige Signalstrahlrichtung definieren. Die Menge aller Codebuch-Einträge, die durch den Sendeempfänger unterstützt werden, kann in einem Codebuch angeordnet sein, das im Voraus in den Sendeempfänger geladen sein kann. Analoges Strahlformen kann Strahlsuchen verwenden, um die optimalen Strahlen an dem Sender und dem Empfänger zu finden. Die Größe der Codebücher, die für die Strahlsuche verwendet werden, und somit der verwendete Speicher, kann mit dem Verengen der Strahlgröße ansteigen.
  • Da der Energieverbrauch der Phasenschieber mit der Auflösung der Phasenschieber ansteigt, können zum Verringern des Energieverbrauchs des in den 252A und 252B gezeigten Sendeempfängers Phasenschieber mit wenigen (1-3) Bits verwendet werden. In einigen Fällen kann jedoch die Verwendung von Phasenschiebern mit wenigen Bits verschiedene nachteilige Effekte aufweisen, die das Führen zum Vorhandensein von hohen Gitterkeulen, Leistungsverlust an dem Hauptstrahl und Strahllenkungswinkelfehler aufgrund von Quantisierungsrauschen enthalten. Zu diesem Zweck kann ein neues Codebuch für sowohl primäre als auch sekundäre Phasenschieber für Phasengruppenkommunikationssysteme mit niedriger Leistung mit Phasenschiebern mit wenigen Bits verwendet werden. Bei Verwenden von Eigenschaften einer optimalen Strahllenkung kann die Speichergröße, die für das Codebuch verwendet wird, reduziert sein, und die optimalen Phasenwerte, die können für die Untergruppenantennen unter Verwendung des reduzierten Codebuchs gefunden werden. Zusätzlich zu der Reduktion der Codebuch-Größe kann das Codebuch zu minimalem Hauptstrahl-Leistungsverlust, kleinerer Gitterkeulenleistung (und somit weniger Störung) und besserer Strahllenkungsgenauigkeit führen. Das Codebuch kann in die Protokollverarbeitungsschaltung 305, die in 3A gezeigt ist, und/oder die Funkkettenschaltung 372, die in 3D gezeigt ist, integriert sein, obwohl das Codebuch nicht auf eine solche Integration beschränkt ist.
  • 253 stellt eine Gruppenstruktur 25300 in Übereinstimmung mit einigen Aspekten dar. Die Gruppenstruktur 25300 kann in einer analogen oder Hybridstrahlformungsarchitektur verwendet werden. Die Gruppenstruktur 25300 kann eine gleichmäßige lineare Gruppe mit einer Untergruppenstruktur beinhalten. In anderen Aspekten kann die Anordnung in 253 auf ein tertiäre, quarternäre usw. Untergruppenstruktur erweitert werden. In 253 kann, falls M sekundäre Phasenschieber (IF-Phasenschieber, digitale Phasenschieber usw.) 25314 und L primäre (mit wenigen Bits, z. B. 39-3 Bits) Phasenschieber 25312 in jeder zusammenhängenden Untergruppe vorhanden sind, ein Gruppenfaktor die den Strahllenkungswinkel Φ geschrieben werden als: A ( ϕ ) = m = 1 M l = 1 L e j ( θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) cos ( ϕ ) )
    Figure DE112017006442T5_0019
    wobei θi, i = 1, ..., ML, und (φj, i = 1, ..., M primäre Phasenschieberwerte für das Antennenelement i sind bzw. sekundäre Phasenschieberwerte für die Untergruppe j sind. Zusätzlich ist k = 2 π λ
    Figure DE112017006442T5_0020
    die Wellenzahl und λ ist die Wellenlänge, d ist der Abstand zwischen jedem Antennenelement. Ohne Beschränkung der Allgemeinheit gilt d = λ 2 .
    Figure DE112017006442T5_0021
    Es wird darauf hingewiesen, dass hier beschriebene Berechnungen durch einen Prozessor wie z. B. den Basisbandprozessor der analogen oder Hybridstrahlformungsarchitektur ausgeführt und als ein Codebuch gespeichert werden können, das verwendet werden soll, um die Lenkungswinkel der Antennen zu erzeugen.
  • In dieser Herangehensweise können die Phasenwerte der Phasenschieber 25312, 25314 (sowohl IF als auch RF) basierend auf ihren relativen Positionen zueinander progressiv erhöht werden. Das heißt, θ(m-1)L+l = ((m - 1)L + l)θ und φm = mcp, wobei 0 und φ progressive Phasenwerte sind. Es können jedoch Gitterkeulen auftreten. 254 zeigt eine Simulation von Gitterkeulen in Übereinstimmung mit einigen Aspekten. Das kann daran liegen, dass der Abstand zwischen den sekundären Phasenschiebern 25314 d = 2λ ist. ist. Es wird darauf hingewiesen, dass die Anzahl von Gitterkeulen gleich 2 d λ
    Figure DE112017006442T5_0022
    ist.
  • In einigen Aspekten können Mittel zum Begrenzen einer Größe eines Codebuchs, das zur Strahllenkung von Antennen verwendet wird, auf eine Teilmenge der Steuerwinkel, über die die Antennen gesteuert werden können, durch die Empfängerarchitektur 25200 und/oder die Senderarchitektur 25210 implementiert sein. In einigen Aspekten können, wie gezeigt, die Empfängerarchitektur 25200 und/oder die Senderarchitektur 25210 ferner Mittel zum Bestimmen eines speziellen Lenkungswinkels, außerhalb der Teilmenge von Lenkungswinkeln, auf den die Antennen gesteuert werden sollen, Mittel zum Bestimmen eines begrenzten Lenkungswinkels innerhalb der Teilmenge von Lenkungswinkeln, der dem speziellen Lenkungswinkel entspricht, Mittel zum Bestimmen eines Verschiebungswerts, um den begrenzten Lenkungswinkel auf den speziellen Lenkungswinkel zu verschieben, und Mittel zum Lenken der Antennen durch Anwenden des begrenzten Lenkungswinkels und des Verschiebungswerts implementieren, z. B. über eine Steuereinheit.
  • In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 25200 und/oder die Senderarchitektur 25210 ferner eines oder mehrere Mittel zum Anwenden eines begrenzten Lenkungswinkelwerts auf mehrere primäre Phasenschieber, um die Antennen auf den begrenzten Lenkungswinkel zu lenken, und Mittel zum Anwenden des Verschiebungswerts auf mehrere sekundäre Phasenschieber, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben, und/oder Mittel zum Anwenden eines unitären Multiplizierers, der angibt, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und den Verschiebungswert eingestellt ist, oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkels und des Verschiebungswerts um den Verschiebungswert um 180° eingestellt ist, implementieren.
  • Wie in 254 gezeigt ist, ist die Anzahl der Gitterkeulen gleich 2 d λ = 4 λ λ = 4.
    Figure DE112017006442T5_0023
    Wie zu sehen ist, ist die Leistung der erste Gitterkeule höher als die erste Nebenkeule. Das kann eine hohe Störung für andere UEs erzeugen und die Leistung des Hauptstrahls reduzieren. Optimierung kann verwendet werden, um die Leistung der Hauptstrahlungskeule zu erhöhen und die Gitterkeulen zu reduzieren. Unter Verwendung des Gruppenfaktors kann das Optimierungsproblem für einen Lenkungswinkel Φ wie folgt geschrieben werden: max | m = 1 M l = 1 L e j ( θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) cos ( ϕ ) ) | 2
    Figure DE112017006442T5_0024
    mit θ i { ( j 1 ) 360 ° 2 b p , j = 1, ,2 b p 1 } , i = 1, , M L
    Figure DE112017006442T5_0025
    φ i { ( j 1 ) 360 ° 2 b p , j = 1, ,2 b p 1 } , i = 1, , M
    Figure DE112017006442T5_0026
    wobei bp und bs Auflösungs-Bits der sekundären und primären Phasenschieber sind. Es wird darauf hingewiesen, dass das vorstehend gegebene Optimierungsproblem ein „non-deterministic polynomial-time (NP)-hard mixed integer“-Programm sein kann. Zusätzlich kann die Zielfunktion nicht konvex sein kann und die Anzahl von 2LM möglicher Lösungen nur für die primären Phasenschieber aufweisen kann.
  • Das Maximum der Zielfunktion kann erreicht werden, wenn der Term in der Zielfunktion (θ(m-1)L+l + φm - π((m - 1)L + l)cos(ϕ)) = C für ∀ m,l konstant ist. Ein Spezialfall ist (θ(m-1)L+l + φm = π((m - 1)L + l)cos(ϕ) ohne Verlust der Optimalität. Dann kann das Optimierungsproblem wie folgt neu formuliert werden: min  m = 1 M l = 1 L | θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) cos ( ϕ ) |
    Figure DE112017006442T5_0027
    mit θ i { ( j 1 ) 360 ° 2 b p , j = 1, ,2 b p 1 } , i = 1, , M L
    Figure DE112017006442T5_0028
    φ i { ( j 1 ) 360 ° 2 b p , j = 1, ,2 b p 1 } , i = 1, , M
    Figure DE112017006442T5_0029
  • Als Nächstes kann die Größe des Suchraums unter Verwendung der Eigenschaften quantisierter Phasenschieber reduziert werden. Zurück zu dem Gruppenfaktor, der in (4) gegeben ist, und unter der Annahme das die optimalen primären θ * = [ θ 1 , , θ ML ]
    Figure DE112017006442T5_0030
    und sekundenren φ * = [ φ 1 , , φ M ]
    Figure DE112017006442T5_0031
    Phasenschiebervektoren für den Strahlwinkel ϕ erhalten worden sind, d. h. | A ( ϕ ) | = | m = 1 M l = 1 L e j ( θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) cos ( ϕ ) ) | = ML .
    Figure DE112017006442T5_0032
  • Dann kann die vorstehende Gleichung wie folgt modifiziert werden: | A ( ϕ ) | = | m = 1 M l = 1 L e j ( θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) s 2 π 2 b p kd ( ( m 1 ) L + l ) cos ( ϕ ) ) | = ML
    Figure DE112017006442T5_0033
    wobei 2 π 2 b p
    Figure DE112017006442T5_0034
    auf die primäneren bp-Bit Phasenschieber zurückzuführen ist. Wenn die exponentiellen Terme, die in den vorstehenden Gleichungen gegeben sind, verglichen werden: k d ( ( m 1 ) L + l ) cos ( ϕ ) = ( ( m 1 ) L + l ) s 2 π 2 b p + kd ( ( m 1 ) L + l ) cos ( ϕ s )
    Figure DE112017006442T5_0035
    cos ( ϕ ) = s 2 π k d 2 b p + cos ( ϕ s )
    Figure DE112017006442T5_0036
    ϕ s = arccos ( s 2 b p 1 + cos ( ϕ ) )  id d = λ 2
    Figure DE112017006442T5_0037
    mit arccos ( 1 2 b p 1 ) < ϕ 90 ° ,
    Figure DE112017006442T5_0038
    und s∈{-2b p-1 , .., -1,0,1, ... , 2b p-1 - 1}. Als ein Ergebnis können die optimalen primären und sekundären Werte für alle Lenkungswinkel 0 < ϕ ≤ 90 ° bestimmt werden, falls ein optimales Codebuch für die Lenkungswinkel zwischen a r c c o s ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0039
    bekannt ist. θ m * θ m * + ( m 1 ) s π 2 b p 1 , m = 1, , M L ,  und  s = 2 b p 1 , , 1,0,1, ,2 b p 1 1
    Figure DE112017006442T5_0040
  • 255 stellt eine Simulation optimaler Phasenwerte in Übereinstimmung mit einigen Aspekten dar und stellt ein Beispiel für eine Bestimmung optimaler primärer und sekundärer Phasenwerte für primäre 3-Bit-Phasenschieber bereit. Wie gezeigt können, falls das phasengesteuerte Gruppensystem ein optimales Codebuch für Lenkungswinkel zwischen beispielsweise 75,5° and 90° (schraffierter Bereich) aufweist, optimale Codewörter für die acht Gebiete zwischen den Pfeilen berechnet werden. Zusätzlich kann unter Verwendung einer Rückkeule des Gruppenfaktors die Codebuchgröße weiter auf arccos ( 1 2 b p ) ° < ϕ * 90 °
    Figure DE112017006442T5_0041
    reduziert werden. Dann kann ein unitärer Multiplizierer verwendet werden. Durch einfaches Multiplizieren der optimalen primären und sekundären Phasenwerte mit -1 kann der Lenkungswinkel 180 - ϕ* erhalten werden. Das heißt θ l θ l ,   l = 1, , M L ,
    Figure DE112017006442T5_0042
    θ m θ m ,   m = 1, , M
    Figure DE112017006442T5_0043
  • Die primären und sekundären Phasenschieber können die gleiche Anzahl von Bits aufweisen oder können in unterschiedlichen Aspekten unterschiedliche Bits aufweisen. Die primären Phasenschieber bestimmen somit das Gebiet, in dem Strahllenkung auftreten soll, und die sekundären Phasenschieber bestimmen, wo sich der Strahllenkungswinkel innerhalb des ausgewählten Gebiets befindet.
  • 256 stellt eine weitere Simulation optimaler Phasenwerte in Übereinstimmung mit einigen Aspekten dar, die ein Beispiel zur Bestimmung optimaler primärer und sekundärer Phasenwerte für primäre 4-Bit-Phasenschieber bereitstellt. Wie gezeigt können, falls das phasengesteuerte Gruppensystem ein optimales Codebuch für Lenkungswinkel zwischen 82,81° and 90° (schraffierter Bereich) aufweist, die optimalen Codewörter für RHS des schraffierten Bereichs durch Multiplizieren der optimalen Phasenwerte mit -1 berechnet werden. Die optimalen Phasenwerte können nachfolgend für alle sechzehn Bereiche unter Verwendung von Gl. (6) berechnet werden. Die Einstellungen für die primären und sekundären Phasenschieber können in einer initialen Trainingssequenz aufgebaut werden und können periodisch aktualisiert werden, z. B. basierend auf einer vorbestimmten Zeitspanne, die seit der letzten Trainingssitzung vergangen ist.
  • Als ein Ergebnis kann die Codebuchgröße auf das Bestimmen der Lenkungswinkel arccos ( 1 2 b p ) ° < ϕ * 90 °
    Figure DE112017006442T5_0044
    verkleinert werden. Der Hauptstrahl kann nachfolgend auf jeden gewünschten Winkel unter Verwendung einer einfachen progressiven Phasenänderung gelenkt werden. Diese Eigenschaft erlaubt das Speichern nur eines Codebuchs, das dem Lenkungswinkel arccos ( 1 2 b p ) ° < ϕ * 90 °
    Figure DE112017006442T5_0045
    entspricht, und das schnelle Umschalten des Lenkungswinkels.
  • Weiter zur Speichergrößenreduktion sind Beispiele für primäre 41-Bit-Phasenschieber und sekundäre 12-Bit-Phasenschieber bereitgestellt. Es ist angenommen, dass M = 8, L = 4 gilt. Für eine Strahlauflösung von 0,5° in 82,81° < ϕ* ≤ 90° kann die Speichergröße 2,69 kb anstatt der herkömmlichen 43 kb sein, was die Codebuchgröße um 93,7 % reduuiert. Zusätzlich kann das Codebuch nur für Lenkungswinkel arccos ( 1 2 b p ) ° < ϕ * 90 °
    Figure DE112017006442T5_0046
    optimiert sein. Da ϕ auf arccos ( 1 2 b p ) ° < ϕ * 90 °
    Figure DE112017006442T5_0047
    begrenzt sein kann, können die primären Phasenschieberwerte für die erste Untergruppe auf zwischen [0,0,0, ...,0] und [ 0,1,2,   ,  L 1 ] π 2 b p
    Figure DE112017006442T5_0048
    begrenzt sein. Beispielsweise können für L = 4 und bp = 3, die primären Phasenwerte auf eine der Zeilen in der folgenden Matrix begrenzt sein. T = [ 0 0 0 0 0 0 0 45 0 0 45 45 0 0 45 90 ]
    Figure DE112017006442T5_0049
  • Die Werte der primären Phasenschieber für die m-te Untergruppe können eine der Zeilen der Matrix (T+45ml), m = 0, 1,..., M-1, 1 = 1,..., L, sein, d. h. die Matrix T ist um 45ml nach oben verschoben. Es wird darauf hingewiesen, dass die Phasenverschiebung, d. h. 45ml, durch einen sekundären Phasenschieber ausgeführt werden kann. Dementsprechend kann das Optimierungsproblem in (5) reduziert sein auf: min m = 1 M l = 1 L | θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) c o s ( ϕ ) |
    Figure DE112017006442T5_0050
    mit [ θ ( m 1 ) L + 1 ,   ,   θ mL ] T ,   m = 1,   ,   M
    Figure DE112017006442T5_0051
    φ i { ( j 1 ) 360 ° 2 b s ,  j = 1,   ,   2 b s 1 } ,   i = 1,   ,  M
    Figure DE112017006442T5_0052
  • 257 stellt einen Prozess für einen Phasenschieber in Übereinstimmung mit einigen Aspekten dar. Eine Darstellung eines Prozesses für eine Lenkungswinkel von 85° ist in 257 für einen primären 3-Bit-Phasenschieber für zwei Untergruppen, M = 2, L = 4, bereitgestellt. Für jede Untergruppe kann der Prozess eine Zeile aus der Matrix T auswählen, dann einen optimalen sekundären Phasenschieberwert finden, so dass der Abstand zwischen idealen und quantisierten Phasen minimiert ist. Wie gezeigt modulieren optimale Phasenwerte allgemein um die idealen Phasenwerte.
  • 258 stellt eine Phasenwertbestimmung in Übereinstimmung mit einigen Aspekten dar, die ideale und quantisierte Phasenwerte für einen Lenkungswinkel 85° zeigen. Ähnlich zu dem Vorstehenden ist 258 für einen primären 3-Bit-Phasenschieber für zwei Untergruppen, M = 2, L = 4, und einen sekundären Phasenschieber mit unendlicher Auflösung bereitgestellt. Wie zu sehe ist, sind die optimierten Phasenwerte näher an dem idealen Wert für die Antennenindizes.
  • In einige Aspekten kann der Prozess sein: T = [ 0 0 0 ( L 1 ) π 2 b s ]
    Figure DE112017006442T5_0053
  • Zuerst Finden eines Codebuchs für Lenkungswinkel arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0054
    unter Verwendung von: min m = 1 M l = 1 L | θ ( m 1 ) L + l + φ m kd ( ( m 1 ) L + l ) c o s ( ϕ ) |
    Figure DE112017006442T5_0055
    mit [ θ ( m 1 ) L + 1 , , θ mL ] T , m = 1, , M
    Figure DE112017006442T5_0056
    φ i { ( j 1 ) 360 ° 2 b s , j = 1,   ,  2 b s 1 } , i = 1,   ,   M
    Figure DE112017006442T5_0057
  • Dann Berechnen der Phasenschieberwerte für den Winkel ϕs unter Verwendung von Codebüchern ϕ, die vorstehend erhalten werden durch: ϕ s = arccos ( s 2 b p 1 + cos ( ϕ ) )
    Figure DE112017006442T5_0058
    θ m θ m + ( m 1 ) s π 2 b p 1 ,   m = 1,   ,   M L ,  und s = 2 b p 1 , , 1,0,1, ,2 b p 1 1
    Figure DE112017006442T5_0059
    oder θ l θ l ,   l = 1, , M L ,
    Figure DE112017006442T5_0060
    φ m φ m ,  m = 1, , M
    Figure DE112017006442T5_0061
  • Ein Beispiel für die Leistung für die Auflösung eines primären M = 8, L = 4 2-Bit-Phasenschiebers und einen sekundären Phasenschieber mit unendlicher Auflösung ist nachstehend bereitgestellt. Um den vorstehenden Prozess mit der einfachen Quantisierung zu vergleichen: θ m = Q ( ( m 1 ) k d c o s ( ϕ ) )
    Figure DE112017006442T5_0062
    wobei ϕ der Lenkungswinkel ist und m der Antennenindex ist und Q (. ) ein b-Bit-Quantisierer ist. 259 stellt einen Leistungsvergleich in Übereinstimmung mit einigen Aspekten dar. Insbesondere stellt 259 einen Vergleich der Leistung zwischen dem optimierten Codebuch mit einfacher Quantisierung dar. Wie gezeigt weist das optimierte Codebuch 0,5 dB mehr Gewinn und weniger Gitterkeulenleistung auf als die Verwendung einfacher Quantisierung.
  • 260 stellt einen weiteren Leistungsvergleich in Übereinstimmung mit einigen Aspekten dar. Insbesondere stellt 260 den Leistungsverlust der Hauptstrahls dar. Wie zu sehen ist, steigt der Hauptstrahl-Leistungsverlust relativ langsam an, wenn sich der Lenkungswinkel von 90° bewegt, wenn das optimierte Codebuch verwendet wird, im Vergleich zu einer Herangehensweise mit einfacher Quantisierung. Das Verwenden der einfachen Quantisierung führt zu einem schnellen Abfall nahe 90° und dann relativ konstantem Leistungsverlust. Das optimierte Codebuch kann außerdem eine bessere Strahllenkungsgenauigkeit aufweisen.
  • 261 stellt ein Verfahren 26100 zum Bereitstellen von Strahllenkung in einer Kommunikationsvorrichtung in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 26100 kann durch die analoge oder Hybridarchitektur ausgeführt werden, wie z. B. die in den 252A-252B und 253 gezeigten. Bei Operation 26102 kann das Verfahren 26100 eine Größe eines Codebuchs, das zur Strahllenkung von Antennen verwendet wird, auf eine Teilmenge von Lenkungswinkeln, über die die Antennen gelenkt werden sollen, begrenzen. Die Antennen können verwendet werden, um Strahllenkung für mmWellen-Signale auszuführen. In einigen Aspekten kann das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0063
    begrenzt werden, wobei bp eine Anzahl vin Bits jedes primären Phasenschiebers ist. In einigen Aspekten kann das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0064
    begrenzt sein.
  • Bei Operation 26104 kann die Verarbeitungsschaltung den gewünschten Lenkungswinkel, auf den die Antennen gesteuert werden sollen, bestimmen. Die Verarbeitungsschaltung kann bestimmen, dass der gewünschten Lenkungswinkel innerhalb der Teilmenge von Lenkungswinkeln oder außerhalb der Teilmenge von Lenkungswinkeln ist.
  • Nach dem Bestimmen des gewünschten Lenkungswinkels bei Operation 26104 kann die Verarbeitungsschaltung bei Operation 26106 einen begrenzten Lenkungswinkel innerhalb der Teilmenge der Lenkungswinkel bestimmen. Der begrenzte Lenkungswinkel kann verwendet werden, um ein Steuersignal an jeden Phasenschieber für jede Antenne anzulegen. Der Wert, der verwendet wird, um jede Antenne zu steuern, kann von den Werten, die für die anderen Antennen verwendet werden, unabhängig sein. Der begrenzte Lenkungswinkel kann dem gewünschten Lenkungswinkel entsprechen. Die primären Phasenschieberwerte können auf zwischen [0, 0, 0, ... , 0] und [ 0,1,2, , L 1 ] π 2 b p
    Figure DE112017006442T5_0065
    begrenzt sein, wobei L die Anzahl primärer Phasenschieber ist. In einigen Aspekten kann der Bereich der Werte des begrenzten Lenkungswinkels durch die Verwendung eines unitären Multiplizierers (+1/-1), der angibt, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und den Verschiebungswert eingestellt ist (+1) oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkels und Verschiebungswerts um 180° eingestellt ist (-1), weiter begrenzt werden.
  • Nach dem Bestimmen des begrenzten Lenkungswinkels bei Operation 26106 kann die Verarbeitungsschaltung bei Operation 26108 einen Verschiebungswert bestimmen. Der Verschiebungswert kann der Wert sein, der verwendet wird, um den begrenzten Lenkungswinkel auf den gewünschten Lenkungswinkel zu verschieben. Jeder der sekundären Phasenschieber kann den Verschiebungswert anwenden. Das kann einen Bereich des begrenzten Lenkungswinkels auf den geeigneten Bereich verschieben. In einigen Aspekten kann der Verschiebungswert positive und negative Werte annehmen oder kann positive Werte annehmen, die Werten entsprechen, die durch eine Gesamtzahl von Bits der sekundären Phasenschieber bereitgestellt sind. Es wird darauf hingewiesen, dass die Operationen 26104 und 26106 in irgendeiner gewünschten Reihenfolge stattfinden können.
  • Nachdem der begrenzte Lenkungswinkel und die Verschiebungswerte (und eventuell der unitäre Multiplizierer) bestimmt worden sind, kann bei Operation 26110 die Verarbeitungsschaltung die Antennen über die primären und sekundäre Phasenschieber auf den gewünschten Lenkungswinkel anpassen. Die bestimmten Werte können auf die primären und sekundären Phasenschieber angewandt werden.
  • Ladungspumpen sind DC/DC-Umsetzer, die verwendet werden können, um Leistung an einem speziellen Pegel zu erzeugen. Ein oder mehrere Kondensatoren werden verwendet, um Energie zu speichern, um den gewünschten Leistungspegel bereitzustellen, wobei die Kondensatoren mit einer Spannungsquelle in einer Speicherbetriebsart, wenn Energie gespeichert werden soll, und mit einer Lastschaltung in einer Betriebsart, in der Energie abgegeben werden soll, verbunden sind.
  • Die 262A und 262B stellen einen Aspekt einer Ladungspumpe in Übereinstimmung mit einigen Aspekten dar. Die Ladungspumpe 26200 kann in die in 3D gezeigte Funkkettenschaltung integriert sein, obwohl die Ladungspumpe 26200 nicht auf eine solche Integration eingeschränkt ist. Wie gezeigt kann die Ladungspumpe 26200 eine Schaltung sein, die eine oder mehrere digitale Eingaben aus der Steuerlogik 26202 verwendet, um eine analoge Ausgabespannung an einem Ausgabelastkondensator 26206 zu modulieren oder zu steuern. Die Steuerlogik 26202 kann auf Stromquellen 26204 angewandt werden, die auf beiden Seiten des Kondensators 26206 angeordnet sind und jeweils mit einer Spannungsschiene und Masse verbunden sind, wie in 262A gezeigt ist. Die Steuerlogik 26202 kann für die Aktivierung der Stromquellen 26204, die Ladung in den Ausgabekondensator 26206 injizieren, um eine gewünschte Spannungsstufe zu produzieren, zuständig sein. Alternativ kann die Steuerlogik 26202 auf Schalter 26208 angewandt werden, wobei der Kondensator 26206 zwischen den Schaltern 26208 verbunden ist und die Schalter 26208 mit einer anderen der Stromquellen 26204 verbunden sind. Die Steuerlogik 26202 kann taktgetriggerte oder auf andere Weise getriggerte Steuersignale bereitstellen, um gepulste Injektionen von Ladung für den Kondensator 26206 bereitzustellen.
  • Die Ladungspumpe 26200 kann für wenigstens zwei allgemeine alternative Zweck oder Klassen von Anwendungen verwendet werden. Die erste Klasse von Anwendungen kann in der Versorgung von integrierten Schaltungen (ICs) gefunden werden, um eine Spannung bereitzustellen, die höher ist als die Spannung der Stromversorgung, und dann eine höhere Versorgungsspannung innerhalb eines Ein-Chip-Systems (SoC) zu produzieren. Die zweite Klasse von Anwendungen kann breiter sein und zielt auf das Erzeugen einer Spannung, die innerhalb der Versorgungsschienen ist. Diese letztere Klasse von Anwendungen kann mit einem Takt oder einer anderen digital gesteuerten Rate fein gesteuert werden.
  • 263 stellt einige Aspekte einer Ladungspumpe 26300 in Übereinstimmung mit einigen Aspekten dar. Die Ladungspumpe 26300 kann für die vorstehend beschriebene zweite Klasse von Anwendungen verwendet werden. Die Ladungspumpe 26300 kann in Basisschaltungen wie z. B. Komparatoren oder Phasen-Frequenz-Detektoren oder größeren Systemen wie z. B. Frequenzsynthesizern oder ADCs, die Basiskomponenten in einem Frontend einer Kommunikationsvorrichtung repräsentieren, bereitgestellt sein. Die Ladungspumpe 26300 kann beispielsweise in Hochgeschwindigkeitssendeempfängern wie z. B. mmWellen-Sendeempfängern und dergleichen für drahtlose Standards integriert sein.
  • Während die Ladungspumpen in Leistungs-ICs an einer hohen Frequenz und mit einem anpassbaren Takt eingesetzt werden, um ihre Ausgabeleistung innerhalb einer akzeptablen Größe der Gesamtkapazität, die zur Ladungsübertragung verwendet wird, und die Arbeitsfrequenz zu erhöhen, kann in Mischsignalanwendungen wie z. B. Phasenregelkreisen (PLLs), Frequenzregelkreisen (FLLs) oder ADCs, die Arbeitsfrequenz nicht leicht angepasst werden können, da die Arbeitsfrequenz durch die Schaltungsbetriebsgeschwindigkeit (den Referenztakt in PLLs und den Abtasttakt in ADCs) eingestellt sein kann. Somit können Ladungspumpen innerhalb des für die Anwendung erwarteten Frequenzbereichs arbeiten. Zusätzlich können spezielle Merkmale wünschenswert sein, um in einem SoC für drahtlose Standards und tragbare Anwendungen wie z. B. die für das Internet der Dige (IoT) eingesetzt zu werden. Hier offenbarte Aspekte enthalten Ladungspumpen, die hinsichtlich der Schaltungsfläche kompakt sein können, um dazu beizutragen, die Auswirkung auf SoC-Fläche und Leistungseffizienz zu vermeiden. Diese können für Komparator- und ADC-Ladungspumpen interessant sein, da sie als ergänzende Kalibrierungsschaltungen dienen können, die hinsichtlich der Fläche und hinsichtlich des Energieverbrauchs nicht dominant sein können.
  • Ladungspumpentopologien können zwei Eingabesignale (UP-DOWN), die aus einem endlichen Zustandsautomaten stammen, zusätzlich zu Schaltern, Stromgeneratoren und einem Kondensator verwenden. Für Hochgeschwindigkeitsanwendungen kann das Konstruieren von Ladungspumpen mit feiner Spannungsregulierung, geringem Energieverbrauch, kompakter Fläche und hoher Geschwindigkeit eine Anzahl von Überlegungen einbeziehen. Beispielsweise kann eine schnelle und genaue Antwort durch kapazitive Kopplungseffekte zwischen Steuersignalen und einem Ausgangsknoten beeinflusst sein. Stromgeneratoren und Referenzen können statische Energie verbrauchen und eine komplexe Schaltung verwenden, um zum Sicherstellen der Stromgenauigkeit beizutragen. Genaue Stromspiegel für die Stromreferenzen können große Vorrichtungen für eine gute Anpassung verwenden, und die Erzeugung kleiner (im nA-Bereich) und genauer Ströme in hoch skalierten CMOS-Prozessen kann aufgrund von Leckphänomenen schwierig sein. Eine feine Auflösung kann entweder einen sehr kleinen Strom und/oder eine große Ausgabekapazität verwenden, z. B. mehr Fläche, und die verwendeten Hochgeschwindigkeitsschalter mit geringem Widerstand führen mit höherer Wahrscheinlichkeit Schaltrauschen ein. In einigen Fälle kann es deshalb angebracht sein, unter anderem das Verwenden eines Stromgenerators in einer Ladungspumpe zu vermeiden.
  • Die Ladungspumpe 26300 von 263 kann in einer Kommunikationsvorrichtung wie z. B. einem UE, eNB oder AP vorgesehen sein. Die Ladungspumpe 26300 kann kapazitive Kopplungseffekte und nachfolgende Injektion unterhalb des Schwellenwerts ausnutzen anstatt Stromgeneratoren zu verwenden, um die gewünschte Ladung in einen Ausgabekondensator 26320 zu injizieren. Das ermöglicht sowohl, dass die Menge injizierter Ladung pro Schritt klein sein kann, ohne die Verwendung genauer Generatoren für kleine Ströme oder einer DC-Vorspannungsschaltung, als auch das Begrenzen des Ausgaberauschens.
  • Die Ladungspumpe 26300 kann Steuerlogik 26302 beinhalten, die zwei Steuersignale (UP/DOWN) bereitstellen kann. Die Steuerlogik 26302 kann in einem Basisbandprozessor in der Kommunikationsvorrichtung sein oder kann Logik getrennt von dem Basisbandprozessor sein. Die Steuerlogik 26302 kann mit einem Paar dynamisch angesteuerter Schalter 26310 über digitale Logik verbunden sein. Die dynamisch angesteuerten Schalter 26310 können mit einer Gruppe aus einem oder mehreren pMOS- (MP-) oder nMOS- (MN-) Unterschwellenschaltern 26312 verbunden sein. Die Gruppe von Schaltern 26312 kann durch voreingestellte Bits so eingestellt sein, dass sein an- oder abgeschaltet sind. Die voreingestellten Bits können für die äquivalente Länge von MP2- und MN2-Vorrichtungen, die Impulsbreite der Steuersignale (ddel,N, ddel,P) und den Ausgabekondensator (Dc) 26320 sein. Irgendeine Anzahl von Schaltern 26312 kann verwendet werden, wobei die schließlich resultierende Änderung der Ausgabespannung feiner ist, wenn die Anzahl von Schaltern 26312 zunimmt. In einigen Aspekten können ein bis fünf Schalter 26312 verwendet werden, unter anderem aufgrund von Leistungsüberlegungen.
  • In einigen Aspekten können die voreingestellten Bits während der Prüfung der Vorrichtung vorprogrammiert und in einem nichtflüchtigen Speicher der Kommunikationsvorrichtung gespeichert werden. In einigen Aspekten kann die Kalibrierung (und somit der Wert der voreingestellten Bits) der Ladungspumpe 26300 während einer Einschaltsequenz der Kommunikationsvorrichtung und/oder im Hintergrund, wenn die Kommunikationsvorrichtung im Betrieb ist, bestimmt werden. Die Kommunikationsvorrichtung kann die Ladungspumpe 26300 nach einer speziellen Anzahl von Zyklen und/oder als eine Funktion der Temperatur neu kalibrieren. Da die kapazitive Kopplung und der Unterschwellenstrom temperaturabhängig sein können, kann die Kalibrierung für unterschiedliche Temperaturen stattfinden. In einigen Aspekten können unterschiedliche Werte der voreingestellten Bits in dem Speicher gespeichert sein, wobei jede Menge der voreingestellten Bits an einer anderen Temperatur der Ladungspumpe 26300 verwendet werden soll. Die unterschiedlichen Mengen voreingestellter Bits können entweder dann verwendet werden, wenn eine spezielle Temperatur erreicht worden ist, oder wenn die Temperaturänderung der Ladungspumpe 26300 einen vorbestimmten Schwellenwert erfüllt.
  • Die Zeitschaltung 26316 kann die Steuerlogik 26302 und jeden der dynamisch angesteuerten Schalter 26310 für sowohl die UP- als auch die DOWN-Steuersignale verbinden. Die Zeitschaltung 26316 kann eine Verzögerungsleitung 26304 aufweisen, die das Steuersignal von der Steuerlogik 26302 (oder einem anderen Prozessor) als eine Eingabe empfangen kann, und kann programmiert sein, die Impulsbreite der Steuersignale unter Verwendung der voreingestellten Bits einzustellen. Die Ausgabe der Verzögerungsleitung 26304 kann dem Eingang eines Inverters 26306 zugeführt werden. Die Ausgabe des Inverters 26306 kann einem Eingang eines AND-Gatters 26308 zugeführt werden, dessen anderer Eingang mit dem Steuersignal aus der Steuerlogik 26302 versorgt werden kann. Das kann die Impulsbreite steuern, da die invertierte Ausgabe aus dem Inverter 26306 um eine Zeitspanne, die durch die Verzögerungsleitung 26304 konfiguriert ist, verzögert werden kann.
  • Der Ausgabekondensator 26320 kann mehrere parallele Kondensator-Schalter-Kombinationen umfassen. Die Schalter können wie vorstehend durch die voreingestellten Bits aktiviert/deaktiviert werden und dadurch die Kapazität des Ausgabekondensators 26320 anpassen. Jeder Kondensator des Ausgabekondensators 26320 kann beispielsweise im Bereich von etwa 0,5 bis etwa 10 fF sein. Beispielhafte Arten zum Laden und Entladen des Ausgabekondensators 26320, die dadurch eine steuerbare Ausgabespannung bereitstellen, sind mit Bezug auf die 264A - 266B beschrieben. Der in 263 abgebildete Aspekt kann keine analogen Stromquellen beinhalten und verwenden und kann in irgendeiner skalierten CMOS-Technologie mit begrenzter Anpassung und Genauigkeit implementiert sein.
  • In einigen Aspekten können Mittel zum Injizieren von Ladung über eine Gate-Drain-Kapazität eines dynamischen Schalters durch die Ladungspumpe 26300 implementiert sein. In einigen Aspekten kann, wie gezeigt, die Ladungspumpe 26300 ferner Mittel zum Übertragen der Ladung über einen Unterschwellenschalter zu einer Ausgabekapazität der Ladungspumpe unter Verwendung eines Unterschwellen-Drain-Stroms nach der Injektion der Ladung und Mittel zum Beenden der Ladungsübertragung und des Stromflusses in der Ausgabekapazität, um eine Spannungsänderung einer Ausgabespannung nach dem Übertragen der Ladung, z. B. durch die Schalter 26312 und die Steuerlogik 26302, implementieren. In einigen Aspekten kann, wie gezeigt, die Ladungspumpe 26300 ferner Mittel zum Steuern einer Impulsbreite eines Steuersignals während der Ladungsinjektionsphase implementieren, z. B. über die Zeitschaltung 26316, die Mittel zum Zuführen des Steuersignals und einer verzögerten invertierten Kopie des Steuersignals zu einem AND-Gatter und eine Menge voreingestellter Bits, um eine Größe der Verzögerung der verzögerten invertierten Kopie des Steuersignals zu steuern, umfassen können. In einigen Aspekten kann, wie gezeigt, die Ladungspumpe 26300 ferner Mittel zum Übertragen der Ladung zu der Ausgabekapazität über eine Anzahl von Unterschwellenschaltern, die gleich einer Anzahl der voreingestellten Bits der Menge voreingestellter Bits ist, und/oder Mittel zum Steuern der Integration einer Anzahl paralleler interner Kondensatoren, um den Ausgabekondensator zu bilden, z. B. über den Ausgabekondensator 26320, implementieren.
  • 264A stellt ein Schema eines Ausgangsabschnitts einer Ladungspumpe 26400 in Übereinstimmung mit einigen Aspekten dar. 264B stellt ein Zeitdiagramm von Signalen der Ladungspumpe 26400 in Übereinstimmung mit einigen Aspekten dar. Die Ladungspumpe 26400 kann ein Paar dynamischer Schalter 26410 beinhalten, denen ein UP- oder DOWN-Steuersignal zugeführt werden kann. Der dynamische UP-Schalter 26410, dem das UP-Steuersignal zugeführt wird, kann mit der Versorgungsspannung (oder einer der Schienenspannungen/Schienen) verbunden sein, und der dynamische DOWN-Schalter 26410, dem das DOWN-Steuersignal zugeführt wird, kann mit Masse (oder der anderen der Schienen) verbunden sein. Die MP- und MN-Schalter 26412 können jeweils zwischen dem dynamischen UP-Schalter 26410 und dem Ausgabekondensator 26420 und zwischen dem dynamischen DOWN-Schalter 26410 und dem Ausgabekondensator 26420 verbunden sein.
  • In einigen Aspekten können, wenn dem in 264A gezeigten Ausgabeabschnitt keine Steuersignale zugeführt werden, die MN1- und MP1-Schalter 26412 beide angeschaltet sein. Die Ladungspumpe 26400 kann die Netze an und ap, z. B. Verbindungen, die in 264A gezeigt sind, an Masse bzw. Vcc über die niedrigen Kanalwiderstände RON,n und RON,p anbinden. In dieser Situation kann Vout immer noch von den Versorgungsschienen über die MP2- und MN2-Schalter 26412, deren Zustand auf abgeschaltet voreingestellt ist, isoliert sein und kann einen Pfad mit sehr hohem Widerstand zwischen den Netzen an, ap und Vout bieten.
  • Wie in 264B gezeigt ist, kann sich die Ausgabespannung des Kondensators 5120 unter dem Auftreten eines gewünschten Steuersignals ändern. Der p-Zweig der Ladungspumpe 26400, der durch das Steuersignal UP gesteuert werden kann, die Vorrichtungen MP1, MP2-Schalter 26410, 26412 und die Ausgabekapazität Cout des Ausgabekondensators 26420 können verwendet werden, um die Ausgabespannung zu erhöhen. Der Ausgabekondensator 26420 kann anfangs auf die Hälfte der dynamischen Vcm geladen sein. Das UP-Steuersignal kann in einer „Schlaf“-Betriebsart tief sein. Jedes Mal, wenn ein UP-Steuersignal dem MPI-Schalter 26412 zugeführt wird, kann die Spannung am Netz ap zu einem Impuls □ Vap über die gleiche Zeitspanne führen, primär wegen der Ladungsinjektion und/oder Taktdurchführung. Der Impuls □ Vap kann zu einem Unterschwellen- oder Leckstrom durch den MP2-Schalter 26412 und schließlich zu einem positiven Stufenanstieg von □ Vout der Ausgabekapazität Cout des Ausgabekondensators 26420 durch das Laden des Ausgabekondensators 26420 führen. Ähnlich kann jedes Mal, wenn ein DOWN-Steuersignal dem MP2-Schalter 26412 zugeführt wird, die Spannung am Netz zu einem negativen Impuls □ Van über die gleiche Zeitspanne führen. Der Impuls □ Vanp kann zu einem Abnehmen von □ Vout der Ausgabekapazität Cout des Ausgabekondensators 26420 führen. Das Zunehmen und Abnehmen der Ausgabekapazität Cout des Ausgabekondensators 26420 können symmetrisch sein.
  • Die 265A-265C stellen beispielhafte Operationen einer Ladungspumpe gemäß einigen Aspekten dar. 265A zeigt parasitäre Kapazitäten des p-Zweigs der Schaltung, wenn der Ausgabekondensator lädt. 265B zeigt ein Schaltungsmodell des p-Zweigs, wenn der Ausgabekondensator lädt. 265C zeigt ein Zeitdiagramm des p-Zweigs. Wie in 265A gezeigt ist, wird auf der positiven Flanke des UP-Signals der pMos-Schalter 26510 abgeschaltet, und die Spannung am Netz ap tritt in einen Zustand mit hoher Impedanz ein. Gleichzeitig kann Ladung über die Gate-Drain-Kapazität des MPI-Schalters 26510 (Cgd,mp1) injiziert werden, was zu einer positiven Spannungsschrittspitze auf dem Netz ap und der Source des MP2-Schalters 26512 führt.
  • Die anderen Leck-Kondensatoren, die dem MP1-Schalter 26510 zugeordnet sind, können sich auf Gate, Drain und Source der Schalter 26510, 26512 beziehen - d. h. Cgd,mp1, Csg,mp2, Csb,mp2, Csd,mp2 (der Allgemeingültigkeit halber). Cap 26514, gezeigt in 265B, kann die parasitären Kondensatoren, die das Netz ap beeinflussen, als ein einzelner modellierter Kondensator zusammen gruppieren. Im Allgemeinen kann es aufgrund der indirekten kapazitiven Kopplung über Csd,mp2 möglich sein, dass irgendeine steile Flanke des UP-Signals an dem MP1-Gate direkt mit dem Ausgang koppelt. Da jedoch Csd,mp2 sehr klein sein kann im Vergleich zu den anderen parasitären Kapazitäten der Vorrichtung (sowohl intrinsisch als auch dem Layout zugeordnet) und da der Hauptteil und das Gate des MP2-Schalters 26512 Netze mit geringer Impedanz (Vcc) sein können, können solche Phänomene als vernachlässigbar betrachtet werden. Beispielsweise kann eine Größe der direkten Ladungsinjektion, die den Flanken des Steuersignals zugeordnet ist, die signifikant sein könnte, vermieden werden.
  • Zusätzlich kann, wenn UP ansteigt, der MPI-Schalter 26510 abgeschaltet werden. In diesem Fall wird das Netz ap zu einem Netz mit hoher Impedanz, das aufgrund der Steuersignalflanke einer Ladungsinjektion ausgesetzt ist. Die Variation der Spannung des Netzes ap, die der positiven UP-Flanke entspricht kann (wenn der pMos MPI-Schalter 26510 AUS ist) näherungsweise gegeben sein durch: Δ V a p = Δ V U P C g d , M P 1 C g d , M P 1 + C p , a p + C s d , M P 2 // C o u t V c c C g d , M P 1 C p , a p
    Figure DE112017006442T5_0066
    während die Ausgabespannung noch stabil ist. Nach dieser Operation kann sich die Netz-ap-Spannung an einem Wert einpendeln, der einige wenige 10 mVs bis 100 mV höher ist als der Stromversorgungspegel. Aufgrund des konsequenten Anstieg von Vsg,MP2 kann ein Unterschwellenstrom durch den MP2-Schalter 26512 (und auch den MP1-Schalter 26510) fließen. Der Unterschwellenstrom kann zu einer Entladung von Cp,ap und einem ap-Netz-Spannungsabfall ΔVdrop,p beitragen. Der Anteil des Stroms, der durch den MP2-Schalter 26512 fließt, kann dem Ausgabekondensator 26520 zugeführt werden, was einen Anstieg der Ausgabespannung bestimmt. Diese Ladung kann die positive Stufe an der Ausgabespannung verursachen und kann grundsätzlich von Cp,ap zu Cout übertragen werden.
  • Wenn die negative UP-Flanke auftritt, kann der pMOS MP1 wieder anschalten. Zuerst kann Ladung durch das Netz ap durch den Kopplungspfad Cgd, mp1 gezogen werden. Das kann eine Stufe nach unten in der Netz-ap-Spannung und eine Entladung des Cp,ap auf eine Spannung, die nahe dem Anfangswert Vcc, minus ΔVap, ist, bestimmen. Indessen kann, mit einer kleinen Verzögerung aufgrund der dem Kanalwiderstand zugeordneten Zeitkonstanten, der MP1-Schalter 26510 zu dem EIN-Zustand zurückkehren und das Netz ap zurück auf Vcc ziehen. Die Ladung, um das Netz ap zurück auf Vcc zu ziehen, kann vollständig durch die Versorgung bereitgestellt sein und nicht aus der Ausgabekapazität zurückgezogen werden. In diesem Schritt kann auch keine direkte Ladungsinjektion zu dem Ausgabeknoten stattfinden, und der MP2-Unterschwellenstrom kann nicht mehr in Cout fließen, und dadurch wird die Ausgabespannung auf den letzten, höheren Wert eingefroren.
  • Wenn ein neuer UP-Impuls auftritt, kann sich der beschriebene Einschwingvorgang wiederholen. Das kann dazu führen, dass eine weitere positive Stufe der Ausgabespannung auftritt. Zusammengefasst kann das Operationsprinzip des p-Zweigs der Ladungspumpe in einigen wenigen Schritten synthetisiert und beschrieben werden. Die 266A-5C stellen einen beispielhaften Betrieb einer Ladungspumpe gemäß einigen Aspekten dar.
  • 266A stellt die Ladungsinjektionsphase dar. Die Ladungsinjektionsphase kann an der positiven Flanke des UP-Steuersignals auftreten. An dieser Flanke kann MP1 abschalten, und die Netz-ap-Spannung kann ansteigen und eine positive Vsg,MP2 induzieren.
  • 266B stellt die Ladungsübertragungsphase dar. Die Ladungsübertragungsphase kann nach der Ladungsinjektionsphase auftreten. Insbesondere kann in der Ladungsübertragungsphase der Drain-Unterschwellenstrom von MP2 Ladung von Cp,ap zu Cout übertragen, was einen Anstieg der Ausgabespannung Vout bestimmt.
  • 266C stellt die Abschaltphase dar. Die Abschaltphase kann nach der Ladungsübertragungsphase auftreten. Insbesondere kann die Abschaltphase auf der negativen Flanke des UP-Steuersignals auftreten, deren Verzögerung in Bezug auf die positive Flanke durch die Verzögerungsleitung 26304 gesteuert werden kann. Zu diesem Zeitpunkt kann MP1 anschalten, und die Netz-ap-Spannung kann zu Vcc zurückkehren. An diesem Punkt kann irgendein Stromfluss in Cout enden.
  • Das Drei-Operationen-Modell und die Analyse können auf den nMOS-Zweig, der MN1, MN2, das DOWN-Steuersignal und das Netz an aufweist, erweitert werden. In dem nMOS-Zweig kann, anstatt das Netz ap auf Vcc+Cout zu laden, der nMOS-Zweig einen Abfall der Netz-an-Spannung auf eine negative Spannung bestimmen.
  • In einigen Aspekten kann die maximale Ladungsmenge, die während jeder Operation übertragen werden kann, die Ladung sein, die in Cp,ap während der Ladungsinjektionsphase injiziert wird: Δ V a p C p , a p V c c C g d , M P 1
    Figure DE112017006442T5_0067
  • Unter der Annahme einer Versorgung mit 1 V, einer Ausgabekapazität von 50 pF und einer Gate-Drain-Kapazität des MP1 von 50 fF würde die Ladung einem Ausgabespannungsschritt von 1 mV entsprechen. Während die Ladung, die anfangs auf Cp,ap gespeichert ist, nicht von der Größe von Cp,ap abhängen kann, kann im Gegensatz dazu der entsprechende Anstieg der Spannung von Netz ap von der Größe des Cp,ap abhängen. Das kann im Allgemeinen die Strommenge beeinflussen, die der MP2 in die Ausgabekapazität während der Ladungsübertragungsphase injizieren kann.
  • In der Phase der Konstruktion und Größenbestimmung dieser Schaltung können einige Lösungen eingesetzt werden, um die Schrittgröße und somit die Empfindlichkeit der Schaltung zu bestimmen. Da der Unterschwellenstrom der Vorrichtungen linear von der Länge der Transistoren abhängen kann, kann die Länge des MP2 wie gewünscht dimensioniert werden. Alternativ können in Situationen, in denen der Herstellungsprozess die Freiheit für die Dimensionierung der Länge der Vorrichtung nicht erlaubt, mehr Vorrichtungen in Reihe platziert sein. Da die Ladung, die in den Ausgabekondensator injiziert wird auch von der Dauer der Ladungsübertragungsphase abhängen kann, kann eine Impulsbreitensteuereinheit mit einer programmierbaren Verzögerungsleitung wie in 263 gezeigt eingeführt werden, um die Wellenform der UP- und DOWN-Signale zu steuern. Das kann die Übertragung einer großen oder vollständigen Menge der verfügbaren Ladung zu dem Ausgang ermöglichen. Unter einigen Umständen kann eine unzureichende Impulsbreite zu einer Ladungsübertragung führen, die zu klein ist. Da die Größe der Ladungsinjektion während der Ladungsinjektionsphase von der Gate-Drain-Kapazität des MP1 (oder MN1 in dem nMOS-Zweig) abhängen kann, kann der MOS wie gewünscht dimensioniert sein. Da der Ausgabespannungsschritt an einer festen Menge der injizierten Ladung von der Größe der Ausgabekapazität abhängen kann, kann die Ausgabekapazität unter Verwendung einer konfigurierbaren kapazitiven Gruppe programmiert werden.
  • Es wurden Simulationen auf einer Ladungspumpe ausgeführt, die in einem 14 nm FinFET 10-Bit ADC-Prüf-Chip zur Hintergrundkalibrierung implementiert ist. Die eingesetzte Ausgabekapazität war 50 fF. Um das zu verifizieren wurde der Schwerpunkt auf die Simulation schneller Sprünge gelegt. Die Impulsbreite einer alternierenden Reihe von UP- und DOWN-Steuersignalen war auf 50 ps eingestellt, die Ausgabekapazität auf 50 fF und die Aktualisierungsrate war 1 GHz. Es wurden Simulationen unter nominaler, schneller und langsamer Sprünge bei 27 °C ausgeführt. Der beobachtete Spannungsschritt war etwa 600 □ V (vergleichbar mit dem LSB eines > 11 Bit vollständig differentiellen Schienen-zu-Schienen-Umsetzers) und stabil über die Sprünge. Die Schaltung kann im Allgemeinen mit Anwendungen höherer Auflösung kompatibel sein.
  • Um die Programmierbarkeit nachzuweisen, selbst bei Vorhandensein ungünstiger Bedingungen hinsichtlich Leckströme (schneller Sprung), wurden Simulationen mit dem Schwerpunkt auf dem p-Zweig, Variieren der Größe der MP1-Vorrichtung, der Impulsbreite der Steuersignale und der äquivalenten Länge der MP2-Vorrichtung ausgeführt. Es wurden Simulationen für einen schnellen Sprung, 27 °C, ausgeführt, um die Ausgabespannungsvariation während einer Folge von UP-Befehlen mit der Rate 1 GHz, einer konstanten 50 ps UP-Impulsbreite, für den implementierten Prototypen und für unterschiedliche Breiten der Breite der MP1-Vorrichtung zu bestimmen. Für eine Breite der MP1-Vorrichtung von 42 nm, 84 nm, 168 nm, 336 nm wurden die entsprechenden Spannungsstufen als jeweils 600 µV, 1 mV, 1,3 mv, 1,5 mV bestimmt. Es wurden auch Simulationen ausgeführt, um die Ausgabespannungsvariation für UP-Befehle mit einer Rate von 1 GHz unter Verwendung unterschiedlicher Impulsbreiten zu bestimmen. Diese letzteren Simulationen, die auf einer Vorrichtung, die ein 42 nm/28 nm-MP1/MP2-Seitenverhältnis aufweist, für eine 20 ps bis 800 ps UP-Signalimpulsbreite ausgeführt wurden, zeigten eine lineare Beziehung zwischen der Impulsbreite und der Ausgabespannung. Es wurden weitere Simulationen ausgeführt, um die Ladungspumpenausgabespannung während einer Folge von UP-Befehlen mit einer Rate von 1 GHz, einer konstanten 50 ps UP-Impulsbreite, für unterschiedliche Breiten der MP2-Vorrichtung (56 nm, 84 nm und 112 nm) zu bestimmen. Die Ladungspumpenausgabespannung variierte linear mit der Zeit und skalierte näherungsweise mit der MP2-Breite. Der Energieverbrauch der Ladungspumpe mit einer Aktualisierungsrate von 1 GS/s, wie in den Simulationen gezeigt, ist weniger als 10 µW in dem nominalen Sprung und bei 27 °C und somit vernachlässigbar im Vergleich zu einer Effizienz von ADCs und PLLs aus dem Stand der Technik mit einer GHz-Rate.
  • Gemäß einigen Aspekten sind Ladungspumpen somit vorgesehen, die dazu beitragen, das Verwenden einer Stromreferenz oder Ladungsakkumulierungs/speichervorrichtungen, die nicht die Ausgabekapazität sind, zu vermeiden. Die Ladungspumpe kann beispielsweise für PLL- und ADC-Komparator-Versatzkalibrierungsanwendungen und im Allgemeinen für alle Anwendungen geeignet sein, in der eine schnelle Rate (> 1 GS/s), eine feine Auflösung (< 1 mV) und ein ultraniedriger Energieverbrauch gewünscht sind. Einige Aspekte können in PLLs mit ultraniedriger Leistung verwendet sein, was die Schaltungsfläche verringert, und können auch verwendet sein, um eine Komparatorkalibrierung mit hoher Effizienz für Hochgeschwindigkeits-ADCs auszuführen.
  • 267 stellt ein Verfahren 25700 zum Injizieren von Ladung in eine Ladungspumpe in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 5400 kann durch eine oder mehrere der in den 262A-267 gezeigten Strukturen ausgeführt werden. Bei Operation 26702 kann Ladung in die Struktur(en) injiziert werden. Die Ladung kann über die Gate-Drain-Kapazität eines dynamischen Schalters (MOSFET) während einer Ladungsinjektionsphase injiziert werden. Die Ladungsinjektion kann über eine Gate-Drain-Kapazität des dynamischen Schalters bei einer positiven Flanke eines Steuersignals, das dem dynamischen Schalter zugeführt wird, stattfinden. Die Ladungsinjektion kann durch Steuern einer Impulsbreite eines Steuersignals gesteuert werden. In einigen Aspekten kann die Impulsbreite des Steuersignals durch Zuführen des Steuersignals und einer verzögerten invertierten Kopie des Steuersignals zu einem AND-Gatter und eine Menge von voreingestellten Bits, um eine Größe der Verzögerung der verzögerten invertierten Kopie des Steuersignals zu steuern, gesteuert werden.
  • Bei Operation 26704 kann nach der Injektion der Ladung die Ladung über einen Unterschwellenschalter zu einer Eingabekapazität der Ladungspumpe übertragen werden. Die Ladung kann unter Verwendung eines Drain-Unterschwellenstroms während einer Ladungsübertragungsphase übertragen werden. Während der Ladungsübertragungsphase kann die Ladung zu der Ausgabekapazität über eine Anzahl von Unterschwellenschaltern, die gleich einer Anzahl von voreingestellten Bits aus der Menge voreingestellter Bits ist, übertragen werden. Jedes voreingestellte Bit kann einen anderen Unterschwellenschalter steuern. Zusätzlich kann die Anzahl paralleler interner Kondensatoren gesteuert werden, um den Ausgabekondensator zu bilden, unter Verwendung eines anderen voreingestellten Bits.
  • Nachdem die Ladung übertragen worden ist, können bei Operation 26706 die Ladungsübertragung und der Stromfluss in der Ausgabekapazität beendet werden. Das kann die Spannungsänderung der Ausgabespannung während einer Abschaltphase anhalten. Das Beenden kann an einer negativen Flanke des Steuersignals, das dem dynamischen Schalter zugeführt wird, stattfinden. Eine Spannung an einem Netz zwischen dem dynamischen Schalter und dem Unterschwellenschalter kann zu einer Schienenspannung zurückkehren, mit der der dynamische Schalter verbunden ist.
  • Da mmWellen-Kommunikationssysteme auf mehreren gerichteten Übertragungen über mehrere Pfade beruhen, können mmWellen-Empfänger Störungen aus unterschiedlichen Richtungen erfahren, wenn das Netz dicht wird. Um dazu beizutragen, dieses zu adressieren, können, wie vorstehend beschrieben, Empfänger analoges, digitales oder Hybridstrahlformen verwenden. Analoges Strahlformen kann in einigen Fällen unzureichend sein, um ungerichtete Störung aufgrund hoher Seitenkeulen und großer Strahlweite abzuschwächen, und Strahlformen in der digitalen Domäne ist nicht ausreichend, die Störung abzuschwächen, da die Störung das gewünschte Signal an ADCs mit geringer Auflösung (geringem Dynamikbereich) blockieren können. Um das abzuschwächen, stellen hier offenbarte Aspekte eine Architektur bereit, um dazu beizutragen, die Störung vor dem Quantisieren auszublenden, um den Dynamikbereich und den Energieverbrauch des ADC an dem Empfänger zu reduzieren. Beispielsweise ist eine Feedforward-Schleife für die Abschwächung der räumlichen Störung vorgesehen, so dass grob quantisierte empfangene Signale verarbeitet werden können, um hohe Störung zu schätzen und dann die Störung in der analogen Domäne zu subtrahieren. Das Ausblenden kann für mehrere Störungswinkel aktiviert sein, ohne eine lange Trainingssequenz für iterative Filterkonstruktion an der ADC-Rückkopplungsschleife zu verwenden. Das kann einen vollständig digitalen mmWellen-Empfänger mit geringem Energieverbrauch ermöglichen. 268 stellt eine Empfängerarchitektur 26800 in Übereinstimmung mit einigen Aspekten dar. Die Empfängerarchitektur 26800 kann in die in 3E gezeigte parallele Empfangsschaltung 382 integriert sein, obwohl die Empfängerarchitektur 26800 nicht auf eine solche Integration beschränkt ist.
  • Die in 268 gezeigte Empfängerarchitektur 26800 kann beispielsweise ein RF-Frontend 26820, die Verzögerungsleitungen 26802, Gruppen von Kombinierern 26810, 26812, 26814, 26818, Gruppen von Quantisierern 26804, 26816, ein Feedforward-Filter 26806, Digital/Analog-Umsetzer (DACs) 26808 und einen Basisbandprozessor 26830 beinhalten. RF-Signale können durch eine Antenne (nicht gezeigt) empfangen und für das RF-Frontend 26820 bereitgestellt werden. Mehrere Antennenausgaben ri(t), i = 1, ..., Nr , können durch das RF-Frontend 26820 bereitgestellt sein und können in zwei Pfade aufgeteilt sein, einen zur Bestimmung der Störung einen zum Ausblenden. Insbesondere kann jede Antennenausgabe sowohl einer der Verzögerungsleitungen 26802 als auch einem aus den ersten Kombinierern 26810 zugeführt werden. Die analoge Verzögerungsleitung 26802 kann mehrere Abzweigungs-Latches (z. B. D-Latches) aufweisen, um zu ermöglichen, dass die Verzögerung durch Nehmen der Ausgabe aus unterschiedlichen Abzweigungen variiert. Alternativ kann die Verzögerungslänge fest sein, wobei nur eine Ausgabe aus der analogen Verzögerungsleitung 26802 von dem letzten Latch genommen wird.
  • An dem ersten Kombinierer 26810 kann das Dithering-Rauschen für die Störung n1 zu der Antennenausgabe addiert werden. Das Dithering-Rauschen n1 kann von einer Schätzung der Störung abhängen, die vor dem Bereitstellen des Störungsausblendens bestimmt werden kann. Die Ausgabe aus dem ersten Kombinierer 26810 kann einem b1 -Bit-Quantisierer 26804 zugeführt werden, der das analoge Signal grob quantisieren kann und das analoge Signal in ein digitales Signal umsetzen kann. Das quantisierte Signal kann dann für das Feedforward-Filter 26806 bereitgestellt werden, bevor es durch den DAC 26808 digitalisiert wird. Das Feedforward-Filter 26806 kann ein Filter mit mehreren Abzweigungen sein, das verwendet wird, um das empfangene quantisierte Signal zu verarbeiten und das Störsignal zu schätzen.
  • Das Störsignal kann dann durch einen d-Bit-DAC 26808 zurück in ein analoges Signal umgesetzt werden. Die Auflösung des DAC 26808 wie der Quantisierer 26804, 26816 kann fest oder variabel sein. In dem letzteren Fall kann die Auflösung eines oder mehrerer aus dem DAC 26808 und den Quantisierern 26804, 26816 unter anderem von dem Signaltyp (z. B. Steuerung/Daten) oder den Kanalbedingungen abhängig sein. Das umgesetzte Störsignal kann dann von der verzögerten Antennenausgabe aus der analogen Verzögerungsleitung 26802 an dem zweiten Kombinierer 26812 subtrahiert werden, um ein korrigiertes Signal zu produzieren. Das Dithering-Rauschen n2 kann an dem dritten Kombinierer 26814 zu dem korrigierten Signal addiert werden, vor dem Quantisieren des Dithering-korrigierten Signals. Das Dithering-Rauschen n2 kann von der Empfängerleistung abhängen, die unter Verwendung einer oder mehrere Signalqualitätseigenschaften gemessen werden kann. Beispielsweise kann das Dithering-Rauschen n2 von der BER-Leistung abhängen. Ein b2 -Bit-Quantisierer 26816 kann verwendet werden, um das Dithering-korrigierte Signal zu quantisieren. In einigen Aspekten kann eine Auflösung des b1 -Bit-Quantisierers 26804 kleiner sein als eine Auflösung des b2 -Bit-Quantisierers 26816. Die Verwendung einer groben Auflösung, um die Störung allgemein zu bestimmen, kann sowohl eine Reduktion der Energie, die durch den Empfänger verbraucht wird, als auch das Reduzieren des Dynamikbereichs des Inline-Quantisierers nach der Kompensierung des strahlgeformten Signals ermöglichen.
  • Das quantisierte Signal aus dem Quantisierer 26816 kann dann dem Basisbandprozessor 26830 zur weiteren Verarbeitung zugeführt werden. Die Ausgabe aus dem Quantisierer 26816 und das Störsignal aus dem Feedforward-Filter 26806 können in dem vierten Kombinierer 26818 kombiniert werden, um einen Empfangssignalstärkenindikator (RSSI) zu erzeugen. Der RSSI kann basierend auf allen quantisierten Ausgaben (aus jedem der b2-Bit-Quantisierer 26816 und aus jeder der Ausgaben des Filters 26806) basieren oder kann auf weniger als allen Ausgaben basieren. Der RSSI kann beispielsweise verwendet werden, um eine oder beide der Quantisiererauflösungen, der DAC-Auflösung und/oder der einen oder beider Dithering-Rauschen anzupassen.
  • Der in 268 gezeigte Empfänger kann somit fähig sein, gleichzeitig aus mehreren Störungsquellen in mehreren strahlgeformten Signalen abzuschwächen (oder auszublenden), ohne das Hinzufügen anderer Komponenten wie z. B. Phasenschiebern, um mehrere analoge Strahlen zu bilden. Der Empfänger kann außerdem fähig sein, Störung ohne die Verwendung eines Filters, dessen Koeffizienten von einem gewünschten und Störsignalen abhängen, und somit die Verwendung einer langen Trainingssequenz abzuschwächen.
  • In einigen Aspekten können Mittel zum Empfangen strahlgeformter Signale von mehreren Antennen durch die Empfängerarchitektur 26800 implementiert sein. In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 26800 ferner Mittel zum Bilden kompensierter Signale durch Feedforward-Kompensieren der strahlgeformten Signale für die Störersignale vor dem Quantisieren der kompensierten Signale zur Ausgabe, z. B. über Feedforward-Lenkung in der Empfängerarchitektur 26800, und Mittel zum Quantisieren der kompensierten Signale, um quantisierte Ausgabesignale zu bilden, z. B. über den Quantisierer 26816, implementieren.
  • In einigen Aspekten kann wie gezeigt die Empfängerarchitektur 26800 ferner eines oder mehrere aus dem Folgenden implementieren: Mittel zum Quantisieren der strahlgeformten Signale entlang dem Feedforward-Pfad, um quantisierte Feedforward-Signale zu bilden, Mittel zum Kompensieren der Störersignale in den quantisierten Feedforward-Signalen, um digitale Kompensationssignale bereitzustellen, z. B. über das Filter 26806, Mittel zum Umsetzen der digitalen Kompensationssignale in analoge Kompensationssignale, z. B. über den DAC 26808, und/oder Mittel zum Kombinieren der analogen Kompensationssignale mit den strahlgeformten Signalen, um die kompensierten Signale zu bilden, z. B. über den Kombinierer 26812. In einigen weiteren Aspekten kann wie gezeigt die Empfängerarchitektur 26800 ferner eines oder mehrere aus dem Folgenden implementieren: Mittel zum Addieren eines ersten Dithering-Rauschens zu den strahlgeformten Signalen vor dem Quantisieren der strahlgeformten Signale und Mittel zum Addieren eines zweiten Dithering-Rauschens zu den kompensierten Signalen, z. B. über die Kombinierer 26810 und 26814; Mittel zum Kombinieren der digitalen Kompensationssignale und digitaler Versionen der kompensierten Signale, um eine Signalqualität bereitzustellen, und Mittel zum Steuern, basierend auf der Signalqualität, wenigstens eines aus dem Folgenden: Quantisierung der strahlgeformten Signale, Quantisierung der kompensierten Signale, des ersten Dithering-Rauschens oder des zweiten Dithering-Rauschens, z. B. über den Basisbandprozessor 26830 und den Kombinierer 26818; Mittel zum Schätzen der Störung aus jeder Richtung aus: l k ^ [ n ] = a r H ( θ k ) y [ n ] ,
    Figure DE112017006442T5_0068
    z.B über den Basisbandprozessor 26830, und/oder Mittel zum ausreichenden Verzögern der strahlgeformten Signale, um zu ermöglichen, dass die strahlgeformten Signale mit den analogen Kompensationssignales kombiniert werden, z. B. über die Verzögerungsleitung 26802.
  • Mathematisch ist eine gleichmäßige lineare Gruppe mit Nr Antennen an dem Empfänger angenommen. Das empfangene Signal kann wie folgt geschrieben werden: r ( t ) = x ( t ) + i 1 ( t ) a r ( θ 1 ) + + i I ( t ) a r ( θ I ) + n
    Figure DE112017006442T5_0069
    wobei x(t) der gewünschte Signalvektor ist, n der Rauschvektor ist und ii(t), i = 1, ..., I, die Störsignale sind (I ist die Anzahl der Störerrichtungen), und der Gruppenvektor ari), i = 1, ... /, gegeben ist durch: a r ( θ i ) = 1 N r [ 1, e j 2 π λ d  cos θ i , e j 2 π λ d 2  cos θ i , , e j 2 π λ d   ( N r 1 ) cos θ i ] T ,
    Figure DE112017006442T5_0070
  • Hier ist θi der Einfallswinkel, d ist der Abstand zwischen den Antennen, und λ ist die Wellenlänge. An der Feedforward-Schleife kann Rauschen addiert werden, um das empfangene Signal an dem Ausgang der Antennen zu dekorrelieren, und dann das Signal quantisiert werden, z. B. mit einem ADC mit wenigen Bits (1-3 Bits) wie folgt: y [ n ] = Q 1 ( r ( t ) + n 1 )
    Figure DE112017006442T5_0071
    wobei n1 ein Dithering-Rauschenvektor ist, Q1(·) der b1-Bit-Quantisierer ist. Die Störung k kann in dem Feedforward-Filter unter Verwendung eines Schätzvektors geschätzt werden. In einigen Aspekten kann der Schätzvektor das Kombinieren nach maximalem Verhältnis (MRC) verwenden: l k ^ [ n ] = a r H ( θ k ) y [ n ] , k = 1, , I
    Figure DE112017006442T5_0072
    wobei ark) der Schätzvektor der Störung aus Richtung θk ist. Die Richtung der Störung kann unter Verwendung eines digitalen Prozesses bestimmt werden, wie z. B. des Prozesses zur Klassifizierung mehrerer Signale (MUSIC-Prozesses). / kann die Gesamtzahl von Störungsrichtungen (Störern) sein. Es wird darauf hingewiesen dass der Empfänger so betrachtet wird, dass er eine große Antennengruppe aufweist, so dass a r H ( θ k ) a r ( θ l ) 0
    Figure DE112017006442T5_0073
    gilt, wenn l ≠ k ist. Dann kann ein Vektor gemäß dem Einfallswinkel als das Folgende gebildet werden: i [ n ] = l 1 ^ [ n ] a r ( θ 1 ) + + l I ^ [ n ] a r ( θ I )
    Figure DE112017006442T5_0074
  • Nachdem digitale Abtastpunkte in die analoge Domäne unter Verwendung des d - bit-DAC umgesetzt worden sind, können die Störungen von dem empfangenen Signal und Dithering-Rauschen n2 , das vor dem Quantisieren des b2 -Bit-ADC addiert wurde, subtrahiert werden als das Folgende: z [ n ] = Q 2 ( r ( t ) i ( t ) + n 2 )
    Figure DE112017006442T5_0075
  • 269 stellt die Filterkennlinie eines Empfängers gemäß einigen Aspekten dar. In dem simulierten Filter sind Nr = 64 Antennen und d = 5-Bits-DAC, b2 = 5-Bits-ADC und zwei Störungsrichtungen bei θ = 70° und θ = 110° vorhanden. Es sind zwei Fälle gezeigt: Fall 1: ein 1-Bit-ADC ( b 1 = 1, )   n 1 N ( 0,0.4 σ r 2 ) ,   n 2 N ( 0,0 ) ;
    Figure DE112017006442T5_0076
    und Fall 2: ein 2-bit-ADC n 1 N ( 0,0.3 σ r 2 ) ,   n 2 N ( 0,0 ) .
    Figure DE112017006442T5_0077
    Wie gezeigt kann die Empfängerarchitektur die Störung von bis zu etwa 13,6 dB auslöschen, wenn ein 1-Bit-ADC verwendet wird, und bis zu etwa 23,32 dB, wenn ein 2-Bit-ADC verwendet wird.
  • 270 stellt die BER-Leistung eines Empfängers gemäß einigen Aspekten dar. Das Diagramm zeigt die BER-Leistung von analogem Strahlformen, digitalem Strahlformen und der Architektur von 268. Wie vorstehend Nr = 64 Antennen an dem Empfänger in dem Sichtlinien- (LOS-) Kanal mit 90° gewünschter Signalrichtung und 70° und 110° Störungsrichtungen mit SIR = -20 dB. Es wird eine 16QAM-Modulation verwendet, und die verwendeten ADCs sind: b1 = 2-Bits-ADC, d = 5-Bit-DAC, b2 = 5-Bit ADC. Die Varianz des Gaußschen Dithering-Rauschens kann durch die Verarbeitungsschaltung gewählt werden, um den Quantisierungsfehler zu randomisieren. Die Bandbreite ist 1 MHz, und die Störung und das gewünschte Signal können über dasselbe Band kommunizieren. Ein Impulsformungsfilter ist ein Quadratwurzel-Raised-Cosine-Filter mit einer Filterlänge von acht Symbolen und einem Rolloff-Faktor von 0,2. Wie in 270 gezeigt ist, weist ein digitaler Empfänger ohne räumliche Störungsauslöschung vor dem ADC eine niedrigere BER-Leistung auf aufgrund dessen, dass er einen unzureichenden Dynamikbereich aufweist. Das analoge Strahlformen leistet aufgrund der hohen Seitenkeulen ebenfalls auf weniger als die Architektur von 268.
  • 271 stellt zusätzliche Empfängerarchitekturen gemäß einigen Aspekten dar. Wie vorstehend Nr = 64 Antennen an dem Empfänger in dem Sichtlinien- (LOS-) Kanal mit 90° gewünschter Signalrichtung. Es wird eine 16QAM-Modulation verwendet, und die verwendeten ADCs sind: b1 = 2-Bits-ADC, d = 5-Bit-DAC, b2 = 5-Bit-ADC. In diesem Fall wurde eine 75°-Störungsrichtung mit SIR = -13 dB verwendet. Wenn ein 1-Bit-ADC an der Feedforward-Schleife verwendet wird, leistet die Architektur von 268 mehr als das analoge und vollständig digitale Strahlformen.
  • 272 stellt ein Verfahren 27200 zum Kompensieren von Störern in einem Empfänger gemäß einigen Aspekten dar. Das Verfahren 27200 kann unter Verwendung des Empfängers von 268 ausgeführt werden. Bei Operation 27202 kann der Empfänger strahlgeformte Signale von mehreren Antennen empfangen. Die strahlgeformten Signale können über einen Winkelbereich abgetastet werden. Jedes von wenigstens einigen der strahlgeformten Signale an einem speziellen Winkel kann ein Signal von einem Sender und ein Störersignal umfassen.
  • Die strahlgeformten Signale könne auf unterschiedliche Strecken aufgeteilt werden, bevor sie rekombiniert werden. In einer Feedforward-Strecke können die strahlgeformten Signale quantisiert werden, und die Störung kann unter Verwendung eines Filters geschätzt werden, um bei Operation 27204 digitale Kompensationssignale zu bilden. Vor dem Quantisieren der strahlgeformten Signale kann Dithering-Rauschen addiert werden, um das Signal zu dekorrelieren. Die digitalen Kompensationssignale können dann umgesetzt werden, um analoge Kompensationssignale zu bilden.
  • In dem direkten Pfad können die ursprünglichen strahlgeformten Signale verzögert werden, um die geeignete Zeit zum Kombinieren der Signale bereitzustellen. Bei Operation 27206 können die strahlgeformten und analogen Kompensationssignale kombiniert werden. In einigen Aspekten können die analogen Kompensationssignale, die die Störungsschätzung beinhalten können, von den strahlgeformten Signalen subtrahiert werden.
  • Das Dithering-Rauschen kann zu den resultierenden Signalen addiert werden, und diese Signale können dann quantisiert werden. Die Auflösung der Quantisierung der strahlgeformten Signale kann niedriger sein als die Auflösung der Quantisierung der kompensierten Signale. Bei Operation 27208 können die quantisierten resultierenden Signale einem Basisbandprozessor zur Verarbeitung zugeführt werden. Die quantisierten resultierenden Signale und die digitalen Kompensationssignale werden kombiniert werden, um eine Signalqualität wie z. B. RSSI, SINR oder SNR zu bestimmen. Diese Qualität kann verwendet werden, um die Quantisierung der strahlgeformten Signale, Quantisierung der kompensierten Signale und/oder das Dithering-Rauschen zu steuern.
  • Zusätzlich zum Strahlformen kann Kanalschätzung zwischen Sender- und Empfänger-Antennenpaaren die Komplexität des digitalen Strahlformens weiter erhöhen. Digitale Architekturen können außerdem Leistungsverschlechterungen erleiden, wenn bandinterne oder Nachbarkanal-Störung vorhanden ist. Die 273A und 273B stellen Störung in Übereinstimmung mit einigen Aspekten dar. Wie in dem System 6000 in beiden Figuren gezeigt ist, kann eine Basisstation (BS) 27302 ein UE 27304 versorgen und Daten und Steuersignale bereitstellen. Obwohl nur LOS-Kommunikationen gezeigt sind, kann die BS 27302 mit dem UE 27304 auch über NLOS-Kommunikationen kommunizieren. Eine benachbarte BS 27306, die auch ein Zugangspunkt sein kann, kann Störsignale an dem UE 27304 erzeugen. Die Störsignale, wie die Kommunikationen der versorgenden BS 27302, können LOS oder NLOS sein und können die Signale von der versorgenden BS 27302 stören. Anstelle der oder zusätzlich zu den Störsignalen von der störenden BS 27306 können ein oder mehrere störende UEs 27308 Störsignale an dem UE 27304 erzeugen. Die Störsignale von dem störenden UE 27308 können an die versorgende BS 27302, die störende BS 27306 oder das UE 27304 gerichtet sein.
  • Anderes als digitales Strahlformen kann analoges Strahlformen auf Richtwirkungsverstärkung aufgrund der einzelnen verwendeten RF-Kette beschränkt sein. Analoges Strahlformen kann jedoch in einigen Fällen unzureichend sein, um ungerichtete Störung aufgrund hoher Seitenkeulen und großer Strahlweite abzuschwächen, und Strahlformen in der digitalen Domäne ist nicht ausreichend, die Störung abzuschwächen, da die Störung das gewünschte Signal blockieren kann, wenn ADCs mit geringer Auflösung (geringem Dynamikbereich) verwendet werden. Das heißt, dass Störung aus einer oder mehreren Richtungen so viel größer sein können als das gewünschte Signal, dass die Störung den Dynamikbereich der ADCs überfluten kann, was dem Diskriminieren des gewünschten Signals in anderer Richtungen adäquat ist, wenn die Antennenelemente in die oder nahe der Richtung der Störung eingestellt sind. Das kann insbesondere vorherrschend sein, wenn mmWellen-Frequenzen verwendet werden, aufgrund der schnellen Störungs- und Signalqualitätsänderungen für LOS und NLOS-Kanäle, die durch die Bewegung des UE verursacht sind. Das Vergrößern des Dynamikbereichs der ADCs kann jedoch energieaufwändig und schwierig zu konstruieren sein. Um das abzuschwächen, stellen hier offenbarte Aspekte eine Architektur bereit, die dazu beitragen kann, Störung vor dem Quantisieren auszublenden, und nachfolgend die Anpassung digital invertieren kann, um zu ermöglichen, dass das ursprüngliche Signal digital verarbeitet wird. Das kann eine Reduktion des ADC-Dynamikbereichs und des Energieverbrauchs der ADCs in dem Empfänger, wenn eine solche Störung vorhanden ist ermöglichen. Das Signal kann an dem Quantisierer abgetastet werden, und dadurch kann das empfangene Signal in der digitalen Domäne verarbeitet und die Störung in der analogen Domäne ausgelöscht werden. Parallele Delta-Sigma-ADCs mit einer Rückkopplungsschleife können verwendet werden, um einen vollständig digitalen mmWellen-Empfänger mit geringem Energieverbrauch zu ermöglichen.
  • 274 stellt eine Empfängerarchitektur 27400 in Übereinstimmung mit einigen Aspekten dar. Die Empfängerarchitektur 27400 kann in die in 3E gezeigte parallele Empfangsschaltung 382 integriert sein, obwohl die Empfängerarchitektur 27400 nicht auf eine solche Integration beschränkt ist. Die Empfängerarchitektur 27400, die in 274 gezeigt ist, kann beispielsweise ein RF-Frontend 27410, Kombinierer 27402, Gruppen von Tiefpassfiltern (LPFs) 27404, 27412, Gruppen von Verstärkungen 27406, 27422, Quantisierer 27408, Decimatoren 27414, ein Filter 27416, DACs 27418 und einen Basisbandprozessor 27420 beinhalten. RF-Signale können durch die Antennen 27430, die mehrere Antennenelemente beinhalten, empfangen und für das RF-Frontend 27410 bereitgestellt werden.
  • Die RF-Signale ri [n], i = 1, ... , Nr können Ausgaben aus der Antenne 27430 sein. Die RF-Signale können als gleichmäßige lineare Gruppe von Nr Antennen an der Empfängerarchitektur 6100 angegeben sein. Nr kann abhängig von einer Strahlformungsverstärkung und dem Energieverbrauch an der Empfängerarchitektur 27400 ausgewählt sein. Das empfangene Signal kann wie folgt geschrieben werden: r ( t ) = x ( t ) + α 1 i 1 ( t ) a r ( θ 1 ) + + α I i I ( t ) a r ( θ I ) + n
    Figure DE112017006442T5_0078
    wobei x(t) der gewünschte Signalvektor ist, n ein Rauschvektor ist und ii(t), i = 1, ..., I, die Störsignale sind, wobei / die Gesamtzahl von Störungsrichtungen ist, die ausgeblendet werden sollen. Der Winkelvektor ari), i = 1, ... /, kann gegeben sein durch: a r ( θ i ) = 1 N r [ 1, e j 2 π λ d   c o s θ i , e j 2 π λ d 2   c o s θ i , , e j 2 π λ d   ( N r 1 ) c o s θ i ] T ,
    Figure DE112017006442T5_0079
    wobei θi der Einfallswinkel ist und d der Zwischenantennenabstand zwischen den Antennen 27430 ist. Jede von mehreren Antennenausgaben ri(t), i = 1, ..., Nr, die durch das RF-Frontend 27410 bereitgestellt sind, kann einem der Kombinierer 27402 zugeführt werden. Ein modifiziertes Signal aus dem Decimator 27414, nachstehend genauer beschrieben, kann mit der Antennenausgabe aus dem RF-Frontend 27410 kombiniert werden. Dieses modifizierte Signal schwächt die Störung vor der Quantisierung des empfangenen Signals wenigstens teilweise ab und ermöglicht dadurch eine Reduktion des Dynamikbereichs des Quantisierers 27408.
  • Der Kombinierer 27402 kann durch einen Integrator in der analogen Domäne gebildet sein und kann einen Abschnitt der Kompensationsschaltung bilden. Das kombinierte Signal kann aus dem Kombinierer 27402 dem ersten LPF 27404 zugeführt werden. Das erste LPF 27404 kann das Quantisierungsrauschen in dem kombinierten Signal so formen, dass es außerhalb des Bands ist. Das Signal aus der Antenne 27430 kann auf das Basisband gemischt werden, bevor es das erste LPF 27404 erreicht, wie z. B. in dem RF-Frontend 27410.
  • Das tiefpassgefilterte Signal aus dem ersten LPF 27404 kann einer variablen Verstärkung 27406 zugeführt werden. Die Verstärkung, die für die unterschiedlichen Antennensignale ausgegeben wird, kann als gi[n], i = 1, ..., Nr angegeben werden. Die Verstärkung 27406 kann eine Verstärkung oder Dämpfung für das tiefpassgefilterte Signal bereitstellen, um die Eingabe an den Quantisierer-Dynamikbereich anzupassen. Die Verstärkung 27406 kann abhängig von dem Kanal oder der Kanalqualität (wie z. B. SNR oder SINR) optimiert sein, oder kann in einigen Aspekten auf eine feste Verstärkung für einen Empfänger mit geringer Komplexität eingestellt sein. Die Verstärkung 27406 kann, wie das gewünschte Signal und die Störung, mit der Zeit variieren.
  • Das Signal aus der Verstärkung 27406 kann nachfolgend für die Quantisierungsschaltung, die einen b1-Bit-Quantisierer 27408 umfasst, bereitgestellt werden. Der Quantisierer 27408 kann eine digitale b-Bit-Version des Signals bereitstellen. b kann abhängig von einer gewünschte BER und Filtereigenschaft ausgewählt werden. In einigen Aspekten kann die Quantisierer-Auflösung variabel sein, unter anderem abhängig davon, ob eine hohe Geschwindigkeit oder eine hohe Zuverlässigkeit gewünscht sind, wie z. B. dem Typ des Signals (z. B. Steuerung oder Daten) oder einer Betriebsart des Quantisierers 27408 (wie z. B. Mittelwertbildungs- oder zeitverschachtelte Betriebsart). Die Ausgabe des Quantisierers 27408 mit bi[n], i = 1, ..., Nr Bits kann somit, d. h., bi[n] = Qb(gi[n]) sein. Die Ausgabe aus jedem der Quantisierer 27408 kann B bilden, eine Datenmatrix, die zum Filtern der Störung verwendet wird.
  • In einigen Aspekten kann die Datenmatrix B durch ein Filter 27416 gefiltert (oder gewichtet) werden, bevor sie rückgekoppelt wird, um die Störung abzuschwächen. Das verwendete Filter 27416 kann sowohl z. B. von der Einfallsrichtung der Störung abhängig sein als auch auf der Zeit basieren. Das gefilterte Signal kann dem DAC 27418 zugeführt werden, der das digitale d - bit-Signal in eine analoge Ausgabe umsetzen kann. Ähnlich dem Vorsehenden kann d abhängig von einer gewünschten BER und Filtereigenschaft ausgewählt werden. In einigen Aspekten kann der DAC 27418 die gleiche Anzahl von Bits verwenden, um die digitale Eingabe in ein analoges Signal umzusetzen, die der Quantisierer 27408 verwendet, um die analoge Eingabe in ein digitales Signal umzusetzen. In anderen Aspekten kann die Anzahl von Bits, die durch den Quantisierer 27408 und den DAC 27418 verwendet wird, unterschiedlich sein. In einigen Aspekten kann die Auflösung der Umsetzung variabel sein, abhängig von ähnlichen Faktoren, wie sie für die Quantisierung verwendet werden. Die relative Differenz zwischen der Quantisierungsauflösung und der Umsetzungsauflösung kann sich mit den vorstehenden Faktoren ändern. Die analoge Ausgabe aus dem DAC 27418 kann der zweiten Verstärkung 27422 ci[n], i = 1, ..., Nr zugeführt werden. Die zweite Verstärkung 27422 kann abhängig von dem Kanal oder dem SNR optimiert sein, oder kann in einigen Aspekten auf eine feste Verstärkung für einen Empfänger mit geringer Komplexität eingestellt sein. Die zweite Verstärkung 27422 kann, wie vorstehend, eine Verstärkung oder Dämpfung bereitstellen und kann mit der Zeit variieren. Das modifizierte Signal aus dem DAC 27418 kann dann von dem Signal aus dem RF-Frontend 27410 subtrahiert werden, wie vorstehend angegeben.
  • Das Signal aus dem Quantisierer 27408 bi[n], i = 1, ..., Nr kann außerdem dem zweiten Tiefpassfilter 27412 zugeführt werden. Das zweite Tiefpassfilter 27412 kann verwendet werden, um durch den Quantisierer 27408 eingeführte Oberwellen zu entfernen. In einigen Aspekten kann der Quantisierer 27408 das Eingabesignal überabtasten. In diesen Aspekten kann das Signal aus dem zweiten Tiefpassfilter 27412 nachfolgend an dem Decimator 27414 dezimiert werden, bevor es dem Basisbandprozessor 27420 zur weiteren Verarbeitung zugeführt wird. Der Decimator 27414 kann das Abtasten der digitalen Daten nach unten auf die Nyquist-Rate reduzieren. Die Dezimierung kann die digitalen Daten verarbeiten, um das Signal herunterzutakten, so dass es eine Tiefpasseigenschaft aufweist. In einigen Aspekten kann der Quantisierer 27408 das Überabtasten vermeiden, und der Decimator 27414 kann eliminiert werden.
  • In einigen Aspekten kann der Basisbandprozessor 27420 das Filter invertieren, um im Wesentlichen das Signal auf das der ursprünglichen Signals aus den Antennen 27430 wiederherzustellen, innerhalb der Fehler, die durch die Quantisierung verursacht sind. Das kann ermöglichen, dass der Basisbandprozessor 27420 das ursprüngliche Signal digital verarbeitet, wie z. B. durch digitale Kreuzkorrelation, ohne dass das ursprüngliche Signal dem Quantisierer 27408 zugeführt wird. Stattdessen kann ein kompensiertes Signal, das die Störung in der analogen Domäne kompensiert, für den Quantisierer 27408 bereitgestellt werden, und dadurch werden Amplitudenspitzen, die der Störung zugeordnet sind, reduziert, und es wird ermöglicht, dass der Dynamikbereich des Quantisierers reduziert wird.
  • In einigen Aspekten können Mittel zum Empfangen mehrerer strahlgeformter Signale von mehreren Strahlformungsantennen durch die Empfängerarchitektur 27400 implementiert sein. In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 27400 ferner für jedes strahlgeformte Signal Folgendes implementieren: Mittel zum Reduzieren des Dynamikbereichs eines Quantisierers, dem das strahlgeformte Signal zugeführt wird, durch Kompensieren des strahlgeformten Signals für Störung von einem Störer, bevor das strahlgeformte Signal dem Quantisierer zugeführt wird, und Bereitstellen eines kompensierten Signals für den Quantisierer, z. B. durch die gezeigte Rückkopplungsschleife; Mittel zum Quantisieren des kompensierten Signals, z. B. durch den Quantisierer 27408; Mittel zum digitalen Invertieren der Kompensation, die auf das strahlgeformte Signal angewandt wird, um eine digitale Version des strahlgeformten Signals wiederherzustellen, und Mittel zur Signalverarbeitung der digitalen Version des strahlgeformten Signals, z. B. durch den BB-Prozessor 27420.
  • In einigen Aspekten kann wie gezeigt die Empfängerarchitektur 27400 ferner eines oder mehrere aus dem Folgenden implementieren: Mittel zum Filtern der quantisierten Ausgabe unter Verwendung eines Filters 27416, dessen Koeffizienten von einer Richtung des Störers abhängig sind, um ein gefiltertes Signal zu produzieren; Mittel zum Umsetzen des gefilterten Signals in ein analoges Signal, z. B. durch den DAC 27418, und/oder Mittel zum Kombinieren des analogen Signals mit dem strahlgeformten Signal, um das kompensierte Signal zu erzeugen, z. B. durch den Kombinierer 27402. In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 27400 ferner Mittel zum Formen von Quantisierungsrauschen in dem kompensierten Signal zu außerhalb des Bands unter Verwendung eines LPF 27404, um ein LPF-Signal zu bilden; Mittel zum Anpassen einer Verstärkung des LPF-Signals vor dem Quantisieren des LPF-Signals, um den Dynamikbereich des Quantisierers 27408 zu reduzieren, z. B. unter Verwendung der Verstärkung 27406, und/oder Mittel zum Eliminieren von Oberwellen, die durch den Quantisierer 27408 eingeführt werden, unter Verwendung eines LPF 27416, um ein LPF-Signal zu erzeugen, und Mittel zum Heruntertakten des LPF-Signals auf eine Nyquist-Rate, z. B. unter Verwendung des Decimators 27414, implementieren.
  • Jetzt weiter zur Mathematik einer beispielhaften Architektur sind die Konstruktion des Filters W, die Datenmatrix B und die Dezimierungsoperation nachstehend beschrieben. In einigen Aspekten kann ein Prozess zum Konstruieren des Filters W die Richtung der Störung(en) einsetzen, d. h. θi, i = 1, ... I. Die Richtung der Störung kann durch eine frühere Berechnung bekannt sein und in irgendeinem aus einer Anzahl von Prozessen bestimmt werden, wie z. B. durch Verwenden eines digitalen Prozesses wie z. B. eines MUSIC-Prozesses. Eine untere Dreiecksmatrix L und ein Skalierungsvektor α können wie folgt definiert sein: L = [ 1 0 1 1 ]
    Figure DE112017006442T5_0080
    α = [ 2 3 ]
    Figure DE112017006442T5_0081
  • Dann kann eine Filterkoeffizientenmatrix F 2 N r × N r
    Figure DE112017006442T5_0082
    wie folgt bestimmt werden: F = [ F 1 F 2 ] = [ L a r T ( θ 1 ) L a r T ( θ I ) ] + [ α a r T ( θ 1 ) α a r T ( θ I ) ]
    Figure DE112017006442T5_0083
    wobei [·]+ der Pseudoinversenoperator ist und ⊗ ein Kronecker-Produkt repräsentiert. Wenn die Überabtastungsrate durch K bezeichnet ist, wobei K ≥ 2, kann W ( K 1 ) N r × N r
    Figure DE112017006442T5_0084
    wie folgt gebildet werden: W = [ F 1 F 2 F 2 ]
    Figure DE112017006442T5_0085
  • Ein höheres K ist besser für die BER, jedoch schlechter für den Energieverbrauch. Somit kann die Anzahl von Empfängerantennen Nr sein, die Richtung der Störungen kann θi, i = 1, ... I sein, und das Überabtastungsverhältnis kann K ≥ 2 sein.
  • Die Struktur der Datenmatrix B ( K 1 ) N r × K 1
    Figure DE112017006442T5_0086
    ist als Nächstes präsentiert. Die Anfangszustände der Speicher können auf null eingestellt sein, d. h. b[0] = 0. Für eine Überabtastungsrate K können K - 1 Abtastpunkte von jeder Antennenausgabe verwendet werden. Der Datenvektor b[i] kann bezeichnet sein als: b [ i ] = [ b 1 [ n ] , b 2 [ n ] , , b N r [ n ] ] T
    Figure DE112017006442T5_0087
    wobei i gleich (n modulo K) ist und bm[n] der n'-te digitale Abtastpunkt an dem Ausgang des m'-ten Quantisierers, m = 1, ..., Nr, ist. Dann kann die Datenmatrix das Folgende sein: B = [ b ( 1 ) , b ( 2 ) , , b ( K 1 ) ] = [ b [ 1 ] b [ 2 ] b [ K 1 ] 0 b [ 1 ] b [ K 2 ] 0 0 b [ k 3 ] 0 0 b [ 1 ] ]
    Figure DE112017006442T5_0088
  • Somit kann dann, falls mod(n, K) = 0, die DAC-Eingabe b[n] sein unter der Annahme, dass die Störung größer ist als das Signal, so dass der Signalteil ignoriert werden kann. Andernfalls kann die DAC-Eingabe WTb(i) sein, mit i = m o d ( n ,   K )  und b [ i ] = [ b 1 [ n ] , b 2 [ n ] , , b N r [ n ] ] T
    Figure DE112017006442T5_0089
  • Die Dezimierungsoperation kann ausgeführt werden, um das Signal, das überabgetastet worden sein kann, herunterzutakten. K - 1 Abtastpunkte aus jeweils K Abtastpunkten können verwendet werden, da die ersten Abtastpunkte aus jeweils K Abtastpunkten eine große Störung aufweisen können. 275 stellt ein überabgetastetes Signal in Übereinstimmung mit einigen Aspekten dar. Wie in 275 gezeigt ist, können die Abtastpunkte n an dem Decimator, die (n modulo K) ≠ 1 erfüllen, durch null ersetzt werden. Dann kann das verbleibende Signal unter Verwendung eines Tiefpassfilters, wie z. B. eines Kammfilters, heruntergetaktet werden. In einigen Aspekten kann die Multiplikation W T b ( i ) O ( N r 2 )
    Figure DE112017006442T5_0090
    reale Multiplikatios- und Summierungs-Bits pro Abtastpunkt verwenden, falls ein b > 1-Bits-Quantisierer verwendet wird. Andererseits kann, falls ein 1-Bit-Quantisierer verwendet wird, eine reale Summierung auf nur O ( N r 2 )
    Figure DE112017006442T5_0091
    begrenzt sein.
  • Die Verstärkungssteuerparameter der ersten und der zweiten Verstärkung können bestimmt werten als: c [ i ] = [ c 1 [ n ] , c 2 [ n ] , , c N r [ n ] ] T , i = mod ( n , K )
    Figure DE112017006442T5_0092
    g [ i ] = [ g 1 [ n ] , g 2 [ n ] , , g N r [ n ] ] T , i = mod ( n , K )
    Figure DE112017006442T5_0093
  • In einigen Aspekten können die Verstärkungssteuerparameter durch Simulation gewählt werden oder können während des Abtastens unter Verwendung eines automatischen Verstärkungssteuerprozesses gefunden werden. In anderen Aspekten können die Verstärkungssteuerparameter wie vorstehend eingestellt werden.
  • Die 276A und 276B stellen Filterkennlinien des Empfängers in Übereinstimmung mit einigen Aspekten dar. Wie in 276A gezeigt ist, kann ein Empfänger mit Nr = 8 Antennen fähig sein, die Störung bei θ = 40 ° und θ = 120 ° um bis zu -30 bis - 40 dB abzuschwächen, wenn nur ein 4-Bit-Quantisierer mit K = 4 verwendet wird. Das erhöht die Abschwächung der Störung auf etwa -250 dB, wenn ein Quantisierer mit unendlicher Auflösung verwendet wird, unter anderem auf Kosten eines übermäßigen Leistungsverlusts. Es wird darauf hingewiesen, dass analoges Strahlformen nicht die Flexibilität aufweisen kann, Störung für irgendeine gegebene Richtung auszulöschen (d. h. das Gruppenmuster von analogem Strahlformen ist so konstruiert, dass es ein festes Muster aufweist, unabhängig von der Störungsrichtung). Stattdessen kann analoges Strahlformen nur auf die gewünschte Richtung strahlformen.
  • 277 stellt ein Strahlformungsmuster gemäß einigen Aspekten dar. Insbesondere stellt 277 analoges Strahlformen für 0 = 95° dar. Wie zu sehen ist, kann analoges Strahlformen nur fähig sein, Störung um -18 dB und -15 dB bei 0 = 40° bzw. θ = 120° auszulöschen. Wie vorstehend kann analoges Strahlformen ein unflexibles Strahlmuster aufweisen.
  • 278 stellt eine BER-Leistung gemäß einigen Aspekten dar. Insbesondere stellt 278 die BER-Leistung von analogem Strahlformen, digitalem Strahlformen und der in 274 gezeigten Architektur dar. Die in 278 gezeigten unterschiedlichen Empfängerarchitekturen können Nr = 8 Antennen an dem Empfänger in einem LOS-Kanal mit einer gewünschten 110° Signalrichtung und 90° Störungsrichtung aufweisen. Zu Simulationszwecken kann die Bandbreite 1 MHz sein, und die Störung und das gewünschte Signal kommunizieren über dasselbe Band. Ein Impulsformungsfilter kann verwendet werden. Das Impulsformungsfilter kann ein Quadratwurzel-Raised-Cosine-Filter mit einer Filterlänge von acht Symbolen und einem Rolloff-Faktor von 0,2 sein. In der Simulation sind SIR = -30 dB und QPSK-Modulation verwendet. Wie in 278 gezeigt ist, kann die analoge Strahlformungsarchitektur durch die Störung vollständig blockiert sein, da analoges Strahlformen die Störung nur um -13 dB reduzieren kann. Ein vollständig digitaler Empfänger mit einem 4-Bit-ADC weist außerdem eine schlechtere Leistung auf als die Architektur von 274, da ein 4-Bit-ADC unter hoher Störung gesättigt ist. Die Architektur von 274 kann jedoch aufgrund des Ausblendens der Störung vor der Quantisierung die beste Leistung zeigen.
  • 279 stellt ein Verfahren 27900 zum Reduzieren des Quantisierer-Dynamikbereichs in einem Empfänger gemäß einigen Aspekten dar. Das Verfahren 27900 kann in der in 274 gezeigten Struktur ausgeführt werden. Bei Operation 27902 können mehrere strahlgeformte Signale von mehreren Strahlformungsantennen empfangen werden. Die Strahlformungsantennen können über alle Winkel abtasten und Strahlformungssignale an jedem Winkel produzieren.
  • Für jedes strahlgeformte Signal an jedem Winkel kann bei Operation 27904 das strahlgeformte Signal durch eine digitalisierte und modifizierte Version des strahlgeformten Signals kompensiert werden. Die Modifikation kann auf den Störern und zugeordneten Winkeln basieren. Der Winkel irgendwelcher Störer kann vorher bestimmt und während der Modifikation verwendet werden. Das kann den Dynamikbereich eines Quantisierers, dem das strahlgeformte Signal zugeführt wird, reduzieren.
  • Das kompensierte Signal kann bei Operation 27906 weiter verarbeitet werden. Die Verarbeitung kann Formen des Quantisierungsrauschens in dem kompensierten Band auf außerhalb des Bands unter Verwendung eines Tiefpassfilters (LPF) enthalten. Die Verstärkung des LPF-Signals kann vor dem Quantisieren des LPF-Signals angepasst werden, um den Dynamikbereich des Quantisierers zu reduzieren. Die Verstärkung kann vorbestimmt sein oder kann variabel sein und abhängig von den Kanaleigenschaften eingestellt sein.
  • Das angepasste Signal kann dann bei Operation 27908 quantisiert werden. Die Quantisierungsauflösung kann vorbestimmt sein oder kann variieren, abhängig von dem Signaltyp, Kanal oder anderen Variablen.
  • Das quantisierte Signal kann modifiziert und bei Operation 27910 zu dem strahlgeformten Signal rückgekoppelt werden. In der Rückkopplungsschleife kann das quantisierte Signal unter Verwendung eines Filters, dessen Koeffizienten von einer Richtung des Störers abhängig sind, gefiltert werden, und das gefilterte Signal kann in ein analoges Signal umgesetzt werden. Das analoge Signal kann einer Verstärkung zugeführt werden, ähnlich der Verstärkung in dem Feedforward-Abschnitt, obwohl die Verstärkungen voneinander unabhängig sein können. In einigen Aspekten können die Verstärkungen von unterschiedlichen Typen sein (z. B. fest oder variabel). Die Auflösungen beim Quantisieren des kompensierten Signals und Umsetzen des gefilterten Signals können voneinander unabhängig sein, und wenigstens eine der Auflösungen kann beispielsweise von der gewünschten Bitfehlerrate (BER) oder Filtereigenschaft abhängig sein.
  • Das quantisierte Signal kann bei Operation 27912 weiter verarbeitet werden. Die Verarbeitung des quantisierten Signals kann Dezimierung enthalten; z. B. falls das strahlgeformte Signal während der Quantisierung überabgetastet wird, kann das quantisierte Signal auf die Nyquist-Rate heruntergetaktet werden, nach dem Eliminieren der Oberwellen, die durch den Quantisierer eingeführt sind, unter Verwendung eines weiteren LPF. Das resultierende Signal kann, unabhängig davon, ob es dezimiert ist, einem Basisbandprozessor zugeführt werden, wo die Kompensation digital invertiert werden kann, um eine digitale Version des strahlgeformten Signals wiederherzustellen. Das resultierende digitale Signal kann durch den Basisbandprozessor signalverarbeitet werden.
  • In Kommunikationssystemen können empfangene Signale in digitale Signale zum Verarbeiten in dem UE umgesetzt werden, während digitale Daten zum Senden von dem UE in RF-Signale umgesetzt werden können. Ein ADC in der Empfängerkette kann ein RF-Signal von einer Antenne empfangen und das RF-Signal in ein digitales Signal umsetzen. Ein DAC in der Senderkette kann ein digitales Signal empfangen und das digitale Signal zum Senden von der Antenne in ein RF-Signal umsetzen. Ein Konstruktionskompromiss des ADC kann durch eine Gütezahl (FoM) ausgedrückt sein, die das Verhältnis zwischen Leistung, ADC-Auflösung und Signalbandbreite beinhaltet: F O M = L e i s t u n g D y n a m i k b e r e i c h B a n d b r e i t e
    Figure DE112017006442T5_0094
  • Die aufkommenden Standards, die mmWellen-Kommunikation verwenden können, können von früheren Standards verschiedene Anforderungen aufweisen. Beispielsweise kann das 5G-Basisband oder 802.11ay (WiGig) einen ADC mit niedriger Auflösung (4b-7b) und hoher Umsetzungsrate verwenden, während 802.11ax WiFi einen Basisbandumsetzer mit mittelhoher (10b-12b) Auflösung jedoch geringer Bandbreite verwenden kann. Das Verschachteln von mehr Kanälen (ADCs) kann die FoM verbessern, weil, wenn sich die Umsetzungsgeschwindigkeit eines einzelnen Kanals den Grenzen der Technologie nähert, der Leistung-Geschwindigkeit-Kompromiss nichtlinear wird. Das kann eine überproportional höhere Leistung für eine gewünschte Steigerung der Geschwindigkeit eines einzelnen ADC an diesen Grenzen erfordern. Zeitverschachtelnde ADCs können die Linearität des Kompromisses halten und zu Hochgeschwindigkeits-ADCs führen, die eine FoM aufweisen, die durch einzelne ADCs mit geringerer Rate erreichbar ist.
  • Während zeitverschachtelte ADCs eine wünschenswerte Wahl für Hochgeschwindigkeits-ADC-Architekturen mit geringer Auflösung sein können, kann jedoch die Verwendung solcher zeitverschachtelter ADCs für Architekturen, die höhere Auflösungen und geringere Bandbreiten verwenden sollen, ungeeignet sein. Solche Architekturen können Überabtasten, Rauschformung und Filtern verwenden, um diese Konstruktionsziele zu erreichen. Obwohl ein zeitverschachtelter ADC mit einer viel höhere Abtastrate im Vergleich zu der Nyquist-Signalbandbreite das Merkmal zum Überabtasten besitzt, kann das Integrieren von Überabtasten und Filtern (keine Rauschformung) auf das Erreichen einer mäßigen 3 dB-Auflösungsverbesserung (theoretisch) für jedes Verdoppeln des Überabtastungsverhältnisses und somit der Anzahl von Kanälen Nch beschränkt sein. Das kann das Einsetzen eines zeitverschachtelten ADC in Empfängern mit mehreren Standards, die mehrere unterschiedliche Typen dedizierter ADCs für jede Standard verwenden können, begrenzen, mit einem entsprechenden Überhang an Konstruktionszeit, Komplexität und Nutzung von Integrationsbetriebsmitteln.
  • Hier offenbarte Aspekte können eine rekonfigurierbare ADC-Architektur (oder ADC-System - ADCS) bereitstellen, die flexibel genug ist, um beispielsweise die Anforderungen von Empfängern, die konfiguriert sind, Kommunikationssignale in mehreren Standards zu empfangen, zu erfüllen. Das ADCS kann wie gewünscht von einem zeitverschachtelten Nch-Kanal-ADC zu Nch parallelen ADCS mit einem relativen Versatz rekonfiguriert werden. Das kann ermöglichen, dass das ADCS eine höhere Auflösung auf einer geringeren Bandbreite durch Mitteln der Ausgabe der Kanäle erreicht, anstatt die Kanäle zeitlich zu verschachteln. In einigen Aspekten kann die Auflösungsverbesserung, die durch diese Architektur geboten wird, 6 dB für jedes Verdoppeln der Anzahl von Kanälen Nch sein.
  • 280 stellt ein ADCS 28000 gemäß einigen Aspekten dar. Das ADCS 28000 kann in einem Empfänger eines Kommunikationssystems verwendet werden, beispielsweise in einem Basisband- oder RF-Teilsystem. Das ADCS 28000 kann in die ADCs 394, die in 3E gezeigt sind, integriert sein, obwohl das ADCS 28000 nicht auf eine solche Integration beschränkt ist. Das ADCS 28000 kann somit in eine Kommunikationsvorrichtung, wie z. B. ein UE, einen eNB, einen AP oder eine andere Vorrichtung integriert sein. Das ADCS 28000 kann in Umgebungen verwendet werden, in denen irgendeine Anzahl von Standard-basierten Kommunikationen eingesetzt werden, die alte (z. B. 3G-, 4G-Kommunikation) und Standards der nächsten Generation (z. B. 5G-Kommunikation) und dergleichen enthalten. Das ADCS 28000 kann zwischen unterschiedlichen Betriebsarten konfigurierbar sein, die eine zeitverschachtelte Betriebsart und eine Mittelwert bildende Betriebsart enthalten. Das kann es ermöglichen, dass sich das ADCS 28000 an unterschiedliche Standards/Spezifikationen mit unterschiedlichen Sollwerten für einen Geschwindigkeit vs. Auflösungs-Kompromiss anpasst. Beispielsweise kann das Bilden von Mittelwerten die Genauigkeit auf Kosten der Reduzierung der ADCS-Geschwindigkeit erhöhen und kann somit für Signalisierung mit niedrigerer Geschwindigkeit wie z. B. Steuersignalisierung geeignet sein.
  • Das ADCS 28000 kann mehrere Nch ADCS 28002 (auch als Kern-ADCs bezeichnet) beinhalten, die jeweils eine Abtastschaltung 28004 für Überabtasten und Dezimierung beinhalten. Die Topologie der ADCs 28002 kann generisch sein und kann sich basierend auf der Anwendung (z. B. SAR, Pipeline, Delta-Sigma) ändern. Die Eingänge jedes Kern-ADC 28002 können mit einer Signal- und Taktverteilungsschaltung 28008 und einer TU 28006 verbunden sein. Die Ausgabe jedes Kern-ADC 28002 kann einer Verarbeitungsschaltung 28010 zugeführt werden. Jeder Kern-ADC 28002 kann eine Bit-Auflösung Nq, Max-Geschwindigkeit fsc und entsprechenden Energieverbrauch Pcore-ADC@fsc aufweisen. Die Kern-ADCs 28002 können von ADC 0 bis ADC Nch-1 nummeriert sein. Die Abtastschaltung 28004 des Kern-ADC 28002 kann es ermöglichen, dass der Kern-ADC 28002 das analoge Eingabesignal Vin,n und Vin,p aus der Signal- und Taktverteilungsschaltung 28008 überabtastet und dezimiert.
  • Die Signal- und Taktverteilungsschaltung 28008 kann mit analogen Eingabesignalen Vin,n und Vin,p aus der Antenne 28030 (über das Frontend) versorgt werden, um sie unter den Kern-ADCs 28002 zu verteilen. Die analogen Eingabesignale Vin,n und Vin,p können von einer Treiberschaltung 28020 empfangen werden, die von dem ADCS 28000 getrennt ist. Die Signal- und Taktverteilungsschaltung 28008 kann außerdem mit einem Master-Taktsignal (MCK) von einem lokalen Oszillator oder einer anderen Zeitschaltung (nicht gezeigt) versorgt werden. Das MCK kann der Zeitschaltung (TU) 28006, die dem Kern-ADC 28002 zugeordnet ist, zugeführt werden, die ein lokales Master-Taktsignal (LMCK) und den Systemtakt (SCK) zur Verteilung nur zu dem zugeordneten Kern-ADC 28002 erzeugen kann. Das MCK kann in der TU 28006 frequenzaufgeteilt werden, so dass das MCK eine Frequenz aufweisen kann, die ein ganzzahliges Vielfaches des LMCK ist. Das LMCK-Signal, das durch jede der TUs 28006 bereitgestellt wird, kann gleich sein. Die TU 28006 kann ein SCK produzieren, das von der Betriebsart des ADCS 28000 abhängig ist. Die TUs 28006 können aus der Zeiteinheit, die dem Kern-ADC 0 bis Kern-ADC Nch-1 zugeordnet ist, miteinander verknüpft sein.
  • Die digitalen Ausgaben aus den unterschiedlichen Kern-ADCs 28002 können einer digitalen Verarbeitungsschaltung 28010 zugeführt werden. Die Verarbeitungsschaltung 28010 kann mehrere Operationen ausführen, von denen wenigstens einige von der Betriebsart des ADCS 28000 abhängen können. Die Verarbeitungsschaltung 28010 kann die digitalen Ausgaben aus wenigstens einigen der Kern-ADCs 28002 in der zeitverschachtelten Betriebsart individuell gewichten und dann addieren. In einigen Aspekten können die Eingaben aus den Kern-ADCs 28002 gewichtet werden, so dass sie digital voreingestellte Gewichtungen aufweisen, die gleich oder unterschiedlich sein können, wobei die Verarbeitungsschaltung 28010 als ein Entzerrer arbeitet. In einigen Aspekten kann die Verarbeitungsschaltung 28010 stattdessen einen multiplexten Puffer 28010 für wenigstens einige der Kern-ADCs 28002 in der Mittelwert bildenden Betriebsart bereitstellen. In einigen anderen Aspekten kann die Verarbeitungsschaltung 28010 die Ausgabe einiger oder aller Kern-ADCs 28002 in einen Speicher schreiben.
  • Das ADCS 28000 kann außerdem ein Betriebsartsignal von einer Steuereinheit 28040 einsetzen, um die Betriebsart des ADCS 28000 zu bestimmen. Das Betriebsartsignal kann sowohl den Zeiteinheiten 28006 als auch den Kern-ADCs 28002 zugeführt werden. Die Betriebsart kann die Zeit angeben, zu der das LMCK dem Kern-ADC 28002 zugeführt werden soll. Die Zeiteinheiten 28006 können seriell miteinander verbunden sein und beispielsweise verwendet werden, um das LMCK zu der geeigneten Zeit für jede Zeiteinheit 28006 zu triggern. Die Zeiteinheit 28006 kann beispielsweise ein oder mehrere Latches beinhalten, die durch eine benachbarte Zeiteinheit 28006 getriggert werden. Jeder ADC 28002 kann sowohl einen spezifischen Versatz als auch einen Abtastzeit-Versatz zeigen, der der Abtastschaltung 28004 zugeordnet ist. Das Betriebsartsignal kann ein voreingestelltes Bit sein, das die Arbeitskonfiguration einstellt. Die Steuereinheit 28040 kann in einigen Aspekten die Verarbeitungsschaltung 28010 sein oder kann in anderen Aspekten ein weiterer Prozessor sein.
  • In einigen Aspekten kann das Betriebsartsignal ein einzelnes Bit sein, das angibt, in welcher von zwei Betriebsarten das ADCS 28000 arbeiten soll, und somit welche ADC-Konfiguration verwendet werden soll. In einigen Aspekten kann das Betriebsartsignal ein oder mehrere zusätzliche Bits aufweisen, die angeben, wie viele der Kern-ADCs 28002 verwendet werden sollen. In einigen Aspekten können, falls eine begrenzte Anzahl von Kern-ADCs 28002 verwendet wird, die zusätzlichen Bits in dem Betriebsartsignal angeben, welche der Kern-ADCs 28002 verwendet werden sollen. Beispielsweise können die Kern-ADCs 28002 in einigen Aspekten benachbart sein und somit benachbarte ADC-Nummern aufweisen. In diesem Fall kann das Betriebsartsignal in einigen Aspekten außerdem ein Versatz-Bit aufweisen, das den ersten aus den aufeinanderfolgenden Kern-ADCs 28002 angibt, der verwendet werden soll. In einigen Aspekten kann das Betriebsartsignal außerdem ein Bit für jeden der Kern-ADCs 28002 aufweisen, das angibt, ob der Kern-ADC 28002 verwendet werden soll. In einigen Aspekten können die Kern-ADCs 28002, die in der zeitverschachtelten Betriebsart verwendet werden, beispielsweise gleichmäßig verteilt sein (z. B. jeder zweite Kern-ADC 28002), was den Zeitablauf der Kern-ADCs 28002 gleich hält, so dass der Zeitablauf des zusammengesetzten zeitverschachtelten Signals gleichmäßig ist. Das kann in einigen Aspekten beispielsweise verwendet werden, um die Anzahl verwendeter Kern-ADCs 28002 und somit den Energieverbrauch zu reduzieren.
  • In einigen Aspekten können die Kern-ADCs 28002 eine feste Bit-Auflösung aufweisen, unabhängig von der Betriebsart. Die Kern-ADCs 28002 können beispielsweise eine 8-12 Bit-Auflösung aufweisen. In einigen Aspekten können die Kern-ADCs 28002 eine variable Auflösung aufweisen, die von der Betriebsart abhängig ist. In diesem Fall kann die Auflösung für die Kern-ADCs 28002 eine größere Anzahl von Bits (z. B. 8-11 Bits) für parallelen Betrieb (Mittelwert bildende Betriebsart) und weniger für seriellen Betrieb (zeitverschachtelte Betriebsart) (z. B. 1-3 Bits) aufweisen.
  • In einigen Aspekten können Mittel zum Anpassen einer ADC-Konfiguration zwischen einer ADC-Konfiguration mit Mittelwert bildender Betriebsart für Betrieb mit höherer Auflösung und geringerer Bandbreite und einer ADC-Konfiguration mit zeitverschachtelter Betriebsart für Betrieb mit geringerer Auflösung und höherer Geschwindigkeit, in der die Ausgaben aus den Kern-ADCs gemittelt werden, durch das ADCS 28000 implementiert sein. In einigen Aspekten kann, wie gezeigt, das ADCS 28000 ferner Mittel zum Mitteln von Ausgaben aus den Kern-ADCs 28002 in der ADC-Konfiguration mit Mittelwert bildender Betriebsart, um eine gemittelte ADC-Ausgabe zu produzieren, und Mittel zum Kombinieren der Ausgaben aus den Kern-ADCs 28002 in der ADC-Konfiguration mit zeitverschachtelter Betriebsart, um eine zeitverschachtelte ADC-Ausgabe zu produzieren, implementieren, z. B. über die Verarbeitungsschaltung 28010.
  • In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 27400 ferner Mittel zum Bereitstellen eines Systemtaktsignals und eines lokalen Master-Taktsignals für jeden Kern-ADC 28002 basierend auf einer Master-Taktsignal, der der Zeiteinheit 28006 zugeführt wird, und Mittel zum Anpassen des Systemtaktsignals abhängig von der ADC-Konfiguration, z. B. über die Signal- und Taktverteilungsschaltung 28008, die TU 28006 und die Steuereinheit 28040, implementieren. In einigen Aspekten kann wie gezeigt die Empfängerarchitektur 27400 ferner eines oder mehrere aus dem Folgenden implementieren: Mittel zum Anpassen einer Auflösung der Kern-ADCs 28002 abhängig von der ADC-Konfiguration, z. B. über die Steuereinheit 28040 und die ADCs 28002, und/oder Mittel zum Überabtasten und Dezimieren eines Eingabesignals zu jedem der Kern-ADCs 28002 vor dem Quantisieren des Eingabesignals, um ein quantisiertes Signal zu produzieren, z. B. über die TU 28006, die Steuereinheit 28040 und die Abtastschaltung 28004.
  • Die 281A und 281B stellen unterschiedliche Betriebsarbeiten eines ADCS 28100 gemäß einigen Aspekten dar. Wie in 281A gezeigt ist, kann das ADCS 28100 in einer zeitverschachtelten Betriebsart arbeiten. Die zeitverschachtelte Betriebsart kann durch die Betriebsart signalisiert werden, die in die Zeiteinheiten 28106 und die Kern-ADCs 28102 eingegeben werden, z. B. durch ein einzelnes Bit. Die zeitverschachtelte Betriebsart kann ermöglichen, dass das ADCS 28100 eine hohe Bandbreite Nch fsc/2 oder eine Umsetzungsgeschwindigkeit von Nch fsc und Nq- (oder geringere) Analog/Digital-Umsetzungs-Auflösung erreicht.
  • In der zeitverschachtelten Betriebsart kann das SCK den Kern-ADCs 28102 in sequenzieller Reihenfolge zugeführt werden. Beispielsweise kann das SCK-Signal für den ADC N+1 unmittelbar nach dem Signal für den ADC N auftreten, sich schließlich wiederholen, so dass das SCK-Signal für den Kern-ADC 0 unmittelbar nach dem Signal für den Kern-ADC Nch-1 auftritt. Somit kann in der zeitverschachtelten Betriebsart jeder Kanal einen umgesetzten Abtastpunkt mit einer globalen Takt-MCK-Rate bereitstellen. In der zeitverschachtelten Betriebsart können die Ausgaben aus den Kern-ADCs 28102 für Speicher/die Umgehung (Verarbeitungsschaltung) 28110 bereitgestellt werden, der/die als Puffer arbeiten, wie z. B. Parallel-In-Seriell-Out-Schieberegister (PISO), ein Speicher oder eine Umgehung, und die Kern-ADC-Ausgaben als eine Ausgabe des ADCS 28100 bereitstellen kann.
  • Wie in 281B gezeigt ist, kann das ADCS 28100 auch in einer Mittelwert bildenden Betriebsart arbeiten. Die Mittelwert bildende Betriebsart kann durch die Betriebsart signalisiert werden, die in die TUs 28106 und die Kern-ADCs 28102 eingegeben wird (die Beschriftung ist in 281A gezeigt). In der Mittelwert bildenden Betriebsart kann jeder Kern-ADC 28102 einen spezifischen Versatz aufweisen. In der Mittelwert bildenden Betriebsart kann das ADCS 28100 mit den Kern-ADCs 28102 parallel arbeiten, wobei die Signal- und Taktverteilungsschaltung 28108 die Signale wie in 280 gezeigt zuführt, und Versatz und Zeit-Versatz zwischen den Kanälen ausnutzen, um eine höhere Auflösung als Nq (bis zu Nq + 3,32log10(Nch)) über einer Nyquist-Bandbreite gleich fsc/2 oder Umsetzungsgeschwindigkeit von fsc zu erreichen. Wie gezeigt kann das SCK für jeden Kern-ADC zur gleichen Zeit und mit der gleichen Frequenz auftreten. Somit kann in der Mittelwert bildenden Betriebsart jeder Kanal gleichzeitig bei fsc = fMCK/Nch mit lokalen Takten (SCK, LMCK) arbeiten. Die Kern-ADC-Ausgabe kann für die Verarbeitungsschaltung 28110 bereitgestellt werden, die arbeiten kann, um die Signale aus den Kern-ADCs 28102 zu gewichten, um die Ausgaben zu entzerren und die Kern-ADC-Ausgaben als eine Ausgabe des ADCS 28100 bereitzustellen. In einigen Aspekten können die Kern-ADC-Ausgaben gemittelt werden, um die ADCS-Ausgabe zu produzieren. Die digitale Verarbeitungsschaltung kann als ein Schieberegister, wie z. B. ein Parallel-In-Seriell-Out-Schieberegister (PISO), ein Speicher oder eine Umgehung in der TI-Betriebsart, oder beispielsweise als ein Addierer oder Entzerrer in der AVG-Betriebsart arbeiten.
  • In der Mittelwert bildenden Betriebsart von Nch parallelen Kanäle mit gesteuertem relativem Versatz, der durch die Rekonfigurierbarkeit des ADCS ermöglicht ist, kann das ADCS auch fähig sein, eine bessere Auflösung als das äquivalente Überabtasten-pro-Nch mit der zeitverschachtelten Architektur erreichen. Die Auflösungsverbesserung auf einem Signal, dessen Bandbreite gleich der ADC-Nyquist-Frequenz fsc/2 ist, für ein Nch zeitverschachteltes Überabtastungssystem kann sein: Δ S N D R ü b e r a b t a s t u n g = 10 l o g 10 ( N c h )
    Figure DE112017006442T5_0095
  • In einigen Aspekten für ein Mittelwert bildendes System mit Nch parallelen Kanälen kann die Auflösungsverbesserung sein bis zu: Δ S N D R a v g = 20 log 10 ( N c h )
    Figure DE112017006442T5_0096
  • In einigen Aspekten kann sich die Systemleistung zwischen den beiden Konfigurationen nicht ändern. Die Systemleistung, wenn mit voller Rate gearbeitet wird, kann in einer ersten Größenordnung gegeben sein durch: P sys = N ch × P kern ADC@fsc
    Figure DE112017006442T5_0097
  • Selbst bei Vorhandensein unkalibrierter Fehlerquellen wie z. B. Versatz, differentieller Nichtlinearität (DNL) oder integraler Nichtlinearität (INL) kann die Mittelwert bildende Technik eine Auflösungsverbesserung bereitstellen, die ähnlich derjenigen eines kalibrierten Überabtastungs-Kern-ADC mit der gleichen Anzahl von Kanälen ist, der in der zeitverschachtelten Betriebsart arbeitet. Anders als ein überabgetasteter Kern-ADC kann das ADCS jedoch das Einschränken des Eingangssignals, beispielsweise darauf, dass es wenigstens eine minimale Amplitude aufweist, vermeiden.
  • Es wird darauf hingewiesen, dass unkorrelierte Rauschquellen auf einer Wurzel-Summen-Quadrat-Basis (RSS-Basis) addieren, während Signalspannungen auf einer linearen Basis addieren. Somit kann das Mitteln mehrerer Kern-ADCs das SNR erhöhen. 282 stellt Kern-ADC-Mittelwertbildung gemäß einigen Aspekten dar. Das LSB der gemittelten Umsetzungseigenschaft von M gleichen Kern-ADCs mit relativem Versatz kann M-mal kleiner sein als das LSB eines einzelnen Kern-ADC. 282 stellt eine Simulation von zwei Quantisierern dar, einer mit einer vorbestimmten Versatzgröße, das deutlich zeigt, dass die Auflösung im Vergleich zu der eines einzelnen Kanals zugenommen hat.
  • Zusätzlich ist es in einigen Aspekten durch Mitteln der Ausgabe mehrerer paralleler Kern-ADCs mit relativem Versatz möglich, bis zu 1 Bit Auflösung bei jeder Verdopplung der Anzahl Nch von Kanälen zu gewinnen. In einigen Aspekten ist die maximale Auflösungsverbesserung, die aus dem Einsatz einer Nch zeitverschachtelten ADC-Konfiguration resultieren würde, um ein Signal überabzutasten, dessen Bandbreite gleich der Nyquist-Frequenz des Kern-ADC: Δ S N D R ü b e r a b t a s t u n g , m a x = 10 l o g 10 ( O S R ) = 10  log 10 ( N c h )
    Figure DE112017006442T5_0098
  • Das ist äquivalent zu 3 dB (ein halbes Bit) für jede Verdopplung des Überabtastungsverhältnisses (OSR) und somit der Anzahl von Kanälen. Stattdessen ist in einigen Aspekten die maximale Auflösungsverbesserung, die durch Mitteln von Nch Kern-ADCs mit relativem Versatz: Δ S N D R m t l ( O S ) , m a x = 20  log 10 ( N c h ) = 2 Δ S N D R ü b e r a b t a s t u n g , m a x
    Figure DE112017006442T5_0099
    Δ E N O B m t l ( O S ) , m a x 3.32  log 10 ( N c h ) = 2 Δ E N o B ü b e r a b t a s t u n g , m a x
    Figure DE112017006442T5_0100
  • Verglichen mit Gleichung (α) stellt die Gleichung (β) eine bessere Auflösungsverbesserung heraus und zeigt somit, dass die Mittelwert bildende Technik mit Versatz zwischen den Kanälen eine effizientere Art sein kann, die Auflösung über die Grenze des einzelnen Quantisierers hinaus zu verbessern, und dass die rekonfigurierbare Architektur vorteilhafter sein kann als die feste (nur zeitverschachtelte). Tatsächlich kann, da sich die Systemleistung in einigen Aspekten zwischen den zwei Konfigurationen nicht ändern kann und die Systemleistung in erster Ordnung sein kann: P sys = N ch × P kern ADC@fsc
    Figure DE112017006442T5_0101
  • Außerdem kann die Mittelwert bildende Architektur weniger empfindlich gegen Zeit-Versatz und DNL/INL-Fehlanpassung sein in Bezug auf die zeitverschachtelte Architektur. Tatsächlich kann selbst bei Vorhandensein nicht kalibrierter Fehlerquellen wie z. B. Zeit-Versatz und DNL/TNL zwischen Kanälen, das Mitteln immer noch eine ähnliche Auflösungsverbesserung wie Überabtasten erreichen. Kalibrierung der Quantisierer und der Systemausgabe können angewandt werden. In einigen Aspekten kann die Kalibrierung vor der Implementierung in eine Arbeitsumgebung angewandt werden. In einigen anderen Aspekten kann die Kalibrierung gleichzeitig mit dem Betrieb der Schaltung angewandt werden und im Hintergrund ablaufen. Die Kalibrierung kann über eine Rückkopplungsschleife, die die Steuereinheit 28040 einbezieht, auf der Basis der ADC-Ausgabe aus der Verarbeitungsschaltung 28010 ausgeführt werden und kann die korrekten Konfigurations-Bits berechnen, um das ADCS 28000 abzustimmen, so dass es sich dem/der gewünschten Arbeitspunkt und Leistung annähert.
  • 283 zeigt die Auflösungsverbesserung eines Mittelwertbildungssystems in Übereinstimmung mit einigen Aspekten. Die Simulation des ADCS verwendete ideale Quantisierer, die eine mäßige Auflösung (z. B. 9 Bit) in jedem Kanal aufweisen. Die Kern-ADCs können unterschiedlichen Versatz bei 1 GS/s und für ein 180 MHz-Eingabesignal aufweisen (z. B. unter Verwendung einer geschätzten IEEE 802.11ax-Spezifikation). Diese Ergebnisse zeigen die Variation des SNDR des LSB für eine unterschiedliche Anzahl von Kanälen Nch =2, 5, 10.
  • Die Ergebnisse von 283 zeigen, dass eine Auflösungsverbesserung vorhanden ist, unabhängig von der Anzahl von Kanälen. Außerdem ist in 283 zu sehen, dass für Versatzwerte, die Vielfache von LSBkern/Nch sind, die Verbesserung größer sein kann als diejenige, die durch einen einfachen Überabtastungsfaktor gleich der Anzahl gemittelter Kanäle erreichbar ist. Wie dargestellt kann die Verbesserung beispielsweise gleich 6 dB für jede Verdopplung von Nch sein. Kadenzmodell-Simulationsergebnisse (unter Verwendung von Verilog-A und schematischer Basiskomponenten) des Mittelwert bildenden ADC-Betriebs (Verilog-A-Modell) mit Nch=10 Kanälen, die relativen Versatz zeigen, der ein Vielfaches von LSB/Nch ist, zeigen 20 dB Auflösungsverbesserung: 10 dB besser als ein äquivalentes Überabtasten pro Nch erreichen würde. Andere statistische Monte-Carlo-Simulationen einer Mittelwert bildenden 10 Kanal/9 Bit-Kern-ADC-Konfiguration mit konstruiertem Versatz gleich α·LSB9b/Nch (α Ganzzahl) und bei Vorhandensein unkalibrierter Fehlerquellen (DNL/INL, 2ps Versatz zwischen Kanälen, Verstärkungsfehlanpassung) zeigen eine DNL/INL-Standardabweichung von 0,1 LSB, eine Verstärkungsfehlanpassung von 1 % zwischen Kanälen und eine Auflösungsverbesserung von bis zu 9/9,5 dB, somit äquivalent zu derjenigen einer kalibrierten Überabtastungstechnik.
  • 284 stellt ein Verfahren 28400 zum Bereitstellen einer flexiblen ADC-Architektur in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 28400 kann durch die Steuereinheit 28040 zusammen mit den anderen in 280 gezeigten Schaltungen ausgeführt werden. Bei Operation 28402 kann eine ADC-Konfiguration zwischen einer ADC-Konfiguration mit Mittelwert bildender Betriebsart und ADC-Konfiguration mit zeitverschachtelter Betriebsart angepasst (oder ausgewählt) werden. Die Mittelwert bildende Betriebsart kann beispielsweise für Betrieb mit höherer Auflösung und geringerer Bandbreite verwendet werden. Die ADC-Konfiguration mit zeitverschachtelter Betriebsart kann beispielsweise für Betrieb mit geringerer Auflösung und höherer Geschwindigkeit, in dem die Ausgaben aus den Kern-ADCs gemittelt werden, ausgewählt werden. Unabhängig von der ausgewählten Betriebsart können ein Systemtaktsignal und ein lokales Master-Taktsignal für jeden Kern-ADC bereitgestellt werden, basierend auf einem Master-Taktsignal, das einer Zeiteinheit zugeführt wird. Das Systemtaktsignal kann abhängig von der ADC-Konfiguration angepasst werden. In einigen Aspekten kann das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst werden. Das Betriebsartsignal kann beispielsweise ein einzelnes Bit, das die ADC-Konfiguration angibt, oder das einzelne Bit und wenigstens ein zusätzliches Bit, die angeben, wie viele der Kern-ADCs verwendet werden sollen, umfassen. Die Auflösung der Kern-ADCs kann abhängig von der ADC-Konfiguration angepasst werden. Während der Quantisierung können die analogen Eingaben überabgetastet und dezimiert werden.
  • Bei Operation 28404 können die Ausgaben aus den Kern-ADCs in der ADC-Konfiguration mit Mittelwert bildender Betriebsart gemittelt werden, um eine gemittelte ADC-Ausgabe zu produzieren. Die gemittelte ADC-Ausgabe kann dann weiter verarbeitet werden. Die Verarbeitung kann Puffern der quantisierten Signale aus jedem der Kern-ADCs umfassen.
  • Bei Operation 28406 können die Ausgaben aus den Kern-ADCs in der ADC-Konfiguration mit zeitverschachtelter Betriebsart kombiniert werden, um eine zeitverschachtelte ADC-Ausgabe zu produzieren. Wie vorstehend kann die Ausgabe des ADC in zeitverschachtelter Betriebsart dann weiter verarbeitet werden. Die quantisierten Signale können abhängig von der ADC-Konfiguration unterschiedlich verarbeitet werden. Die Verarbeitung kann Entzerren der quantisierten Signale aus jedem der Kern-ADCs umfassen.
  • Das zum Strahlformen verwendete Verfahren und der Ort des Strahlformens können breite Auswirkungen auf sowohl die Empfänger- als auch die Systemleistung haben. Die Wahl von analogem Strahlformen (entweder an RF oder an IF) versus digitalem Strahlformen kann letztlich einen Kompromiss für die Empfängerlinearität, Blockierer-Abweisung, ADC-Dynamikbereich und Energieverbrauch repräsentieren.
  • Digitales Strahlformen kann Vorteile für die Steuerebenenlatenz und effektives SNR in NLOS-Umgebungen haben. Während digitales Strahlformen Flexibilität für die Strahlgestaltung bereitstellen kann, kann das auf Kosten einer Eins-zu-eins-Beziehung zwischen der Sender-RF-Kette und der Antenne gehen. Insbesondere kann der Energieverbrauch für digitales Strahlformen teilweise auf die große Anzahl von ADCs und DACs zurückzuführen sein, von denen einer für jede RF-Kette verwendet sein kann. Insbesondere kann der Energieverbrauch der ADCs und DACs linear mit der Abtastrate und exponentiell mit der Anzahl von Auflösungs-Bits pro Abtastvorgang ansteigen. Die Anzahl und Auflösung der ADCs kann einen signifikanten Energieverbrauch für die Datenumsetzer und die zugeordneten Datenverbindungsstrecken zu dem Basisbandprozessor platzieren. Außerdem kann der ADC-Dynamikbereich ein Problem sein, insbesondere wenn ein oder mehrere starke Störer vorhanden sind. Obwohl es wünschenswert sein kann, dass die ADCs einen ausreichend Dynamikbereich aufweisen, um Störer zu handhaben, kann der ADC-Eingang ohne Zurückweisung räumlicher Störung sein
  • Hybridstrahlformen, das analoges Strahlformen integrieren kann, kann das Problem des Energieverbrauchs in gewissem Umfang reduzieren oder abschwächen, jedoch auf Kosten sowohl der Ausblendung einzelner Antennensignale aus der digitalen Verarbeitung als auch des Hinzufügens von Steuerungslatenz und NLOS-Defiziten. Alternativ kann das Verwenden von digitalem Strahlformen mit ADCs mit geringer Auflösung (wie z. B. 1-3 Bit-ADCs) anstelle der typischerweise verwendeten ADCs mit hoher Auflösung den Energieverbrauch des ADC und der digitalen Verarbeitung reduzieren, jedoch auf Kosten des Durchsatzes in Szenarien mit hohem SNR.
  • In einigen Aspekten können die verwendeten ADC-Spezifikationen, insbesondere der Dynamikbereich, durch analoge Summation reduziert sein, während die individuellen Antennensignale für digitale Verarbeitung erhalten bleiben. Insbesondere kann die dynamische Anpassung der Anzahl arbeitender ADCs von den Signalbedingungen und der Systemaktivität abhängen. In einigen Aspekten kann analoge Summation über Elemente für die Zurückweisung räumlicher Störung verwendet werden, jedoch auf eine Weise, die in der digitalen Domäne für einen schnellen Steuerebenenbetrieb umkehrbar ist. Somit kann in einigen Aspekten die Leistung der Steuerebene mit derjenigen des digitalen Strahlformens übereinstimmen, und somit kann die Latenz der Steuerebene kleiner sein als die für analoges Strahlformen. Zusätzlich kann das Verwenden analoger Phasenschieber und einer Kompensationsschaltung für phasengleiche/Quadratur-Phasen- (I/Q) Ungleichgewicht, die in analogem Strahlformen vorhanden sind, vermieden werden, das heißt die Architektur kann in einigen Aspekten I/Q-Kombinieren vermeiden und nur auf dem Schalten der Signalpolarität beruhen. Außerdem können die Zurückweisung räumlicher Störung und weniger ADCs, die für analoges Strahlformen verwendet werden, wie auch die geringe Steuerlatenz, hoch effektives SNR, hoher Nicht-Sichtlinien-Durchsatz und MU-MIMO-Fähigkeit des digitalen Strahlformens erreicht werden.
  • 285 stellt eine Empfängerarchitektur 28500 in Übereinstimmung mit einigen Aspekten dar. Die Empfängerarchitektur 28500 kann in die in 3E gezeigte parallele Empfangsschaltung 382 integriert sein, obwohl die Empfängerarchitektur 28500 nicht auf eine solche Integration beschränkt ist. Die Empfängerarchitektur 28500 kann in einem UE, einem NB, einem AP oder einer anderen Kommunikationsvorrichtung angeordnet sein. Die Kommunikationsvorrichtung kann eine andere Schaltung wie z. B. eine Senderschaltung aufweisen, die zur Vereinfachung nicht gezeigt ist. Die Architektur 28500 kann Empfängerschaltung umfassen, die ein RF-Frontend 28502, die Multiplizierer 28504, die Kombinierer 28506, variable Verstärkungssteuerung 28508, die ADCs 28510 und einen Basisbandprozessor 28520 aufweist. Andere Elemente wie z. B. Tiefpassfilter können vorgesehen sein, sind jedoch zur Vereinfachung nicht gezeigt. Der Ausgang des RF-Frontend 28502 kann die Ausgaben si [t], i = 1, ..., N von den Antennenelementen (nicht gezeigt) der Antenne 28530, die mit dem RF-Frontend 28502 verbunden ist, bereitstellen.
  • Jeder ADC 28510 kann einem anderen Kombinierer 28506 und variabler Verstärkungssteuerung 28508 zusammen mit mehreren Multiplizierern 28504 zugeordnet sein. Jeder Multiplizierer 28504 kann einer anderen Ausgabe si[t],i = 1, ..., N aus dem RF-Frontend 28502 zugeordnet sein und kann ein individuelles Signalgewicht wij, i,j = 1, ..., N, das verwendet wird, um das Signal zu gewichten, aufweisen. In einigen Aspekten können die Signalgewichte Werte von entweder -1 oder 1 annehmen. Obwohl das Einschränken der Gewichte auf diese Werte zu einer einfacheren Berechnung führen kann, können in einigen Aspekten die Gewichte andere ganzzahlige oder nicht ganzzahlige reelle oder komplexe Werte annehmen. Es können unterschiedliche Gewichtungen verwendet werden, da unterschiedliche ADCs 28510 unterschiedliche Größen der Störung sehen können. Die Gewichtungen können adaptiv sein, abhängig von Bedingungen des gewünschten und Störersignals (oder anderen Variablen), um das Signal-zu-Störung-plus-Rauschen (SINR) des gewünschten Signals zu maximieren oder zu erhöhen, oder sie können fest und somit unabhängig von den Signal- und Kanalbedingungen sein.
  • Somit kann für jedes Antennenelement die analoge Ausgabe aus einem speziellen Antennenelement mit den gewichteten analogen Ausgaben aus jedem anderen Antennenelement in einem Kombinierer 28506 kombiniert werden. Die kombinierte Ausgabe aus dem Kombinierer 28506 kann der variablen Verstärkungssteuerung 28508 zugeführt werden. Die variable Verstärkungssteuerung 28508 kann eine Verstärkung gi, i = 1, ..., N bereitstellen, um vi[t], i = 1, ..., N, die Eingabe für den ADC 28510, anzupassen.
  • Die variable Verstärkungssteuerung 28508 kann eine Reduktion des Dynamikbereichs des ADC 28510 durch Bereitstellen einer umkehrbaren analogen Kompensation für potentielle Störer vor der Übermittlung an den ADC 28510 ermöglichen. Der Dynamikbereich des ADC 28510 kann durch den Basisbandprozessor 28520 (oder einen anderen Prozessor) gemäß einer gewünschten Gruppenstörungszurückweisung und Winkelauflösung ausgewählt werden. Um kleinere Winkel aufzulösen, kann eine größere Gruppe oder ein größerer ADC-Dynamikbereich verwendet werden. Die Ausgabe aus dem ADC 28510 kann dem Basisbandprozessor 28520 zugeführt werden, wo die analoge Kompensation, die durch den Kombinierer 28506 bereitgestellt ist, digital invertiert werden kann. Diese Invertierung kann in einigen Aspekten auf die Auflösung des ADC 28510 beschränkt sein.
  • In einigen Aspekten kann die analoge Summation mit der Summation der aktuellen Betriebsart implementiert sein. In anderen Aspekten können andere Signalsummationsverfahren verwendet werden. Das Verfahren der verwendeten Summation kann die/das gewünschte Leistung und Verhalten erfüllen. Wie gezeigt kann in einigen Aspekten die Anordnung von 285 die Verwendung analoger Phasenschieber und I/Q-Ungleichgewichts-Kompensationsschaltung, die für analoges Strahlungsmuster verwendet werden, reduzieren oder eliminieren.
  • In einigen Aspekten können Mittel zum Empfangen strahlgeformter Signale von mehreren Antennenelementen einer Antenne durch die Empfängerarchitektur 28500 implementiert sein. In einigen Aspekten kann, wie gezeigt, die Empfängerarchitektur 7200 ferner Mittel zum Kompensieren des Störersignals vor dem Bereitstellen der strahlgeformten Signale für die ADCs, z. B. über die Multiplizierer 28504 und die Kombinierer 28506, Mittel zum Quantisieren der kompensierten Signale, z. B. über die ADCs 28510, und Mittel zum Umkehren der Kompensation vor dem Verarbeiten der quantisierten Signale, z. B. über den Basisbandprozessor 28520, implementieren.
  • In einigen Aspekten kann wie gezeigt die Empfängerarchitektur 28500 ferner wenigstens eines aus dem Folgenden implementieren: Mittel zum Bestimmen einer Richtung von wenigstens einem aus dem gewünschten oder dem Störsignal oder Kanalsondieren während der Verarbeitung der quantisierten Signale, z. B. über den Basisbandprozessor 28520. In einigen Aspekten können, wie gezeigt, Mittel zum Kompensieren des Störersignals ferner Mittel zum Kombinieren einer gewichteten Kopie jedes der strahlgeformten Signale, z. B. über die Kombinierer 28506, umfassen. In einigen Aspekten kann wie gezeigt die Empfängerarchitektur 28500 ferner wenigstens eines aus dem Folgenden implementieren: Mittel zum Anpassen einer variablen Verstärkung jedes kompensierten Signal, um einen Leistungspegel eines Signals zu normalisieren, das einem entsprechenden ADC aus den ADCs 28510 zugeführt wird, z. B. über die variable Verstärkungssteuerung 28508; Mittel zum Anpassen einer Anzahl von ADCs 28510, die während einer speziellen Operation verwendet werden sollen; und/oder Mittel zum Auswählen eines Dynamikbereichs jedes ADC 28510 abhängig von einer gewünschten Gruppenstörungszurückweisung und Winkelauflösung, z. B. über den Basisbandprozessor 28520.
  • In einigen Aspekten kann die Anzahl von ADCs 28510 auf eine vorbestimmte Anzahl oder einen prozentualen Anteil der verfügbaren ADCs 28510 beschränkt sein, z. B. nicht alle ADCs 28510. Der Basisbandprozessor 28520 kann die Anzahl verwendeter ADCs 28510 auswählen. Der Basisbandprozessor 28520 kann beispielsweise alle ADCs 28510 unter anderem für Steuerebenenoperationen, das Finden gewünschter und Störerrichtung oder Kanalsondierung aktivieren. In einigen Aspekten kann der Basisbandprozessor 28520 die Anzahl verwendeter ADCs 28510 auf eine Teilmenge der verfügbaren ADCs 28510 begrenzen, beispielsweise in einer aktiven Verbindungsstrecke.
  • Mathematisch können die vorstehend beschriebenen Operationen unter Verwendung von Vektoren beschrieben werden, wie nachstehend gezeigt. Insbesondere sind die Vektoren s (Antennenausgabe) und v (ADC-Eingabe) aus Elementen si bzw. vi zusammengesetzt, W (Gewichtungen) ist eine Gewichtungsmatrix, die aus wij Elementen zusammengesetzt ist, und G ist eine Toeplitz-Matrix, die aus gi-Elementen (variable Verstärkungssteuerung) zusammengesetzt ist. Die Gruppengröße N kann abhängig von der gewünschten Gruppenverstärkung, Störungszurückweisung und Leistungsbudget gewählt werden. s = [ s 1 , s 2 , s N ]   W = [ w 11 w 21 w N 1 w 12 w 22 w N 2 w 1 N w 2 N w N N ] w i j { 1,1 } v = [ v 1 , v 2 , v N ] G = [ g 1 0 0 0 g 2 0 0 g N ]
    Figure DE112017006442T5_0102
  • Die ADC-Eingabe v kann als eine Matrixtransformation von s ausgedrückt werden: v = s W G
    Figure DE112017006442T5_0103
  • Die ursprünglichen Antennensignale könne aus v berechnet werden: s ' = v   p i n v ( W G )
    Figure DE112017006442T5_0104
    wobei pinv die Pseudoinverse der Matrix ist. Eine quantisierte Version von v kann in der digitalen Domäne zum Gebrauch durch den Basisbandprozessor verfügbar sein, um im Wesentlichen die Transformation der analogen Matrix umzukehren und das Verarbeiten des ursprünglichen Signals zu ermöglichen. Insbesondere kann die Richtung des gewünschten Signals und der Störer unter Verwendung von s' gefunden werden. Verschiedene Prozesse können verwendet werden, um die Richtung der unterschiedlichen Signale zu finden. Ein Beispiel eines solchen Prozesses kann der MUSIC-Prozess sein. Die Verwendung analoger Summation vor den ADCs erlaubt, dass die individuellen Antennenelementsignale beibehalten werden, während sie immer noch die Zurückweisung räumlicher Störung für die ADCs bereitstellt.
  • In einigen Aspekten kann die Matrixtransformation statisch bleiben. Das heißt, dass die Gewichtungen, die in einem Speicher der Kommunikationsvorrichtung gespeichert sein können, gleich bleiben können, unabhängig von der Störung. In anderen Aspekten können die Gewichtungen dynamisch sein und von der Störung abhängig sein. In diesem Fall kann Abtasten des Signals aus dem RF-Frontend periodisch ausgeführt und verwendet werden, um die Matrixkoeffizienten anzupassen. In einigen Aspekten kann der Basisbandprozessor bestimmen, welcher ADC das niedrigste SNR aufweist, den Störer auf einen einzelnen ADC verlagern, wenn möglich, und die Signale aus den Antennenelementen dementsprechend gewichten.
  • Mit der Kenntnis der Richtungen des gewünschten Signals und des/der Störsignal(e) kann der Basisbandprozessor einen oder mehrere Pfade zum Aktivieren auswählen, um das SINR zu erhöhen oder zu maximieren. 286 zeigt eine Simulation einer optimalen räumlichen Antwort in Übereinstimmung mit einigen Aspekten. Die räumliche Antwort kann für eine lineare 4-Elemente-Gruppe sein und Hadamard-Gewichten verwenden. In einigen Aspekten kann der Basisbandprozessor nach der Bestimmung des Pfads mit maximiertem SINR die ADCs ungenutzter Pfade deaktivieren, um Energie zu sparen. Wie in dieser Simulation gezeigt ist die gewünschte Richtung 0°.
  • 287 zeigt eine Simulation der BER in Übereinstimmung mit einigen Aspekten. Insbesondere stellt 287 eine beispielhafte BER-Leistung für die in 285 gezeigte Konstruktion vs. analogen und digitalen Strahlformungsstrukturen für eine 8-Element-Gruppe, 4-Bit-ADCs, 20 dB Signal/Störungs-Verhältnis mit 16-QAM-Modulation dar. Die gewünschte Richtung ist 0°, ähnlich der in 286 gezeigten, und die Störungsrichtung ist 20°. Wie zu sehen ist, bleibt die analoge BER im Wesentlichen konstant, unabhängig von dem SNR pro Antenne, während die digitale BER stetig abnimmt und schließlich zu einem Plateau wird. Die beispielhafte BER-Leistung für die in 285 gezeigte Konstruktion stimmt jedoch mit der digitalen Leistung an niedrigen SNRs überein, und die BER nimmt in diesem Beispiel schnell ab, wenn das SNR ansteigt.
  • In einigen Aspekten kann eine Matrix, die nicht die Hadamard-Matrix ist, verwendet werden. 288 zeigt eine Simulation von Störungszurückweisung in Übereinstimmung mit einigen Aspekten. Die Simulationen können für eine 8-Element-Gruppe und 4-Bit-ADCs mit der adaptiven Gewichtsmatrix W sein. 288 zeigt eine Simulation der Störungszurückweisung vs. Azimutwinkel für Hadamard-Gewichtungen und ein optimiertes Codebuch, das ebenfalls auf die Gewichtungen in der Menge {-1, +1} beschränkt ist. Beide Codebücher stellen eine Störungszurückweisung größer als 12 dB an allen Winkeln bereit. Das kann eine Reduktion der ADC-Quantisierung um zwei Bits ermöglichen und dadurch den Energieverbrauch reduzieren.
  • 289 stellt ein Verfahren 28900 zum Reduzieren des Quantisierer-Dynamikbereichs in einem Empfänger in Übereinstimmung mit einigen Aspekten dar. Das Verfahren 28900 kann durch das RF-Frontend 28502, die Multiplizierer 28504, die Kombinierer 28506, die variable Verstärkungssteuerung 28508, die ADCs 28510 und den Basisbandprozessor 28520, die in 285 gezeigt sind, ausgeführt werden. Bei Operation 28902 können mehrere strahlgeformte Signale an dem RF-Frontend 28502 von mehreren Antennenelementen einer Antenne 28530 empfangen werden. Jedes strahlgeformte Signal kann ein gewünschtes Signal und ein Störersignal aufweisen. Die Anzahl von ADCs 28510, die verwendet werden sollen, kann unter anderem abhängig von den Kanalzuständen oder dem Signaltyp angepasst werden.
  • Bei Operation 28904 kann das Störersignal vor dem Quantisieren des strahlgeformten Signals kompensiert werden. Die Kompensation kann Kombinieren einer gewichteten Kopie jedes der strahlgeformten Signale an den Kombinierern 28506 umfassen. Die Gewichtungen können durch eine invertierbare Gewichtsmatrix für analoge Summation definiert sein, deren Gewichtungen fest sind oder von Bedingungen des gewünschten und Störersignals abhängen, um eine Signalqualität wie z. B. ein SINR des gewünschten Signals zu maximieren. In einigen Aspekten kann die Gewichtsmatrix für analoge Summation eine Hadamard-Matrix umfassen. In einigen Aspekten kann jedes kompensierte Signal für einen anderen ADC bereitgestellt werden. In einigen Aspekten können einige der oder alle kompensierten Signale für demselben/dieselben ADC oder ADCs 28510 bereitgestellt werden.
  • Die kompensierten Signale können dann bei Operation 28906 in den ADCs 28510 quantisiert werden, um quantisierte Signale zu bilden. Eine variable Verstärkung jedes kompensierten Signals kann vor der Quantisierung angepasst werden, um einen Leistungspegel eines Signals, das einem entsprechenden ADC aus den ADCs 28510 zugeführt wird, zu normalisieren. Das Quantisieren der kompensierten Signale kann das Bestimmen einer Richtung wenigstens eines aus dem gewünschten und/oder Störsignal oder Kanalsondierung enthalten.
  • Die quantisierten Signale können einem Basisbandprozessor 28520 zugeführt werden. Der Basisbandprozessor 28520 kann bei Operation 28908 die Kompensation digital umkehren. Der Basisbandprozessor 28520 kann danach digitale Versionen der strahlgeformten Signale weiter verarbeiten.
  • Einige Aspekte dieser Offenbarung beziehen sich allgemein auf eine rückschleifenbasierte Zeit-Versatz-Kalibrierung für einen zeitverschachtelten Analog/Digital-Umsetzer (ADC, gemeinsam TI-ADC), der kein externes Prüf-Equipment verwendet. Der TI-ADC kann in einem Hochfrequenz-Sendeempfänger verwendet werden.
  • Die Frequenzen, an denen moderne Telekommunikationsvorrichtungen arbeiten, können Schwierigkeiten mit zugehörigen Hardware-Komponenten hervorrufen. Der ADC, der verwendet ist, um Signale in solchen Vorrichtungen zu handhaben, kann die Fähigkeiten eines einzelnen ADC übersteigen. Um das zu adressieren kann es möglich sein, eine Anzahl von ADC-Schaltungen in separaten Kanälen zu nutzen, die verschachtelt und sequenziell getriggert werden, gesteuert durch einen gemeinsamen Takt.
  • Falls die Kanalelemente alle gleich wären, dann würden sich alle Komponenten auf die gleiche Weise verhalten. Reale Komponenten weisen jedoch einige Unterschiede aufgrund von Herstellungsprozessen auf, was wiederum Fehlanpassungen zwischen den Kanälen, die in dem TI-ADC verwendet werden, erzeugt und die Leistung des Systems reduziert, wie z. B. Reduzieren des Signal-Rausch-Verhältnisses (SNR) und des scheinbaren freien Dynamikbereichs (SFDR). Unterschiedliche Typen von Fehlanpassungen zwischen den Kanälen können auftreten, wie z. B.: 1) DC-Versatz-Fehlanpassung, 2) Verstärkungs-Fehlanpassung, 3) Zeit-Versatz-Fehlanpassung und 4) Bandbreiten-Fehlanpassung. Einige Aspekte der vorliegenden Offenbarung betrachten, wie der Zeit-Versatz kalibriert werden soll, um mit der Zeit-Versatz-Fehlanpassung umzugehen, ohne ein externes Prüf-Equipment (TE) zu verwenden, beispielsweise durch Nutzen eines Rückschleifenschemas.
  • 290 ist ein Blockdiagramm eines Beispiels einer Architektur eines zeitverschachtelten Analog/Digital-Umsetzers (TI-ADC-Architektur) 29000, die Hochgeschwindigkeitsumsetzung unter Verwendung von M parallelen ADC-Kanälen mit geringer Geschwindigkeit erreicht (zu anschaulichen Zwecken sind drei Kanäle gezeigt, Kanal A CH-A, Kanal B CH-B und Kanal C CH-C). Der TI-ADC kann die ADC-Schaltung 394 sein, die vorstehend beschrieben ist, oder kann andere Konfigurationen umfassen. Eine analoge Eingabe 29010 kann für M unterschiedliche Abtast- und Halte-Schaltungen 29020A, 29020B, 29020C bereitgestellt sein, die zu drei unterschiedlichen Phasenzeiten φ0, φ1 bzw. φM-1 unter Nutzung eines gemeinsamen Takts 29025 abgetastet werden können. Die abgetasteten Signale können für die Analog/Digital-Umsetzer (ADCs) 29030A, 29030B, 29030C bereitgestellt werden. Die digitalen Signale können dann mit einem Multiplexer 29040 kombiniert werden, um ein digitales Ausgabesignal 29050 zu produzieren.
  • 291 ist ein Zeitdiagramm 29100, das darstellt, wie in einigen Aspekten alle Kanäle mit der gleichen Abtastfrequenz FS (oder ihrer Inversen TS, gezeigt in der Fig.) mit M gleichmäßig beabstandeten Phasen arbeiten können. Das Abtasten und Halten jeder Phase φ dauert eine Zeit TS (oder mTS für die m-te Phase), und die gesamte Abtastzeit für alle Phasen ist nMTS. Somit beginnt das Abtasten für φ0 zur Zeit T0, das Abtasten für φ0 beginnt zur Zeit T0, und so weiter, wobei sich der Zyklus zur Zeit Tn wiederholt. Die Gesamtabtastfrequenz ist gleich MFS (was 1/MTS ist).
  • 292 ist ein Blockdiagramm, das ein Beispiel für einen Sendeempfänger 29200, der eine Rückschleifenkonstruktion aufweist, darstellt. Ein Referenzsignalgenerator 29205 kann ein Referenzsignal 29207 produzieren, das beispielsweise ein Sinus- oder komplexes exponentielles Signal sein kann und das für einen Eingang eines Einzel-Seitenband- (SBB-) Generator 29210 bereitgestellt werden kann. Der S SB-Generator 29210 kann eine Quadratur-Ausgabe produzieren, die I- (phasengleiche) und Q- (Quadratur-) Komponenten oder Unterkanäle aufweist. Diese Komponenten können für die Eingänge entsprechender Digital/Analog-Umsetzer (DACs) 29220I, 29220Q bereitgestellt werden. Die Ausgaben der DAC 292201, 29220Q können für entsprechende Eingänge eines IQ-Modulators 29230 bereitgestellt werden, der das Signal für einen Sendepfadverstärker 29240 und dann für einen Sende- (TX-) Pfad bereitstellt. In einem Aspekt kann der Verstärker 29240 ein Zwischenfrequenz-(IF-) Verstärker sein.
  • Das Signal, das an dem Eingang des Sendepfadverstärkers 29240 bereitgestellt ist, kann mit einem Ausgang eines entsprechenden Empfangspfadverstärkers 29260 über eine Rückschleifenverbindung 29250 verbunden sein, die das gesendet Signal, das das Referenzsignal 29207 enthält, in den Empfänger lenkt. In einer alternativen Konfiguration kann die Rückschleifenverbindung 29250 mit einem Ausgang des Sendepfadverstärkers 29240 und einem Eingang des Empfangspfadverstärkers 29260 verbunden sein. In diesen Konfigurationen ist die Rückschleifenverbindung 29250 den Verstärkern 29240, 29260 unmittelbar benachbart. Es können jedoch andere Platzierungen für die Rückschleifenverbindung 29250 möglich sein. Beispielsweise kann die Rückschleifenverbindung 29250 vor dem IQ-Modulator 29230 und dem IQ-Demodulierer 29270 angewendet sein, und separate Rückschleifenleitungen können in dieser Konfiguration verwendet werden.
  • Die kombinierten Empfangspfad- und Rückschleifen-Signale können für einen IQ-Demodulierer 29270 bereitstellt sein, der das Signal in die entsprechenden I- und Q-Komponenten herunterbricht. Diese Signale können für die Eingänge entsprechender Abtast- und Halte-Schaltung (Beispiel für den gezeigten Kanal A) 29020AI, 29020AQ, gesteuert durch den Takt 29025, wie mit Bezug auf 1 diskutiert ist, und dann für die entsprechenden ADCs 29030AI, 29030AQ bereitgestellt werden, und die resultierenden analogen Signale können für die Phasenschätzer 29290I, 29290Q für jedes Signal bereitgestellt werden, um die Phase des Referenzsignals 29207 zu schätzen. Aus dieser geschätzten Phase kann der Zeit-Versatz für die I- und Q-Unterkanäle τ̂Im, τ̂Qm berechnet werden. Unter Verwendung des geschätzten Zeit-Versatzes kann das Signal durch die entsprechende Korrekturschaltung 29280I, 29280Q, die die durch den Takt 29025 produzierten Signale anpasst, korrigiert werden. Das kann Kalibrierung ohne Verwendung eines zusätzlichen ADC durch Verwenden der Rückschleife zum Erzeugen eines Kalibrierungssignals ermöglichen. Falls die Vorrichtung bereits eine Phasenschieberrückschleife für IQ-Ungleichgewichtskalibrierung aufweist, kann sie in einigen Aspekten keine zusätzliche Hardware verwenden, um die Kalibrierung zu implementieren.
  • Die folgende Diskussion stellt als Beispiel eine Analyse bereit, die zum Durchführen der Zeit-Versatz-Bestimmungen und Korrekturen verwendet werden kann.
  • In dem Fall eines ADC kann der Referenzsignalgenerator 29205 des digitalen Senders so konfiguriert sein, dass seine Ausgabe ein Sinussignal ist (ein einzelner DAC 29220 (z. B. einer aus 292201 oder 29220Q kann ausreichend sein)). Die Ausgabe des m-ten ADC-Kanals kann sein: x m [ n ] = s ( b M T s + m T s + τ m )
    Figure DE112017006442T5_0105
    mit:
  • m:
    Kanalnummer
    nMTS:
    Abtastintervall des gesamten ADC (kollektive ADC-Kanäle)
    mTS:
    Abtastintervall eines Kanals
    τm:
    Zeit-Bitversatz des m-ten ADC-Kanals
    s(t):
    analoges Signal, das in den ADC eingegeben wird
  • In diesem Fall kann s(t) für das Referenzsignal (vor dem Aufteilen in die Kanäle) gegeben sein durch: s ( t ) = Asin ( 2 π ft + θ )
    Figure DE112017006442T5_0106
    mit:
  • f:
    Sinusfrequenz
    θ:
    Phase des Sinus
    A:
    unbekannte Amplitude des Sinus
  • Nach dem Aufteilen des Referenzsignals 29207 in Kanäle wird s(t) durch s(nMTS + mtS + τm) ersetzt, und somit kann die Ausgabe des m-ten ADC-Kanals sein: x m [ n ] = A s i n ( 2 π f ( n M T s + m T s + τ m ) + θ ) = A s i n ( w n + θ [ m ] )
    Figure DE112017006442T5_0107
    mit: w 2 π f T s M
    Figure DE112017006442T5_0108
    θ [ m ] 2 π f T s m + θ + 2 π f τ m
    Figure DE112017006442T5_0109
  • Die Phasen θ[m] können geschätzt werden (was die Ableitung des Zeit-Versatzes τ̂m ermöglicht) durch: θ ^ [ m ] = a r g ( n = 0 N 1 x m [ n ] e j w n )
    Figure DE112017006442T5_0110
    wobei N Abtastpunkte pro ADC-Kanal angenommen sind.
  • Das Anwenden linearer Trendkorrektur durch Subtrahieren von 2πfTSm der Einfachheit halber und Verwenden von: φ ^ [ m ] θ ^ [ m ] 2 π f T s m
    Figure DE112017006442T5_0111
    Berechnen von φ̂av als den Mittelwert von φ̂[m] : φ ^ a v = 1 M m = 0 M 1 φ [ m ]
    Figure DE112017006442T5_0112
    Jetzt Berechnen der Zeit-Versatz-Schätzungen: τ ^ m = φ ^ [ m ] φ ^ a v 2 π f
    Figure DE112017006442T5_0113
  • Zeit-Versatz-Korrektur kann beispielsweise durch digital gesteuerte Verzögerungsleitungen, die das Signal an dem Eingang jedes der ADC-Kanäle verzögern, oder durch digitale Korrektur der Ausgaben jedes der ADC-Kanäle ausgeführt werden.
  • In dem Fall von zwei ADCs (für I- und Q-Kanäle) kann ein komplexes exponentielles Referenzsignal verwendet werden der Form: s I ( t ) = A I cos ( 2 π ft + θ ) ,  s Q ( t ) = A Q sin ( 2 π ft + θ )
    Figure DE112017006442T5_0114
  • Die verwendete Prozedur kann wie folgt sein:
    1. 1. Für jeden der ADC-Kanäle kann die Phase des empfangenen Sinus/Kosinus unter Verwendung der gleichen Herangehensweise wie in dem Fall mit einem ADC geschätzt werden.
    2. 2. Die gesamte θ̂̂̂I[m]-Schätzung kann sich auf einer Leitung befinden, und die θ̂Q[m]-Schätzung kann sich auf einer anderen Leitung befinden, mit einem Versatz von π/2 radiant zu der θ̂I[m]-Leitung. Um das zu korrigieren, werden π/2 radiant von θ̂I[m] subtrahiert. Die Ergebnisse sind als θ̂I[m], θ̂Q[m] bezeichnet.
    3. 3. Anwenden linearer Trendkorrektur durch Subtrahieren von 2πfTSm von θ̂I[m], θ̂Q[m].
    4. 4. Berechnen des Mittelwerts aller Phasen.
    5. 5. Subtrahieren der mittleren Phase von allen Phasen.
    6. 6. Umsetzen der Phasendifferenz in Versatz durch Dividieren durch 2πf.
    7. 7. Ausführen der Zeit-Versatz-Korrektur.
  • 293 ist ein Ablaufplan, der Komponenten eines vorstehend beschriebenen Verfahrens 8000 darstellt. Der Sendeempfänger 29200 ist ein Beispiel, das ein Mittel zum Ausführen eines Verfahrens zum Betreiben einer Rückschleifen-basierten Zeit-Versatz-Kalibrierungsschaltung für einen zeitverschachtelten Analog/Digital-Umsetzer (ADC) bilden kann, die Mittel sind jedoch nicht darauf beschränkt. In der Operation S29310 kann das Referenzsignal auf der Sendeseite erzeugt werden, und in der Operation S29320 kann dieses Referenzsignal zu der Empfängerseite kommuniziert werden. In der Operation S29330 kann die geschätzte Versatz-Zeit mit der Phasenschätzeinheit basierend auf dem Referenzsignal berechnet werden. Schließlich kann in der Operation S29340 die Taktzeit der S/H-Schaltung korrigiert werden, um den geschätzten Zeit-Versatz zu kompensieren.
  • Die Zeit-Versatz-Schätzung und Korrektur können auf einmal vorgenommen werden oder können iterativ vorgenommen werden (abhängig von der Genauigkeit der Korrektur). Mit Bezug auf die Wahl der Frequenz ist im Allgemeinen die Qualität der Schätzung umso besser, je höher die Frequenz ist (da hier eine Division durch die Frequenz stattfinden kann, wenn in Versatz umgesetzt wird). Anders ausgedrückt wird für eine gegebene Messgenauigkeit der Phase das Dividieren durch eine größere Frequenz allgemein ermöglichen, dass die Zeit-Versatz-Schätzung genauer ist. Die Messungen können auf mehreren Frequenzen ausgeführt werden, um die Messgenauigkeit zu verbessern. Dieses Verfahren kann beispielsweise während der Produktion der Vorrichtung, beim Anschalten, periodisch oder gemäß einer/einem vorbestimmten Planung oder Ereignis verwendet werden.
  • Die hohen Frequenzen, an denen moderne Telekommunikationsvorrichtungen arbeiten, können Schwierigkeiten mit zugehörigen Hardware-Komponenten hervorrufen. Der ADC, der verwendet ist, um Signale in solchen Vorrichtungen zu handhaben, kann die Fähigkeiten eines einzelnen ADC übersteigen. Um das zu adressieren kann es möglich sein, eine Anzahl von langsameren ADC-Schaltungen (d. h. mit längerer Zykluszeit) in separaten Kanälen zu nutzen, die verschachtelt und sequenziell getriggert werden, gesteuert durch einen gemeinsamen Takt.
  • Falls die Kanalelemente alle gleich wären, dann wäre die verschachtelte Konstruktion einfach, da sich die Komponenten alle auf die gleiche Weise verhalten würden. Reale Komponenten weisen jedoch einige Unterschiede aufgrund von Herstellungsprozessen auf, was wiederum Fehlanpassungen zwischen den Kanälen, die in dem zeitverschachtelten (TI)-ADC verwendet werden, erzeugt und die Leistung des Systems reduziert, wie z. B. Reduzieren des Signal-Rausch-Verhältnisses (SNR) und des scheinbaren freien Dynamikbereichs (SFDR). Es können vier unterschiedliche Typen von Fehlanpassungen der Kanäle auftreten: 1) DC-Versatz-Fehlanpassung, 2) Verstärkungs-Fehlanpassung, 3) Zeit-Versatz-Fehlanpassung und 4) Bandbreiten-Fehlanpassung. Die vorliegende Offenbarung berücksichtigt, wie die Verstärkung kalibriert werden soll, um mit der Verstärkungs-Fehlanpassung ohne Verwendung eines externen Prüf-Equipment (TE) umzugehen.
  • Wenn ein TI-ADC verwendet wird, kann es wünschenswert sein, die Kalibrierung über die mehreren individuellen ADCs auszuführen. Modulationen höherer Ordnungen (wie z. B. 64-QAM, 256-QAM und 1024-QAM) können eine effektive Anzahl von Bits (ENoB) von 9 Bits mit einer Abtastrate von 2640 MHz verwenden. In diesen Situationen kann die gewünschte Leistungsfähigkeit die Kalibrierung der individuellen ADCs in den TI-ADC-Kanälen (auch als „Scheiben“ bezeichnet) einschließlich Verstärkungskalibrierung wünschenswert machen.
  • In einem allgemeinen Sinn kann gemäß verschiedenen Konfigurationen der TI-ADC in Betriebsarten betrieben werden wie z. B.: ein normaler Betrieb, in dem Verstärkungsvarianzen in den ADC-Kanälen/Scheiben korrigiert sein können, und eine Kalibrierungsbetriebsart, in der ein bekanntes Signal in den ADC-Kanälen/Scheiben bereitgestellt werden kann und ein Verstärkungskorrekturwert berechnet werden kann, der zu einer späteren Zeit angewandt werden soll. Verschiedene Referenzspannungen können verwendet werden, um die Verstärkungskorrekturwerte zu bestimmen, und diese Werte können auf verschiedene Arten gesichert werden. Das System kann eine Temperaturreferenz verwenden, die ermöglicht, dass die Verstärkungskorrekturwerte mit der Temperatur variieren.
  • 294 ist ein Blockdiagramm eines beispielhaften TI-ADC 29400. Der TI-ADC 29400 kann eine ADC-Schaltung 394 sein, wie vorstehend beschrieben, könnte jedoch auch eine andere Schaltung umfassen. Ein Schalter 29140 kann vorgesehen sein, der zwischen einem Vorrichtungseingabesignal 29405 während des normalen Betriebs und einer Quelle für eine Spannungsreferenz 29415 während eines Kalibrierungsbetriebs umschaltet. Die Spannungsreferenz 29415 könnte irgendeine Form einer stabilen Spannungsreferenz sein, wie z. B. eine Bandlückenreferenz, eine Referenz, die von Chip-internen Widerständen abgeleitet ist, eine externe Referenz, die die Versorgung durch eine Batterie oder dergleichen enthält. Die Spannungsreferenz 29415 kann einen präzise oder unpräzisen Absolutwert aufweisen, und sie kann ihre Spannung aufrechterhalten, so dass sie, welcher Spannungswert auch immer für einen ADC 29435 während der Kalibrierung bereitgestellt wird, auch für die anderen genau bereitgestellt werden kann.
  • In jedem Fall kann ein geschaltetes Signal (analoge Eingabe) 29420 von dem Schalter 29410 für mehrere Verfolgen- und Halte- (T/H-) Schaltungen 29425, die parallel über eine Verknüpfung angeordnet sind und auf kaskadierte Weise betrieben werden, bereitgestellt werden. Diese Schaltungen 29425 können verwenden werden, um das Eingabesignal 29405 zu einer speziellen Zeit zu erfassen und den Wert für einen Teil des Zyklus stabil zu halten, um eine stabile Eingabe für die ADCs 29435 bereitzustellen. Die T/H-Schaltungen 29425 könnten auch als Abtast- und Halte- (S/H-) Schaltungen konfiguriert sein. In einigen Aspekten kann ein Wert an einem gesteuerten Zeitpunkt erfasst und aufrechterhalten werden. Der Begriff „Verfolgen und halten“ oder „T/H-Schaltung 29425“ enthält hier auch die Abtast- und Halte- oder S/H-Schaltung.
  • In einer Variation kann der Schalter 29410 nach den T/H-Schaltungen 29425 vorgesehen sein. Obwohl das einige Komplexität dadurch einführen kann, dass der Schalter 29410 mehrere Kanäle schaltet, ermöglicht eine solche Konfiguration ein unabhängiges Schalten jedes Kanals und ermöglicht darüber hinaus das Auskalibrieren irgendeiner Art von Verstärkungsvariationen über die T/H-Schaltungen 29425.
  • Der Zeitablauf ist in 295 dargestellt, die ein Blockdiagramm eines Beispiels einer Architektur eines TI-ADC 29400 ist, der eine Hochgeschwindigkeitsumsetzung unter Verwendung von M parallelen ADC-Kanälen mit niedriger Geschwindigkeit erreicht (drei Kanäle können zu Zwecken der Darstellung gezeigt sein, Kanal A CH-A, Kanal B CH-B und Kanal C CH-C). Die analoge Eingabe 29420 kann für M unterschiedliche Verfolgen- oder Abtast- und Halte-Schaltungen 29425A, 29425B, 29425C bereitgestellt sein, die zu drei unterschiedlichen Phasenzeiten φ0, φ1 bzw. φM-1 unter Nutzung eines gemeinsamen Taktsignals 29480 abgetastet werden können. Die abgetasteten Signale können für die Analog/Digital-Umsetzer (ADCs) 29435A, 29435B, 29435C bereitgestellt werden, die z. B. Flash-ADCs, Sigma-Delta-ADCs, Dualslope-Umsetzer-ADCs und Sukzessive-Approximations-Umsetzer-ADCs sein, um einige wenige zu nennen. Die hier beschriebene Architektur kann von dem speziellen Typ der verwendeten ADC-Vorrichtung unabhängig sein. Digitale Ausgabesignale 29440 (294) aus den kaskadierten ADCs 29435 können dann mit einem Multiplexer 29450 kombiniert werden, um ein digitales Einzelstrom-Ausgabesignal 29455 zu produzieren (294).
  • 296 ist ein Zeitdiagramm 29600, das darstellt, wie in einem beispielhaften Aspekt alle Kanäle mit der gleichen Abtastfrequenz FS (oder ihrer Inversen TS, gezeigt in der Fig.) mit M gleichmäßig beabstandeten Phasen arbeiten können. Das Abtasten und Halten jeder Phase φ dauert eine Zeit TS (oder mTS für die m-te Phase), und die gesamte Abtastzeit für alle Phasen ist nMTS. Somit beginnt das Abtasten für φ0 zur Zeit T0, das Abtasten für φ0 beginnt zur Zeit T0, und so weiter, wobei sich der Zyklus zur Zeit Tn wiederholt. Die Gesamtabtastfrequenz ist gleich MFS (was 1/MTS ist).
  • Im normalen Betrieb stellt eine Steuereinheit 29475 (294) den Schalter 29410 ein, um das normale Eingabesignal 29045 auszuwählen. Die Steuereinheit 29475 erzeugt außerdem zeitverschachtelte Steuersignale über eine Kopplung 29480 mit jeder der T/H-Schaltungen 29425, die über eine Kopplung 29430 mit den entsprechenden ADCs 29435 verbunden sein kann. Die Steuereinheit 29475 startet ADC-Zyklen mit einer nachfolgenden Auswahl eines geeigneten digitalen ADC-Ausgabesignals 29440.
  • Zurück zu 294 kann nach dem Multiplexer 29450 eine digitale Mess- und Korrektur- (MC-) Einheit 29460 vorhanden sein, die sowohl in der normalen Betriebsart als auch in der Kalibrierungsbetriebsart arbeiten kann. Wenn sie in der normalen Betriebsart arbeitet, kann die MC-Einheit 29460 verwendet werden, korrigierende Verstärkungsanpassungswerte auf das Ausgabesignal, das davon abhängen kann, welcher ADC 29435 derzeit ausgewählt sein kann, anzulegen oder das Anlegen zu unterstützen (z. B. durch nicht Anpassen, wenn eine analoge Anpassung vor dem oder in dem ADC vorgenommen wird). Sie kann dann ein verstärkungsangepasstes Ausgabesignal 29495 zu nachfolgenden Abschnitten der Vorrichtung weiterleiten.
  • Wenn sie in der Kalibrierungsbetriebsart arbeitet kann die MC-Einheit 29460 auf das Messsignal bezogene Daten 29470 für die Steuereinheit 29475 bereitstellen. Die Umsetzung von Messdaten in Verstärkungswerte kann entweder durch die MC-Einheit 29460 oder durch die Steuereinheit 29475 vorgenommen werden. Die MC-Einheit 29460 kann als eine Erweiterung der Steuereinheit 29475 betrachtet werden. Diese gesammelten Daten 29470 könnten beispielsweise ein Verstärkungsversatz sein, der dazu führen würde, dass ein Multiplizierer auf die Ausgabe des entsprechenden ADC 29435 angewandt wird. Die Verstärkungsanpassungswerte könnten auch durch eine Nachschlagetabelle (LUT) bereitgestellt werden und/oder ein anderes Modell für stückweise lineare Korrektur, das möglicherweise Interpolation enthält, nutzen. Die Spannungsreferenz 29415 kann auf unterschiedliche Wert eingestellt sein, um eine Mehrpunkt-Kalibrierung zu ermöglichen, die Nichtlinearitäten bereinigen kann. Die Spannungsreferenz 29415 kann eine Reihe von Ausgaben oder Wellenformen bereitstellen, die dann gemessen werden können, was eine Konstruktion einer komplexeren LUT ermöglicht. Der Verstärkungsversatz und/oder die LUT-Werte können in dem Speicher 19490 für spätere Verwendung während der normalen Betriebsart gespeichert werden. Lineare Interpolation kann benutzt werden, um Verstärkungswerte für Spannungen zwischen den tatsächlich durch die Spannungsreferenz 29415 zugeführten zu schätzen. In einer Konfiguration kann eine direkte Rückschleife/Rückkopplung des Ausgabesignals 29472 als die Spannungsreferenz benutzt werden. Das kann eine Übertragung einer komplexen Exponentialfunktion unter Verwendung eines DAC (nicht gezeigt) ermöglichen. Dafür könnte das System eine Kalibrierungswellenform senden und sie dann erfassen. Das könnte eine anspruchsvolle Kalibrierung wie z. B. die vorstehend diskutierte Mehrpunkt-Kalibrierung ermöglichen.
  • Die Steuereinheit 29475 kann somit eine Verstärkungskorrektur anwenden, die in einem Speicher 29490 gespeichert ist, deren Wert davon abhängt, welcher ADC 29435 durch die Steuereinheit 29475 ausgewählt sein kann, oder die Anwendung unterstützen (z. B. wenn die MC-Einheit 29460 anpasst). Diese Korrektur könnte in einer einfachen Form oder in einer komplexen Form sein. Die komplexe Form kann eine Linearitätskorrektur beispielsweise unter Verwendung gespeicherter Polynomkoeffizienten enthalten. In einer alternativen Implementierung könnte die Korrektur für Verstärkung und Versatz durch direktes Einstellen analoger oder digitaler Steuersignale 29482, die in die individuellen ADCs 29435 eingegeben werden, erreicht werden.
  • Somit stellt in einigen Aspekten in der Kalibrierungsbetriebsart (Betriebsart mit eingebauter Selbstprüfung (BIST)) die Steuereinheit 29475 den Schalter 29410 ein, um die Spannungsreferenz 29415 in die ADCs 29435 einzugeben und die resultierende Ausgabe zu überwachen. Die Steuereinheit 29475 kann eine Tabelle von Korrekturwerten erzeugen, die in dem Speicher 29490 zur späteren Anpassung während des normalen Betriebs gespeichert wird. Der Speicher 29490 könnte eine Menge von Registern oder eine anspruchsvollere statische RAM-Vorrichtung sein, die die Verstärkungswerte, LUTs oder andere zugehörige Daten speichert. Die Steuereinheit 29475 kann außerdem eine Temperaturreferenz 29485 (z. B. ein Thermometer) überwachen und den Kalibrierungszyklus erneut ablaufen lassen, wenn erfasst wird, dass die Temperatur sich mehr als um einen Schwellenwertbetrag geändert hat. In einer weiteren Konfiguration kann der Speicher 29490 mehrere Gruppen von Verstärkungswerten an unterschiedlichen Temperaturen speichern, so dass ein nachfolgender Betrieb an einer speziellen Temperatur keine Neukalibrierung einsetzt. In einer Konfiguration kann das System eine lineare Interpolation von Werten zwischen Temperaturen ausführen, um einen Verstärkungswert an einer Temperatur, die nicht gemessen worden ist, abzuleiten. In einer weiteren Konfiguration könnte dann, falls eine genaue Beziehung zwischen Temperatur und Verstärkungswerten mathematisch bestimmt werden kann, eine Gleichung auf einen Verstärkungswert, der für eine Temperatur während der Kalibrierung bestimmt wird, angewandt werden, wenn die Vorrichtung an einer anderen Temperatur betrieben wird. In einer weiteren Konfiguration könnte die Temperatur ignoriert werden, und es kann kontinuierlich eine Hintergrundkalibrierung ausgeführt werden, wenn keine Empfangsbetriebsart ausgeführt wird (was ein Großteil der Zeit sein kann).
  • In einigen Aspekten kann die Verwendung eines externen Prüf-Equipment vermeiden werden, und es kann nicht übermäßig viel Zeit während der Herstellung verschwendet werden. Die Verstärkungskalibrierung kann beim Aufwecken des Systems, periodisch oder basierend auf einer anderen Bedingung vorgenommen werden. Eine andauernde Verstärkungskalibrierung kann insbesondere nützlich sein, falls die Verstärkungsunvollkommenheit zeitlich variiert (z. B. aufgrund einer Temperaturvariation).
  • In einer Implementierung kann ein Algorithmus implementiert sein, das die Mittelwerte einer Wellenform über eine Zeitspanne der ADC-Ausgabe beobachtet. Dieser Algorithmus kann voraussetzen, dass ein I/Q-Ungleichgewicht kalibriert worden ist, wie bei einem Leck eines lokalen Oszillators (LO) des Senders und einem DC-Versatz des Empfangspfads. Der Sendepfad kann verwendet werden, um eine komplexe exponentielle Wellenform zu senden - das stellt ein Signal mit einer kontinuierlichen Welle (CW-Signal) (z. B. eine einzelne RF-Frequenz) nach dem I/Q-Modulator bereit. Eine Rückschleife kann von der Sendeseite zu der Empfangsseite bereitgestellt sein, und eine Signalleistung, die aus jeder ADC-Scheibe ausgegeben wird, kann separat berechnet werden. Dann kann die mittlere Leistung aller Scheiben sowohl für I als auch für Q berechnet werden. Die Signalleistung jeder Scheibe kann durch den Mittelwert dividiert,, und die Quadratwurzel dieses Verhältnisses berechnet werden, was einen Verstärkungsfehler ergibt, der korrigiert werden sollte.
  • Als Beispiel können dann, falls zehn ADCs 29435 vorhanden sind, die Ausgabewerte in z. B. zehn separate Tabellen platziert werden, wobei jede davon jede zehnte Scheibe aufnimmt (Versatz in der Zeit). Dann wird über jede der Tabellen eine Berechnung der mittleren Leistung vorgenommen. Das stellt eine mittlere Leistung einer Anzahl von Scheiben für einen speziellen ADC 29435 bereit, und das stellt eine Basis für die Verstärkungskorrektur bereit.
  • Um die Signalleistung jeder Scheibe zu berechnen, sammelt der Prozess zuerst N Abtastpunkte von jeder Scheibe. N kann so gewählt sein, dass N Abtastpunkte eine ganzzahlige Anzahl von Zyklen eines gemessenen Sinussignals bilden. Für jede Scheibe können die Werte quadriert, summiert und dann durch N dividiert werden. Alternativ kann das Signal für jede Scheibe durch Multiplizieren des empfangenen Signals mit e2πjft demoduliert werden, wobei f die Signalfrequenz ist und t eine Abtastzeit für den ADC 29435 ist. Das demodulierte Signal kann summiert und durch N geteilt werden, was die quadrierte Größe berechnet.
  • Die Korrektur kann analog oder digital (nach dem ADC 29435) vorgenommen werden. Falls die Korrektur in der analogen Domäne vorgenommen wird, kann eine zweite Kalibrierungsrunde vorgenommen werden, um zu verifizieren, dass das Ergebnis gut ist, oder zu bestimmen, dass eine zusätzliche Modifikation stattfinden muss. Die analoge Korrektur könnte durch ein analoges Steuersignal, das für den ADC 29435 bereitgestellt wird, unter Verwendung eines Regelkreissystems bereitgestellt werden. Diese Anordnung könnte für eine gewisse Zeitspanne laufen und die Steuereingabe in den ADC 29435 anpassen, bis eine gemessene Leistung (die mittlere Leistung dieses ADC 29435) an dem gewünschten Pegel ist. Das kann sequenziell ausgeführt werden, um den Pegel für jeden ADC 29435 anzupassen.
  • 297 ist ein Ablaufplan, der eine Beispielimplementierung eines Prozesses 29700 zum Anwenden der Verstärkungskorrektur auf den TI-ADC darstellt. Der TI-ADC 29400 ist ein Beispiel, das ein Mittel zum Betreiben eines zeitverschachtelten Analog/Digital-Umsetzers (TI-ADC) mit einer Verstärkungskorrekturvorrichtung bilden kann, die Mittel sind jedoch nicht darauf beschränkt. In der Operation S29710 kann der TI-ADC z. B. durch die Steuereinheit 29475 eingestellt werden, so dass er in einer Kalibrierungsbetriebsart arbeitet, und ein bekanntes Signal kann der Reihe nach an jede der ADC-Scheiben angelegt werden. In der Operation S29720 kann die Ausgabe der ADC-Scheibe durch die Mess- und Korrektureinheit 29460 gemessen werden, und in der Operation S29730 kann eine Verstärkungskorrektur in dem Speicher 29490 für diese ADC-Scheibe zusammen mit irgendwelchen anderen Informationen, die für die Bedingungen, unter denen sie erfasst wurde, relevant sind, gespeichert werden. Sobald die Verstärkungen für jede ADC-Scheibe erfasst worden sind, können in der Operation S29740, in einer normalen Betriebsart, die gespeicherten Verstärkungsanpassungen durch z. B. die Steuereinheit 29475 auf den ADC, der in einer speziellen Scheibe des Betriebs aktiv sein kann, angewandt werden.
  • Das Nutzen verschiedener Implementierungen von Vorrichtungen, die hier beschrieben sind, kann verhindern, dass die Kalibrierung zur Zeit der Herstellung oder unter Verwendung eines Prüf-Equipments, das im Feld bereitgestellt werden muss, ausgeführt werden muss, was ermöglicht, dass häufigere Kalibrierungen ausgeführt werden, und schließlich zu einem genaueren und zuverlässigen Betrieb der Vorrichtung führt.
  • Leistungsverstärker (PA), die für drahtloses Senden verwendet werden, weisen typischerweise lineare Eigenschaften über einen begrenzten Bereich ihrer Sendeleistungsfähigkeit auf. Ein echt linearer PA würde ein Ausgabesignal (Amplitude und Phase) produzieren, das nur dem Eingabesignal und der Verstärkung des PA proportional ist (z. B. keine Amplituden- oder Phasenverzerrung, die von dem Pegel des Eingabesignals abhängt). Ein praktischer PA produziert das gewollte Ausgabesignal (proportional dem Eingabesignal und der Verstärkung des PA) und ein anderes ungewolltes Signal, das aufgrund von PA-Nichtlinearität produziert werden kann. Diese ungewollten Signale sind als Intermodulationsprodukte (IM) bezeichnet. Diese IM-Signale verursachen Intermodulationsverzerrung (IMD), die die Qualität des Signals an dem PA-Ausgang herabsetzt. Das nichtlineare Verhalten des PA kann auf einige Weisen modelliert und präsentiert werden: ein polynomisches PA-Model, eine Nachschlagetabelle (LUT), die das Eingabe/Ausgabe-Verhalten des PA beschreibt, eine Volterra-Reihe für das PA-Modell mit Speicher (wobei eine aktuelle PA-Ausgabe von einer aktuellen PA-Eingabe und außerdem vorhergehenden Eingabesignalen abhängt).
  • 298 stellt ein Beispiel einer PA-Kennlinie von AM/AM (Eingangsamplitude vs. Ausgangsamplitude) dar, und 299 ist ein Beispiel einer PA-Kennlinie von AM/PM (Eingangsamplitude vs. Ausgangsphasenvariation) mit den folgenden anwendbaren Gleichungen dar: S P A _ I N ( t ) = A ( t ) cos ( ω c t + φ ( t ) )
    Figure DE112017006442T5_0115
    S P A _ O U T ( t ) = f 1 { A ( t ) } cos ( ω c t + φ ( t ) + f 2 { A ( t ) } )
    Figure DE112017006442T5_0116
    A ( t ) S i g n a l H ü l l k u r v e
    Figure DE112017006442T5_0117
    φ ( t ) S i g n a l P h a s e
    Figure DE112017006442T5_0118
    f 1 { } A M / A M F u n k t i o n
    Figure DE112017006442T5_0119
    f 2 { } A M / P M F u n k t i o n
    Figure DE112017006442T5_0120
  • Es kann jedoch möglich sein, den linearen Bereich des PA zu erweitern durch Produzieren einer linearen Amplitude und Phase durch Anwenden dessen, was als digitale Vorverzerrung (DPD) bekannt ist, vor dem Senden. Die DPD legt ein Signal an den PA an, so dass die PA-Ausgabe (idealerweise) nur das gewollte Signal an ihrem Ausgang mit IMD aufweisen würde. Beispielsweise falls der PA unter Verwendung eines AM/AM- und AM/PM-Polynoms modelliert ist, würde die DPD gefolgt von einem PA ein äquivalentes AM/AM und AM/PM produzieren, das nahe einem idealen PA ist. Wie durch die gestrichelte Linie in 298 zu sehen ist, kann die Anwendung von DPD eine lineare Verstärkung bis genau zu der Sättigungsausgabeleistung PSAT produzieren. Um die Berechnung und Korrektur durch die DPD auszuführen, kann ein Modell des PA bereitgestellt werden. Je besser das Modell ist, desto besser kann die DPD-Korrektur angewandt werden. Die PA-Eigenschaften hängen von PVT-f (Prozess, Spannung, Temperatur und Betriebsfrequenz) ab, und das PA-Modell kann in Echtzeit oder basierend auf Echtzeitinformationen aktualisiert werden. Um das zu tun, können eine Rückkopplung und ein Erfassen der PA-Ausgabe verwendet werden, wie es eine Zuführung der Daten zu der digitalen Domäne sein kann. In einer rückkopplungsbasierten Konstruktion können Informationen, die sich auf die Verstärkereigenschaft beziehen, zu der DPD rückgekoppelt werden, so dass die DPD die richtigen Korrekturen an dem Signal vornehmen kann.
  • Ein phasengesteuertes Gruppensystem, das viele PAs mit vielen Antennen verwenden kann, ermöglicht es, dass ein Strahl von Funkwellen elektronisch gelenkt wird, ohne dass die Antennen physikalisch bewegt werden. In diesen Systemen kann es unpraktisch oder unwirtschaftlich sein, ein Rückkopplungssignal für jeden Verstärker bereitzustellen.
  • 300 ist ein Blockdiagramm eines Beispiels eines Verstärkungsmodells 30000 für einen Abschnitt eines Senders einer phasengesteuerten Gruppe. Ein Hochfrequenz- (RF-) Signal kann an einem Sendeleistungs-Splitter 30010 einer phasengesteuerten Gruppe empfangen werden, der das Signal in mehrere Kanäle CHANx aufteilt, die jeweils unabhängige Komponenten (z. B. Verstärker Schalter, Antenne) umfassen können. Diese Komponenten können aufgrund von Herstellungsvarianzen nicht alle gleich sein, und somit variiert ihre Leistung (über PVT-f). 300 löst eine Anzahl von Quellen der Varianz heraus, die eine Verstärkung GTXn 30020 (die eine tatsächliche Verstärkung oder eine Dämpfung sein könnte, falls der Verstärkungsfaktor kleiner als eins ist) für die Schaltung zwischen dem Splitter 30010 und einem PA, eine Verstärkung GPAn 30022 des PA selbst für die angelegte Signalleistung PTXn, eine Verstärkung des Schalters GSWn 30024 für die angelegte Signalleistung PPAn, eine Verstärkung der Antennenleiterbahn Gtracen 30026 für die angelegte Signalleistung PSWn und eine Verstärkung der Antenne GANTn 30028 für die angelegte Signalleistung Ptracen. Zwei Probleme könnten beispielsweise das IM an dem Ausgang jedes PA beeinflussen. Das erste ist die spezifische Charakterisierung (beispielsweise: die AM/AM- und AM/PM-Kurven), und das zweite ist die spezifische eingegebene Leistung für jeden PA (beispielsweise: unter der Annahme, dass wir gleiche PAs haben, jedoch einer davon einen sehr hohen Eingangspegel an seinem Eingang handhabt (im Vergleich zu den anderen PAs) - dieser PA würde das dominante IM produzieren). Ein drittes Problem ist, dass der Verlust nach den PAs (Leitungen und Antennengewinne) die Gesamtleistung und das IM ebenfalls beeinflussen würde.
  • Insgesamt kann in jedem Kanal die Leistung repräsentiert sein durch: P c h a n = P d e s i r e + n I M n
    Figure DE112017006442T5_0121
    mit:
  • Pdesired
    ist das gewünschte Ausgabesignal,
    IM
    ist die Intermodulationsverzerrungsleistung für eine gegebene Quelle, und
    n
    ist die Nummer der Quelle
  • Der Sender der phasengesteuerten Gruppe erzeugt einen einzelnen Hauptstrahl, der die andere Seite der Kommunikationsstrecke erreicht. PTX repräsentiert dieses Signal. Für alle Kanäle zusammen ist die Sendeleistung: P T X = K ( P d e s i r e K + n I M n K )
    Figure DE112017006442T5_0122
    oder P T X = K P c h a n K
    Figure DE112017006442T5_0123
  • Der Wert PTX aus der Sendeleistungsgleichung 30050 repräsentiert die Gesamtleistung, die aus den phasengesteuerten Gruppenantennen der Sendervorrichtung ausgegeben wird, einschließlich der gewünschten Komponente Pdesired aus dem linearen Abschnitt des PA und der unerwünschten Intermodulationskomponenten: P I M = K n I M n K
    Figure DE112017006442T5_0124
    wobei PIM die Gesamtleistung der unerwünschten Intermodulationskomponente ist.
  • Diese Werte können durch einen externen Sendeempfänger (ET) bestimmt werden, der das durch einen Senderabschnitt des vorhandenen Sendeempfängers gesendete Signal empfängt. Umgekehrt können diese Werte durch den vorhandenen Sendeempfänger der phasengesteuerten Gruppe für ein Signal, das von einem externen Sendeempfänger der phasengesteuerten Gruppe (EPAT) empfangen wird, bestimmt werden. Der externe Sendeempfänger muss kein Sendeempfänger einer phasengesteuerten Gruppe sein. Er kann fähig sein, ein Signal von dem vorhandenen Sendeempfänger der phasengesteuerten Gruppe zu empfangen und die Nichtlinearitätsdaten zurückzusenden. Der externe Sendeempfänger kann beispielsweise als ein Sendeempfänger einer phasengesteuerten Gruppe, ein Mehrsektor-Sendeempfänger oder ein ungerichteter Sendeempfänger implementiert sein.
  • 301 ist ein Blockdiagramm eines Beispiels eines schaltbaren Sendeempfängerabschnitts 30100, den das vorstehend beschriebene Sendermodell präsentieren kann. Hier ist zu sehen, dass das RF-Sendesignal 30115 von anderen Abschnitten des Sendeempfängerabschnitts 30100 dem Sendeleistungs-Splitter 30110 (der ein Beispiel des in 300 modellierten Sendeleistungs-Splitters B4-110 sein kann) zugeführt werden kann, der einen aufgeteilten Abschnitt des Signals für einen Phasenschieber 30130 bereitstellt, der die Steuerung des Strahls der phasengesteuerten Gruppe ermöglicht. Dieses kann als eine Eingabe für den PA 30140 bereitgestellt werden. Das Signal kann durch den PA 30140 verstärkt werden, und das Ausgabesignal TXOUT durchläuft (einen) Schalter SW 30150, der in 301 an einer Sendeposition ist, die es mit einer der Antennen 30160 in einer phasengesteuerte Antennengruppe 30165 verbindet. Die gesamte Sendeleistung PTX aus der vorstehenden Gleichung 8750 ist so gezeigt, dass sie aus der Antennengruppe 30165 ausgegeben wird.
  • Die Empfangskomponenten in dem Sendeempfängerabschnitt 30100 können in jedem der Kanäle einen rauscharmem Verstärker 30170 umfassen, der ein Signal für den Phasenschieber 30180 bereitstellt, der die Steuerung des Stahls der phasengesteuerten Gruppe ermöglicht. Die kollektiven Ausgaben können durch einen Empfangsleistungskombinierer 30120 kombiniert werden, und das kombinierte empfangene RF-Signal 30125 kann für andere Empfängerkomponenten des Sendeempfängerabschnitts 30100 bereitgestellt werden. 301 zeigt außerdem, dass das Rückschleifensignal, das Nichtlinearitätsdaten 30190 beinhaltet, für den Sendeempfängerabschnitt 30100 an einem Eingang der Antennengruppe 30165 bereitgestellt wird.
  • 302 ist im Wesentlichen eine Kopie des Sendeempfängerabschnitts 30100' des in 301 gezeigten Sendeempfängerabschnitts 30100, wobei jedoch die Schalter 30150 auf eine Empfangskonfiguration eingestellt sind. Wenn die Schalter 30150 in der RXIN-Position sind, arbeitet der Sendeempfänger in einer Empfangsbetriebsart, und das Signal, das von der Antenne 30160 empfangen wird, wird über den rauscharmen Verstärker 30170 zu dem Empfangsleistungskombinierer 30120 gelenkt. Wenn die Schalter 30150 in der TXOUT-Position sind, arbeitet der Sendeempfänger in einer Sendebetriebsart, und das Signal aus dem TX-Leistungs-Splitter 30110 wird über den Leistungsverstärker 30140 zu der Antenne 30160 gelenkt. Die Beschreibung und der Betrieb der Komponenten sind hier nicht wiederholt.
  • Die 303A und 303B sind Teile eines Blockdiagramms eines Beispiels für einen gesamten Sendeempfänger 30300, der einen Sendeempfängerabschnitt wie z. B. den vorstehend beschriebenen Sendeempfängerabschnitt 30100 beinhalten kann. Zusätzlich sind andere Komponenten des RF-Empfängerabschnitts 30310 gezeigt, die einen RF-Verstärker 30312, der das kombinierte Signal von dem Empfangsleistungskombinierer 30120 empfängt, beispielsweise wie vorstehend beschrieben, und einen Demodulierer 30314, der ein Signal benutzt, das durch einen Generator eines lokalen Oszillators (z. B. RF-Synthesizer) 30340 produziert wird, das durch die Komponente 30316 verstärkt oder auf andere Weise aufbereitet werden kann, aufweisen. Das demodulierte Signal kann dann für einen Zwischenfrequenz- (IF-) Verstärker 30322 bereitgestellt werden, bevor es für einen Triplexer und den Schalter 30345 bereitgestellt wird, wo es zu anderen Teilen des Sendeempfängers 30300 über eine Verbindung, beispielsweise ein Koax-Kabel 30350, gesendet werden kann.
  • Ein entsprechender Sendeabschnitt des Sendeempfängers 30300 kann ebenfalls vorgesehen sein. Ein Signal, das gesendet werden soll, kann über die Verbindung 30350 bereitgestellt werden und für einen IF-Verstärker 30334 bereitgestellt werden, dessen Ausgabe für einen Abschnitt eines RF-Senders 30320 bereitgestellt werden kann. Der Abschnitt des RF-Senders 30320 kann einen RF-Modulationsmischer umfassen, was ein Signal benutzt, das durch den Generator 30340 eines lokalen Oszillators produziert wird und möglicherweise durch eine Verstärker 30326 verstärkt wird, und das modulierte RF-Signal kann dann für einen RF-Verstärker 30322 bereitgestellt werden, bevor es zu dem Sendeleistungs-Splitter 30110 gesendet wird, wo das Signal schließlich beispielsweise wie vorstehend gesendet werden kann.
  • 303B ist ein Blockdiagramm, das einen weiteren Abschnitt des Sendeempfängers 30300 darstellt. Auf der Empfangsseite kann ein empfangenes Signal, das nach unten auf die IF demoduliert worden ist, über die Verbindung 30350 und einen Triplexer und Schalter 30355 empfangen werden. In einem Abschnitt eines IF-Empfängers 30360 kann das IF-Signal für einen IF-Verstärker 30362 bereitgestellt werden. Obwohl in den Zeichnungen nicht ausdrücklich dargestellt, kann das System konstruiert sein, Quadratur-codierte Signale zu handhaben, und die zwei in dem Abschnitt des IF-Empfängers 30360 gezeigten Pfade und ein Abschnitt eines IF-Senders 30370 können eine phasengleiche Komponente I und eine Quadraturkomponente Q des Signals repräsentieren, wobei für jede separate Pfade bereitgestellt sind. Ein IF-Demodulierer 30364 kann vorgesehen sein, um analoge I/Q-Komponenten des Basisbandsignals zu produzieren. Dieser IF-Demodulierer 30364 kann ein Signal empfangen, das beispielsweise durch einen Kristalloszillator 30384 und einen IF-Synthesizer 30382 produziert wird. Ein Teiler 30380 kann durch ein Signal gespeist werden, das von dem Frequenzsynthesizer 30382 kommt, und produziert ein Referenzsignal für das RFEM, das über das Koax-Kabel weitergeleitet wird. Beispielsweise falls die absolute Frequenzgenauigkeit +/-20 ppm (Teile pro Million) sein soll, dann kann ein externer Quarz-Kristall (und interner Kristalloszillator) verwendet werden, der diese Frequenzgenauigkeit von +/-20 ppm aufweist. Alle Frequenzerzeugungsblöcke können mit dieser Frequenz oder einer Multiplikation/Division dieser Frequenz gespeist werden. Ein Tiefpassfilter 30366 und ein Analog/Digital-Umsetzer (ADC) 30368 können vorgesehen sein, um dem BB-Prozessor 30390 ein Basisband- (BB-) Signal für jede der I/Q-Komponenten zuzuführen. Der BB-Prozessor 30390 wird nachstehend genauer diskutiert.
  • Auf der Sendeseite kann ein digitales Basisbandsignal, das durch den BB-Prozessor 30390 produziert wird, für den Abschnitt des IF-Senders 30370 bereitgestellt sein, der I/Q-Abschnitte aufweisen kann, die einen Digital/Analog-Umsetzer 30378, ein Tiefpassfilter 30376 und einen IF-Modulator 30374 aufweisen. Das I/Q-Signal kann für einen IF-Verstärker 30372 bereitgestellt werden, und das IF-Signal kann über die Verbindung 30350 über den Triplexer und den Schalter 30355 gesendet werden. Obwohl die 303A und 303B die Verbindung 30350 so zeigt, dass sie die Einheiten zwischen den Abschnitten der IF-Stufe separiert, kann es auch möglich sein, die Einheiten zwischen den Abschnitten der RF-Stufe ebenfalls zu separieren (oder die Einheiten überhaupt nicht zu separieren).
  • Um die Komponenten des BB-Prozessors 30390 besser zu beschreiben, ist zunächst 304 kurz diskutiert. 304 ist ein Blockdiagramm, das den Sendeempfänger 30300 der phasengesteuerten Gruppe zeigt, der in Kommunikation mit einem externen Sendeempfänger einer phasengesteuerten Gruppe (EPAT) 30300' ist (z. B. eine Kombination würde eine tragbare Vorrichtung und eine 5G-Basisstation oder andere Typen von Basisstationen sein). Jeder dieser Sendeempfänger 30300, 30300' kann ähnlich arbeiten und kann die jeweilige Leistungsübertragung aus der Leistungsgleichung 30050, 30050' bestimmen, die für Übertragungen von dem anderen jeweiligen Sendeempfänger charakteristisch ist (was beispielsweise in einem Fall gelten kann, in dem es wünschenswert sein kann, die mobile Vorrichtung mit DPD zu optimieren, während die Basisstation DPD verwendet oder auf einer anderen Kalibrierung ihrer DPD beruhen würde), und jeweilige Nichtlinearitätsdaten 30190, 30190' basierend auf der empfangenen Übertragung kommunizieren. Obwohl 304 den externen Sendeempfänger 30300' der phasengesteuerten Gruppe so zeigt, dass er ein Sendeempfänger einer phasengesteuerten Gruppe ist, gibt es keine Anforderung, dass er ein Sendeempfänger einer phasengesteuerten Gruppe ist, z. B. könnte er nur ein normaler externer Sendeempfänger (ET) sein. Der Sendeempfänger, z. B. der Sendeempfänger 30300', kann fähig sein, das von dem Sendeempfänger 30300 der phasengesteuerten Gruppe gesendete Signal zu interpretieren und mit den relevanten Nichtlinearitätsdaten 30190 zu antworten.
  • Zurück zu 303B kann der BB-Prozessor 30390 ein Modem 30392 umfassen, in dem der digitale Vorverzerrungs- (DPD-) Prozessor 30394 angeordnet sein kann. Die DPD kann verwendet werden, um eine Verzerrung anzuwenden, die eine Inverse der Kennlinie des gesamten Verstärkers ist, so dass der gesamte Senderverstärker auf eine linearere Art bis zu dem Leistungssättigungs-, PSAT-, Punkt arbeiten kann.
  • Die Steuerung der DPD 30394 kann durch einen internen Nichtlinearitätsprozessor 30396 bereitgestellt werden, der die durch den ET 30300' gesendeten Nichtlinearitätsdaten 30190 empfangen kann. In einer Beispielkonfiguration können die Nichtlinearitätsdaten 30190 durch Polynomkoeffizienten repräsentiert sein, die die inverse Kurve der Leistungsübertragungskennlinie PTX 30050 beschreiben. Angesichts der Natur der Quellen der Nichtlinearität hat sich ein Polynom fünfter Ordnung als adäquat gezeigt, um die Leistungsübertragungskennlinie PTX 30050 oder ihre Inverse in einigen Aspekten genau widerzuspiegeln. In einem weiteren Beispiel können die Nichtlinearitätsdaten 30190 durch eine Nachschlagetabelle (LUT) repräsentiert werden, die die inverse Eigenschaft abbildet. Der interne Nichtlinearitätsprozessor 30396 kann die empfangenen Nichtlinearitätsdaten 30190 verarbeiten und sie in Steuerparameter umsetzen, die verwendet werden können, um die DPD 30394 zu steuern.
  • Der externe Nichtlinearitätsprozessor 30398 verwendet die Leistungsübertragungskennlinie PTX 30050' des EPAT 30300' und bestimmt die Nichtlinearitätsdaten 30190', die möglicherweise zu dem EPAT 30300' gesendet werden müssen. Obwohl 303B diese Nichtlinearitätsdaten 30190' kombiniert mit anderen Daten und über die DPD 30394 gesendet zeigt, kann es nicht notwendig sein, dass diese Informationen zu dem EPAT 30300' unter Verwendung der DPD 30394 gesendet werden, und die Übertragung könnte ohne Verwenden der DPD 30394 stattfinden.
  • Das Folgende beschreibt zwei beispielhafte Aspekte. Der erste ist in 305 präsentiert, die ein Ablaufplan ist, der ein Beispiel eines Prozesses 30500 darstellt, der durch den Sendeempfänger 30300 verwendet werden kann, und eine Abfolge zeigt, in der der Sendeempfänger der phasengesteuerten Gruppe ein Signal (möglicherweise unter Verwendung einer initialen DPD-Einstellung (die werksseitig vordefiniert sein kann)) sendet, das einen Pegel des IM enthält, der den Empfang an der anderen Seite (die eine niedrige Konstellation sein kann, aufgrund niedrigem EVM) ermöglicht und das anwendbare Regulierungen nicht verletzt. Nachdem die andere Seite die Nichtlinearitätsinformationen bewertet und zurückgesendet hat, kann die DDP mit Bedingungen nahe dem Optimum betrieben werden und eine höhere Ausgabeleistung und/oder höheren Datendurchsatz (eine höhere Konstellation) senden.
  • In Operation S30510 kann ein Sendesignal in die Sendekanäle aufgeteilt werden, wie z. B. die vorstehend beschriebenen. Dann können in Operation S30520 die Signale dann von den Antennen in jeder der phasengesteuerten Gruppenantennen gesendet werden. In Operation S30530 können Nichtlinearitätsdaten wie z. B. die vorstehend beschriebenen empfangen werden, die eine Inverse einer Kennlinie für die summierten Ausgaben der phasengesteuerten Gruppenantennen beinhalten. In Operation S30540 können diese Nichtlinearitätsdaten in Steuersignale für den digitalen Vorverzerrungsprozessor umgesetzt werden, wie z. B. vorstehend beschrieben, der das Ausgabesignal modifiziert. Schließlich können in Operation S30550 die durch den DPD-Prozessor modifizierten Daten durch den Sendeempfänger gesendet werden.
  • Die zweite beispielhafte Art ist in 306 präsentiert, die eine Abfolge zeigt, die Ähnlichkeit zu derjenigen von 305 aufweist, um eine Datenbank (z. B. eine Nachschlagetabelle) zu erzeugen, die das Einstellen korrekter (und nahezu optimaler) DPD-Einstellungen ganz am Anfang einer Übertragung ermöglichen kann. Die Ähnlichkeiten zu 305 sind hier nicht wiederholt. Die Datenbank kann in Operation S30638 über die Zeit aus jeder Operation zusammengestellt werden (z. B. können unterschiedliche Empfänger verwendet werden - das beeinflusst nicht die TX-Seite, die linearisiert werden soll) und Rückkopplung in der Operation S30635, die von der anderen Seite empfangen wird, nutzen. Das kann die Genauigkeit und den Umfang von Anwendungsfällen der Sender-DPD verbessern.
  • Betriebsbedingungen können die Operation S30633 enthalten: Sendefrequenz, aktive TX-Ketten, Ausgangsleistungspegel (aus einem Leistungsdetektor auf dem RFEM oder an einem Ausgang jeder Kette), Temperatursensor (in dem RFEM), Spannungssensor (in dem RFEM) und dergleichen. Die Operation der LUT kann optional mit Echtzeit-Rückkopplung von der anderen Seite kombiniert sein. Außerdem kann ein vordefinierter „Handshake“ (z. B. eine Präambel oder Datenfolge) benutzt werden, der schnelle und genaue Extraktion der DPD-Daten ermöglichen würde. In Operation S9340 kann das System die Nichtlinearitätsdaten und/oder die Betriebsbedingungen in DPD-Steuerdaten umsetzen.
  • Hochfrequenzempfänger in modernen Kommunikationsvorrichtungen können typischerweise konfiguriert sein, einen signifikanten Bereich von Eingangsleistungspegeln zu handhaben. Dafür kann ein Empfangsverstärker eine Anzahl von AGC-Verstärkungseinstellungen umfassen, die eingehende Signale variierender Stärke verstärken können. Das Wählen einer speziellen verstärkenden AGC-Verstärkungseinstellung, um die Leistung zu verbessern oder zu maximieren, kann schwierig sein. Der große Bereich von Eingangsleistungspegeln kann durch den Empfänger durch Ändern des Niveaus der Verstärkung als eine Funktion des Eingangssignalpegels gehandhabt werden. Signale mit niedrigem Eingangspegel können hohe Verstärkung verwenden, um einen verwendbaren Rauschfaktor (NF) bereitzustellen, während Signale mit hohem Eingangspegel einen geringen Grad der Verstärkung verwenden können, um die Kompression des Empfängers zu verhindern.
  • Die 307A und 307B sind Teile eines Blockdiagramms eines Beispiels für ein gesamtes verteiltes Sendeempfängersystem 30700 einer phasengesteuerten Gruppe , obwohl die hier beschriebenen Konzepte nicht auf diesen speziellen Typ eines Sendeempfängers beschränkt sind. Ein solches Sendeempfängersystem kann sich auf eine Funkkettenschaltung 372 wie vorstehend beschrieben beziehen, könnte sich aber auch auf eine andere Schaltung beziehen. Empfangssignale RXIN, die durch die phasengesteuerten Gruppeantennen 30702 ankommen, können durch die Verstärker 30703 empfangen werden, und das verstärkte Signal kann zu einem Empfangsleistungskombinierer 30705 gesendet werden. Ein RF-Verstärker 30712, der das kombinierte Signal von dem Empfangsleistungskombinierer 30120 empfängt, beispielsweise wie vorstehend beschrieben, und ein Demodulierer 30714, der ein Signal benutzt, das durch einen Generator eines lokalen Oszillators (z. B. RF-Synthesizer) 30740 produziert wird, das durch die Komponente 30716 verstärkt oder auf andere Weise aufbereitet wird, können vorgesehen sein. Das demodulierte Signal kann dann für einen Zwischenfrequenz- (IF-) Verstärker 30732 bereitgestellt werden, bevor es für einen Triplexer und Schalter 30745 bereitgestellt wird, wo es zu andere Teilen des Sendeempfängersystems 30700 über eine Verbindung 30750, beispielsweise ein Koax-Kabel, gesendet werden kann.
  • Ein entsprechender Sendeabschnitt des Sendeempfängers 30700 kann ebenfalls vorgesehen sein. Ein Signal, das gesendet werden soll, kann über die Verbindung 30750 bereitgestellt werden und für einen IF-Verstärker 30734 bereitgestellt werden, dessen Ausgabe für einen Abschnitt eines RF-Senders 30720 bereitgestellt werden kann. Der Abschnitt des RF-Senders 30720 kann einen RF-Modulationsmischer umfassen, der ein Signal benutzt, das durch den Generator 30740 eines lokalen Oszillators produziert wird und möglicherweise durch einen Verstärker 30726 verstärkt wird, und das modulierte RF-Signal kann für einen RF-Verstärker 30722 bereitgestellt werden, bevor es zu dem Sendeleistungs-Splitter 30706 gesendet wird. Das RF-Sendesignal kann dem Sendeleistungs-Splitter 30706 zugeführt werden, der einen Aufteilungsabschnitt des Signals in einen 30704 bereitstellt. Die aufgeteilten Abschnitte des Signals können in einen Eingang zu den PAs 30707 bereitgestellt werden, wo das Signal verstärkt werden kann, und das Ausgabesignal TXOUT kann für die phasengesteuerten Gruppenantennen 30702 bereitgestellt werden.
  • 307B ist ein Blockdiagramm, das einen weiteren Abschnitt des Sendeempfängersystems 30700 darstellt. Auf der Empfangsseite kann ein empfangenes Signal, das nach unten auf die IF demoduliert worden ist, über die Verbindung 30750 und einen Triplexer und Schalter 30755 empfangen werden. In einem Abschnitt eines IF-Empfängers 30760 kann das IF-Signal für einen IF-Verstärker 30762 bereitgestellt werden. Obwohl das in den Zeichnungen nicht ausdrücklich dargestellt ist, kann das System 30700 konstruiert sein, quadratur-codierte Signale zu handhaben, und die zwei in dem Abschnitt des IF-Empfängers 30760 gezeigten Pfade und ein Abschnitt eines IF-Senders 30770 können eine phasengleiche Komponente I und eine Quadraturkomponente Q des Signals repräsentieren, wobei für jede separate Pfade bereitgestellt sind. Ein IF-Demodulierer 30764 kann vorgesehen sein, um analoge I/Q-Komponenten des Basisbandsignals zu produzieren. Dieser IF-Demodulierer 30764 kann ein Signal empfangen, das beispielsweise durch einen Kristalloszillator 30784 und einen IF-Synthesizer 30782 produziert wird. Ein Tiefpassfilter 30766 und ein Analog/Digital-Umsetzer (ADC) 30768 können bereitgestellt sein, um ein Basisband- (BB-) Signal dem BB-Prozessor 30790 für jede der I/Q-Komponenten zuzuführen, wobei der BB-Prozessor 30790 ein Modem 30792 umfassen kann, das verwendet werden kann, um die RF-AGC-Verstärkungseinstellungen zu steuern.
  • Auf der Sendeseite kann ein digitales Basisbandsignal, das durch den BB-Prozessor 30790 produziert wird, für den Abschnitt des IF-Senders 30770 bereitgestellt sein, der I/Q-Abschnitte aufweisen kann, die einen Digital/Analog-Umsetzer 30778, ein Tiefpassfilter 30776 und einen IF-Modulator 30774 aufweisen. Das I/Q-Signal kann für einen IF-Verstärker 30772 bereitgestellt werden, und das IF-Signal kann über die Verbindung 30750 über den Triplexer und den Schalter 30755 gesendet werden. Obwohl die 307A und 307B die Verbindung 30750 so zeigt, dass sie die Einheiten zwischen den Abschnitten der IF-AGC-Verstärkungseinstellung separiert, kann es auch möglich sein, die Einheiten zwischen den Abschnitten der RF-AGC-Verstärkungseinstellung ebenfalls zu separieren (oder die Einheiten überhaupt nicht zu separieren). Ein Frequenzteiler DIV 30780 kann nach dem Synthesizer 30782 vorgesehen sein.
  • 308 ist ein Blockdiagramm des Empfängers 30800, der ein Beispiel des vorstehend diskutierten Verstärkers 30703 sein oder ihn enthalten kann, oder der eine Kombination der Verstärker in dem System sein könnte, von denen jeder seine eigene(n) Verstärkungs-AGC-Verstärkungseinstellung(en) aufweisen kann. Der Verstärker kann einen Schalter 30810 umfassen, oder es kann ihm ein Schalter zugeordnet sein, der ein empfangenes Signal, beispielsweise eine RXIN-RF-Signalstärke, bestimmt und basierend auf dieser Bestimmung eine geeignete Verstärkungseinstellung unter Verwendung einer Steuerung aus der automatischen Verstärkungssteuerungs- (AGC-) Verstärkungseinstellung 30820 auswählt, um ein relativ konstantes Eingabesignal zur Verarbeitung für den Rest der Empfängerschaltung bereitzustellen.
  • Der Schalter 30810 kann beispielsweise einen Prozessor 30812, einen Speicher 30814 und Logik (die möglicherweise als Programmanweisungen, die im Speicher 30814 residieren, und/oder Hardware-Logik der Schaltung residiert) umfassen zum Bestimmen, welche AGC-Verstärkungseinstellung 30820 an einem gegebenen Leistungseingangspegel arbeiten sollte, und zum Ausführen von Leistungs- und EVM-Messungen und Implementieren der Dithering-Betriebsart, die nachstehend genauer beschrieben ist. Der Schalter 30810 kann irgendeinen Hardware- oder Software-Mechanismus aufweisen, der den AGC-Algorithmus implementiert. Außerdem, obwohl der Einfachheit halber der Schalter 30810 als ein einzelnes Element gezeigt worden ist, muss der Schalter 30810 keine einzelne Vorrichtung sein oder auf einem einzelnen Teil des Signals (des empfangenen RF-Signals, IF-Signals, Basisbandsignals in dem Modem usw.) arbeiten, sondern könnte mehrere Vorrichtungen sein, die mit einem entsprechenden Teil des Signals umgehen.
  • Eine verbesserte AGC-Verstärkungseinstellung 30820ß ist eine, die an einem gegeben Leistungspegel ein besseres Signalqualitätsmaß (SQM) produziert. Ein SQM ist die Fehlervektorgröße (EVM), die in einem quadraturcodierten Signal ein Maß dafür ist, wie weit Punkte in einer Konstellationskarte von ihrem idealen Ort entfernt sind.
  • Die AGC-Verstärkungseinstellungen 30820, die in 308 gezeigt sind, repräsentieren eine logische Konstruktion unterschiedlicher Verstärkungsniveaus und nicht notwendigerweise eine physikalische Konstruktion separater Verstärkungsverstärker. Beispielsweise können physikalische Verstärkungselemente miteinander verkettet sein oder in Reihe aktiviert werden, um die nächste Ebene der Verstärkung zu erreichen, so dass die AGC-Verstärkungseinstellung 2 Elemente aus der AGC-Verstärkungseinstellung 1 verwenden könnte. Es könnten jedoch auch oder zusätzlich separate physikalische AGC-Verstärkungseinstellungskomponenten vorhanden sein, um eine oder mehrere der AGC-Verstärkungseinstellungen auszuführen.
  • 309 ist ein Diagramm 30900, das für eine gegebene AGC-Verstärkungseinstellung von 30820 eine EVM gegen die Empfangsleistung RX PIN aufträgt. Wie in 309 dargestellt ist, kann eine hohe EVM (unter anderem) auf zwei interessante Ursachen zurückzuführen sein. Die erste Ursache kann ein Signal-Rausch-Verhältnis (SNR) sein, wobei das Rauschen thermisches Rauschen ist, das durch die Empfängerblöcke erzeugt wird. Bei niedriger RX Pin kann das thermische Rauschen dominant sein, und die AGC-Verstärkungseinstellung stellt die RX-Verstärkung auf hohe Verstärkungsniveaus ein, um den RX NF zu minimieren (z. B. das thermische RX-Rauschen zu minimieren). An diesem niedrigen Pegel von RX Pin kann das thermische Rauschen bedeutender sein relativ zu dem Signal, was zu einem niedrigeren SNR und somit höherer EVM führt.
  • Die zweite Ursache kann eine Intermodulationsverzerrung sein, die von Nichtlinearitäten herrührt, die in dem Empfänger vorhanden sind, wenn hohe Pegel des Eingangssignals gehandhabt werden. Da das Signal an dem Eingang des Empfängers höher ist, verhält es sich in einer mehr nichtlinearen Weise, was eine höhere EVM erzeugt, um den Pegel der Intermodulationsverzerrung (IMD) in dem Empfänger zu verringern und die Linearität zu verbessern, um die Verstärkung des Empfängers zu verringern und somit den NF (höheres thermisches Rauschen) zu verschlechtern. 309 stellt den Effekt sowohl des SNR als auch der IMD auf die gesamte EVM dar und zeigt einen „Sweet-Spot“ oder Arbeitsbereich, der zum Minimieren der gesamten EVM dient. Diese Kurve kann basierend auf verschiedenen Kurvenverschiebungsfaktoren variieren, die eine Kanal- oder Arbeitsfrequenz enthalten, die eine Versorgungsspannung, Prozessvariationen aufgrund von Herstellungsvariationen und die Betriebstemperatur der Vorrichtung enthalten.
  • Die Empfangsleistung kann durch einen Leistungspegeldetektor in dem Modem 30792 (307B) bestimmt werden, oder sie könnte durch andere Leistungspegeldetektoren bestimmt werden, die sich entlang der Empfangskette befinden, einschließlich irgendwo von der Antenne selbst, der RF-Verarbeitung, der IF-Verarbeitung und der Basisbandverarbeitung.
  • 310 ist ein Diagramm 31000 ähnlich dem in 309 gezeigten, das jedoch die EVM vs. Empfangsleistungskurve für eine Anzahl der AGC-Verstärkungseinstellungen enthält, wobei die AGC-Verstärkungseinstellungen zu einem gewissen Grad überlappen. Obwohl die EVM-Kurven für jeden empfangenen Eingangsleistungspegel überlappen, kann eine optimale AGC-Verstärkungseinstellung vorhanden sein, die die EVM für einen speziellen Empfangsleistungspegel minimiert. Damit das System die bestmögliche EVM aufrechterhalten kann, kann das System zwischen Verstärkungseinstellungen durch Auswählen des geeigneten Schalters an optimalen Schwellenwerten (POPT_TH) umschalten, wie in 311 dargestellt ist.
  • 311 ist ein Diagramm 31100, das optimale Schwellenwerte POPT_TH zum Aktivieren einer speziellen AGC-Verstärkungseinstellung darstellt. Um die optimalen Schwellenwerte POPT_TH für einen Eingangsleistung zu finden, kann das System Messungen an den unterschiedlichen AGC-Verstärkungseinstellungen des Empfängers vornehmen (die an unterschiedliche gemessene Temperaturen gebunden sein können), um optimale Verstärkungseinstellungspunkte bereitzustellen, die die EVM an allen Empfangsleistungspunkten für die Empfänger-AGC-Verstärkungseinstellungen minimieren. Da sich die Kurvenformen während des Betriebs verschieben, basierend auf den vorstehend beschriebenen Kurvenverschiebungsfaktoren, können sich die optimalen Schwellenwerte POPT_TH ebenfalls verschieben, beispielsweise in dem Diagramm 31100 von POPT_TH1_OLD zu POPT_TH1. Falls sich der optimale Schwellenwert POPT_TH verschoben hat, der Umschaltschwellenwert jedoch gleich geblieben ist (z. B. bei POPT_TH1_OLD geblieben ist), wird ein suboptimales Umschalten stattfinden, das eine höhere EVM in das Signal einführt, wobei das Endergebnis ein verschlechtertes Signal ist, das einen gewünschten Durchsatz nicht unterstützen kann.
  • 312 ist ein Ablaufplan, der ein beispielhaftes Verfahren 31200 darstellt, das benutzt werden kann, um die optimalen Schwellenwerte POPT_TH zu bestimmen. Der Sendeempfänger 30700 ist ein Beispiel, das ein Mittel zum Betreiben einer Verstärkungssteuerungsvorrichtung für einen Empfänger bilden kann, das in einer Dithering-Betriebsart Empfangen eines ersten Eingangssignals an einem ersten Signalleistungspegel, separates Anwenden unter Verwendung eines Schalters einer ersten und einer zweiten AGC-Verstärkungseinstellung an das Eingangssignal und jeweiliges Messen eines ersten und eines zweiten Signalqualitätsmaßes (SQM) für die erste und die zweite AGC-Verstärkungseinstellung und Bestimmen und Speichern eines optimalen Schwellenwerts, der einen Leistungspegel repräsentiert, der verwendet wird, um zwischen dem Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung basierend auf dem ersten und dem zweite SGM umzuschalten, in einer normalen Betriebsart Bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingangssignal an dem ersten Signalleistungspegel verwendet werden soll, basierend auf dem optimalen Schwellenwert, umfassen kann, die Mittel sind jedoch nicht darauf beschränkt. In der Operation S31210 kann ein Eingangssignal empfangen werden, und seine Leistung kann bestimmt werden. In der Operation S31220 kann ein Dithering-Betrieb basierend auf einer vorbestimmten Bedingung initiiert werden, wie z. B. dem Ablaufen einer Zeit, die periodisch oder gemäß einer Form eines bereitgestellten Signals aufgerufen werden kann. Ein solcher Auslöser kann eine Änderung von Betriebsbedingungen sein, wie z. B.: Frequenzänderung bei Verlagerung zu einem neuen Kanal, Temperatur- oder Spannungsänderung. Die Dithering-Operation ermöglicht, dass unterschiedliche AGC-Verstärkungseinstellungen für einen gegebenen Empfangsleistungspegel verwendet werden können, und die EVM kann gemessen werden, möglicherweise zusammen mit einer aktuellen Betriebstemperatur. Die Dithering-Operation kann eine AGC-Verstärkungseinstellung auf jeder Seite der angegebenen AGC-Verstärkungseinstellung für einen gegebenen Leistungspegel auswählen, und diese Auswahl kann beispielsweise zufällig oder gemäß einem vordefinierten Muster stattfinden. Somit muss die Messung der EVM und/oder die Dithering-Operation nicht mit jedem empfangenen Rahmen stattfinden, sondern könnte weniger häufig vorgenommen werden, oder sogar selten, um die Beeinträchtigung des normalen Betriebs zu minimieren. In der Operation S31230 können die EVM und optional die Temperatur oder andere Faktoren, die die Form und Position der Kurve beeinflussen können, gemessen werden, und der Wert kann gespeichert werden. Die EVM kann beispielsweise in dem Modem 30792 gemessen werden, kann jedoch auch an anderen Orten in der digitalen Domäne gemessen werden.
  • In der Operation S31240 kann eine Bestimmung vorgenommen werden, um die optimalen Schwellenwerte POPT_TH zu bestimmen. Das kann durch Vergleichen eines aktuellen EVM-Werts an einem speziellen Leistungspegel, der einer Dithering-Operation unterzogen wurde (z. B. einer AGC-Verstärkungseinstellung benachbart einer normalerweise an diesem Leistungspegel verwendeten), mit einem gespeicherten EVM-Wert an diesem Leistungspegel, der normalerweise verwendet wird, ausgeführt werden. Falls der EVM-Wert aus der Dithering-Operation niedriger ist, dann kann der Schwellenwert angepasst werden, so dass in Operation S31250 in der nachfolgenden normalen (Nicht-Dithering-) Operation der aktualisierte Schwellenwert verwendet werden kann. Die Größe der Anpassung oder die Einstellung des Schwellenwerts können ein Faktor der Differenz der EVM-Werte sein.
  • Als Beispiel und mit Bezug auf 311 kann eine Eingangsleistung an einer Leistung PD empfangen werden. Für den Zweck des Beispiels ist ein ursprünglicher Schwellenwert POPT_TH1_OLD rechts von PD, was bedeutet, dass die AGC-Verstärkungseinstellung Nr. 1 verwendet werden sollte. Das was tatsächlich in der Dithering-Operation (die schwankt, um die AGC-Verstärkungseinstellung Nr. 2 zu verwenden, obwohl die AGC-Verstärkungseinstellung Nr. 1 im normalen Betrieb angegeben würde) gemessen wird, ist was in 311 gezeigt ist. Wie dargestellt kann der EVM-Wert für den Betrieb mit der AGC-Verstärkungseinstellung Nr. 2 niedriger sein als der für den Betrieb in der AGC-Verstärkungseinstellung Nr. 1. Deshalb bestimmt das System, dass es den Schwellenwertpunkt POPT_TH1 nach links bewegen sollte, so dass er den in 311 gezeigten Punkt besetzt. Somit wird in einem nachfolgenden Betrieb in normaler Betriebsart die AGC-Verstärkungseinstellung Nr. 2 am Leistungspegel PD anstelle der AGC-Verstärkungseinstellung Nr. 1 verwendet. Die Differenz der EVM-Werte für die zwei unterschiedlichen AGC-Verstärkungseinstellungen kann vorgeben, wie weit der Schwellenwertpunkt POPT_TH1 bewegt wird. Zusätzlich kann eine Kenntnis über die Form der Leistung vs. EVM- oder SQM-Kurven benutzt werden, um den Schwellenwertpunkt POPT_TH1 genauer zu bestimmen.
  • Gemessene Werte der AGC-Verstärkungseinstellung, Verstärkung, EVM, Temperatur und andere Werte oder Parameter, die gemessenen Werten zugeordnet sind, und Schwellenwerte können im Speicher wie z. B. in einer LUT zur nachfolgenden Verwendung gespeichert werden. Falls die EVM an einer aktuellen Arbeitstemperatur oder ein anderer Parameter vorher bestimmt worden ist, dann kann dieser Wert in einer normalen (Nicht-Dithering-) Betriebsart verwendet werden. Falls nicht, dann kein eine Interpolation zwischen zwei Temperaturen oder anderen Parametern, die vorher erfasst wurden, ausgeführt werden.
  • 313 ist ein schematisches Blockdiagramm eines Hochfrequenz- (RF-) Systems 31300 einer phasengesteuerten Gruppe, das eine Konfiguration für ein erstes Betriebsverfahren darstellt. Das System kann eine parallele Empfangsschaltung 382 und/oder eine oder mehrere kombinierte Empfangsschaltung 384 integrieren, wie vorstehend beschrieben, oder kann andere Formen einer Schaltung integrieren. Mehrere Antennen 31310 lassen jeweils ihr Signal sowohl durch einen RF-Phasenschieber 31320 als auch einen Verstärker mit variabler Verstärkung (VGA) 31330, die verwendet werden können, um jedes gesendete (oder empfangene) Signal anzupassen, verarbeiten. Diese gesendeten Signale können durch einen Splitter 31340 aufgeteilt werden (oder empfangene Signale können durch einen Kombinierer 31340 kombiniert werden). Das kann eine Form von Systemen phasengesteuerter Gruppen sein. Einer der Vorteile des Systems 31300 kann die Einfachheit sein, da nur ein Mischer 31350 und eine Basisbandkette, die eine Abtast- oder Verfolgen- und Halten-Vorrichtung 31360 und einen Analog/Digital-Umsetzer (ADC) 31370 aufweisen, verwendet sein können. Das System 31300 kann eine oder mehrere der folgenden Eigenschaften aufweisen: a) Fehlen der Skalierbarkeit (Hinzufügen mehrerer Pfade an RF-Frequenzen bildet einen Bandbreitenengpass), b) zusätzlicher Rauschfaktor in dem Empfänger (da Gruppen mit rauschbehafteter Phase und VGAs näher an der Antenne hinzugefügt sein können) und c) zusätzlicher Energieverbrauch (zwei Blöcke, die Systemen phasengesteuerter Gruppen ermöglichen, an Millimeterwellenfrequenzen zu arbeiten).
  • 314 ist ein schematisches Blockdiagramm, das eine weitere Topologie eines Funk-Sendeempfängers einer phasengesteuerten Gruppe darstellt, der als ein System 31400 einer phasengesteuerten Gruppe mit lokalem Oszillator (LO) bezeichnet sein kann (siehe 313 für eine Beschreibung der individuellen Komponenten). In dieser Topologie beruht das System 31400 der phasengesteuerten Gruppe mit LO immer noch auf einem VGA 31330 in dem Signalpfad, aber der Phasenschieber 31320 kann zu dem LO-Pfad verlagert sein. Der Nutzen dieser Topologie gegenüber einem RF-System 31300 einer phasengesteuerten Gruppe, wie es in 313 gezeigt ist, kann reduziertes Rauschen sein. Eine weitere Eigenschaft kann sein, dass mehrere Mischer 31350 und LO-Phasenschieber 31320 verwendet sein können (einen für jede Antenne 31310). Das Lenken von LO-Signalen bei Arbeiten an Millimeterwellenfrequenzen kann schwierig sein, deswegen kann diese Herangehensweise in einigen Fällen als nicht skalierbar betrachtet werden. LO-Systeme 31400 einer phasengesteuerten Gruppe mit können jedoch mit vollständig digitalen PLLs (ADPLLs) erfolgversprechender sein, da die Phasenverschiebung digital innerhalb der ADPLL-Schleife erreicht werden kann. Das eliminiert die Verwendung von RF-Phasenschiebern (wie hinsichtlich des Energieverbrauchs aufwändig sein können und Verzerrung und Einfügungsverlust in den Signalpfad einführen). Phasenverschiebung innerhalb des ADPLL verringert außerdem die LO-Verteilung für große Systeme einer phasengesteuerten Gruppe.
  • 315 ist ein schematisches Blockdiagramm, das eine dritte Alternative für die Funk-Sendeempfänger-Konstruktion einer phasengesteuerten Gruppe darstellt und das als ein digitales System 3 1500 einer phasengesteuerten Gruppe bezeichnet sein kann. In dieser Topologie kann die gesamte Sendeempfängerkette für jede Antenne 31310 repliziert sein, die die Abtast- oder Verfolgen- und Halte-Vorrichtung 31360 und die ADCs 31370 aufweist. Die Kombination der phasengesteuerten Gruppe kann in der digitalen Domäne ausgeführt werden. Ihre Eigenschaften können erhöhte Komplexität (ChipFläche) und Energieverbrauch enthalten. Ihr erhöhter Energieverbrauch rührt nicht nur von dem Sendeempfängerblock, sondern auch von dem digitalen Backend, wo die Kombination der phasengesteuerten Gruppe stattfindet. Ein Hauptvorteil kann jedoch ihre Fähigkeit sein, mehrere Benutzer gleichzeitig zu unterstützen, wobei jeder Benutzer den Vorteil aus dem Gewinn der ganzen Antennengruppe zieht. Diese Unterstützung kann jedoch auf Kosten der Verwendung eines dedizierten digitalen Kombinationspfads für jeden Benutzer gehen.
  • In allen vorstehend genannten Strategien für phasengesteuerte Gruppen (dem System 31300 der phasengesteuerten Gruppe, dem System 31400 der phasengesteuerten Gruppe mit LO und dem System 31500 der phasengesteuerten Gruppe) kann ein Rekombinationspunkt (Kombinationsknoten/Kombinierer 31340) vorhanden sein, wo die Summe aller Empfänger (oder Sender) der phasengesteuerten Gruppe mit unterschiedlichen Amplitudengewichten und/oder Phasenverschiebungen kombiniert werden kann. Dieser Kombinationsknoten 31340 kann häufig ein Engpass in Empfängern der phasengesteuerten Gruppe hinsichtlich der Leistungsfähigkeit und Komplexität sein. Falls eine andere Größe der phasengesteuerten Gruppe gewünscht ist, kann dieser Kombinationsknoten 31340 neu konstruiert werden, was die Komplexität der Konstruktion signifikant erhöht. Dieser Aspekt der Konstruktion der phasengesteuerten Gruppe kann ein Haupthindernis für die Skalierbarkeit phasengesteuerter Gruppen sein.
  • In einigen Aspekten dieser Offenbarung ist eine skalierbare Funk-Sendeempfänger-Architektur der phasengesteuerten Gruppe (SPARTA), deren Größe gut skalierbar ist, bereitgestellt. Das kann in hohem Maße zu der Wiederverwendbarkeit dieser Architektur für mehrere Anwendungen und Produkte beitragen und die Zeit bis zur Markteinführung reduzieren. Die vorgeschlagene Architektur kann auch selbstkonfigurierbar sein, was die Programmierbarkeit der Vorrichtung erleichtert. Zusätzlich zum Unterstützen herkömmlicher Betriebsarten kann die SPARTA auch zum Unterstützen neuer Betriebsarten fähig sein, die einen besseren Gewinn der phasengesteuerten Gruppe oder niedrigeren Energieverbrauch ermöglichen, wie nachstehend beschrieben ist.
  • 316 ist ein Blockdiagramm eines beispielhaften Zellenelements 31600 der SPARTA-Gruppe. Wie diese Figur zeigt, kann das SPARTA-Gruppen-Zellenelement 31600 einen Sender (TX) 31610, einen Empfänger (RX) 31620, einen lokalen Oszillator (LO) 31630 und einen digitalen Block (DIG) 31640 umfassen. Eine Gruppe von Multiplexern und Demultiplexern 31650 kann auf den vier Rändern des SPARTA-Gruppen-Zellenelements 31600 gekachelt sein, um Kommunikation mit benachbarten Zellen zu ermöglichen. Dieses Zellenelement 31600 ist ein Beispiel, das ein Mittel zum Betreiben eines Funk-Sendeempfängers einer phasengesteuerten Gruppe bilden kann, das Senden und Empfangen eines Signals mit mehreren gekachelten und miteinander verbundenen Sendeempfängerzellen umfassen kann, die Mittel sind jedoch nicht auf diesen Prozess beschränkt.
  • Es können sowohl analoge als auch digitale parallele Busse 31660 vorhanden sein, die die SPARTA-Gruppenzelle 31600 mit benachbarten Zellen verbinden, was das Kacheln der Zellen ermöglicht. Es wird darauf hingewiesen, dass der TX 31610 und der RX 31620 entweder einzelne oder mehrere Empfänger und Sender aufweisen können, was es ermöglicht, dass mehrere RX- und TX-Zellen einen einzelnen LO 31610 gemeinsam verwenden (um Energieverbrauch einzusparen). Ein Kristalloszillator- (XO-) Signal kann zwischen allen Zellen gepuffert werden. Die Rückschleife kann verwendet werden, um eine Verzögerung, die durch die XO-Puffer in jedem Zellenelement 31600 eingeführt ist, zu messen und auszukalibrieren. Jedes Zellenelement 31600 kann außerdem sowohl Steuersignale, die es mit der benachbarten Zelle verbinden, als auch globale Steuersignale, die statisch sein könne, aufweisen. Das SPARTA-Gruppen-Zellenelement 31600 kann ferner eine I/O- und Phasenkombinierungseinheit 31670 umfassen, die außerdem Mengen analoger und digitaler Koeffizienten und Pipeline-Elemente aufweisen. Es können auch Ortsverbindungsanschlüsse 31680, die nachstehend diskutiert sind, bereitgestellt sein.
  • 317 ist ein Blockdiagramm das eine gekachelte SPARTA-Gruppe von Zellen 31700 darstellt. Wie die Figur zeigt, ist die Gruppe 31700 aus gleichen Zellen 10300 gezeigt. Das bedeutet, dass die Zellen 31600 (Die) eine exakte Kopie sein können. Die Kommunikation zwischen den Zellenelementen 31600 umfasst analoge und digitale Busse 31660. Die Breite der Busse 31660 kann gleich der Anzahl gleichzeitiger Benutzer sein, die das System der phasengesteuerten Gruppe unterstützen kann (nachstehend diskutiert). Jedes SPARTA-Gruppen-Zellenelement 31600 kann nur mit benachbarten Zellenelementen verbunden sein. Das kann dazu beitragen, die Skalierbarkeit der vorgeschlagenen Herangehensweise bereitzustellen.
  • In einigen Aspekten ermöglicht diese vorgeschlagene Architektur vorteilhafterweise das Schneiden des Wafers in unterschiedliche Formen für unterschiedliche Anwendungen. Die 318 und 319 sind piktographische Diagramme von Wafer-Schneiden. 318 stellt einen Wafer 31800 mit den geschnittenen Abschnitten 31810 der SPARTA-Zellenelemente 31600 für Anwendungen mit geringer Leistung dar, und 319 stellt einen Wafer 31900 mit geschnittenen Abschnitten 30910 der SPARTA-Elemente für Hochleistungsanwendungen dar.
  • Wie 318 zeigt, kann eine unterschiedliche Anzahl von Elementen für variierende Anforderungen auf Systemebene geschnitten werden. In einigen Anwendungen mit geringer Leistung können beispielsweise nur vier SPARTA-Elemente verwendet werden. In Hochleistungssystemen, wie z. B. Basisstationen, kann der gesamte Wafer verwendet werden, wie beispielsweise in 319 gezeigt ist. Mit anderen Worten kann der gleiche Wafer mit unterschiedlichen Formfaktoren und Produkt-Versatz gefüllt sein, während exakte Kopien von Wafern verarbeitet werden. Die Ebene der Wafer-Integration, um Paketierungskosten zu reduzieren, kann mit einem Ertrag ausgeglichen werden, der aus einer größeren Diefläche herrührt, was zu einer maximalen Gruppengröße für einen maximalen Ertrag führt.
  • 320 ist eine piktographische Darstellung einer kombinierten 32000 SPARTA-Gruppe 32010, die als Wafer verarbeitet und mit einer Antennengruppe 32020 kombiniert 32000 sein kann. Mit diesem Verarbeitungsschritt kann eine Schicht einer Antennengruppe 32020 einfach vermascht werden, um eine vollständige Systemlösung bereitzustellen.
  • Das vorgeschlagene System einer phasengesteuerten Gruppe kann auch eine selbstwahrnehmende konfigurierbare Struktur aufweisen, die wie folgt beschrieben ist. Identifizierungsnummern (IDs) können beim Einschalten durch eine ID-Zuweisungsroutine bestimmt werden. Das ermöglicht, dass das System weiß, wie viele SPARTA-Gruppen-Zellenelemente 31600 in der Gruppe 31700 verwendet sind. Die vier Seiten des Chips können als Norden (N), Süden (S), Westen (W) und Osten (E) bezeichnet sein. In einem beispielhaften Identifizierungsschema, das durch 317 dargestellt ist, kann die ID Nr. 1 dem Zellenelement 31600 in der NW-Ecke zugewiesen sein. Die NW-Ecke kann durch Ortsverbindungsanschlüsse 31680, die detektieren können, ob der Anschluss offen oder mit einem weiteren Anschluss verbunden sein kann, bestimmt sein. Falls beispielsweise sowohl der N- als auch der W-Anschluss offen sind, kann die ID Nr. 1 diesem Zellenelement 31600 zugewiesen werden. Dieses Zellenelement 31600 initiiert dann eine sequenzielle Nummerierungsfolge, wobei die ID-Nummer um eins inkrementiert und zu dem Ost-Zellenelement 31600 weitergegeben werden kann.
  • Falls das aktuelle Zellenelement 31600 keine E-Anschlussverbindung aufweist und es seine ID-Nummer von dem West-Zellenelement 31600 (z. B. Zelle Nr. 4) empfangen hat, dann gibt es die ID-Nummer zu dem Süd-Zellenelement 31600 (durch Nr. 5 dargestellt) weiter. Falls das aktuelle Zellenelement 31600 keine E-Anschluss-Verbindung aufweist und es seine ID-Nummer von dem Nord-Zellenelement 31600 empfangen hat, dann gibt es die ID-Nummer zu dem West-Zellenelement 31600 weiter (falls es verbunden ist, ansonsten gibt es die ID-Nummer ebenfalls zu der dem Süd-Zellenelement 31600 weiter). Ein ähnlicher Algorithmus kann für die Westgrenze der Gruppe 31700 verfolgt werden. Diese Routine kann fortgesetzt werden, bis ein SE- oder SW-Ecken-Zellenelement 31600 erreicht wird. An diesem Punkt ist die ID-Nummerierung fertiggestellt, wobei jedes Zellenelement 31600 einen eindeutigen Bezeichner innerhalb der Gruppe aufweist. Außerdem kann, wenn die ID-Nummer einer Zelle zugewiesen wird, das Zellenelement 31600 eine lokale Amplituden- und Phasen-Kalibrierung sowohl seiner Sendeals auch seiner Empfangsamplitude und der Phasenwerte durchlaufen. Andere Nummerierungsschemas, die eindeutige Bezeichner innerhalb des Zellenelements 31600 produzieren, können ebenfalls möglich sein.
  • Die SPARTA-Gruppen-Zellenelemente 31600 können Betriebsarten unterstützen wie z. B.: a) eine LO-Betriebsart der phasengesteuerten Gruppe, b) eine digitale Betriebsart der phasengesteuerten Gruppe, c) eine analoge Betriebsart der phasengesteuerten Gruppe und d) eine Hybridbetriebsart. Alle können unter Verwendung des SPARTA-Gruppen-Zellenelements 31600 implementiert sein, das Betrieb mit skalierbarer Größe ermöglicht.
  • 321 ist ein Blockdiagramm, das ein SPARTA-Gruppen-Zellenelement 32100 (das eine Implementierung der SPARTA-Zelle 31600 sein kann) zeigt, das für digitales Kacheln der phasengesteuerten Gruppe verwendet werden kann. In dem digitalen Betrieb der phasengesteuerten Gruppe kann das gesamte Sendeempfängerelement in der SPARTA-Zelle 32100 verwendet werden. In der Empfangsbetriebsart kann das empfangene Signal in ein digitales Signal umgesetzt werden, dann mit dem SPARTA-Zellenelement 32100, das die vorhergehende ID-Nummer aufweist, vektorsummiert werden. Um die Skalierbarkeit aufrechtzuerhalten, kann die Summierung zwischen jeder Stufe in einer Pipeline ablaufen. Das kann bereitgestellt werden, um die Last auf de Datenbusleitungen zu begrenzen. Außerdem können, um insgesamt k Benutzer zu unterstützen, k Busleitungen verwendet werden, eine für jeden Benutzer. Da die Anzahl von Busleitungen in Hardware festgelegt sein kann, kann das SPARTA-Zellenelement 32100 mit der Hardware konstruiert sein, um die maximale Anzahl von Benutzern zu unterstützen, die die meisten Systeme verwenden würden, um in digitalem Betrieb der phasengesteuerten Gruppe zu unterstützen. Außerdem kann, da die Datenleitungen in einer Pipeline sein können, ein internes Pipeline-Register der Tiefe ND gehalten werden. Die Pipeline-Tiefe ND begrenzt die maximale Größe der SPARTA-Gruppe, wobei die einzelnen Elemente in der digitalen Betriebsart der phasengesteuerten Gruppe verbunden sein können.
  • Wie die Figur zeigt, können k digitale Busse 32110 in allen Richtungen (N, S, E, W) vorhanden sein. Digitale Multiplexer auf sowohl den Sender- (TX-) 32120 als auch den Empfänger- (RX-) 32130 Blöcken wählen, von welchen Zellen 32100 Eingabe empfangen und zu welchen Zellen 32100 ausgegeben werden soll.
  • 322 ist ein Blockdiagramm, das Pipelining der phasengesteuerten Gruppe mit LO zwischen benachbarten Zellenelementen 31600 in der phasenkombinierenden LO-Betriebsart darstellt. In der LO-Kombinierungsbetriebsart der phasengesteuerten Gruppe empfängt jedes Zellenelement 31600 seine Phasenverschiebung von einer zentralen Steuereinheit. In dem Empfangspfad können die Ausgaben aller Mischerstufen in der analogen Domäne unter Umgehung der Analog/Digital-Umsetzers (ADC) summiert werden. Nur ein ADC 31370 (323) nimmt dann die kombinierten Ausgaben und setzte diese in eine digitale Form um. Diese Kombination kann über einen analogen Bus 31660, der eine Schnittstelle zwischen benachbarten SPART A-Zellenelementen 31600 ist, ausgeführt werden. Das weist den Vorteil signifikanter Energiereduktion auf, da der ADC 31370 einer der größten energieverbrauchenden Blöcke in einem System einer phasengesteuerten Gruppe sein kann.
  • Die LO-Phasenverschiebungsbetriebsart kann wie vorstehend diskutiert eine Art des Kombinierens in phasengesteuerten Gruppen mit LO sein. Die SPARTA-Architektur stellt eine neuartige Skalierbarkeit dieser Herangehensweise bereit. Um die Skalierbarkeit zu erhalten, kann die Leitung des analogen Busses 31660 in einer „analogen Pipeline“ durch einen Abtasten- und Halten-Vektor-Bus der Pipeline-Tiefe NA sein. Die Pipeline-Tiefe NA kann die maximale Größe der SPARTA-Gruppe begrenzen, wobei die einzelnen Elemente in der analogen Betriebsart der phasengesteuerten Gruppe verbunden sein können. Die analogen Werte zwischen jeder Zelle können durch einen analogen Integrator 32210 mit geschaltetem Kondensator summiert werden.
  • Die Figur stellt den Integrator dar, der mit dem vorhergehenden Zellenelement 31600 und der Verzögerung 10920 summiert bevor er über den Bus 31660, der die Zellen verbindet, kommuniziert wird. Die gesamte SPARTA-Gruppe 31700 mit der LO-Phasenverschiebung ist in 323 dargestellt, die ein Blockdiagramm ist, das die SPARTA-Zellenkachelung unter Verwendung einer LO-Phasengruppe zeigt und den aktiven Datenumsetzer ADC darstellt.
  • 324 ist ein Blockdiagramm, das eine SPARTA-Gruppe 31700 in der Hybridbetriebsart, wobei jede Reihe in einer LO-Phasenverschiebung gekachelt sein kann und einen einzigen ADC 31370 gemeinsam verwendet, darstellt. Mehrbenutzer-Betrieb kann in der LO-Betriebsart der phasengesteuerten Gruppe durch Verwenden einer Hybridbetriebsart unterstützt werden. In dieser Hybridbetriebsart kann die Gruppe 31700 hierarchisch geteilt sein, wobei Zellen der unteren Ebene in der LO-Betriebsart der phasengesteuerten Gruppe kombiniert sein können und Zellen der oberen Ebene in der digitalen Betriebsart der phasengesteuerten Gruppe kombiniert sein können. In einigen Aspekten kann nur ein Paar von Datenumsetzern pro LO-Cluster der phasengesteuerten Gruppe verwendet werden. In einigen Aspekten können keine Paare von Datenumsetzern mit einigen oder allen Gruppen-Clustern verwendet werden, und in einigen Aspekten kann mehr als ein Paar von Datenumsetzern pro LO-Cluster der phasengesteuerten Gruppe verwendet werden. Die in 324 dargestellte Konfiguration bietet wenigstens zwei Vorteile. Erstens stellt sie einen Kompromiss zwischen Energieverbrauch und Gruppengewinneffizienz dar, der über Software gesteuert werden kann. Zweitens bietet sie ein Verfahren, den Gruppengewinn pro Benutzer zu maximieren, da die Gesamtzahl von SPARTA-Zellenelementen 10300, die jetzt verwendet werden können, N=ND*NA ist.
  • 325 ist ein Blockdiagramm, das das Pipelining des Kombinierens der analogen phasengesteuerten Gruppen zwischen benachbarten Zellenelementen 31600 für die analoge Betriebsart mit Kombinieren von phasengesteuerten Gruppen darstellt. Diese Betriebsart ist dem Kombinieren der phasengesteuerten Gruppe mit LO (und dem Hybridkombinieren der phasengesteuerten Gruppe) darin ähnlich, dass beispielsweise nur ein Datenumsetzer pro Benutzer aktiv ist. Das analoge Pipelining kann mit einem Kombinieren einer gewichteten Summe erweitert werden, wie in 325 gezeigt ist, wobei eine SPARTA-Zelle 31600 mit analogem Kombinieren der phasengesteuerten Gruppe mit einer neuartigen Fähigkeit, das Kombinieren der phasengesteuerten Gruppe in der analogen Domäne in einer Pipeline auszuführen. Die Funktionen A1(s) 32510 und A2(s) 32520 können allgemeine komplexe Funktionen sein, die in der analogen Domäne realisierbar sind. Unterschiedliche analoge Koeffizientengewichte können durch digitales Kombinieren unterschiedlicher analoger Komponenten (wie z. B. Widerstände, Kondensatoren oder Stromquellen) realisiert werden. Zusammen mit dem analogen Summierer 32210 und der Verzögerung 32220, die vorstehend beschrieben sind, kann eine Vektorsummationsoperation in Art einer Pipeline realisiert sein. In diesem Operationstyp kann in einigen Aspekten nur ein Datenumsetzer pro Benutzer aktiv sein, was signifikanten Energieverbrauch pro Zelle 31600 der phasengesteuerten Gruppe eliminiert.
  • Die beispielhaften Betriebsarten sind nachstehend in Tabelle 10 zusammengefasst. Die maximale Anzahl gleichzeitiger Benutzer, die die Gruppe in einigen Aspekten unterstützen kann, kann M Benutzer sein (festgelegt durch die parallelen analogen und digitalen Busbreiten). Die maximale Gesamtzahl von Benutzern kann N Gruppenelemente sein (festgelegt durch die Gruppengröße und die Tiefe der digitalen und analogen Pipeline). Die „Apertur“ bezieht sich auf die Anzahl von Elementen, die berücksichtigt werden können, wenn der Gewinn der Antennengruppe berechnet wird. Das Verwenden aller ADCs zum digitalen Kombinieren ermöglicht Mehrbenutzer/Mehrstrahl-Betrieb mit digitalem Pipelining für große Gruppen (zur Größenskalierbarkeit), verbraucht jedoch mehr Energie. Das Verwenden der gesamten Gruppen-Apertur pro Benutzer mit nur einem ADC pro Benutzer durch das analoge Basisband kombiniert mit parallelen analogen Pipelining-Stufen (eine pro Benutzer) kann Energie einsparen. Das Verwenden von LO-Phasenverschiebung und eines einzelnen ADC für einen einzelnen Benutzer Spart ADC-Energie und verwendet analoges Pipelining, um auf große Gruppen zu skalieren. Es stellt ein erhöhtes oder maximales Niveau der Störungsabschwächung für den ADC bereit. Die Hybridkonfigurationen können Unterabschnitte der gesamten Gruppe pro Benutzer mit LO-Kombinieren und einem ADC pro Benutzer verwenden. Tabelle 10 Zusammenstellung der SPARTA-Betriebsarten
    Benutzer Apertur Kombinieren / Strahlformen Parallele analoge Koeffizientenmengen Datenumsetzer Parallele digitale Koeffizientenmengen
    1 vollständig LO 1 1 keine
    M vollständig digital keine N M
    M 1/M LO 1 M bis zu M
    M vollständig analog M M bis zu M
  • Hier ist gemäß einigen Aspekten ein System offenbart, das IL an einer Unterschwingungsfrequenz verwendet, um Hochgeschwindigkeits-Phasenmodulation mit einer niedrigen Energie als eine äquivalente Grundfrequenzmodulation zu ermöglichen. Eine solche Technik kann bei mmWellen-Frequenzen insbesondere nützlich sein, um eine große verfügbare Teil-Bandbreite (und deshalb hohen Durchsatz) effizient zu implementieren. Direkte digitale Modulation kann über kapazitive Digital/Analog-Umsetzer (DACs) erreicht werden, die eine freilaufende Frequenz eines injektionsverriegelten Oszillators an einer Unterschwingung des Trägersignals modulieren. Das modulierte Signal kann dann verwendet werden, um einen mmWellen-Oszillator, der an der Trägerfrequenz arbeitet, weiter inj ektionszuverriegeln.
  • Im Gegensatz zu direkter Grundfrequenzmodulation verwendet eine solche Unterschwingungsinjektion einen niedrigeren Phasenmodulationsbereich und ermöglicht somit eine kleinere Injektionsstärke und deshalb geringeren Energieverbrauch in einigen Aspekten. Im Gegensatz zu einer direkten VCO-modulationsbasierten Technik, die schnelle Start/Stop-Oszillatoren verwendet, gilt in einigen Aspekten für die vorgeschlagene Technik: a) sie vermeidet VCO-Frequenzfehlanpassung unter Elementen einer phasengesteuerten Gruppe; und b) entfernt Einschränkungen, dass die Trägerfrequenz ein ganzzahliges Vielfaches der Basisband-Abtastrate ist.
  • Klassische Schmalband-Sendeempfänger einer phasengesteuerten Gruppe verwenden RF/LO-Basisband-Phasenverschiebung zum Strahlformen. Wenn eine solche Technik auf höhere Teilbandbreiten und/oder eine größere Anzahl von Elementen der phasengesteuerten Gruppe (wie z. B. in mächtigem MIMO) skaliert wird, führt diese Technik zu signifikanter Intersymbolstörung (ISI) und Verschlechterung des Signal-Rausch-Verhältnisses (SNR). Durch Verwenden von IL-basierter Verzögerungsmodulation ermöglicht diese Architektur das Verwenden von Echtzeit-verzögerungsbasiertem Strahlformen. Durch direktes Verzögern des modulierten Trägers auf jedem Element der phasengesteuerten Gruppe eliminiert diese Technik jede solche Verschlechterung.
  • Klassische Grundfrequenz-LO-Verteilung kann an mmWellen-Frequenzen schwierig sein und trägt signifikant zum Gesamtenergieverbrauch bei, besonders wenn sie auf eine Mehrelementegruppe mit einer großen Größe des Silizium-Dies verteilt wird. Stattdessen ermöglicht diese Technik in einigen Aspekten durch Einsetzen von zwei aufeinanderfolgenden Unterschwingungsinjektionen (mit eingebauter Modulation und Strahlformen) eine Niederfrequenz- (und somit Niederenergie-) LO-Verteilung. Als ein Ergebnis kann die Architektur sehr effizient auf eine große Anzahl von Gruppenelementen skalieren.
  • Die folgenden verschiedenen Aspekte können in die hier diskutierten Systeme integriert sein. Mit Bezug auf die Verriegelungsfrequenz kann ein erster Aspekt Nutzen von IL an einer Unterschwingungsfrequenz sein, anders als bei Systemen, die IL an der Grundfrequenz benutzen können. In Bezug auf den Phasenverschiebungs/Modulationsbereich kann in einer Implementierung die Phasenmodulation ein Drittel der Ausgabefrequenz sein, so dass nur ein ±60°-Bereich für eine vollständige ±180°-Abdeckung verwendet werden kann. Das eliminiert eine zusätzliche Polaritätsumkehrung und spart Energie. Das kann eine Verbesserung gegenüber der Konstruktion sein, die Phasensymbole bis zu ±90° erzeugt. Das Erzeugen der vollständigen ±180°-Abdeckung für Phasenmodulation verwendet deshalb eine zusätzliche Signalpolaritätsumkehrung. Da ein solcher Block an der Trägerfrequenz arbeitet, kann das ein signifikanter Energieüberhang sein.
  • Mit Bezug auf die Injektionsstärke können in der vorliegenden Konstruktion gemäß einigen Aspekten wegen des reduzierten Phasenbereichs die Injektionsstärke und deshalb die LO-Verteilungleistung niedriger sein, im Gegensatz zu der Konstruktion in der eine starke IL verwendet werden kann, um die ±90°-Phasenverschiebung zu erreichen.
  • In Bezug auf die LO-Verteilung kann in der vorliegenden Konstruktion gemäß einigen Aspekten, falls die ausgegebene mmWellen-Frequenz f0 ist, durch Einsetzen einer zweistufigen Unterschwingungs-IL die LO-Verteilung auf f0/9 reduziert und dadurch der Energieverbrauch und die Konstruktionskomplexität signifikant verringert werden. Das steht im Gegensatz zu einer Konstruktion, in der die LO-Verteilung an der Grundfrequenz ist, die eine signifikanten Energieüberhang für mmWellen-Frequenzen und/oder eine große Anzahl von Elementen einer phasengesteuerten Gruppe aufweist.
  • In Bezug auf Strahlformen kann in der vorliegenden Konstruktion gemäß einigen Aspekten eine kapazitive DAC-basierte IL zum Strahlformen verwendet werden, was ein Echtzeit-Verzögerungs-Strahlformen bildet. Ein solches Strahlformen kann grundsätzlich frei von ISI sein. Das kann eine Verbesserung gegenüber einer Konstruktion sein, die Phasenverschiebung in der Basisband/LO- oder RF-Domäne einsetzt und Schmalband-Phasenverschiebungs-basierte Architekturen benutzt, die ISI für eine phasengesteuerte Breitband - und/oder Mehrelemente-Gruppe erzeugen.
  • Zusätzlich dazu, dass sie eine Echtzeit-Verzögerungs-basierte Architektur ist, weist in der vorliegend Konstruktion gemäß einigen Aspekten das Basisband-Modulationssignal, da die Phasenverschiebung nur eine Funktion der Cap-DAC-Einstellung sein kann, eine signifikant entspannte Jitter-Spezifikation auf. Das entspannt den Energieüberhang der Verteilung zu einer phasengesteuerten Mehrelementegruppe. Das kann eine Verbesserung gegenüber einer Konstruktion sein, die eine Technik zum schnellen Starten und Anhalten eines Oszillators verwendet, um Echtzeit-Verzögerungs-Strahlformen zu ermöglichen, und in der eine sehr stringende Jitter-Spezifikation auf der Basisband-Modulationssignalverteilung vorhanden sein kann, da dieser Jitter direkt in eine Phasenverschiebung unter Verwendung eines mmWellen-Trägers umgesetzt wird, was es schwierig macht, auf eine große Anzahl von Elementen einer phasengesteuerten Gruppe zu skalieren.
  • Die vorliegende Konstruktion kann gemäß einigen Aspekten ein frequenzverriegeltes System sein, das auf eine große Anzahl von Elementen skalierbar ist und keine Einschränkungen für Symbolraten aufweisen kann. Das kann eine Verbesserung gegenüber einer Architektur sein, die nicht frequenzverriegelt ist, die, zusätzlich zu Problemen der Skalierbarkeit (aufgrund der Frequenzfehlanpassung unter den Elementen der phasengesteuerten Gruppe), auch die Basisband-Symbolraten auf sehr spezifische Werte einschränkt.
  • 326 ist ein schematisches Diagramm, das Komponenten für eine IIL-basierte Phasenmodulationsschaltung 32600 gemäß einigen Aspekten darstellt, die Phasenverschiebungseigenschaften eines verriegelten Oszillators benutzt. Die Modulationsschaltung 32600 kann eine Aufwärtsumsetzungsschaltung 350 wie vorstehend beschrieben integrieren, oder kann andere Formen einer Aufwärtsumsetzungsschaltung integrieren. Ein Datensignal 32610 (durch das Beispiel von 328 dargestellt) kann für einen Oszillator-Schwingkreis 32620 vorgesehen sein, der einen kapazitiven DAC 32625 umfasst. Diese Schaltung 32600 ist ein Beispiel, das ein Mittel zum Betreiben einer injektionsverriegelten Modulationsschaltung für einen Sendeempfänger einer phasengesteuerten Gruppe bilden kann, aber die Mittel sind nicht auf diese Prozess beschränkt.
  • 327 ist ein Diagramm 32700, das darstellt, wie eine Mittelfrequenz des Oszillators 32620 in Bezug auf die Verriegelungsfreqenz fINJ 32630 geändert werden kann, sich die Ausgangsphase und Amplitude ändern, während die Frequenz 32635 immer noch an die Verriegelungsinjektionsfrequenz fINJ 32630 angepasst ist. Durch Nutzen eines kapazitiven DAC 32625 in dem Oszillator 32620 kann man mehrere Phasensymbole innerhalb des Phasenverschiebungsbereichs in einer im Wesentlichen oder rein digitalen Weise erzeugen.
  • 328 ist ein Zeitdiagramm 32800, das zwei Symbole mit den Phasen φ1 und φ2, die durch Steuern des Cap-DAC 32625 mit Basismodulations-Bits als die Dateneingabe 32610 erzeugt werden, darstellt. In dieser Schaltung 32600 kann die Injektionsfrequenz 32630 die dritte Unterschwingung der gewünschten Mittelfrequenz f sein. Das führt zu einem signifikant geringeren Energieverbrauch in dem LO-Verteilungsnetz. In älteren Konstruktionen kann der IL-Phasenverschiebungsbereich typischerweise auf ±90° begrenzt sein, der mit einer starken Injektion zu hohen Energiekosten implementiert werden muss. Darüber hinaus kann in älteren Konstruktionen, um eine vollständige ±180°-Abdeckung der Phasensymbole sicherzustellen, typischerweise ein zusätzlicher Phasenumkehrungsblock (wie z. B. ein Gilbert-Zellenstromkommutator) verwendet werden, was zu einem sogar noch höhere Energieverbrauch führt.
  • 329 ist ein Blockdiagramm für eine IIL-basierte Phasenmodulationsschaltung 32900 mit einer vollständigen 360°-Phasenmodulation unter Verwendung einer kaskadierten injektionsverriegelten Unterschwingungs-Architektur in Bezug auf die Trägerfrequenz fCARRIER 32940. 32940 zeigt, wie die Phasenverschiebung an der dritten Unterschwingungs- (fCARRIER/3-) Frequenz 32365 der Trägerfrequenz fCARRIER 32940 nur ±60° Phasenverschiebung verwendet, die nach dem Verdreifachen, in die vollständige ±180°Abdeckung an der Grundfrequenz fCARRIER 32940 umgesetzt wird. Dieser Unterschwingungsmodulator kann wiederum auf seine dritte Unterschwingung fCARRIER/9 B9.430 in der kaskadierten Konstruktion injektionsverriegelt werden. Diese Konstruktion eliminiert einen herkömmlichen (und typischerweiseer bandbegrenzten) Aufwärtsumsetzungs-Mischer und phasengleich/Quadratur- (I/Q-) basierte Senderelemente und reduziert dadurch den Energieverbrauch.
  • Ein weiterer Aspekt verschiedener hier offenbarter Konstruktionen ist die Fähigkeit, auf Echtzeitverzögerung basierendes Strahlformen unter Verwendung der gleichen Architektur zu integrieren. Für ein System einer phasengesteuerten Gruppe, wo jede Antenne durch einen dieser injektionsverriegelten phasenmodulierten Oszillatoren gespeist werden kann, kann die relative Verzögerung zwischen den Elementen auch durch Verwenden der gleichen cap-DAC-basierten Phasenverschiebung abgestimmt werden.
  • 330 ist ein Kombinationsdiagramm 33000, das ein auf Echtzeitverzögerung basierendes Strahlformen darstellt, in dem den Elementen eins 33010 und zwei 33020 die gleichen Basisbandsignale („11“, „00“) 33030 mit jeweils unterschiedlichem Versatz (0, ΔT) zugeführt werden, was zu nacheilenden oder vorauseilenden Wellenformen führt, die eine auf Echtzeitverzögerung basierende Signalisierung emulieren. Herkömmliche RF/LO/Basisband-Phasenverschiebungs-Architekturen können keine Echtzeitverzögerungen erzeugen, die zum Strahlformen mit Teilbandbreiten und phasengesteuerten Gruppen mit mehreren Elementen verwendet werden können.
  • 331 ist ein schematisches Blockdiagramm, das eine Beispielarchitektur eines Senders 33100 einer phasengesteuerten Vier-Elemente-Gruppe, der das Kombinieren einer Oberwellen-IIL-basierter Phasenmodulation mit echtem zeitverzögertem Strahlformen implementiert, darstellt. Ein Phasenregelkreis (PLL) 33110 (d. h. die dritte Unterschwingung fCARRIER/9 32930) bei 1/9 der Trägerfrequenz fCARRIER kann in dem zentralen Verriegelungsnetz benutzt werden, und dadurch wird ein LO-Verteilungsnetz mit viel geringerem Energieverbrauch verwendet.
  • Sowohl die Modulation als auch das Strahlformen finden über den IL-Mechanismus in dem Oszillator 32635 statt, der auf fCARRIER/3 abgestimmt ist. Das ermöglicht das Vergrößern oder Maximieren des Phasenverschiebungsbereichs und stellt somit sowohl die vollständige ±180°-Phasensymbolabdeckung als auch einen erweiterten Strahlformungsbereich bereit.
  • Amplitudenmodulation kann dann in das System unter Verwendung polarer Architekturen wie digitaler PAs 33120 für Leistungs-Backoff-Effizienzverbesserungen integriert werden. Das Signal kann dann über eine phasengesteuerte Gruppenantenne 33130 ausgegeben werden. Die Architektur kann mit geringerem Energiebedarf als ältere Architekturen und weniger empfindlich gegen Basisbandsignalverteilungs-Jitter (der sich in einen höheren Energiebedarf für eine größere Anzahl von Elementen umsetzt) sein. Als ein Ergebnis skaliert die vorgeschlagene Anordnung energieeffizient auf eine Gruppe mit beispielsweise einigen zehn Elementen.
  • 332 ist ein Blockdiagramm für eine IL-basierte Phasenmodulationsschaltung 11900 ähnlich der in 329 gezeigten, das ein Beispiel für einen injektionsverriegelten Oszillator beim Arbeiten an 1/3 der Trägerfrequenz fCARRIER zeigt und in dem die Phasenmodulation und das Strahlformen in einen einzigen Block kombiniert sein können, ohne die Verwendung ein I/Q-Mischern oder Phasenschiebern. Ein Multiplizierer, der als ein Verdreifacher 33240 in der Figur dargestellt ist, zur Frequenz- und Phasenmultiplikation kann bereitgestellt sein. Obwohl der Wert drei hier verwendet ist, kann eine andere Ganzzahl N als fCARRIER/N sowohl für 33230 als auch 32635 und der Multiplizierer ×N für den Multiplizierer 33240 verwendet werden. Vorteilhafterweise führen höhere Werte für N sowohl zu einer tieferen Frequenz und einer niedrigeren Energieverteilung als auch zu einer entspannten Injektionsverriegelung. Ein Nachteil höherer Werte für N kann jedoch eine geringere Teilbandbreite sein. Mit niedrigeren Werten für N kann eine höhere Geschwindigkeit der Modulationen als auch eine höhere Teilbandbreite vorhanden sein, und außerdem eine effizientere Multiplikation. Das führt jedoch zu einer höheren Frequenzverteilung.
  • 333 ist ein Blockdiagramm für eine IIL-basierte Phasenmodulationsschaltung 33300 ähnlich den in 329 und 332 gezeigten, das ein Beispiel für einen injektionsverriegelten Oszillator beim Arbeiten an 1/2 der Trägerfrequenz fCARRIER zeigt und in dem die Phasenmodulation und das Strahlformen in einen einzige Block kombiniert sein können, ohne die Verwendung von I/Q-Mischern oder Phasenschiebern. Ein Verdoppler 33340 für die Frequenz und Phasenmultiplikation kann bereitgestellt sein. Zusätzlich kann ein Gilbert-Quad/Polaritäts-Schalter 33345 für Polaritätsumkehr und Frequenz- und Phasenmultiplikation bereitgestellt sein. Durch Verwenden von fCARRIER/2 33335 anstelle von fCARRIER/3 und des Gilbert-Quad/Polaritäts-Schalters 33345 kann eine breitere Teilbandbreite erreicht werden, und es wird nur ±60° Phasenverschiebung verwendet. Darüber hinaus kann keine Verteilung bei fCARRIER vorhanden sein, was Energie spart.
  • Verschiedene Systeme und Verfahren sind zum Umgehen mit drahtloser Baudraten-Taktdatenwiederherstellung (CDR), die die unabhängigen I/Q-Ströme wie z. B. 16-QAM benutzt, offenbart.
  • 334 ist ein piktographisches Diagramm, das eine Konstellationskarte 33400 für QPSK-Impuls-Amplituden-2- (PAM2-) Modulation und die jeweiligen möglichen I- und Q-Werte 33410 darstellt.
  • 335 ist ein piktographisches Diagramm, das eine Konstellationskarte 33500 für 16-QAM- (PAM4-) Modulation und die jeweiligen möglichen I- und Q-Werte 33510 darstellt.
  • 336 ist ein piktographisches Diagramm einer Konstruktion für eine PAM2-Modulationszeitschätzeinheit 33600 zusammen mit einer Tabelle 33650, die verwendet werden kann, um eine Zeitanpassung (basierend auf einer Berechnung von ZK) zu bestimmen, und einem Schaltungsblockdiagramm 33670 zum Bestimmen der Werte. Diese Schaltungen können die Basisbandverarbeitungsschaltung 392 wie vorstehend beschrieben integrieren, oder können eine andere Form von Basisbandverarbeitungsschaltung integrieren. In diesem Diagramm 33670 sind für PAM2 zwei Datenebenen vorhanden, plus eins und minus eins. Aus den Eingabeströmen können ein Datenwert DK und ein Fehler EK bestimmt werden. Falls die Daten plus eins sind, dann ist das Vorzeichen plus eins und der Fehler ist plus eins. Falls die Daten kleiner als plus eins und größer als null sind, sind die Daten plus eins und der Fehler ist minus eins. Ein Wert ZK kann unter Verwendung aktueller Daten, früherer Daten, des aktuellen Fehlers und des früheren Fehlers berechnet werden. Falls Z positiv ist, dann ist die Abtastphase früh. Falls Z negativ ist, dann ist die Abtastphase spät. Die Abtastphase kann basierend auf den berechneten Z-Werten angepasst werden. Das ist die Baudraten-CDR für PM2.
  • Das Erweitern des Konzepts auf PAM4 (16-QAM) repräsentiert jedoch eine neuartige Herangehensweise, und eine Bestimmung zum Anwenden der Baudraten-CDR in diesem Modulationskontext ist in der folgenden Diskussion dargestellt. Bezug nehmend auf 337, die eine erste Schätzertabelle 33700 für Daten und Fehlerwerte ist, die gemäß einer ersten Technik bereitgestellt sind, zeigt die erste Schätzertabelle 33700 eine mögliche Anwendung auf die Mehr-Bit-Werte, die 16-QAM zugeordnet sind. Unter Verwendung der Fehlerwerte, die in der Tabelle gezeigt sind, arbeitet die CDR jedoch auf suboptimale Weise.
  • 338 ist ein Diagramm 33800, das die Verwendung der Gleichung für Z und die erste Schätzertabelle 33800 darstellt. Die PAM2-(QPSK-) Kurve 33810 stellt einen korrekten Verriegelungspunkt 33830 der CDR dar, wenn sie von 0,5 auf -0,5 übergeht und den Wert 0 zur Zeit 1 schneidet. Für die PAM4- (16-QAM-) Kurve 33820, obwohl sie ebenfalls ein Schneiden des korrekten Verriegelungspunks 33830 zur Zeit 1 zeigt, sind jedoch außerdem zwei falsche Verriegelungspunkte 33840 vorhanden, während denen ein Übergang von einem positiven zu einem negativen Wert stattfindet, die jedoch nicht als ein Verriegelungspunkt der CDR dienen sollten. Da die Tabelle 33700 diese falschen Verriegelungspunkte produziert, kann sie keine akzeptable Lösung sein.
  • 339 ist eine zweite Schätzertabelle 33900, die eine zweite beispielhafte Technik darstellt, in der die Fehlerwerte alle minus eins sind, außer oberhalb der plus-drei-Werte und unterhalb der minus-drei-Werte. 340 ist ein Diagramm 34000 der Z-Funktion unter Verwendung der zweiten Tabelle 33900. Zuerst ist als Referenz die Funktion der ersten Technik (PAM4 / 16-QAM) 33820 auf diesem Diagramm 34000 erneut aufgezeichnet, zusammen mit dem korrekten Verriegelungspunkt 33830 und den falschen Verriegelungspunkten 33840. Als Nächstes ist die Funktion der zweiten Technik 34010 aufgezeichnet und kann auf Werten basieren, die mit der zweiten Tabelle 33900 berechnet sind. Wie in dem Diagramm 34000 zu sehen ist, weist die Funktion der zweiten Technik 34010 keine falschen Verriegelungspunkte 34020 an den Stellen auf, wo sie für die Kurve 33820 basierend auf der erste Tabelle 33700 existieren. Deshalb repräsentierten die Werte der zweiten Tabelle 33900 eine effektive CDR.
  • Das in 340 gezeigte Diagramm 34000 enthält keine Mehrpfad-Intersymbolstörung (ISI) oder Rauschen, und diese würden einige Tragweite für die Frequenz falscher Verriegelungen aufweisen, selbst bei Verwendung der zweiten Tabelle 33900. Unter speziellen Umständen könnten die zweiten Tabellenwerte 33900 durch andere Werte ersetzt werden (z. B. EK +1, +1, -1, -1, +1, +1, -1, +1), und einige Bestimmung könnte empirisch gemessen und/oder dahingehend vorgenommen werden, welche Menge von Werten das beste Ergebnis unter einer bestimmten Menge von Gegebenheiten produzieren.
  • 341 ist ein schematisches Blockdiagramm einer typischen Baudraten-CDR-Schleife für drahtgebunden 34100, die einige logische Berechnungen 34110, einen Phasendetektor (MMPD) 34120, ein Mehrheitsentscheidungs- 34130 Filtern und ein digitales Schleifenfilter 34140 (Filter zweiter Ordnung) mit einem oberen Integrationspfad, der einen Akkumulator aufweist, und dem unteren Proportionalpfad. Ein Akkumulator folgt auch dem digitalen Schleifenfilter 34140 mit einer Nachschlagetabelle (LUT) und weiterer Verarbeitung.
  • 342 ist ein schematisches Blockdiagramm einer drahtlosen CDR-Schleife 34200, die sowohl einen phasengleichen (I) als auch einen Quadratur- (Q) Eingang aufweist. Zusätzlich weist diese Schleife 34200 eine Modeneinheit 34210 auf, die Abschnitte der CDR-Schaltung umfassen kann und die die zwei Daten- (I, Q-) Ausgaben von den Mehrheitsentscheidungsblöcken empfängt.
  • 343 ist eine Tabelle 34300, die verschiedene Modenwerte und Anpassungsangaben beinhaltet, die durch die Modeneinheit 34210 verwendet werden können, um eine Anpassung der Abtastphase zu bestimmen. In der Mode null, falls früh und spät beide null sind, gibt es keine Entscheidung, und die aktuelle Abtastphase kann beibehalten werden. In Mode eins, falls früh eins ist, dann ist das Signal früh, und die Abtastphase kann zu einem späteren Punkt verschoben werden. In Mode zwei, falls spät eins ist, dann ist das Signal spät, und die Abtastphase kann zu einem früheren Punkt verschoben werden. In Mode drei, ähnlich zu Mode null, falls früh und spät beide eins sind, dann kann es keine Entscheidung geben.
  • In Mode vier kann die Q-Ausgabe nicht verwendet werden, und nur die 1-Eingabe kann verwendet werden. Mode fünf ist gleich, außer dass sie nur die Q-Eingabe verwendet. In dem Fall von Mode sechs, falls entweder I oder Q früh ist, dann ist das Signal früh, und die Abtastphase kann zu einem späteren Punkt verschoben werden. Falls entweder I oder Q spät ist, dann ist das Signal spät, und die Abtastphase kann zu einem früheren Punkt verschoben werden. Mode sieben ist ähnlich, jedoch sie ist eine „und“-Funktion, im Gegensatz zu einer „oder“-Funktion. Somit sind sowohl I als auch Q früh, um die Abtastphase zu einem späteren Punkt zu verschieben, und umgekehrt. Mit Verwendung dieser Modeneinheit 34210 in Kombination mit der Modentabelle 34300 kann die Wahrscheinlichkeit einer falschen Verriegelung reduziert sein.
  • Wenn ins Gedächtnis gerufen wird, dass die ISI und Rauschen eine falsche Verriegelung erzeugen können, kann es ein Ziel sein, die für eine einer falsche Verriegelung zu reduzieren. Weil die drahtlose Kommunikation zwei unabhängige Datenströme aufweist, kann ein System beide Ströme nutzen. Das Verwenden von sowohl I als auch Q für die Baudraten-CDR reduziert die Wahrscheinlichkeit für falsche Verriegelungen signifikant. Mehr Einstellungen könnten zu der Tabelle hinzugefügt werden, um mit unterschiedlichen Situationen umzugehen, und es gibt viele Logik-Kombinationen, die zu der Tabelle hinzugefügt werde könnten. Beispielsweise nicht I und Q, und so weiter.
  • Die Mode kann gemäß verschiedenen Kriterien ausgewählt werden, obwohl Moden, die sowohl die I- und Q-Kanäle verwenden, dazu neigen, robuster zu sein, und somit neigen die Moden sechs und sieben dazu, bevorzugt zu sein. In einem ersten Beispiel, wenn in dem QPSK-Modulationsschema gearbeitet wird, das sehr robust und nicht allgemein von falscher Verriegelung betroffen sein kann, können QPSK-Trainingssignale verwendet werden, um den korrekten Verriegelungspunkt zu finden, zuerst unter Verwendung irgendeiner Mode. Als Nächstes kann die Mode auf Mode sechs (I oder Q) oder Moden sieben (I und Q) eingestellt werden. Diese beiden Moden betrachten sowohl die I- als auch Q-Ströme - die robuster sein können als das Betrachten eines einzelnen Stroms, und können die Wahrscheinlichkeit für eine falsche Verriegelung reduzieren. In einem zweiten Beispiel, falls die falschen Verriegelungspunkte der I- und Q-Signale unterschiedlich sind, dann können Mode sechs oder Mode sieben verwendet werden, um den falschen Verriegelungspunkt des kombinierten Diagramms in vielen Fällen zu entfernen. In einem dritten Beispiel, falls entweder I oder Q zwei Pegel aufweist wie z. B. wenn PAM2-Modulation verwendet wird, dann kann es möglich sei, die Mode auf Mode vier oder fünf einzustellen (jedoch können beispielsweise die Moden sechs und sieben auch funktionieren).
  • Wie vorstehend erwähnt kann im Allgemeinen berücksichtigt werden, dass beide Kanäle bessere Ergebnisse produzieren, aber das kann nicht immer der Fall sein. In einigen Fällen wird das Ignorieren eines der Kanäle ein besseres Ergebnis produzieren. In einem vierten Beispiel weist der I-Kanal keine signifikante ISI auf, jedoch der Q-Kanal, und somit kann Mode vier als diejenige ausgewählt werden, die die besten Ergebnisse bereitstellt.
  • Die Modeneinstellungen können dynamisch geändert werden. In diesem Fall können die Moden durch drei Bits repräsentiert sein, und diese können in Echtzeit geändert werden, wenn verschiedene Bedingungen detektiert werden. Beispielsweise falls ein Sender Trainingssignale sendet, jedoch diese nicht durch den Empfänger empfangen werden, dann kann die Mode geändert werden, um zu sehen, ob die Trainingssignale in einer anderen Mode empfangen werden können. Es kann auch möglich sein, eine Art von Dithering vorzunehmen. Beispielsweise könnte für eine Zeitspanne die Mode vier gewählt sein, und dann kann für die nächste Zeit zu Mode fünf umgeschaltet werden. Somit könnten die Mode vier und Mode hin- und her geschaltet werden, und die Bedingungen können detektiert und überwacht werden, um zu bestimmen, welche Mode an eine speziellen Zeitpunkt und in Reaktion auf sich ändernde Bedingungen besser oder am besten sein kann. Dieses Konzept kann auf 64-QAM oder eine höhere Modulationsbetriebsart für größere Datenbitwerte verallgemeinert werden. Für die höheren Modulationsmoden kann eine Tabelle ähnlich der Tabelle 33900 von 339 erzeugt werden, wobei plus eins für den Fehler an den Extremen und minus eins für andere Werte vorgesehen sind.
  • Einige Aspekte der vorliegenden Offenbarung beziehen sich auf die Verwendung noch ADCs mit geringer Auflösung für MIMO-Systeme mit geringer Leistung und stellen einen neuen nahezu optimalen Signalleistungsschätzer für die AGC-Konstruktion in Empfängern mit Analog/Digital-Umsetzern (ADCs) mit geringer Auflösung bereit, der auf Anwendungen mit geringer Leistung und geringer Latenz zielt.
  • Die vorliegende Offenbarung stellt einen Leistungsschätzungsalgorithmus mit nahezu maximaler Wahrscheinlichkeit dar, der den Effekt des Quantisierungsrauschens reduziert und die Genauigkeit der Leistungsschätzung signifikant erhöht, wenn die Empfangssignalleitung oberhalb des Dynamikbereichs der ADCs ist. Genaue Leistungsschätzung reduziert die Latenz von MIMO-Kommunikationssystemen und ermöglicht die Verwendung von ADCs mit geringer Auflösung für MIMO-Systeme mit geringer Leistung. Diese Lösung setzt keine Änderungen an der AGC-Rückkopplungsschleife ein und verwendet keinen hochauflösenden ADC für Ein-Eingang-ein-Ausgang- (SISO-) und MIMO-Systeme, und sie verwendet auch keine AGC-Schaltung an jedem Antennenausgang von MIMO-Systemen. Deshalb kann die vorgeschlagene Lösung energieeffizient sein. Das Verwenden einer Berechnung der mittleren Leistung mit einem ADC mit geringer Auflösung weist einen hohen Schätzfehler auf, der auch die Latenz (Einschwingzeit) erhöht. Deshalb weist die hier vorgeschlagene Lösung hohe Genauigkeit und geringe Latenz auf.
  • Um den gesamten Leistungsverlust an den ADCs zu reduzieren, können die hier beschriebenen Systeme und Verfahren in Übereinstimmung mit einigen Aspekten Folgendes sein: 1) Nutzen von ADCs mit geringer Auflösung an jedem Antennenausgang und einer einzelnen digitalen AGC-Rückkopplungsschleife; 2) für jede der Quantisierungs-Bins (phasengleich/Quantisierung-Signal- (I/Q-) Quantisierungs-Bins gemeinsam) Berechnen oder Simulieren einer Wahrscheinlichkeit eines empfangenen Signals für eine Menge von Quantisierungs-Bins und Erzeugen von Nachschlagetabellen; und 3) Zählen der Gesamtzahl von Abtastpunkten, die in eine spezielle Menge von Quantisierungs-Bins fallen, und Bestimmen des Leistungspegels aus der Nachschlagetabelle in Bezug auf die gezählte Anzahl von Abtastpunkten. Einige Aspekte der vorliegenden Offenbarung stellen einen Leistungsdetektionsalgorithmus mit irgendeinem Typ einer Konstellation und Kanal und irgendeiner Anzahl von ADC-Bit-Auflösung durch Verwenden der Eigenschaften dieser optimalen Detektionslösung bereit. Die Funktionalität der AGC an dem Empfänger kann es sein, eine konstante Amplitude an dem Eingang eines ADC aufrechtzuerhalten. In dieser Offenbarung sind in Übereinstimmung mit einigen Aspekten ein Empfängersystem mit einem ADC mit geringer Auflösung und ein neuer Leistungsdetektoralgorithmus vorgeschlagen.
  • 344A ist ein schematisches Blockdiagramm einer beispielhaften AGC-Schaltung 34400, die in einem Empfänger implementiert sein kann, wobei eine Amplitude der empfangenen Signals während des Betriebs des Empfängers variiert. Die AGC-Schaltung 34400 kann eine digitale Basisbandschaltung 310 wie vorstehend beschrieben integrieren oder kann andere Formen einer digitalen Basisbandschaltung umfassen. Ein Signal kann an einer Antenne 34410 empfangen werden und kann in einen RF-Verstärker 34415 eingegeben werden. Das Signal kann für einen Mischer 34420 bereitgestellt werden, der, unter Verwendung eines lokalen Oszillators, es von einem RF- in ein Zwischenfrequenz- (IF-) Signal umsetzt. Das IF-Signal kann für einen Verstärker mit variabler Verstärkung (VGA) 34425 bereitgestellt werden, und die Ausgabe kann für eine Abtast- und Halte- (S/H-) Schaltung 34430 bereitgestellt werden, wo sie durch einen ADC mit geringer Auflösung 34435 digitalisiert werden kann. Ein Abschnitt des digitalen Signals kann als eine Eingabe für eine Leistungsbestimmungseinheit 34440 bereitgestellt werden. Die Ausgabespannung kann mit einer Referenzspannung VREF kombiniert 34445 und für ein Schleifenfilter 34450 bereitgestellt werden. Das Schleifenfilter 34450 benutzt eine Ausgabe als eine Steuerung für den VGA 34425 und beendet damit die Steuerschleife.
  • 344B ist ein Ablaufplan eines beispielhaften AGC-Prozesses 34460, der Empfangen mehrerer quantisierter Signale von einem quadraturmodulierten Signal S34465, Zuweisen der quantisierten Signale in Bereiche einer Konstellationskarte, die aus phasengleichen (I-) / Quadratur- (Q-) Quantisierungs-Bins besteht, gemäß ihrem quantisierten Leistungspegel S34470, Bestimmen eines Schätzers mit maximaler Wahrscheinlichkeit (MLE) basierend auf den zugewiesenen quantisierten Signalen S34475, Schätzen einer Leistung basierend auf dem MLE S34480 und Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung S34485 umfasst. Die AGC-Schaltung 34400 ist ein Beispiel, das ein Mittel zum Ausführen eines Verfahrens zur automatischen Verstärkungssteuerung (AGC) eines Hochfrequenz- (RF-) Empfängers bilden kann, die Mittel sind jedoch nicht darauf beschränkt.
  • 345 ist ein Konstellationsdiagramm 34500 für eine Quadraturcodierung, die Quantisierungs-Bins für ADCs mit geringer Auflösung mit b = log2(2n) Bits in jeder der I/Q-Komponenten eines Empfängersignals in einem Empfängersystem mit einer einzigen Antenne darstellt. Das empfangene Signal nach der Quantisierung kann wie folgt geschrieben werden: yq,i = Q(hixi + n), i = 1, ..., N, wobei N die Gesamtzahl der Abtastpunkte ist. Hier ist xn ein Kanaleingangssignal und kann aus einer Konstellation der Größe M wie z. B. 16-QAM, 8PSK, 64-QAM, BPSK usw. abgeleitet werden, hn ist die Kanalverstärkung und n ist zusätzliches weißes Gaußsches Rauschen (AWGN) mit einem Mittelwert null und Einheitsvarianz.
  • In der vorstehenden Gleichung ist Q ( ) ein Quantisierer, und die Schwellenpegel des Quantisierers sind als tj, j = -n, ... - 1,0,1, ..., n bezeichnet, so dass t-n = -∞ und tn = ∞ gilt, und somit dementsprechend R e { y q , n } = t j + t j + 1 2
    Figure DE112017006442T5_0125
    gilt, wenn tj < Re{hnxn + n} ≤ tj+1, j = -n + 1, ... - 1,0,1, ..., n - 1.
  • Die vorstehende Quantisierungsoperation kann auch für eine imaginäre Komponente des empfangenen Signals die gleiche sein.
  • Die Bereiche ri, i = 1, ..., 2b-2(2b-1 + 1) können auf I/Q-Quantisierungs-Bins gemäß ihren quantisierten Leistungspegeln definiert sein, so dass die Abtastpunkte in jedem Bereich den gleichen Leistungspegel aufweisen, wie in 345 gezeigt ist. Beispielsweise entspricht der Bereich r1 einer Fläche zwischen t-1 und t1. Die Abtastpunkte, die in den Bereich r1 fallen, weisen einen Leistungspegel gleich 2 ( t 0 + t 1 2 ) 2
    Figure DE112017006442T5_0126
    auf.
  • Ein Schätzer für maximale Wahrscheinlichkeit (ML) kann dann wie folgt formuliert sein: P ^ = a r g m a x P 1 N i = 1 2 b 2 ( 2 b 1 + 1 ) n r i log ( P ( r i | P ) ) ,
    Figure DE112017006442T5_0127
    wobei nr i . die Anzahl von Abtastpunkten aus N ist, quantisiert in dem Bereich ri, und P die mittlere empfangene Signalleistung ist, die als P = E { | h | 2 } 1 M m = 1 M | x m | 2
    Figure DE112017006442T5_0128
    berechnet werden kann. Für eine gegebene Konstellationswahl hängt P nur von der Varianz des Kanals h ab.
  • Als Nächstes kann eine optimale Lösung für den vorstehenden ML-Schätzer bestimmt werden, und Eigenschaften der bedingten Verteilung , P(ri|P) sind wie folgt identifiziert: i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( P ( r i | P ) n r i / N ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N ( P ( r i | P ) n r i / N 1 ) = i = 1 2 b 2 ( 2 b 1 + 1 ) P ( r i | P ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N = 0
    Figure DE112017006442T5_0129
  • In der vorstehenden Gleichung beruht die erste Ungleichung auf der Tatsache, dass ln x ≥ (x - 1) ist, und die Gleichheit kann erfüllt sein, wenn x = 1 ist. Das Folgende resultiert daraus: i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( P ( r i | P ) ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( n r i N ) .
    Figure DE112017006442T5_0130
    Es wird darauf hingewiesen, dass die linke Seite (LHS) der vorstehenden Ungleichung beschränkt ist, und die obere Schranke erreicht werden kann, wenn P ( r i | P ) = n r i N
    Figure DE112017006442T5_0131
    ist (z. B. ln x = (x - 1), wenn x = 1).)
  • Deshalb kann unter Verwendung der bedingten Verteilungen P(ri|P) und der Anzahl quantisierter Abtastpunkte nr i die Leistung geschätzt werden. Es können jedoch die folgenden Probleme vorhanden sein. i) die bedingte Verteilung kann mehrere Lösungen aufweisen, ii) die Anzahl der Bereiche ri, i = 1, ... , 2b-2(2b-1 + 1) kann groß sein; und iii) die Anzahl von Abtastpunkten N kann aufgrund von Latenzanforderungen klein sein.
  • Das Folgende diskutiert die Eigenschaften der bedingten Verteilung, löst die vorstehenden Probleme und vereinfacht den Schätzalgorithmus durch Beschränken der Anzahl von Suchen, so dass eine akzeptable Genauigkeit erreicht werden kann. Das kann durch die folgenden Schritte erreicht werden:
    1. 1. Auswählen von Bereichen ri, die monoton zunehmende oder abnehmende bedingte Verteilungen P(ri|P) aufweisen.
    2. 2. Wählen, aus den ausgewählten Bereichen ri in Schritt 1, einer Menge von Bereichen, so dass r i = a r g   max r i | d P ( r i | P ) d P | ,
      Figure DE112017006442T5_0132
      über dem interessierenden P. Das reduziert die Empfindlichkeit der gezählten Anzahl von Abtastpunkten.
    3. 3. Lösung des Optimierungsproblems: min P i s t e p   2 | P ( r i | P ) n r i N |
      Figure DE112017006442T5_0133
  • 346 ist ein Konstellationsdiagramm 34600 für Quadraturcodierung, die Quantisierungsbereiche für einen 3-Bit-ADC, der in dem folgenden Beispiel verwendet wird, darstellt. Bei Betrachtung eines 64-QAM-Eingabesignals und 3-Bit-ADCs in jeder der I/Q-Komponenten für einen Einzelantennenempfänger und SNR = 10 dB, sind die Bereiche wie in 346 hervorgehoben definiert. Unter Verwendung der Bereiche können bedingte Verteilungen berechnet werden, wie gezeigt und bereitgestellt durch das Diagramm 34700 in 347, das ein Diagramm ist, das bedingte Wahrscheinlichkeitsverteilungen zeigt, wobei nur r1 und r5 monoton zunehmen und abnehmen. Wie in der Figur gezeigt ist, nehmen nur die bedingten Verteilungen von r1 und r5 monoton ab und zu in Bezug auf P (Schritt 1, von oben). Dann werden die Ableitungen der bedingten Wahrscheinlichkeitsverteilungen von r1 und r5, wie in 348 gegeben (Schritt 2) (die in Diagramm 34800 ist, das die Ableitung bedingter Wahrscheinlichkeitsverteilungen zeigt) untersucht. Wie in der Figur gezeigt ist, weist r1, wenn 0 < P < 5,3
    Figure DE112017006442T5_0134
    ist, die größte Steigung auf, was bedeutet, dass der Schätzfehler für die Variation der gezählten Anzahl von Abtastpunkten im Bereich r1 weniger empfindlich sein kann. Wenn 5,3 < P < 65
    Figure DE112017006442T5_0135
    ist, weist r5 eine bessere Schätzgenauigkeit auf. Wenn P(r1|P) und P(r5|P) verwendet werden, kann das Optimierungsproblem in Schritt 3 gelöst werden. In einigen Aspekten kann eine Nachschlagetabelle erzeugt und zum Finden der Lösung verwendet werden.
  • 349 ist ein Diagramm 34900, das ein Beispiel für die Abschätzung der Leistungsfähigkeit des vorgeschlagenen Leistungsschätzungsalgorithmus im Vergleich zu der klassischen Bestimmung der mittleren Leistung zeigt. Hier kann klassische Leistungsschätzung die Schätzung der mittleren Leistung wie folgt sein: P ^ = 1 N i = 1 N | y q , i | 2
    Figure DE112017006442T5_0136
  • Wie in 349 gezeigt ist, weist der neuartige Algorithmus eine signifikant bessere Leistung auf im Vergleich zu der bekannten Schätzung der mittleren Leistung. Das Verfahren zum Schätzen der mittleren Leistung konvergiert zu einem Endpunkt aufgrund des beschränkten Dynamikbereichs des ADC. In der Figur ist auch eine Leistungsschätzung bereitgestellt, die alle Bereiche verwendet. Wie in der Figur ebenfalls gezeigt ist, ist die Leistungsverschlechterung aufgrund der Verwendung von nur r1 und r5 minimal.
  • Als Beispiel ist die Verwendung von 16-QAM und 2-Bit-ADCs bei 10 dB SNR betrachtet, und die erste Latenz des neuartigen Algorithmus mit einer Log-Rückkopplungsschleife, die eine Schrittweite 0,3 aufweist, ist betrachtet. Um den neuartigen Algorithmus mit der Schätzung der mittleren Leistung in 350 zu vergleichen (die ein Diagramm 35000 ist, das die Latenz des neuartigen Algorithmus darstellt), wird ein Anfangswert P = 9.48
    Figure DE112017006442T5_0137
    eingestellt, und der beste Konvergenzwert ist P = 3,16.
    Figure DE112017006442T5_0138
    Wie in 350 gezeigt ist, konvergiert der vorgeschlagene Algorithmus sehr schnell im Vergleich zu der Berechnung der mittleren Leistung, weil der neuartige Algorithmus eine bessere Genauigkeit aufweist.
  • 351 ist ein Diagramm 35100, das den normalisierten mittleren quadratischen Fehler (MSE) vergleicht. Wie in der Figur gezeigt ist, kann der neuartige Algorithmus signifikant besser sein als die Berechnung der mittleren Leistung.
  • 352 ist ein Diagramm 35200, das einen mittleren quadratischen Fehler (MSE) mit einem gleichmäßigen 45°-Phasenrauschen zeigt, das die Leistung mit diesem 45°-Phasenrauschen bewertet. Wie in der Figur gezeigt ist, kann Phasenrauschen hinsichtlich der Leistungsdetektion nützlich sein, da es das empfangene Signal randomisiert. Da bedingte Wahrscheinlichkeitsverteilungen von Rauschen (Signal-Rausch-Verhältnis (SNR)) abhängen, kann die Leistung von dem SNR-Wert abhängen. Unter Verwendung von Dithering-Algorithmen kann jedoch die beste Lösung für irgendein SNR gefunden werden.
  • 353 ist ein schematisches Blockdiagramm, das ein Beispiel eines MIMO-Empfängers 35300 mit einem digitalen Prozessor 35310 (der die Leistungsbestimmungseinheit 34440 integrieren kann), digitaler AGC 35320 und ADCs mit geringer Auflösung 34435, die mehrere phasengesteuerte Gruppenantennen und I/Q-Eingangskanäle aufweisen (andere in 343 beschriebene Komponenten sind hier nicht wiederholt). In dieser Konstruktion können alle Abtastpunkte von jedem der ADCs 34435 gemeinsam verwendet werden. Das ermöglicht eine Reduktion der Latenz, da jeder ADC 34435 weniger Abtastpunkte verwendet.
  • Der neue Leistungsschätzer gemäß dieser Konstruktion ermöglicht eine sehr schnelle Anpassung der AGC-Verstärkung, die in einer Vielzahl von Empfängern mit geringer Leistung verwendet werden kann.
  • Es sind hier in Übereinstimmung mit einigen Aspekten ein System und Verfahren offenbart, die die Antennengruppe als ein Verstärkungssteuerungselement für sowohl den Empfänger, der in einer Empfangsbetriebsart arbeitet, und dem Sender, der in einer Sendebetriebsart arbeitet, verwenden. In einem Zeitduplex- (TDD-) System (und/oder einem Frequenzduplex- (FDD-) System) kann, da der Empfänger und der Sender nicht gleichzeitig arbeiten, die Antennengruppe konfiguriert sein, unabhängige Verstärkungssteuerung für den Empfänger und den Sender zu ermöglichen. Die Verstärkungssteuerung in der Antennengruppe kann durch selektives Anschalten (oder Abschalten) von Elementen in der Gruppe implementiert sein, so dass die Verstärkung und die Richtwirkung der Antennengruppe auf die Betriebsbedingungen zugeschnitten sein können.
  • Selektives Anschalten (oder Abschalten) von Elementen der Antennengruppe während der Sendezeitschlitze ermöglicht das Steuern der abgestrahlten Leistung, während sie auch zu Einsparung der Batterieleistung führt, wenn Elemente abgeschaltet sind. Selektives Anschalten (oder Abschalten) von Elementen der Antennengruppe während der Empfangszeitschlitze ermöglicht die Implementierung von Verstärkungssteuerung vor der ersten Verstärkungsstufe. Wenn die Elemente der Gruppe abgeschaltet sind, kann der Ansteuerpegel in diese Stufe reduziert sein, und dadurch sind seine Linearitätsanforderungen reduziert.
  • Eine Herausforderung bei der Implementierung kann sein, wie bestimmt werden soll, wann die Antennengruppe verwendet werden soll, um Verstärkungssteuerung in entweder einer Empfangs- oder einer Sendebetriebsart auszuführen. Das beinhaltet das Erfassen der Störerleistung (in dem Empfangsfall) und Ausführen von Strahlsuchen, so dass die Verbindungsstrecke zwischen dem Benutzer-Equipment (UE) und der Basisstation (BS) nicht verschlechtert wird durch Beibehalten des Signal/Rauschen-Verzerrungserhältnisses (SNDR), das für einen höheren oder sogar den höchsten (unter den Signalbedingungen) Durchsatz geeignet ist.
  • Ein System und ein Verfahren, die hier beschrieben sind, können auch für eine gesteigerte oder optimierte Steuerung der Antennengruppe basierend auf Stromaufnahme-Reduktion versus Netzbedingungen verwendet werden. In existierenden mmWellen-Systemen wie z. B. Radar- oder festen Punkt-zu-Punkt-Systemen verwendet der Sendeempfänger keine aufwändige Verstärkungssteuerung, um die Verbindungsstreckenqualität aufrechtzuerhalten. Im Gegensatz dazu verwenden mobile zellulare Systeme routinemäßig komplexere Verstärkungssteuerung sowohl in dem Empfänger als auch dem Sender.
  • 354 ist ein Blockdiagramm, das eine Implementierung einer Strahlformungsschaltung 35400 darstellt, und zeigt N gleiche Sendeempfänger-Scheiben 35410 und N Antennenelemente 35420. Das System kann eine parallele Empfangsschaltung 382 und/oder eine kombinierte Empfangsschaltung 384 nutzen, wie vorstehend diskutiert, oder es kann eine andere Empfangsschaltung umfassen. Eine Implementierung eines TDD-Sendeempfängers ist in der ersten Scheibe 35410 gezeigt. Um ein spezielles Strahlmuster zu erreichen, können die Antennenelemente 35420 mit einem Signal gespeist werden, das eine spezielle Amplitude und Phase aufweist. Ein Schalter 35430 kann verwendet werden, um einzustellen, ob der Sendeempfänger in einer Sende- oder Empfangsbetriebsart arbeitet. Der Sendeempfänger beinhaltet Verstärkungssteuerungen (einen rauscharmen Verstärker mit variabler Verstärkung (LNA) 35440 in dem Empfangspfad und einen Leistungsverstärker (PA) mit variabler Verstärkung 35460 in dem Sendepfad) und Phasenschieber 35450, 35470, um die Amplitude und Phase für ein gegebenes Strahlmuster einzustellen. 354 umfasst ferner einen Prozessor 35480, der verwendet werden kann, um die phasengesteuerte Gruppe unter Benutzung einer Verstärkungstabelle 35490, die nachstehend genauer diskutiert ist, zu steuern.
  • Eine Antennengruppe kann Antennen aufweisen, die in verschiedenen Konfigurationen angeordnet sind, wie z. B. in einem rechteckigen Muster, beispielsweise einem zwei-mal-vier-Muster für eine Acht-Elemente-Antenne. Sie kann außerdem auch ungerichtete Antennenelemente aufweisen. In einer Beispielkonfiguration erfährt ein empfangenes Signal (ein gewünschtes Signal und ein Störersignal) eine Verstärkung in der Antennengruppe, die durch 20log(n) gegeben ist, während das thermische Rauschen mit einer Verstärkung von 10log(n) verstärkt wird. In dieser Situation ist die effektive Empfangsverstärkung der Antennengruppe 20log(n) - 10log(n).
  • Der gebildete Strahl kann unterschiedlich sein, abhängig davon, wie viele Elemente aktiviert sind. Wenn alle acht Elemente in dem zwei-mal-vier-Muster angeschaltet sind, ist die Verstärkung am höchsten (z. B. 13,2 dB), und der Strahl ist am engsten. Umgekehrt ist, wenn nur vier der acht Elemente angeschaltet sind, die Verstärkung am geringsten (z. B. 10,1 dB), und der Strahl ist am weitesten. Wenn sechs der Elemente angeschaltet sind, ist die Verstärkung zwischen diesen Extremen (z. B. 11,7 dB), und ebenso die Strahlweite.
  • Die nachstehende Tabelle 11 fasst die theoretische Empfangsverstärkung, die simulierte Empfangsverstärkung und den Unterschied der Verstärkung (theoretisch und simuliert) für eine gegebene Anzahl von Gruppenelementen, die angeschaltet sind, zusammen. Aus Tabelle 11 ist zu sehen, dass die Antennengruppe wenigstens zusätzliche 5,5 dB eines Verstärkungssteuerungsbereichs bereitstellen kann, wenn nur zwei aus acht Elementen während des Empfangszeitschlitzes angeschaltet sind. Zusätzlich können 3 dB (theoretisch) Verstärkungsreduktion möglich sein, falls nur ein Element der Gruppe angeschaltet ist. Tabelle 11 Zusammenfassung der Antennengruppenverstärkung vs. Anzahl angeschalteter Elemente mit theoretischen und simulierten Daten
    Anzahl angeschalteter Gruppenelemente (Non) Effektive Empfangsverstärkung der Gruppe [20log(Non/8) - 10log(Non/8)] Simulierte Antennengruppenverstärkung (dB) ΔVerstärkung (dB) [Theoretisch] ΔVerstärkung (dB) [Simuliert]
    8 [20log(8/8)-10log(8/8)] = Max Verstärkung 13,2 0 0
    6 [20log(6/8)-10log(6/8)] = Max Verstärkung - 1,25 dB 11,7 -1,25 -1,5
    4 [20log(4/8)-10log(4/8)] = Max Verstärkung - 3,01 dB 10,1 -3,01 -3,1
    2 [20log(2/8)-10log(2/8)] = Max Verstärkung - 6,02 dB 7,7 -6,02 -5,5
  • Das Strahlformen kann auch auf der Positionskonfiguration der aktiven Elemente basieren. Beispielsweise kann ein Strahl weiter oder enger sein abhängig davon, ob die äußeren vier oder die inneren vier der acht Antennenelemente aktiviert sind.
  • Die 355 und 356 sind Diagramme 35500, 35600, die die Verbesserung des Empfänger-Dynamikbereichs darstellen, die realisiert werden kann, wenn die Antennengruppe als ein Verstärkungssteuerungsmechanismus verwendet wird. Diese Diagramme sind eine Auftragung des SNDR versus der Eingangsleistung an der Antenne für zwei Fälle. 355 zeigt den Fall, in dem die Antennengruppenverstärkung konstant gehalten ist. Das überlappende SNDR-Signal 35510 SNDR mit Analog/Digital-Umsetzung (ADC) 35520 und der ADC-Ansteuerpegel 35530 versus Leistungskurven sind aufgezeichnet. Wenn die Leistung an der Antenne hoch genug ist, ist zu sehen, dass das SNDR 35510, 35520 signifikant abfällt und der ADC-Ansteuerpegel 35530 in dem Gebiet mit reduziertem Dynamikbereich 35540 signifikant ansteigt.
  • 356 zeigt den Fall, wenn die Antennenverstärkung variiert wird, um die Verstärkungssteuerung zu ermöglichen. Das überlappende SNDR-Signal 35610 und das SNDR mit Analog/Digital-Umsetzung (ADC) 35620 und der ADC-Ansteuerpegel 35630 versus Leistungskurven sind aufgezeichnet. Wenn die Leistung der Antenne hoch ist, ist zu sehen, dass das SNDR 35610, 35620 seinen Pegel beibehält und der ADC-Ansteuerpegel 35630 grob in demselben Leistungsbereich bleibt, der durch das Gebiet mit reduziertem Dynamikbereich 35540 in der vorhergehenden Figur besetzt ist.
  • Bei Vergleichen der 355 und 356 ist zu sehen, dass das Nutzen der Antennengruppe als einen Verstärkungssteuerungsmechanismus den Dynamikbereich des Empfängers um wenigstens 10 dB für eine Acht-Elemente-Antennengruppe erhöht. Eine größere Anzahl von Antennenelementen würde einen größeren Anstieg des effektiven Dynamikbereichs des Empfängers ermöglichen. Darüber hinaus können durch Abschalten von Scheiben in dem Sendeempfänger Stromaufnahmeeinsparungen realisiert werden. Beispielsweise würde das Verwenden von nur vier der acht Gruppenelemente zu ungefähr 50 % Stromaufnahmeeinsparungen in dem Frontend des Empfängers führen.
  • Ähnlich erfährt das gesendete Signal eine Verstärkung aufgrund der Antennengruppe. Der Verstärkungssteuerungsbereich, der für den Sender erhalten wird, kann als 20log(Non/8) für eine 8-Elemente-Gruppe ausgedrückt werden, wobei Non die Anzahl aktiver Elemente in der Gruppe ist. Diese Beziehung gilt, weil die Eingabe in jedes der Elemente korreliert sein kann. Stromaufnahmeeinsparungen können auch in dem Sender erhalten werden, wenn Elemente der Gruppe als Teil des Verstärkungssteuerungsmechanismus abgeschaltet sind.
  • 357 ist ein Diagramm 35700, das eine abgestrahlte Leistung 35710 und die relative Stromaufnahme 35720 versus Anzahl aktiver Elemente in der Antennengruppe zeigt.
  • Wie aus dem Vorstehenden zu sehen ist, können die Vorteile der Aktivierung von Verstärkungssteuerung in der Antennengruppe signifikant sein. Ein nachstehend diskutierter Aspekt ist der Algorithmus und die Prinzipien, wie und wann Verstärkungssteuerung in der Antennengruppe angewandt werden sollen.
  • Der Empfängerdynamikbereich kann durch Verwenden von Messungen des Empfangssignalstärkenindikators (RSSI) erweitert werden. Darüber hinaus kann der Verstärkungs-Backoff (bei Arbeiten an einem Pegel unterhalb der Sättigung eines Leistungsverstärkers) für hohe Signalpegel auf der Detektion des gewünschten Signals und/oder des Störers basieren. Verstärkungs-Backoff für niedrige bis mittlere Signalpegel kann für eine Reduktion der Stromaufnahme verwendet werden. Außerdem kann eine gerade Anzahl von Ketten verwendet werden, um die Symmetrie aufrechtzuerhalten, während eine ungerade Anzahl von Ketten für erhöhte Steuerstufen/Bereich verwendet werden kann. Was das Senden betrifft, kann die angeforderte/programmierte Sendeleistung die Anzahl aktiver ketten bestimmen. Verstärkungs-Backoff für hohe Leistungspegel kann zum Reduzieren der Stromaufnahme berücksichtigt werden, während Verstärkungs-Backoff für niedrige Leistungspegel zum Erweitern des Sendeverstärkungssteuerungsbereichs berücksichtigt werden kann. Außerdem kann, wie für den Empfang, eine gerade Anzahl von Ketten verwendet werden, um die Symmetrie aufrechtzuerhalten, während eine ungerade Anzahl von Ketten für erhöhte Steuerstufen/Bereich verwendet werden kann.
  • Die 358 und 359 sind Diagramme, die die Grenze des Arbeitsfensters zum Steuern der Anzahl aktiver Elemente in der Antennengruppe vs. Signalleistungspegel, RSSI für Rx, und die angeforderte Leistung für Tx einrahmen. Das Arbeitsfenster bildet auch die Kompromisse versus Stromaufnahme ab.
  • 358 ist ein Diagramm 35800, das die Kompromisse für die Betriebsbedingungen für Rx darstellt. Hier kann die Anzahl aktiver Elemente, wie durch den linken Pfad 35810 dargestellt ist, an dem niedrigsten Signalpegel, der ein akzeptables Signal-Rausch-Verhältnis (SNR) aufrechterhält, reduziert sein, um die Stromaufnahme zu verbessern. Das kann zu einem breiteren Bereich von Signalpegeln führen, wo der Empfänger ohne Strahlformungsverstärkung betrieben wird. Das ist ein Beispiel einer Betriebsart unter Bedingungen mit geringer Störung. Im Gegensatz dazu kann der rechte Pfad 35820 für Bedingungen mit hoher Störung gut geeignet sein, weil das Antennenmuster eine enge Strahlweite fokussiert auf das gewünschte Signal aufweist. Die Bedingungen mit enger Strahlweite können für Sichtlinien- (LOS-) Betrieb gut geeignet sein, und Bedingungen mit weiterer Strahlweite können für nicht-LOS-Betrieb gut geeignet sein.
  • 359 ist ein Diagramm 35900, das die Kompromisse für die Betriebsbedingungen für Tx darstellt. Hier kann die Anzahl aktiver Elemente, wie durch den rechten Pfad 35910 dargestellt ist, an dem höchsten Signalpegel, der ein akzeptables SNR aufrechterhält, reduziert sein, um die Stromaufnahme zu verbessern. Das kann zu einem breiteren Bereich von Signalpegeln führen, wo der Sender ohne Strahlformungsverstärkung betrieben werden kann. Das kann eine Betriebsart für den geringsten Energieverbrauch sein. Im Gegensatz dazu kann der linke Pfad 35920 zum Abstrahlen einer geringeren oder der geringsten Größe von Störung gut geeignet sein, da das Antennenmuster eine enge Strahlweite fokussiert auf die gewünschte Basisstation aufweist.
  • Die 360 und 361 sind Ablaufpläne für beispielhafte Empfangs- 36000 und Sende- 36100 Prozesse zum jeweiligen Konfigurieren der Antennengruppen. Diese Prozesse 36000 sind Beispiele, die ein Mittel zum Ausführen eines Verfahrens zum Steuern einer Antennengruppe in einem Sendeempfänger einer phasengesteuerten Gruppe, das Schalten eines Sende- und Empfangsschalters, der zwischen einer Sendebetriebsart (TM) und einer Empfangsbetriebsart (RM) geschaltet werden kann, umfassen kann, bilden können, die Mittel sind jedoch nicht auf diesen Prozess beschränkt. Die Operationen, die in diesen Ablaufplänen definiert sind, können durch den Prozessor 35480 (354) ausgeführt werden, der Anweisungen ausführt, die in einem Speicher der Vorrichtung gespeichert sind. 360 zeigt den Empfangsprozess 36000, der bei Operation S36010 durch Konfigurieren der Verstärkungstabelle 35490 (354) für die Einstellungen mit kleinster Stromaufnahme der Antennengruppe beginnt. In der Operation S36020 können AGC-Operationen unter Verwendung der Verstärkungstabelle 35490 ausgeführt werden. Diese Operationen können normale Anpassungen an der Verstärkung durch Anpassen des variablen rauscharmen Verstärkers 35440 und/oder Anpassen der Anzahl oder der Konfiguration aktiver Antennenelemente 35420 enthalten. In der Operation S36030 kann Breitband- und Schmalband-Signaldetektion ausgeführt werden. In der Operation S36040 kann eine Bestimmung vorgenommen werden, ob ein Störer vorhanden sein kann. Falls ja (S36040: J) kann dann in der Operation S36050 die Verstärkungstabelle 35490 für eine engere Strahlweite der Antennengruppe konfiguriert werden, und der Prozess kann mit der Operation S36020 fortfahren. Andernfalls (S36040: N) kann der Prozess mit der Operation S36010 fortfahren.
  • 361 zeigt dem Sendeprozess 36100, der bei Operation S36110 durch Konfigurieren der Verstärkungstabelle 35490 für die Einstellungen mit kleinster Stromaufnahme der Antennengruppe beginnt. In der Operation S36120 können Leistungssteuerungs-Operationen unter Verwendung der Verstärkungstabelle 35490 ausgeführt werden. Diese Operationen können normale Anpassungen der Verstärkung durch Anpassen des Leistungsverstärkers 35460 und/oder Anpassen der Anzahl oder der Konfiguration aktiver Antennenelemente 35420 enthalten. In der Operation S36130 kann eine Bestimmung vorgenommen werden, ob bekannte Koexistenz- oder Störungsprobleme vorhanden sind. Falls ja (S36130: J) kann in der Operation S36140 die Verstärkungstabelle 35490 für eine engere Strahlweite der Antennengruppe konfiguriert werden, und der Prozess fährt mit der Operation S36120 fort. Andernfalls (S36130: N) kann in der Operation S36150 eine Bestimmung vorgenommen werden, ob das Netz eine engere Strahlweite angefordert hat. Falls ja (S36150: J) kann der Prozess mit der Operation S36140 fortfahren, wie vorstehend. Andernfalls (S36150: N) kann der Prozess mit der Operation S36110 fortfahren.
  • Andere Faktoren, die berücksichtigt werden können, enthalten die Fortbewegungsgeschwindigkeit einer mobilen Vorrichtung - beispielsweise ein Fußgänger, der mit seinem Mobiltelefon zu Fuß geht versus Verwenden des Telefons in seinem Auto. Eine sich bewegende Vorrichtung kann wahrscheinlicher von einem weiteren Strahl profitieren, da die Strahlformungsausrichtung nicht so häufig vorgenommen werden muss. Eine spezifische Absorptionsrate (SAR), die ein Maß für die Energie ist, die durch den Körper absorbiert wird, kann aus Sicherheitsgründen ebenfalls berücksichtigt werden. Beispielsweise kann die Nähe und Ausrichtung eines Benutzers die Strahlformungsentscheidung beeinflussen. Falls, angesichts der Senderichtung, das Erzeugen eines engen Strahls den Strahl von dem Benutzer weg lenken wird, dann könnte es wünschenswert sein, mehr Elemente zu aktivieren und das Strahlformen vorzunehmen, da das eine sicherere Konfiguration für den Benutzer als Arbeiten mit einer größeren Bandbreite sein wird. Umgekehrt könnte, falls die Senderichtung zu dem Benutzer hin ist, es dann wünschenswert sein, weniger Elemente zu aktivieren, um einen weiteren (und einen in jeder Richtung weniger energiereichen) Strahl zu erzeugen, da das für den Benutzer sicherer sein wird.
  • Das Benutzen der Systeme und Verfahren, die vorstehend diskutiert sind, kann verbesserte Leistungsfähigkeit des Sendeempfängers und eine verbesserte Batterielebensdauer ermöglichen.
  • In einem drahtlosen Kanal sind nicht alle Abzweigungen gleichzeitig mit gleicher Stärke verwendet. Die meisten (z. B. mehr als 80 %) der Abzweigungen während der normalen Verwendung können auf eine niedrige Stärke (z. B. weniger als 25 % ihrer Maximalwerte) eingestellt sein. Spätere Post-Cursor-Abzweigungen in einem Kommunikationssignal weisen eine geringere Stärke auf als frühere Abzweigungen, und aus dieser Tatsache kann ein Vorteil gezogen werden. Durch Reduzieren der vollen Leistung eines DAC und Eingehen eines Kompromisses für den Auflösungsbereich kann eine Reduktion des Quantisierungsrauschens der Abzweigungen mit geringer Stärke realisiert werden.
  • 362 ist ein schematisches Diagramm einer typischen DAC-Architektur 36200. Der DAC 36200 kann einen DAC 340, wie vorstehend beschrieben, oder eine andere Architektur umfassen. Derartige DACs verwenden einen Stromspiegel 14905, der eine Stromquelle 36210 umfasst, die Strom über den Transistor 36220 bereitstellt, was einen Spannungspegel an dem Gate des Transistors 36220 aufbaut. Das Gate kann ferner einen Schalter 36320 aufweisen, so dass es von dem rechten Teil der Schaltung, die eine Anzahl von DAC-Zweigen 36250 umfasst, die die Bits umfassen, die die Auflösung des DAC bilden, getrennt werden kann. Es ist ein Ausgang 36255 vorgesehen, an dem der Spannungspegel, der sich auf die Anzahl von aktivierten DAC-Zweigen bezieht, präsentiert wird. Die Aktivierung kann über den Transistor 36260 stattfinden, der als ein Schalter agiert und es ermöglicht, dass Strom durch den Transistor 36270 fließt, der gleich dem ist, der durch den Stromspiegel 36205 fließt. Ein solcher DAC kann als Beispiel ein hochauflösender 7-Bit-DAC sein, der 128 unterschiedliche Pegel aufweist. Diese Pegel könnten beispielsweise über fünf Volt verteilt sein, so dass jede Stufe des DAC einen Spannungspegel von ungefähr 5v/128 Stufen = 0,0391 V/Stufe repräsentiert.
  • 363 ist ein schematisches Diagramm eines hierarchisch strukturierten DAC 36300 gemäß einer Implementierung einer hier beschriebenen Vorrichtung. Elemente, die mit der vorhergehenden Figur gemeinsam sind, funktionieren auf eine ähnliche Weise, und ihre Beschreibung ist hier nicht wiederholt. Dieser DAC 36300 ist ein Beispiel für ein Mittel zum Ausführen eines Verfahrens zum Betreiben einer Digital/Analog-Schaltungsvorrichtung, das in einer erste Komponente Bereitstellen von wenigstens zwei schaltbaren Pfaden, in denen Strom von einer Stromquelle über die wenigstens zwei schaltbaren Pfade fließt, um eine Referenzspannung an einem Referenzspannungspunkt aufzubauen, die von einer Anzahl der angeschalteten Pfade abhängt, und in einer zweiten Komponente Bereitstellen von wenig zwei schaltbaren Pfaden umfasst, wobei eine Ausgabe, die der zweiten Komponente zugeordnet ist, von einer zweiten Anzahl von angeschalteten Pfaden und dem Spannungsreferenzpunkt abhängig ist, wobei der Spannungsreferenzpunkt die erste Komponente mit der zweiten Komponente verbindet, aber die Mittel sind nicht darauf beschränkt. Ein zusätzliches Merkmal dieser Konstruktion ist ein zweiter DAC-Zweig 36330 an dem linken Teil der Schaltung, wobei der linke Teil der Schaltung aus dem folgenden Grund als ein „grober DAC“ gekennzeichnet ist. Wenn dieser DAC-Zweig 36330 über den Transistor 36345, der als ein Schalter agiert, angeschaltet wird, fließt Strom aus der Stromquelle 36210 durch ihn über den Transistor 36340. Wenn dieser Zweig 36330 und der initiale Zweig 36250, der den Transistor 36220 umfasst (und auch über seinen eigenen Schalter 36320 betreibbar ist), angeschaltet sind und als eine Stromaufnahme für die Quelle, 36210, dienen, wird der Strom zwischen den zwei Zweigen aufgeteilt, und die Spannung an den Gates (und an dem Schalter 36230) wird auf eine Hälfte ihres Werts, wenn nur ein Zweig aktiv ist, reduziert. Das reduziert den effektiven Bereich dessen, was als der feine DAC-Abschnitt auf der rechten Seite betrachtet werden kann.
  • Bei Verwendung des vorstehend bereitgestellten Beispiels existiert auf der rechten Seite immer noch ein 7-Bit-DAC, der 128 Stufen aufweist, aber aufgrund der Aufteilung des Stroms durch die zwei Zweige auf der linken Seite der Schaltung wird sein Bereich halbiert, z. B. auf 2,5 V. Da die Auflösung gleich bleibt, bedeutet das, dass jede Stufe des DAC jetzt einen Spannungspegel von etwa 2,5 V / 128 Stufen = 0,1953 V/Stufe repräsentiert. Somit kann man durch Einschalten oder Ausschalten eines der DAC-Zweige 36330 den Betrieb des DAC von zwischen 0-5 V auf 0-2,5 V umschalten, der im Wesentlichen als ein grober DAC agiert, der arbeitet, um zwischen zwei Pegeln umzuschalten.
  • Die grobe DAC-Seite ist nicht darauf beschränkt, dass sie zwei Zweige aufweist. Zusätzliche Zweige könnten hinzugefügt und konfiguriert werden, so dass sie über einen oberen Schalter ähnlich dem Transistor 36345 einschaltbar und ausschaltbar sind. Falls vier DAC-Zweige 36330 auf der groben DAC-Seite vorgesehen sind, dann könnte der DAC in einem vollständigen Bereich (ein Zweig aktiviert), einen halben Bereich (zwei Zweige aktiviert), einem Drittelbereich (drei Zweige aktiviert) und einem Viertelbereich (vier Zweige aktiviert) arbeiten.
  • Zur Zeit der Konstruktion kann das Aufnehmen eines groben N-Bit-DAC den feinen DAC der Auflösung von bis zu N-Bits reduzieren (um die gleiche Gesamtauflösung des DAC aufzuweisen). Das Reduzieren des feinen DAC um N Bits kann jedoch seine Fläche um einen Faktor 2N reduzieren. Somit kann durch Anordnen der DACS in dieser hierarchischen Struktur ein beträchtlicher Chip-Platz mit wenig Auswirkung auf die Funktionalität eingespart werden.
  • Es sind hier in Übereinstimmung mit einigen Aspekten ein System und ein Verfahren offenbart, das Polarisation in drahtloser mmWellen-Kommunikation zum Multiplexen verwendet, um die Spektrumsnutzungseffizienz durch Verwenden des multiplexten Kanals als einen zusätzlichen Datenstrom zu verbessern. Drahtlose Kanäle haben Schwierigkeiten mit ISI und Kreuzpolarisation aus Mehrpfad-Reflexionen bei Raten von Gigabyte/Sekunde (GB/s), insbesondere für mächtige MIMO-Konfigurationen, die Hunderte von Abzweigungen aufweisen. Traditionell wäre die Handhabung dieser Probleme in der digitalen Domäne unter Verwendung eines Analog/Digital-Umsetzers (ADC) und dann Nutzen eines digitalen Signalprozessors (DSB), um diese Probleme zu analysieren und zu korrigieren, ausgeführt worden. Diese Lösung ist jedoch bei den für drahtlose mmWellen-Kommunikation verwendeten Datengeschwindigkeiten, die in der Größenordnung von mehreren Gigabits pro Sekunde sein kann, nicht brauchbar. Darüber hinaus neigen solche Lösungen dazu, komplex und teuer zu sein. Das hier offenbarte System ist gemäß einigen Aspekten eine Mischsignal-Feedforward + Rückkopplungs-Polarisator + Entzerrer- (MSFFPE-) Konstruktion, die eine geringere Leistung als die ADC + DSP-Lösung nutzt und genug Geschwindigkeit besitzt, um mit Signalen in der mmWellen-Bandbreite adäquat umzugehen.
  • 364 ist ein kombiniertes piktographisches Diagramm 36400, das ein Paar von Graphen enthält, die Co-Polarisation 36410 und Kreuzpolarisation 36420 darstellen, wenn eine Sendeantenne 36430 und eine Empfangsantenne 36440 ausgerichtet/parallel sind. Die Figur zeigt, dass die empfangene Amplitude eines kreuzpolarisierten Signals relativ niedrig ist.
  • Man kann jedoch nicht immer darauf vertrauen, dass Antennen ausgerichtet sind, insbesondere in Bezug auf mobile Vorrichtungen. 365 ist ein kombiniertes piktographisches Diagramm 36500, das ein Paar von Graphen enthält, die Co-Polarisation 36510 und Kreuzpolarisation 36520 darstellen, wenn eine Sendeantenne 36530 und eine Empfangsantenne 36540 nicht ausgerichtet/nicht parallel sind. Die Figur zeigt, dass die empfangene Amplitude eines kreuzpolarisierten Signals in dieser Situation signifikant höher ist als in der in 364 dargestellten Situation mit ausgerichteten Antennen.
  • 366 ist ein Beispiel eines Empfängers 36600 unter Verwendung der MSFFPE-Konstruktion gemäß einigen Aspekten. Der Empfänger 3660 ist ein Beispiel, das ein Mittel für ein Verfahren zum Betreiben eines MSFFPE bilden kann, die Mittel sind jedoch nicht darauf beschränkt. Der Empfänger 36600 kann mehrere Strahlformungsantennen aufweisen, die eine vertikale 36610V und eine horizontale 36610H Komponente aufweisen. Jede davon kann weitere Verarbeitungskomponenten (nicht getrennt beschriftet) innerhalb der strahlformenden Elemente 36620 aufweisen. Der Empfänger 15300 kann Basisbandverarbeitungsschaltung 392 benutzen, wie vorstehend beschrieben, oder kann eine andere Schaltung umfassen. Diese können einen rauscharmem Verstärker (LNA), separate I- und Q-Mischer und Summierer zum Kombinieren der Signale aufweisen. Die I- und Q-Signale für jede der vertikalen 36610V und horizontalen Komponenten 36610H können für einen Verstärker mit variabler Verstärkung (VGA) 36630 und eine Trägerwiederherstellungsschaltung 36640 bereitgestellt werden. ADCs 36650 können für jede der VI-, VQ-, HI- und HQ-Signalleitungen vorgesehen sein. Wie in 366 zu sehen ist, ist ein neuartiges MSFFPE 36660 bereitgestellt, das Signale erfasst, nachdem sie in digital umgesetzt worden sind, und diese können Gegenstand einer Taktverzögerung 36664 sein. Die Verzögerungssignale können durch Polarisator- und Entzerrer-Komponenten 36662 verarbeitet werden, um das Filtern über Entzerrungs- und Polarisationsverarbeitung bereitzustellen, und die Ausgabesignale können auf der analogen Seite der ADCs 36650 bereitgestellt werden. Diese Entzerrung kann durch Nutzen eines integrierenden Entscheidungs-Rückkopplungs-Entzerrer-Summierers (DFE-Summierers), der nachstehend genauer beschrieben ist, ausgeführt werden.
  • 367 ist ein Schaltplan, der einen herkömmlichen Summierer 36700 darstellt, und 368 ist ein Schaltplan, der einen integrierenden DFE-Summierer 36800 darstellt, wobei die relevanten Unterschiede hervorgehoben sind. In dem herkömmlichen Summierer 36700 ist die Bandbreite durch eine RC-Zeitkonstante, die durch die Widerstände 36710 und die Kapazität 36720 erzeugt wird, begrenzt. Zusätzlich dazu, dass die Bandbreite begrenzt ist, weist diese Konstruktion einen hohen Energieverbrauch aufgrund des statischen Stroms und eines Verstärkung-Bandbreiten-Kompromisses auf.
  • Im Gegensatz dazu weist der integrierende DFE-Summierer 36800 eine Konstruktion mit geringem Energieverbrauch auf, da kein statischer Strom und keine Notwendigkeit einer Einschwingzeit vorhanden ist. Die Widerstände 36710 des herkömmlichen Summierers 36700 sind durch rücksetzbare Kondensatoren 36810 ersetzt, und die ausgegebene Kondensatorspannung wird während des Rücksetzens (von CLK = 0) zurückgesetzt. Die Kapazität 36820 ist die gleiche wie die vorstehend beschriebene Kapazität 36720. Die Ladung wird dann während der Integration (von CLK = 1) integriert, die dann am Ende abgetastet wird.
  • 369 ist ein schematisches Diagramm, das mehr Einzelheiten über die Konstruktion des DFE-Summierers 36900 bereitstellt. Ein Op-Amp 36910 ist für Gleichtaktrückkopplung vorgesehen, die sich auf Hochsetzvorrichtungen 36950 zur Bandbreitenverbesserung und Versatzauslöschung bezieht. Signale können für einen Vorverstärker 36920 und dann für einen Slicer 36930 zur Ausgabe bereitgestellt werden. Die Schaltung umfasst außerdem DFE-Rückkopplungs-Abzweigungen 36940 und einen DFE-Eingang 36960.
  • 370 ist ein Diagramm 37000, das sich auf die Konstruktion des DFE-Summierers 36900 bezieht, das das Taktsignal 37005 in Bezug auf das Ausgabesignal 37010 des summierenden Verstärkers und das „Strong Arm-1“-(SAI-) Signal 37020 zeigt. Der Summierer nutzt den vorstehend diskutierten Rücksetzschalter mit zwei Phasen: rücksetzen und integrieren. Er kann eine volle 5 GHz-Taktrate für 5G-Symbole/s unterstützen und stellt eine Gleichtaktrückkopplung bereit. Die kaskoden-konfigurierten Vorrichtungen stellen eine Bandbreitenverbesserung bereit, und die Hochsetzvorrichtungen stellen Bandbreitenverbesserung und Versatzauslöschung bereit. AC-Kopplungs-Kondensatoren können vorgesehen sein, um Versatz zu entfernen, und eine Verwendung von sieben Vorverstärkern und Scheiben kann sieben unterschiedliche Schwellenwerte (Versatze) bereitstellen. Ein absichtlicher Taktversatz kann zwischen CLK_SUM und CLK_SLICER vorgesehen sein, um das Abtasten der Summiererausgabe an einem optimalen Punkt zu ermöglichen.
  • Es wird auf 371 Bezug genommen, die ein Blockdiagramm einer RF-Vorrichtung 371100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Wie in 371 gezeigt ist, kann in einigen anschaulichen Aspekten die RF-Vorrichtung 371100 einen Sendeempfänger aufweisen. Beispielsweise kann der Sendeempfänger einen Halbduplex-Sendeempfänger, einen Vollduplex-Sendeempfänger oder dergleichen aufweisen. Die hier beschriebene RF-Vorrichtung kann in eine oder mehrere Schaltungen innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die RF-Vorrichtung nicht darauf beschränkt ist.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 zusammen mit einem oder mehreren Typen drahtloser Kommunikationssignale und/oder Systeme verwendet werden, z. B. wie vorstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 wenigstens eine Antenne 371101 aufweisen und/oder mit ihr betriebstechnisch gekoppelt sein. Beispielsweise kann die Antenne 371101 eine phasengesteuerte Gruppenantenne, eine Mehrelementantenne, eine Menge von Antennen mit geschaltetem Strahl und/oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann die wenigstens eine Antenne 371101 Sende- und Empfangs-Funktionalitäten unter Verwendung separater Sende- und Empfangs-Antennenelemente implementieren. In einigen anschaulichen Aspekten kann die wenigstens eine Antenne 371101 Sende- und Empfangs-Funktionalitäten unter Verwendung gemeinsamer und/oder integrierter Sende/Empfangs-Elemente implementieren.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Tx/Rx-Schalter 371105, der konfiguriert sein kann, beispielsweise die wenigstens eine Antenne zu schalten, ein Rx-Signal beispielsweise einen Rx-Pfad der RF-Vorrichtung 371100 anzulegen, oder die wenigstens eine Antenne 371101 zu schalten, ein Tx-Signal beispielsweise von einem Tx-Pfad der RF-Vorrichtung 371100 zu empfangen, z. B. wie nachstehend beschrieben, aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Leistungsverstärker (PA) 371110 aufweisen, der konfiguriert sein kann, ein Tx-RF-Signal in das Tx-Signal zu verstärken, z. B. wie nachstehend beschrieben. Beispielsweise kann der PA 371110 einen Breitband-PA, einen Tiefband-PA, einen analogen PA, einen digitalen PA, einen kombinierten analogen und digitalen PA und einen Outphasing-PA, einen Doherty-PA oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen rauscharmen Verstärker (LNA) 371115 aufweisen, der konfiguriert sein kann, das Rx-Signal in ein Rx-RF-Signal zu verstärken, z. B. wie nachstehend beschrieben. Beispielsweise kann der LNA 371115 einen Breitbandverstärker, einen Tiefbandverstärker, einen analogen Verstärker, einen digitalen Verstärker, einen kombinierten analogen und digitalen Verstärker oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Phasenschieber 371120 aufweisen, der konfiguriert sein kann, eine Phase des Tx-RF-Signals zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Phasenschieber 371125 aufweisen, der konfiguriert sein kann, eine Phase eines Rx-Signals zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Phasenschieber 371120 und/oder der Phasenschieber 371120 beispielsweise eine Transistorschaltung aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Tx/Rx-Schalter 371130 aufweisen, der konfiguriert sein kann, beispielsweise den Mischer 371125 zu schalten, das Tx-Signal aus dem Tx-Pfad zu empfangen, oder beispielsweise den Mischer 371120 zu schalten, das Rx-Signal für den RF-Pfad bereitzustellen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Tx/Rx-Schalter 371130 mehrere Feldeffekttransistoren (FETs), Schalt-Schaltung, Schaltlogik, Schaltteilsysteme oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Splitter/Kombinierer 371135 aufweisen, der konfiguriert sein kann, beispielsweise ein oder mehrere RF-Signale zu kombinieren und/oder beispielsweise ein oder mehrere RF-Vorrichtung aufzuteilen. Beispielsweise kann der Splitter/Kombinierer 371135 einen 1:4-Splitter/Kombinierer, einen 1:6-Splitter/Kombinierer, einen 2:6-Splitter/Kombinierer, einen Wilkinson-Splitter/Kombinierer, einen analogen Splitter/Kombinierer, einen digitalen Splitter/Kombinierer oder irgendeine Kombination aus dem analogen Splitter/Kombinierer mit dem digitalen Splitter/Kombinierer aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Tx/Rx-Schalter 371140 aufweisen, der konfiguriert sein kann, beispielsweise den Splitter/Kombinierer 371135 zu schalten, das Tx-Signal aus dem Tx-Pfad zu empfangen, oder beispielsweise das Rx-Signal für den RF-Pfad bereitzustellen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Tx/Rx-Schalter 371140 beispielsweise mehrere FETs, Schalt-Schaltung, Schaltlogik, Schaltteilsysteme oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Rx-Verstärker 371145 aufweisen, der konfiguriert sein kann, beispielsweise das Rx-RF-Signal zu verstärken.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Tx-Verstärker 371150 aufweisen, der konfiguriert sein kann, beispielsweise das Tx-RF-Signal zu verstärken.
  • In einigen anschaulichen Aspekten können der Rx-Verstärker 371145 und/oder der Tx-Verstärker 371150 beispielsweise einen Breitbandverstärker, einen Tiefbandverstärker, einen IF-Verstärker, einen analogen Verstärker, einen digitalen Verstärker und/oder irgendeinen anderen Verstärker aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Mischer 371155 aufweisen, der konfiguriert ist, beispielsweise ein Tx-IF-Signal in das Tx-RF-Signal aufwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 beispielsweise einen Mischer 371160 aufweisen, der konfiguriert ist, beispielsweise das Rx-RF-Signal in ein Rx-IF-Signal abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Mischer 371135 und/oder der Mischer 371160 beispielsweise einen Gilbert-Zellen-Mischer, einen analogen Mischer, einen digitalen Mischer und/oder irgendeinen anderen Mischer aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 371100 eine IF-Einheit 371170 aufweisen, die konfiguriert sein kann, beispielsweise Tx-IF-Signale zu erzeugen und/oder beispielsweise Rx-IF-Signale zu verarbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die IF-Einheit 371170 eine digitale Schaltung, eine analoge Schaltung und/oder irgendeine andere IF-Schaltung aufweisen.
  • In einigen Aspekten kann die RF-Vorrichtung 371100 beispielsweise konfiguriert sein, in einer Tx-Betriebsart oder einer Rx-Betriebsart zu arbeiten.
  • In einigen anschaulichen Aspekten können, wenn die RF-Vorrichtung 371100 in der Tx-Betriebsart ist, die Tx/Rx-Schalter 371140, 371130 und 371105 geschaltet sein, um den Tx-Pfad zu verbinden. In einem Beispiel kann die IF-Einheit 371170 das Tx-IF-Tx erzeugen und kann das Tx-IF-Signal für den Mischer 371155 bereitstellen. Der Mischer 371155 kann das Tx-IF in das Tx-RF-Signal an einem gewünschten Frequenzband, beispielsweise dem 60 GHz-Frequenzband, aufwärtsumsetzen.
  • In einigen anschaulichen Aspekten kann der Tx-Verstärker in der Tx-Betriebsart 371145 das Tx-RF-Signal verstärken, und der Splitter/Kombinierer 371135 kann, z. B. in einer Splitter-Betriebsart, das Tx-RF-Signal über den Tx/Rx-Schalter 371130 für den Phasenschieber 371125 bereitstellen. Der Phasenschieber 371125 kann beispielsweise eine Phase des Tx-RF-Signals auf eine gewünschte Phase verschieben, beispielsweise gemäß einer Konstellationspunktkarte. Der PA 371110 kann das Tx-RF-Signal in das Tx-Signal verstärken. Das Tx-Signal kann über die wenigstens eine Antenne 371101 gesendet werden.
  • In einigen anschaulichen Aspekten können, wenn die RF-Vorrichtung in der Rx-Betriebsart ist, die Tx/Rx-Schalter 371140, 371130 und 371105 eingestellt sein, den Rx-Pfad mit wenigstens einer Antenne 371101 zu verbinden.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart das Rx-Signal durch den LNA 371115 von der wenigstens einen Antenne 371101 über den Tx/Rx-Schalter 371105 empfangen werden. Der LNA 371115 kann das Rx-Signal in das Rx-RF-Signal verstärken. Der Phasenschieber 371120 kann eine Phase des Rx-RF-Signals auf eine gewünschte Phase verschieben, beispielsweise gemäß einer Konstellationspunktkarte.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart der Kombinierer/Splitter 371130 in einer Kombiniererbetriebsart arbeiten. In dieser Betriebsart kann der Kombinierer/Splitter 371130 das Rx-RF-Signal für den Rx-Verstärker 371150 bereitstellen. Der Mischer 371160 kann das Rx-RF-Signal in ein Rx-IF-Signal abwärtsumsetzen. Das Rx-IF-Signal kann beispielsweise für die IF-Schaltung 371170 bereitgestellt werden. Die IF-Schaltung 371170 kann konfiguriert sein, das Rx-IF-Signal zu verarbeiten.
  • Bezug nehmend zurück zu 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen bidirektionalen Verstärker aufweisen kann, der konfiguriert sein kann, RF-Signale in einer Tx-Richtung der RF-Schaltung 425 und/oder an einer Rx-Richtung der RF-Schaltung 425 zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann es in einigen Anwendungsfällen und/oder Szenarios vorteilhaft sein, Funkarchitekturen zu implementieren, die eine oder mehrere Schaltungen für Sende- und Empfangspfade gemeinsam verwenden können, z. B. wie nachstehend beschrieben. Die Empfangs- und/oder Sendepfade können beispielsweise einen oder mehrere Verstärker, einen oder mehrere Splitter, einen oder mehrere Kombinierer, einen oder mehrere Mischer und/oder eine oder mehrere anderen zusätzlichen oder alternativen Komponenten, falls erforderlich, aufweisen.
  • In einigen anschaulichen Aspekten kann eine Funkarchitektur eine bidirektionale Verstärkerschaltung aufweisen, z. B. wie nachstehend beschrieben. Vorteilhafterweise kann die bidirektionalen Verstärkerschaltung eine ähnliche Leistungsfähigkeit bereitstellen wie z. B. eine Schaltung, die eine separate Schaltung, z. B. einen PA, für einen Sendepfad, eine separate Schaltung, z. B. einen LNA, für einen Empfangspfad und einen oder mehrere Schalter zum Schalten zwischen dem PA und dem LNA aufweist.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker, wenn er in der Funkarchitektur implementiert ist, einen oder mehrere Vorteile bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise durch Eliminieren der Notwendigkeit von Schaltern, kann die Leistungsfähigkeit durch Eliminieren von Einfügungsverlusten verbessern und/oder kann eine Flächengröße der Funkarchitekturschaltung reduzieren, beispielsweise selbst um 50 %, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Funkarchitektur beispielsweise wenigstens einen bidirektionalen Verstärker, wenigstens einen bidirektionalen Mischer und wenigstens einen bidirektionalen Splitter/Kombinierer aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die bidirektionale Verstärkerschaltung als Teil der Aufwärtsumsetzungs- und Abwärtsumsetzungs-Schaltung, z. B. als Teil des Teilsystems 415 (4), der Filter- und Verstärkungsschaltung, z. B. als Teil des Teilsystems 424 (4), der Leistungskopplungs- und -teilungsschaltung, z. B. als Teil des Teilsystems 430 (4), und/oder Funkkettenschaltung, z. B. als Teil des Teilsystems 435 ( 4) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • Es wird jetzt auf 372 Bezug genommen, die ein Blockdiagramm einer RF-Vorrichtung 372100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten der RF-Vorrichtung 372100 als Teil eines Sendeempfängers implementiert sein, z. B. wie vorstehend mit Bezug auf 1 und/oder 1A beschrieben ist. Die hier beschriebene RF-Vorrichtung kann auch in eine oder mehrere Schaltungen innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die RF-Vorrichtung nicht darauf beschränkt ist.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 einen Sendeempfänger aufweisen, der konfiguriert ist, ein Tx-Signal zu senden und ein Rx-Signal zu empfangen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger einen zellularen Sendeempfänger der fünften Generation (5G) aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger einen 60 GHz-Sendeempfänger aufweisen, der konfiguriert ist, über ein 60 GHz-Frequenzband das Tx-Signal zu senden und das Rx-Signal zu empfangen. In anderen Aspekten kann der Sendeempfänger jedoch einen Sendeempfänger aufweisen, der konfiguriert ist, über irgendein anderes Frequenzband das Tx-Signal zu senden und/oder das Rx-Signal zu empfangen, z. B. über ein Frequenzband, das oberhalb von 45 GHz ist.
  • In anderen Aspekten kann der Sendeempfänger irgendeinen anderen Typ eines Sendeempfängers aufweisen, der konfiguriert ist über irgendein anderes zusätzliches oder alternatives Frequenzband zu senden und zu empfangen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger einen Halbduplex-Sendeempfänger aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 wenigstens eine Antenne 372101, die z. B. eine oder mehrere Phasengruppenantennen und/oder irgendeinen anderen Typ von Antennen aufweist, enthalten und/oder kann betriebstechnisch damit gekoppelt sein.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 einen oder mehrere bidirektionale Verstärker aufweisen, die z. B. einen bidirektionalen Verstärker 372105 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 372105 konfiguriert sein, eine oder mehrere Operationen und/oder Funktionalitäten eines PA und/oder eines LNA auszuführen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 einen Phasenschieber 372110 aufweisen, der mit einem bidirektionalen Verstärker 372105 betriebstechnisch gekoppelt ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 mit mehreren Antennen 372101 (nicht gezeigt) über mehrere Antennenpfade gekoppelt sein. Beispielsweise kann ein Antennenpfad einen bidirektionalen Verstärker 372105 und einen Phasenschieber 372110 aufweisen.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 einen Splitter/Kombinierer 372115 aufweisen, der mit dem Phasenschieber 372110 und dem bidirektionalen Verstärker 372120 betriebstechnisch gekoppelt ist, z. B. wie nachstehend beschrieben. Beispielsweise kann der Splitter/Kombinierer 372115 konfiguriert sein, ein Tx-Signal auf die mehreren Antennenpfade aufzuteilen und mehrere Rx-Signale aus den mehreren Antennenpfaden zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 372120 konfiguriert sein, eine oder mehrere Operationen und/oder Funktionalitäten eines Tx-IF-Verstärkers und/oder eines Rx-IF-Verstärkers auszuführen, z. B. wie nachstehend beschrieben. Der Tx-IF-Verstärker und/oder der Rx-IF-Verstärker können beispielsweise durch einen Breitbandverstärker, einen Tiefbandverstärker, einen digitalen Verstärker, einen analogen Verstärker und/oder einen kombinierten analogen-digitalen Verstärker implementiert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 einen Mischer 372125 aufweisen, der mit dem bidirektionalen Verstärker 372120 betriebstechnisch gekoppelt ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 eine IF-Schaltung 372170 aufweisen, die mit dem Mischer 372125 gekoppelt ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Vorrichtung 372100 eine Steuerschaltung 372180 aufweisen, die konfiguriert sein kann, bidirektionale Verstärker 372105 und/oder 372120 zwischen einer Tx-Betriebsart, beispielsweise zum Handhaben eines Tx-Signals, und einer Rx-Betriebsart, beispielsweise zum Handhaben eines Rx-Signals, umzuschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann in der Tx-Betriebsart beispielsweise die IF-Schaltung 372170 ein Tx-IF-Signal für den Mischer 372155 bereitstellen, und der Mischer 372155 kann das Tx-IF-Signal in ein Tx-RF-Signal an einem gewünschten Frequenzband, beispielsweise einem 60 GHz-Frequenzband oder irgendeinem anderen Frequenzband, aufwärtsumsetzen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 372120 das Tx-RF-Signal verstärken und kann ein verstärktes Tx-RF-Signal für den Splitter/Kombinierer 372115 bereitstellen. Beispielsweise kann der Splitter/Kombinierer 372215 das verstärkte Tx-RF-Signal für den Phasenschieber 372110 bereitstellen, beispielsweise durch Aufteilen des Tx-RF-Signals zwischen den mehreren Antennenpfaden. Beispielsweise kann der Phasenschieber 372110 eine Phase des verstärkten Tx-RF-Signals auf eine gewünschte Phase verschieben, z. B. basierend auf einem Modulationsschema.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 372105 das verstärkte Tx-RF-Signal aus dem Phasenschieber 372110 verstärken und kann ein Tx-Signal für die Antenne 372101 bereitstellen.
  • In einigen anschaulichen Aspekten kann in der RX-Betriebsart ein Rx-Signal durch eine oder mehrere Antennen 372101 empfangen werden. Der bidirektionale Verstärker 372120 kann das Rx-Signal, z. B. von einer Antenne 372101, verstärken und kann ein verstärktes Rx-RF-Signal für den Phasenschieber 372110 bereitstellen. Der Phasenschieber 372110 kann die Phase des verstärkten Rx-RF-Signals auf eine gewünschte Phase verschieben, beispielsweise basierend auf einem Modulationsschema, z. B. einem Quadratamplitudenmodulations- (QAM-) Schema oder irgendeinem anderen Schema. Der Kombinierer/Splitter 372115 kann das verstärkte Rx-RF-Signal für den bidirektionalen Verstärker 372120 bereitstellen, beispielsweise durch Kombinieren des verstärkten Rx-RF-Signals aus mehreren Antennenpfaden.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 372120 das verstärkte Rx-RF-Signal verstärken und kann das verstärkte Rx-RF-Signal für den Mischer 372125 bereitstellen. Der Mischer 372125 kann das verstärkte Rx-RF-Signal in ein Rx-IF-Signal abwärtsumsetzen. Die IF-Schaltung 372170 kann das Rx-IF-Signal verarbeiten.
  • In einigen anschaulichen Aspekten kann ein bidirektionaler Verstärker, z. B. der bidirektionale Verstärker 372105 und/oder der bidirektionale Verstärker 372120, einen ersten Verstärker zum Verstärken eines Tx-Signals, um ein verstärktes Tx-Signal in der Tx-Betriebsart bereitzustellen, und einen zweiten Verstärker zum Verstärken des Rx-Signals, um ein verstärktes Rx-Signal in der Rx-Betriebsart bereitzustellen, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker, z. B. der bidirektionale Verstärker 372105 und/oder der bidirektionale Verstärker 372120, einen ersten Transformator zum Bereitstellen des Tx-Signals aus einem ersten Eingang/Ausgang für den ersten Verstärker in der Tx-Betriebsart und zum Ausgeben des verstärkten Rx-Signals aus dem zweiten Verstärker an dem ersten Eingang/Ausgang in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker, z. B. der bidirektionale Verstärker 372105 und/oder der bidirektionale Verstärker 372120, einen zweiten Transformator zum Bereitstellen des Rx-Signals aus einem zweiten Eingang/Ausgang für den zweiten Verstärker in der Rx-Betriebsart und zum Ausgeben der verstärkten Tx-Signals aus dem ersten Verstärker an dem zweiten Eingang/Ausgang in der Tx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker, z. B. der bidirektionale Verstärker 372105 und/oder der bidirektionale Verstärker 372120, mehrere Schalter aufweisen, um in der Tx-Betriebsart mehrere Aktivierungsspannungen zu dem ersten Verstärker zu schalten und mehrere Deaktivierungsspannungen zu dem zweiten Verstärker zu schalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise gemäß einem ersten Implementierungsschema die mehreren Schalter konfiguriert sein, in der Rx-Betriebsart die mehreren Aktivierungsspannungen zu dem zweiten Verstärker und die mehreren Deaktivierungsspannungen zu dem ersten Verstärker zu schalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Drain-Spannung enthalten, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und die an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben. In einem Beispiel können die Schalter konfiguriert sein, eine Drain-Spannung an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen eine Source-Spannung enthalten, die an den wenigstens einen Drain des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll und die an den wenigstens einen Drain des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben. In einem Beispiel können die Schalter konfiguriert sein, eine Source-Spannung an den wenigstens einen Drain des zweiten Verstärkers in der Tx-Betriebsart und an den wenigstens einen Drain des ersten Verstärkers in der Rx-Betriebsart anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und einer Source-Spannung in der Rx-Betriebsart und einen zweiten Schalter zum Schalten des wenigstens einen Drain des ersten Verstärkers zwischen der Source-Spannung in der Tx-Betriebsart und der Drain-Spannung in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der bidirektionale Verstärker 372105 und/oder der bidirektionale Verstärker 372120 einen ersten Kondensator zum Bereitstellen des Tx-Signals aus dem ersten Transformator für einen ersten Eingang des ersten Verstärkers, einen zweiten Kondensator zum Bereitstellen des Tx-Signals aus dem ersten Transformator für einen zweiten Eingang des ersten Verstärkers, einen dritten Kondensator zum Bereitstellen des Rx-Signals aus dem zweiten Transformator für einen ersten Eingang des zweiten Verstärkers und einen vierten Kondensator zum Bereitstellen des Rx-Signals aus dem zweiten Transformator für einen zweiten Eingang des zweiten Verstärkers aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann wenigstens ein Verstärker aus dem ersten und dem zweiten Verstärker des bidirektionalen Verstärkers 372105 und/oder des bidirektionalen Verstärkers 372120 einen negativen Metalloxidhalbleiter- (NMOS-) FET mit gemeinsamer Source aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten, beispielsweise gemäß einem zweiten Implementierungsschema, können die mehreren Aktivierungsspannungen eine Drain-Spannung enthalten, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Bias-Spannung enthalten, die an wenigstens ein Gate des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens ein Gate des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Source-Spannung enthalten, die an wenigstens eine Source des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens eine Source des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen die Drain-Spannung, die an wenigstens ein Gate des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens ein Gate des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll; und die Bias-Spannung, die an die wenigstens eine Source des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll und an die wenigstens eine Source des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll, enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und der Bias-Spannung in der Rx-Betriebsart; einen zweiten Schalter zum Schalten der wenigstens einen Source des ersten Verstärkers zwischen der Bias-Spannung in der Tx-Betriebsart und der Source-Spannung in der Rx-Betriebsart; einen dritten Schalter zum Schalten der wenigstens einen Source des zweiten Verstärkers zwischen der Source-Spannung in der Tx-Betriebsart und der Bias-Spannung in der Rx-Betriebsart; und/oder einen vierten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen der Bias-Spannung in der Tx-Betriebsart und der Drain-Spannung in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können, beispielsweise gemäß einem dritten Implementierungsschema, die mehreren Aktivierungsspannungen eine Drain-Spannung enthalten, die an wenigstens eine Source des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Source-Spannung enthalten, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens eine Source des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine erste Bias-Spannung, die an wenigstens ein Gate des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll, und eine zweite Bias-Spannung, die an wenigstens ein Gate des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen die erste Bias-Spannung, die an den wenigstens einen Drain des zweiten Verstärkers und an die wenigstens eine Source des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll, und die zweite Bias-Spannung, die an den wenigstens einen Drain des ersten Verstärkers und an die wenigstens eine Source des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll, enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen einer Source-Spannung in der Tx-Betriebsart und der zweiten Bias-Spannung in der Rx-Betriebsart; einen zweiten Schalter zum Schalten der wenigstens einen Source des ersten Verstärkers zwischen der ersten Bias-Spannung in der Tx-Betriebsart und der Source-Spannung in der Rx-Betriebsart; einen dritten Schalter zum Schalten der wenigstens einen Source des zweiten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und der zweiten Bias-Spannung in der Rx-Betriebsart; und/oder einen vierten Schalter zum Schalten des wenigstens einen Drain des ersten Verstärkers und des wenigstens einen Gate des zweiten Verstärkers zwischen der ersten Bias-Spannung in der Tx-Betriebsart und der Drain-Spannung in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Verstärker einen oder mehrere positive Metalloxidhalbleiter- (PMOS-) FETs aufweisen, und/oder der zweite Verstärker kann einen oder mehrere negative Metalloxidhalbleiter- (NMOS-) FETs aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Steuerschaltung 372180 konfiguriert sein, mehrere Steuersignale bereitzustellen, um die mehreren Schalter zwischen dem ersten Verstärker und dem zweiten Verstärker des bidirektionalen Verstärkers 372105 und/oder des bidirektionalen Verstärkers 372120 steuerbar umzuschalten, beispielsweise gemäß der Tx-Betriebsart oder der Rx-Betriebsart, z. B. bei nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Verstärker des bidirektionalen Verstärkers 372105 und/oder des bidirektionalen Verstärkers 372120 beispielsweise einen PA aufweisen, und/oder der zweite Verstärker des bidirektionalen Verstärkers 372105 und/oder des bidirektionalen Verstärkers 372120 kann einen LNA aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Verstärker des bidirektionalen Verstärkers 372105 und/oder des bidirektionalen Verstärkers 372120 beispielsweise ein erstes FET-Paar mit gemeinsamer Source aufweisen; und/oder der zweite Verstärker des bidirektionalen Verstärkers 12105 und/oder des bidirektionalen Verstärkers 372120 kann ein zweites FET-Paar mit gemeinsamer Source aufweisen, z. B. wie nachstehend beschrieben.
  • Es wird auf 373 Bezug genommen, die eine bidirektionale Verstärkerschaltung 373100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können der bidirektionale Verstärker 372105 (372) und/oder der bidirektionale Verstärker 372120 (372) ein oder mehrere Elemente und/oder Funktionalitäten der bidirektionalen Verstärkerschaltung 373100 implementieren. Der hier beschriebene bidirektionale Verstärker kann in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 325) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Verstärkerschaltung nicht darauf beschränkt ist.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 373100 ein differentielles Paar von FET-Transistoren (Q1) 373110 und (Q2) 373120 mit gemeinsamer Source, ein differentielles Paar von FET-Transistoren (Q3) 373130 und (Q3) 373140 mit gemeinsamer Source, einen Eingabe/Ausgabe-Knoten 13150, einen Eingabe/Ausgabe-Knoten 373155, einen Eingabe/Ausgabe-Knoten 373160, Eingabe/Ausgabe-Knoten 373165, einen Transformator 373170, einen Transformator 373175 und mehrere Schalter, die z. B. die Schalter 373180, 373185, 373190 und/oder 13195 enthalten, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können ein erstes Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q1) 373110, (Q2) 373120, und ein zweites Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q3) 373130 und (Q4) 373140, vom gleichen Typ sein und können einen NMOSFET oder einen PMOSFET oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann der FET drei Anschlüsse, eine Source (S), einen Drain (D) und ein Gate (G), aufweisen. Die Source (S) kann der Anschluss sein, an dem Ladungsträger in den Kanal des Transistors eintreten. Beispielsweise kann Strom, der an der Source S in den Kanal eintritt, als ein Source-Strom IS bezeichnet sein. Der Drain (D) kann der Anschluss sein, an dem die Ladungsträger den Kanal des Transistors verlassen. Beispielsweise kann Strom, der an dem Drain- (D-) Anschluss eintritt, durch ID bezeichnet sein, und eine Drain-Source-Spannung kann als VDS bezeichnet sein. Der Gate- (G-) Anschluss kann die Kanalleitfähigkeit modulieren, beispielsweise kann ID durch Anlegen einer Spannung an den Gate- (G-) Anschluss gesteuert werden.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 373100 als ein LNA in dem Rx-Pfad und/oder als ein PA in dem Tx-Pfad einer RF-Vorrichtung, z. B. der RF-Vorrichtung 372100 (372), implementiert sein.
  • In einigen anschaulichen Aspekten können die Schalter 373180, 373185, 373190 und/oder 373195 den bidirektionalen Verstärker 373100 zwischen der Tx-Betriebsart und der Rx-Betriebsart umschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die Schalter 373180, 373185, 373190 und/oder 373195 das erste Transistorpaar (Q1) 373110, (Q2) 373120 mit gemeinsamer Source und/oder das zweite Transistorpaar (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source beispielsweise durch Verbinden mehrerer Aktivierungsspannungen und/oder Deaktivierungsspannungen mit dem Transistorpaar (Q1) 373110, (Q2) 373120 mit gemeinsamer Source und/oder dem Transistorpaar (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source aktivieren oder deaktivieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen beispielsweise eine Drain-Spannung VDD enthalten, die an die Drains (D) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart. Beispielsweise kann die Drain-Spannung VDD an die Drains (D) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Bias-Spannung Vbias enthalten, die an die Gates (G) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart. Beispielsweise kann die Bias-Spannung Vbias an die Gates des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen eine Source-Spannung VSS enthalten, die an die Sources (S) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart. Beispielsweise kann die Source-Spannung VSS an die Sources (S) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen die Drain-Spannung VDD enthalten, die an die Gates (G) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und an die Gates (G) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Rx-Betriebsart. Beispielsweise kann die Bias-Spannung Vbias an die Sources (S) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source angelegt werden, beispielsweise in der Tx-Betriebsart, und kann an die Sources (S) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten kann der Schalter 373180 die Drains (D) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source und die Gates (G) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source zwischen der Drain-Spannung VDD, z. B. in der Tx-Betriebsart, und der Bias-Spannung Vbias, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 373185 die Sources (S) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source zwischen der Bias-Spannung Vbias, z. B. in der Tx-Betriebsart, und der Source-Spannung VSS, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 373190 die Sources (S) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source zwischen der Source-Spannung VSS, z. B. in der Tx-Betriebsart, und der Bias-Spannung Vbias, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 373195 die Drains (D) des zweiten Transistorpaars (Q3) 373130 und (Q4) 373140 mit gemeinsamer Source und die Gates (G) des ersten Transistorpaars (Q1) 373110, (Q2) 373120 mit gemeinsamer Source zwischen der Bias-Spannung Vbias, z. B. in der Tx-Betriebsart, und der Drain-Spannung VDD, z. B. in der RX-Betriebsart, umschalten. Trotzdem ist zu verstehen, dass die Tx-Betriebsart und die Rx-Betriebsart austauschbar sind und das vorstehende Beispiel der Tx-Betriebsart für die Rx-Betriebsart anwendbar sein kann, und umgekehrt.
  • Es wird auf 374 Bezug genommen, die eine bidirektionale Verstärkerschaltung 374100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise kann der bidirektionale Verstärker 372105 (372) und/oder der bidirektionale Verstärker 372120 (372) ein oder mehrere Elemente und/oder Funktionalitäten der bidirektionalen Verstärkerschaltung 374100 implementieren. Die hier beschriebenen bidirektionalen Verstärker können in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 325) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Verstärkerschaltung nicht darauf beschränkt ist.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 374100 ein differentielles Paar von FET-Transistoren 374110 und 374120 mit gemeinsamer Source, ein differentielles Paar von FET-Transistoren 374130 und 374140 mit gemeinsamer Source und einen Eingabe/Ausgabe-Knoten 374150, einen Eingabe/Ausgabe-Knoten 374155, einen Transformator 374153, einen Eingabe/Ausgabe-Knoten 374160, einen Eingabe/Ausgabe-Knoten 374165, einen Transformator 374163, einen Kondensator 374170, einen Kondensator 374172, einen Kondensator 374174, einen Kondensator 374176, einen Widerstand 374180, einen Widerstand 374182, einen Widerstand 374184, einen Widerstand 374180, einen Schalter 374190 und einen Schalter 374195 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der Wert der Kondensatoren 374170, 374172, 374174 und/oder 374176 zwischen etwa 10 Femtofarad (fF) bis etwa 10 Picofarad (pF) variieren, und der Wert der Widerstände 374180, 374182, 374184 und/oder 374180 kann zwischen etwa 100 Ohm bis etwa 10 kOhm variieren, basierend auf der Größe der Transistoren 374110, 374120, 374130 und/oder 374140. In einigen anschaulichen Aspekten können andere Bereiche verwendet sein.
  • In einigen anschaulichen Aspekten können ein erstes Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q1) 374110, (Q2) 374120, und ein zweites Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q3) 374130 und (Q4) 374140, vom gleichen Typ sein und können einen NMOSFET oder einen PMOSFET oder dergleichen enthalten.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 374100 als ein LNA in dem Rx-Pfad und/oder als ein PA in dem Tx-Pfad einer RF-Vorrichtung, z. B. der RF-Vorrichtung 372100 (372) implementiert sein.
  • In einigen anschaulichen Aspekten können die Schalter 374190, und/oder 374195 den bidirektionalen Verstärker 374100 zwischen der Tx-Betriebsart und der Rx-Betriebsart umschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die Schalter 374190, und/oder 374195 das erste Transistorpaar (Q1) 374110 und (Q2) 374120 mit gemeinsamer Source und/oder das zweite Transistorpaar (Q3) 374130 und (Q4) 374140 mit gemeinsamer Source durch Verbinden mehrerer Aktivierungsspannungen und/oder Deaktivierungsspannungen mit dem Transistorpaar (Q1) 374110, (Q2) 374120 mit gemeinsamer Source und/oder dem Transistorpaar (Q3) 374130 und (Q4) 374140 mit gemeinsamer Source aktivieren oder deaktivieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen beispielsweise eine Drain-Spannung VDD enthalten, die an die Drains (D) des ersten Transistorpaars (Q1) 374110 und (Q2) 374120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder an die Drains (D) des zweiten differentiellen Paars von FET-Transistoren (Q3) 374130 und (Q4) 374140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen eine Source-Spannung VSS enthalten, die an die Drains (D) des zweiten Transistorpaars (Q3) 374130 und (Q4) 374140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder an die Drains (D) des ersten Transistorpaars (Q1) 374110 und (Q2) 374120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten kann der Schalter 374195 die Drains (D) des Transistorpaars (Q3) 374130 und (Q4) 374140 mit gemeinsamer Source zwischen der Drain-Spannung VDD, z. B. in der Tx-Betriebsart, und der Source-Spannung VSS, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 374190 die Drains des ersten Transistorpaars (Q1) 374110 und (Q2) 374120 mit gemeinsamer Source zwischen der Source-Spannung VSS, z. B. in der Tx-Betriebsart, und der Drain-Spannung VDD, z. B. in der Rx-Betriebsart, umschalten. Trotzdem ist zu verstehen, dass die Tx-Betriebsart und die Rx-Betriebsart austauschbar sind und das vorstehende Beispiel der Tx-Betriebsart für die Rx-Betriebsart anwendbar sein kann, und umgekehrt.
  • In einigen anschaulichen Aspekten kann beispielsweise der Kondensator 374170 ein Eingabesignal aus dem Transformator 374153 für das Gate (G) des Transistors (Q3) 374130 bereitstellen, der Kondensator 374176 kann das Eingabesignal aus dem Transformator 374153 für das Gate (G) des Transistors (Q4) 374140 bereitstellen, der Kondensator 374172 kann ein Eingabesignal aus dem Transformator 374163 für das Gate (G) des Transistors (Q1) 374110 bereitstellen und/oder der Kondensator 374174 kann das Eingabesignal aus dem Transformator 374163 für das Gate (G) des Transistors (Q2) 374120 bereitstellen.
  • In einigen anschaulichen Aspekten können beispielsweise die Transistoren (Q1) 374110, (Q2) 374120, (Q3) 374130 und/oder (Q4) 374140 vom gleichen Typ sein und können einen NMOSFET oder einen PMOSFET oder dergleichen enthalten.
  • Es wird auf 375 Bezug genommen, die eine bidirektionale Verstärkerschaltung 375100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise kann der bidirektionale Verstärker 372105 (372) und/oder der bidirektionale Verstärker 372120 (372) ein oder mehrere Elemente und/oder Funktionalitäten der bidirektionalen Verstärkerschaltung 375100 implementieren.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 375100 ein differentielles Paar von FET-Transistoren (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source, ein differentielles Paar von FET-Transistoren (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source, einen Eingabe/Ausgabe-Knoten 375150, einen Eingabe/Ausgabe-Knoten 375155, einen Eingabe/Ausgabe-Knoten 375160, Eingabe/Ausgabe-Knoten 375165, einen Transformator 375170, einen Transformator 375175 und mehrere Schalter (siehe auch vorstehend), die beispielsweise die Schalter 375180, 375185, 375190 und/oder 375195 enthalten, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein erstes Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q1) 375110, (Q2) 375120, vom gleichen Typ sein und kann einen NMOSFET enthalten, und/oder ein zweites Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q3) 375130 und (Q4) 375140, kann vom gleichen Typ sein und kann einen PMOSFET enthalten.
  • In einigen anschaulichen Aspekten kann das erste Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q1) 375110, (Q2) 375120, vom gleichen Typ sein und kann einen PMOSFET enthalten, und/oder das zweite Transistorpaar mit gemeinsamer Source, beispielsweise die Transistoren (Q3) 375130 und (Q4) 375140, kann vom gleichen Typ sein und kann einen NMOSFET enthalten.
  • In anderen Aspekten können die Transistoren 375110, 375120, 375130 und/oder 375140 irgendeinen anderen Typ von Transistoren aufweisen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Verstärker 375100 als ein LNA in dem Rx-Pfad und/oder als ein PA in dem Tx-Pfad einer RF-Vorrichtung, z. B. der RF-Vorrichtung 372100 (372) implementiert sein.
  • In einigen anschaulichen Aspekten können die Schalter 375180, 375185, 375190 und/oder 375195 den bidirektionalen Verstärker 375100 zwischen der Tx-Betriebsart und der Rx-Betriebsart umschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die Schalter 375180, 375185, 375190 und/oder 375195 das erste Transistorpaar (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source und/oder das zweite Transistorpaar (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source durch Verbinden mehrerer Aktivierungsspannungen und/oder Deaktivierungsspannungen mit dem ersten Transistorpaar (Q1) 375110, (Q2) 375120 mit gemeinsamer Source und/oder dem zeiten Transistorpaar (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source aktivieren oder deaktivieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen beispielsweise eine Drain-Spannung VDD enthalten, die an Sources (S) des zweiten Transistorpaars (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder an Drains (D) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen beispielsweise eine Source-Spannung VSS enthalten, die an Drains (D) des zweiten Transistorpaars (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder an Sources (S) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Aktivierungsspannungen beispielsweise eine erste Bias-Spannung Vbias1 enthalten, die an Gates (G) des zweiten Transistorpaars (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder eine zweite Bias-Spannung Vbias2 kann an die an Gates (G) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten können die mehreren Deaktivierungsspannungen die erste Bias-Spannung Vbias1 enthalten, die an die Drains (D) und die Sources (S) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source angelegt werden kann, beispielsweise in der Tx-Betriebsart, und/oder die zweite Bias-Spannung Vbias2 kann die an die Drains (D) und die Sources (S) des zweiten Transistorpaars (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source angelegt werden, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten kann der Schalter 375195 die Drains (D) des zweiten Transistorpaars (Q3) 375130 und (Q4) 375140 mit gemeinsamer Source und die Gates (G) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source zwischen der Source-Spannung VSS, z. B. in der Tx-Betriebsart, und der zweiten Bias-Spannung Vbias2, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 375190 die Sources (S) des zweiten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source zwischen der ersten Bias-Spannung Vbias1, z. B. in der Tx-Betriebsart, und der Source-Spannung VSS, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 375185 die Sources (S) des zweiten Transistorpaars (Q3) 375130 mit gemeinsamer Source zwischen der Drain-Spannung VDD, z. B. in der Tx-Betriebsart, und der zweiten Bias-Spannung Vbias2, z. B. in der Rx-Betriebsart, umschalten.
  • In einigen anschaulichen Aspekten kann der Schalter 375180 die Drains (D) des ersten Transistorpaars (Q1) 375110 und (Q2) 375120 mit gemeinsamer Source und die Gates (G) des zweiten Transistorpaars (Q3) 375130 mit gemeinsamer Source zwischen der ersten Bias-Spannung Vbias1, z. B. in der Tx-Betriebsart, und der Drain-Spannung VDD, z. B. in der Rx-Betriebsart, umschalten.
  • Bezug nehmend zurück zu 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens eine bidirektionale Splitter- und Kombiniererschaltung aufweisen kann, die konfiguriert sein kann, in der Tx-Richtung der RF-Schaltung 425 RF-Signale aufzuteilen, und/oder in der Rx-Richtung der RF-Schaltung 425 die RF-Signale von mehreren Antennen zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können mmWellen-Anwendungen wie beispielsweise 5G von zellularen Systemen und/oder WLAN mit einer Kommunikationsfrequenz von ungefähr 60 GHz, beispielsweise WiGig, eine bidirektionale Splitter- und Kombiniererschaltung aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die bidirektionale Splitter- und Kombiniererschaltung mehrere Schwachstromverstärker aufweisen. Beispielsweise können die Schwachstromverstärker beispielsweise auf eine 50 Ohm-Impedanz oder irgendeine andere Impedanz konfiguriert sein, beispielsweise durch Verwenden einer RF-Last/Quelle, beispielsweise einen Transformator, der mit einem gemeinsamen Anschluss mit den Schwachstromverstärkern betriebstechnisch gekoppelt sein kann, z. B. durch mehrere Widerstände, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Last/Quelle als Teil eines Aufteilungsnetzes, beispielsweise als eine RF-Quelle, implementiert sein, damit gekoppelt sein und/oder darin verwendet werden, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Last/Quelle als Teil eines Kombinierungsnetzes, beispielsweise als eine RF-Last, implementiert sein, damit gekoppelt sein und/oder darin verwendet werden, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Last/Quelle beispielsweise eine Impedanz einer Schaltung, z. B. einer Verstärkungsschaltung, repräsentieren, die mit der bidirektionalen Splitter- und Kombiniererschaltung gekoppelt sein kann.
  • In einem Beispiel können der Transformator und/oder die RF-Last als Teil eines Aufteilungsnetzes implementiert sein, damit gekoppelt sein oder darin verwendet werden, z. B. wie nachstehend beschrieben. Das Aufteilungsnetz kann ein Signal aus einem Eingangsanschluss zu beispielsweise sechs oder mehr Ausgangsanschlüssen aufteilen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann irgendeine andere Anzahl von Ausgangsanschlüssen verwendet werden.
  • In einem Beispiel können der Transformator und/oder die RF-Last/Quelle als Teil eines Kombinierungsnetzes implementiert sein, damit gekoppelt sein oder darin verwendet werden, z. B. wie nachstehend beschrieben. Das Kombinierungsnetz kann Signale beispielsweise aus sechs oder mehr Eingangsanschlüssen in ein Signal an einem Ausgangsanschluss kombinieren. In anderen Aspekten kann irgendeine andere Anzahl von Eingangsanschlüssen verwendet werden.
  • In einigen anschaulichen Aspekten kann ein aktiver bidirektionaler Splitter und Kombinierer (ABDSC) mehrere Transistoren benutzen, die beispielsweise in eine Topologie des Schwachstromverstärkers implementiert sein können. Beispielsweise können die Schwachstromverstärker verwendet werden, um sowohl als Stromschalter und/oder auch als Anpassungselemente zu arbeiten, aufgrund ihrer Störeffekte, z. B. interner Kapazität und/oder Widerstand, z. B. wie nachstehend beschrieben.
  • Vorteilhafterweise kann in einigen anschaulichen Aspekten der ABDSC beispielsweise sogar in einer kleinen Baugruppe implementiert sein, die z. B. einen geringen Stromverbrauch, eine hohe Isolation zwischen den Anschlüssen, einen geringen Einfügungsverlust, eine gute Anpassungsleistung auf allen Anschlüssen aufweist. In einigen Aspekten kann der ABDSC konfiguriert sein, einige oder alle dieser Attribute, technischen Vorteile und/oder Vorzüge bereitzustellen, und/oder eine oder mehrere alternative Attribute und/oder technische Vorteile und/oder Vorzüge bereitzustellen.
  • In einigen anschaulichen Aspekten kann der ABDSC konfiguriert sein, in zwei Betriebsarten zu arbeiten, beispielsweise einer Kombinierungsbetriebsart und/oder einer Aufteilungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise in der Kombinierungsbetriebsart die Schwachstromverstärker ihren Strom durch die RF-Last/Quelle und/oder den Transformator leiten, was zum Kombinieren des Stroms aus einem oder mehreren, z. B. allen, aktiven Verstärkern führt, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise in der Aufteilungsbetriebsart der gemeinsame Eingang mehrere Verstärker durch die RF-Last/Quelle, z. B. die RF-Quelle, und/oder den Transformator ansteuern, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC konfiguriert sein, beispielsweise einen oder mehrere technische Vorzüge und/oder Vorteile bereitzustellen, beispielsweise um sogar ein verbessertes oder optimales Leistungskombinieren und/oder Leistungsaufteilen zu ermöglichen, beispielsweise für wenigstens einige Anwendungen, die mehrere abstrahlende Elemente unterstützen, wie beispielsweise 5G, WiGig und dergleichen. Beispielsweise können 5G- und/oder WiGig-Vorrichtungen phasengesteuerte Gruppenantennen und/oder Mehr-Eingang-mehr-Ausgang- (MIMO-) Architekturen aufweisen. In anderen Aspekten kann der ABDSC mit irgendeiner anderen Technologie und/oder in Bezug auf andere Frequenzbänder und/oder Vorrichtungen zur drahtlosen Kommunikation implementiert sein.
  • In einigen anschaulichen Aspekten kann der ABDSC beispielsweise gemäß einer Kaskoden-Topologie implementiert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC beispielsweise gemäß einer Topologie mit gemeinsamer Source (CS) implementiert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC beispielsweise gemäß einer Topologie mit gemeinsamer Source / gemeinsamem Gate (CG/CS) implementiert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC beispielsweise gemäß einer Topologie mit gemeinsamem Gate (CG) implementiert sein, z. B. wie nachstehend beschrieben.
  • In anderen Aspekten kann der ABDSC basierend auf einer Kombination der Topologien und/oder gemäß irgendeiner zusätzlichen oder alternativen Topologie implementiert sein.
  • In einigen anschaulichen Aspekten kann der ABDSC als Teil der Leistungskombinierungs/aufteilungsschaltung, z. B. als Teil des Teilsystems 430 (4), falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • Es wird jetzt auf 376 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 376000, der eine Kaskoden-Topologie eines ABDSC 376100 aufweist, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 konfiguriert sein, ein oder mehrere Tx-Signale zu senden und ein oder mehrere Rx-Signale zu empfangen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 beispielsweise einen 60 GHz-Sendeempfänger aufweisen, der konfiguriert ist, über ein 60 GHz-Frequenzband die Tx-Signale zu senden und die Rx-Signale zu empfangen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger einen Halbduplex-Sendeempfänger aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 einen zellularen 5G-Sendeempfänger aufweisen.
  • In anderen Aspekten kann der Sendeempfänger 376000 irgendeinen anderen Typ eines Sendeempfängers aufweisen und/oder kann konfiguriert sein, die Tx- oder Rx-Signale über irgendein anderes Frequenzband zu kommunizieren.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 eine oder mehrere Antennen 376200 aufweisen oder kann mit ihnen betriebstechnisch gekoppelt sein.
  • In einigen anschaulichen Aspekten können die Antennen 376200 eine oder mehrere Phasengruppenantennen und/oder einen anderen Typ von Antennen aufweisen.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 zwischen einer Kombiniererbetriebsart und einer Splitterbetriebsart schaltbar sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 mehrere Antennenschnittstellen 376115 aufweisen, die konfiguriert sei können, in der Kombiniererbetriebsart mehrere Rx-Signale von entsprechenden mehreren Antennenanschlüssen 16190 zu empfangen und in der Splitterbetriebsart mehrere Tx-Signale zu den entsprechenden mehreren Antennenanschlüssen 376190 auszugeben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 eine RF-Last/Quelle 376101, beispielsweise einen Transformator 376110, aufweisen, um den ABDSC 376100 betriebstechnisch mit der Verstärkungsschaltung 376105 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanz der RF-Last/Quelle, z. B. der RF-Last/Quelle 376101, z. B. des Transformators 376110, konfiguriert sein, in der Splitterbetriebsart ein Tx-Signal von der Verstärkungsschaltung 376105 zu den mehreren Antennenschnittstellen 376115 zu übertragen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die RF-Last/Quelle 376101, z. B. der Transformator 376110, konfiguriert sein, in der Kombiniererbetriebsart die mehreren Rx-Signale in ein kombiniertes Rx-Signal, das für die Verstärkungsschaltung 376105 bereitgestellt werden soll, zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Antennenschnittstelle 376115 aus den Antennenschnittstellen 376115 beispielsweise ein erstes Transistorpaar in einer Kaskoden-Verbindung aufweisen, z. B. die Transistoren 376120 und 376130, die beispielsweise in der Splitterbetriebsart aktiviert werden können und in der Kombiniererbetriebsart deaktiviert werden können, beispielsweise durch einen Transistor, z. B. den Transistor 376130, des ersten Transistorpaars, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 376115 beispielsweise ein zweites Transistorpaar in einer Kaskoden-Verbindung aufweisen, z. B. die Transistoren 376140 und 376150, die beispielsweise in der Kombiniererbetriebsart aktiviert werden können und in der Splitterbetriebsart deaktiviert werden können, beispielsweise durch einen Transistor, z. B. den Transistor 376150, des zweiten Transistorpaars, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das erste Transistorpaar, z. B. die Transistoren 376120 und 376130, ein erstes Paar von FETs aufweisen, und/oder das zweite Transistorpaar, z. B. die Transistoren 376140 und 376150, kann ein zweites Paar von FETs aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten können irgendwelche anderen Typen von Transistoren verwendet werden.
  • In einigen anschaulichen Aspekten können die mehreren Antennenschnittstellen 376115 wenigstens vier Antennenschnittstellen aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Anzahl von Antennenschnittstellen 376115 analog der Anzahl der Antennenanschlüsse und/oder Antennen sein. Beispielsweise kann für vier Antennen und/oder Antennenanschlüsse der ABDSC 376100 vier Antennenschnittstellen 376115 aufweisen. In diesem Beispiel kann der ABDSC 376100 als ein 1:4-ABDSC bezeichnet sein.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 sechs Antennenschnittstellen 376115 aufweisen, um Signale von/zu beispielsweise sechs Antennen und/oder Antennenanschlüssen aufzuteilen und/oder zu kombinieren. In diesem Beispiel kann der ABDSC 376100 als ein 1:6-ABDSC bezeichnet sein.
  • In anderen Aspekten kann der ABDSC 376100 irgendeine andere Anzahl von Antennenschnittstellen 376115 aufweisen, und/oder der ABDSC 376100 kann irgendeinen anderen 1:X-ABDSC, mit X>1, aufweisen.
  • In einigen anschaulichen Aspekten können beispielsweise die Transistoren 376120, 376130, 376140 und/oder 376150 FETs, Metalloxidhalbleiter-FETs- (MOSFET-) Transistoren, Sperrschichttransistoren (BJTs) und/oder irgendeinen anderen Typ eines Transistors enthalten. Die MOSFET-Transistoren können einen negativen MOSFET (NMOS) und/oder einen positiven MOSFET (PMOS) enthalten. Beispielsweise kann der BJT Negativ-positiv-negativ- (NPN-) Transistoren und/oder Positiv-negativ-positiv-(PNP-) Transistoren enthalten.
  • In einigen anschaulichen Aspekten können die Transistoren 376120, 376130, 376140 und/oder 376150 beispielsweise NMOS-Transistoren, PMOS-Transistoren und/oder eine Kombination aus NMOS- und/oder PMOS-Transistoren enthalten.
  • Vorteilhafterweise kann die Kombination aus NMOS- und PMOS-Transistoren die Anzahl von Komponenten in dem ABDSC 376100 reduzieren, wie beispielsweise DC-Block-Kondensatoren, Störeffekte der Transistoren unter unterschiedlichen Bias-Bedingungen reduzieren und/oder kann die Gesamtleistung des ABDSC 376100 verbessern.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 einen Widerstand 376180 aufweisen, der z. B. mit dem Transistor 376120 betriebstechnisch gekoppelt ist. Beispielsweise kann der Widerstand 376180 einen Widerstandswert von 150 Ω oder irgendeinen anderen geeigneten Wert aufweisen, der beispielsweise wenigstens für Bias des Drain (D) des Transistors (Q1) 376120 konfiguriert ist.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 eine aktive Last 376180, z. B. einen Widerstand, aufweisen. Beispielsweise kann die aktive Last 376180 beispielsweise einen Transistor aufweisen, der konfiguriert ist, um in seinem Triodenbereich zu sein.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 eine Gleichspannungs-Quelle (DC-Quelle) 376160 aufweisen, die beispielsweise betriebstechnisch gekoppelt ist, um eine Gleichspannung für ein Gate (G) des Transistors 376120 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 eine Gleichspannungsquelle 376170 aufweisen, die beispielsweise betriebstechnisch gekoppelt ist, um eine Gleichspannung, z. B. über den Widerstand 376180, für einen Drain (D) des Transistors 376120 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 eine Steuereinheitschaltung 376107 aufweisen oder betriebstechnisch damit gekoppelt sein, die konfiguriert sein kann, den ABDSC 376100 zwischen der Splitterbetriebsart und der Kombiniererbetriebsart steuerbar umzuschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 376107 konfiguriert sein, den Transistor (Q4) 376150 in einen AUS-Zustand zu schalten, beispielsweise in der Splitterbetriebsart. Beispielsweise kann in der Splitterbetriebsart die RF-Last/Quelle 376101, z. B. der Transformator 376110, ein RF-Signal, das beispielsweise aus der Verstärkungsschaltung 376105 bereitgestellt ist, für wenigstens einige Transistoren, z. B. den Transistor 376130, bereitstellen. Beispielsweise kann ein Signal, das für eine oder mehrere, z. B. jede, Antenne aus den mehreren Antennen 376200 bereitgestellt werden soll, aus dem Drain (D) des Transistors 376120 bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 376105 konfiguriert sein, den Transistor 376120 in den AUS-Zustand zu schalten, beispielsweise in der Kombiniererbetriebsart. Beispielsweise kann in der Kombiniererbetriebsart ein RF-Signal von einer oder mehrerer, z. B. jeder, Antenne aus den mehreren Antennen 376200 für das Gate (G) des Transistors 376140 bereitgestellt werden. Beispielsweise kann in der Kombiniererbetriebsart der Transistor 376150 z. B. jeder Antennenschnittstelle aus den mehreren Antennenschnittstellen 376115 das Antennensignal für die RF-Last/Quelle 376101, z. B. den Transformator 376110, bereitstellen. Beispielsweise kann die RF-Last/Quelle 376101, z. B. der Transformator 376110, die Signale aus den Transistoren 376150 der mehreren Antennenschnittstellen 376115 kombinieren, um das kombinierte Signal für die Verstärkungsschaltung 376105 bereitzustellen.
  • Das Folgende ist ein Beispiel simulierter Parameter, die beispielsweise durch einen 1:4-ABDSC, z. B. einen 1:4-ABDSC 376100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T1
    KASKODE - Kombinierer
    IL @ 65 GHz Sii Isolation
    -4,4@2,3 mA
    1:1 -3,2@3 mA -6,1
    1:2 -4,5@2,3 mA -6,1 -39
    1:3 -4,6@2,3 mA -6 -39
    -4,7@2,3 mA
    1:4 -3,6@3 mA -6 -38
    S11 (gemeinsam)<-10 dB
    KASKODE - Splitter
    IL @ 65 GHz Sii Isolation
    -5,5@2,3mA
    1:1 -4,7@3 mA -6
    1:2 -5,6@2,3 mA -6 -40
    1:3 -5,7@2,3 mA -6 -40
    -5,8@2,1 mA
    1:4 -5,1@3 mA -6,1 -39
    S11 (gemeinsam)<-8 dB
  • Das Folgende ist ein Beispiel gemessener Parameter, die beispielsweise durch einen 1:6-ABDSC, z. B. einen 1:6-ABDSC 376100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T2
    50p:100p Q=12, k=0,7
    KASKODE - Kombinierer
    IL @ 65 GHz Sii Isolation
    -7,7@2,3 mA
    1:1 -6,4@3 mA -6,1 -40
    -7,8@2,3 mA
    1:2 -6,6@3 mA -6,1 -40
    -7,7@2,3 mA
    1:3 -6,6@3 mA -6,1 -40
    -7,9@2,3 mA
    1:4 -6,7@3 mA -6,1 -40
    -8@2,3 mA
    1:6 -7@3 mA -6,1 -40
    S11 (gemeinsam)<-6,6 dB
    KASKODE - Splitter
    IL @ 65 GHz S22 Isolation
    -8,5@2,3 mA
    1:1 -7,8@3 mA -6
    -8,6@2,3 mA
    1:2 -8@3 mA -6 -40
    -8,7@2,3 mA
    1:3 -8@3 mA 6 -40
    -8,8@2,3 mA
    1:4 -8@3 mA -6 -40
    -9@2,3 mA
    1:6 -8,3@3 mA -6,1 -40
    S11 (gemeinsam)<-6,6 dB
  • In einigen anschaulichen Aspekten kann die Verstärkungsschaltung 376105 wenigstens einen Leistungsverstärker (PA), beispielsweise um Tx-Signale zu verstärken, und wenigstens einen rauscharmem Verstärker (LNA), um Rx-Signale zu verstärken, aufweisen.
  • In einigen anschaulichen Aspekten kann der ABDSC 376100 betriebstechnisch gekoppelt sein, um das Tx-Signal aus einem bidirektionalen Verstärker in der Verstärkungsschaltung 376130 zu empfangen und/oder um das kombinierte Rx-Signal für einen bidirektionalen Verstärker in der Verstärkungsschaltung 376150 bereitzustellen. Beispielsweise kann die Verstärkungsschaltung 376105 konfiguriert sein, ein oder mehrere Elemente des bidirektionalen Verstärkers 372205 (372) aufzuweisen und/oder eine oder mehrerer seiner Funktionalitäten auszuführen, z. B. wie vorstehend beschrieben.
  • In anderen Aspekten kann die Verstärkungsschaltung 376150 einen oder mehrere separate Verstärker aufweisen, beispielsweise einen Tx-Verstärker und einen Rx-Verstärker, z. B. anstelle des bidirektionalen Verstärkers.
  • In einigen anschaulichen Aspekten kann die Verstärkungsschaltung 376105 beispielsweise konfiguriert sein, das kombinierte Rx-Signal in ein verstärktes Rx-Signal zu verstärken, und/oder kann konfiguriert sein, das Tx-Signal durch Verstärken eines aufwärtsumgesetzten Tx-Signals zu erzeugen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 einen Mischer aufweisen, beispielsweise den Mischer 372225 (372), der konfiguriert sein kann, ein IF-Tx-Signal in das aufwärtsumgesetzte Tx-Signal aufwärtsumzusetzen und/oder das verstärkte Rx-Signal in ein IF-Rx-Signal abwärtsumzusetzen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 376000 eine IF-Schaltung aufweisen, die z. B. eine oder mehrere Elemente des IF-Teilsystems 372170 (372) aufweist, um ein oder mehrere IF-Signale für den Mischer bereitzustellen. Beispielsweise kann die IF-Schaltung konfiguriert sein, ein erstes digitales Signal basierend auf dem IF-Rx-Signal zu erzeugen und/oder ein IF-Tx-Signal basierend auf einem zweiten digitalen Signal zu erzeugen.
  • Es wird jetzt auf 377 Bezug genommen, die einen Schaltplan einer Topologie mit gemeinsamer Source eines ABDSC 377100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann beispielsweise der ABDSC 377100 als Teil eines Sendeempfängers, beispielsweise als Teil des Sendeempfängers 376000 (376), implementiert sein, z. B. anstelle des ABDSC 376100 (376). Die hier beschriebenen ABDSCs können in eine oder mehrere Schaltungen (z. B. die Leistungskombinierungs- und - teilungsschaltung 374) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die ABDSCs nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten kann der ABDSC 377100 zwischen einer Kombiniererbetriebsart und einer Splitterbetriebsart schaltbar sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC 377100 beispielsweise eine RF-Last/Quelle 377101, einen Transformator 377110 und mehrere Antennenschnittstellen 377115 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen beispielhaften Aspekten kann die Anzahl von Antennenschnittstellen 377115 beispielsweise analog der Anzahl der Antennenanschlüsse und/oder Antennen sein. Beispielsweise kann für vier Antennen und/oder Antennenanschlüsse der ABDSC 377100 vier Antennenschnittstellen 377115 aufweisen. Beispielsweise kann der ABDSC 377100 als ein 1:4-ABDSC bezeichnet sein. Für sechs Antennen und/oder Antennenanschlüsse kann der ABDSC 377100 sechs Antennenschnittstellen 377115 aufweisen. Beispielsweise kann der ABDSC 377100 als ein 1:6-ABDSC bezeichnet sein. In anderen Aspekten kann der ABDSC 377100 irgendeine andere Anzahl von Antennenschnittstellen 377115 aufweisen, und/oder der ABDSC 377100 kann irgendeinen anderen 1:X-ABDSC, mit X>1, enthalten.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 aus den mehreren Antennenschnittstellen 377115 beispielsweise einen ersten Transistor 377120 aufweisen, der eine Verbindung mit einer gemeinsamen Source aufweist. Beispielsweise kann der Transistor 377120 in der Splitterbetriebsart aktiviert sein und kann in der Kombiniererbetriebsart deaktiviert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 einen zweiten Transistor 377130 aufweisen, der eine Verbindung mit einer gemeinsamen Source aufweist. Beispielsweise kann der Transistor 377130 in der Kombiniererbetriebsart aktiviert sein und kann in der Splitterbetriebsart deaktiviert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise die Transistoren 377120 und 377130 FETs, MOSFET-Transistoren, BJTs oder dergleichen enthalten. Beispielsweise können MOSFETs NMOS- und/oder PMOS-Transistoren enthalten. Beispielsweise kann ein BJT einen NPN- und/oder einen PNP-Transistor enthalten.
  • In einem Beispiel können die Transistoren 377120 und 377130 NMOS-Transistoren, PMOS-Transistoren und/oder eine Kombination aus NMOS- und PMOS-Transistoren enthalten.
  • Vorteilhafterweise kann die Kombination aus NMOS- und PMOS-Transistoren die Anzahl von Komponenten in dem ABDSC 377100 reduzieren, wie beispielsweise DC-Block-Kondensatoren, Störeffekte der Transistoren unter unterschiedlichen Bias-Bedingungen reduzieren, und können die Gesamtleistung des ABDSC 377100 verbessern.
  • In anderen Aspekten können die Transistoren 377120 und/oder 377130 irgendeinen anderen Typ von Transistoren aufweisen.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 einen Widerstand 377180 aufweisen, der mit dem Drain (D) des Transistors 377120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 377180 einen Widerstandswert von 150 Ω und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. um den Drain (D) des Transistors 377120 unter Vorspannung zu setzen. In einigen anderen Aspekten kann die Antennenschnittstelle 377115 eine Last 377180, z. B. den Widerstand 377180, aufweisen. Beispielsweise kann die Last 377180 eine aktive Last enthalten, z. B. einen Transistor, der konfiguriert ist, um in einem Triodenbereich des Transistors zu sein.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 eine Komponente mit hohem Widerstandswert aufweisen, wie beispielsweise einen Widerstand 377185, der mit dem Gate (G) des Transistors 377120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 377185 einen Widerstandswert von 2 KΩ und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. um das Gate (G) des Transistors 377120 unter Vorspannung zu setzen. In einigen anderen Aspekten kann der Widerstand 377185 durch eine aktive Last ersetzt sein, z. B. einen Transistor, der konfiguriert ist, um in seinem Triodenbereich zu sein, oder irgendeine andere aktive Last.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 einen Widerstand 377190 aufweisen, der mit dem Gate (G) des Transistors 377130 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 377190 einen Widerstandswert von 2 KΩ und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. um das Gate (G) des Transistors (Q1) 377130 unter Vorspannung zu setzen. In einigen anderen Aspekten kann der Widerstand 377190 durch eine aktive Last ersetzt sein, z. B. einen Transistor, der konfiguriert ist, um in seinem Triodenbereich zu sein, oder irgendeine andere aktive Last.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 einen Kondensator 377140 aufweisen, der mit dem Gate (G) des Transistors 377120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Kondensator 377140 einen Kondensator mit geringem/moderatem Q aufweisen, wie z. B. 100 Femtofarad (fF) für die 60 GHz-Bänder mit einem Q-Faktor von 15, der konfiguriert sein kann, beispielsweise den Transformator 377110 von der Gate-Bias-Spannung des Transistors 377120 zu entkoppeln. In anderen Aspekten können irgendwelche anderen Kapazitätswerte und Q-Faktoren verwendet werden. In anderen Aspekten kann der Kondensator redundant sein, wenn beispielsweise PMOS- und/oder NMOS-Transistoren zusammen verwendet werden.
  • In einigen anschaulichen Aspekten kann die Antennenschnittstelle 377115 einen Kondensator 377150 aufweisen, der mit dem Gate (G) des Transistors 377130 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Kondensator 377150 einen Kondensator mit geringem/moderatem Q aufweisen, wie z. B. 100 fF für die 60 GHz-Bänder mit einem Q-Faktor von 15, der konfiguriert sein kann, beispielsweise das Drain-Bias des Transistors 377120 von der Gate-Bias-Spannung des Transistors 377130 zu entkoppeln. In anderen Aspekten können irgendwelche anderen Kapazitätswerte und Q-Faktoren verwendet werden. In anderen Aspekten kann der Kondensator redundant sein, wenn beispielsweise PMOS- und/oder NMOS-Transistoren zusammen verwendet werden.
  • In einigen anschaulichen Aspekten können die Transistoren 377120 und/oder 377130 konfiguriert sein, mit einer Dualfunktionalität zu arbeiten. Beispielsweise können in einer ersten Funktionalitätsbetriebsart die Transistoren 377120 und/oder 377130 als ein Verstärker funktionieren, und/oder in einer zweiten Funktionalitätsbetriebsart können die Transistoren 377120 und/oder 377130 als ein Schalter funktionieren. Beispielsweise können die Transistoren 377120 und/oder 377130 konfiguriert sein, eine Direktionalität des ABDSC 377100 beispielsweise zwischen einer Splitterrichtung und/oder einer Kombiniererrichtung umzuschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Gleichspannungsquelle 377160 einem Gate (G) des Transistors 377120 eine Gleichspannung zuzuführen. Beispielsweise kann die Gleichspannungsquelle 377170 dem Drain (D) des Transistors 377120 eine Gleichspannung, z. B. über den Transistor 377180, zuführen.
  • In einigen anschaulichen Aspekten kann der ABDSC 377100 eine Steuereinheitschaltung 376107 (376), die konfiguriert sein kann, den ABDSC 377100 zwischen der Splitterbetriebsart und der Kombiniererbetriebsart steuerbar umzuschalten, aufweisen oder betriebstechnisch damit gekoppelt sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 376107 (376) konfiguriert sein, den Transistor 377130 in einen Aus-Zustand zu schalten, beispielsweise in der Splitterbetriebsart. Beispielsweise kann in der Splitterbetriebsart die RF-Last/Quelle 377101, z. B. der Transformator 377110, ein RF-Signal, das beispielsweise aus der Verstärkungsschaltung 376105 bereitgestellt ist, für wenigstens einige Transistoren, z. B. den Transistor 377120 der Antennenschnittstelle 377115, bereitstellen. Beispielsweise kann ein Signal, das für eine oder mehrere, z. B. jede, Antenne aus den mehreren Antennen, z. B. die Antenne 376200 (376), bereitgestellt werden soll, aus dem Drain (D) des Transistors 377120 einer oder mehrerer, z. B. jeder, Antennenschnittstelle aus den mehreren Antennenschnittstellen 377115 bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 376107 (376) konfiguriert sein, den Transistor 377120 in einen Aus-Zustand zu schalten, beispielsweise in der Kombiniererbetriebsart. Beispielsweise kann in der Kombiniererbetriebsart ein RF-Signal aus einer oder mehreren Antennen, z. B. jeder Antenne, aus den mehreren Antennen, z. B. den Antennen 376200 (376) für das Gate (G) des Transistors 377130 bereitgestellt werden kann, z. B. von einer oder mehreren, z. B. jeder, Antennenschnittstelle aus den mehreren Antennenschnittstellen 377115. Beispielsweise kann in der Kombiniererbetriebsart der Transistor 377130 einer oder mehrerer Antennenschnittstellen, z. B. jeder Antennenschnittstelle, aus den mehreren Antennenschnittstellen 377115 das Antennensignal für die RF-Last/Quelle 377101, z. B. den Transformator 377110, bereitstellen. Beispielsweise kann die RF-Last/Quelle 377101, z. B. der Transformator 377110, die Signale aus den Transistoren 377130 der einen oder mehreren Antennenschnittstellen 377115 kombinieren und kann das kombinierte Signal für die Verstärkungsschaltung 376105 (376) bereitstellen.
  • Das Folgende ist ein Beispiel simulierter Parameter, die beispielsweise durch einen 1:4-ABDSC, z. B. einen 1:4-ABDSC 377100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T3
    CS - Kombinierer
    IL @ 65 GHz Sii Isolation
    -3,1@2,3 mA
    1:1 -2,4@3 mA -5,9
    1:2 -3,5@2,3 mA -5,9 -22
    1:3 -5,9@2,3 mA -6 -24
    -7@2,3 mA
    1:4 -6,6@3 mA -6 -25
    S11 (gemeinsam)<-10 dB
    CS - Splitter
    IL @ 65 GHz Sii Isolation
    -3,7@2,1 mA
    1:1 -2,9@3 mA -8,3
    1:2 -4,3@2,1 mA -8,1 -23
    1:3 -4,9@2,1 mA -8,4 -23
    -5,5@2,1 mA
    1:4 -5@3 mA -8,5 -24
    S11 (gemeinsam)<-7,5 dB
  • Das Folgende ist ein Beispiel simulierter Parameter, die beispielsweise durch einen 1:6-ABDSC, z. B. einen 1:6-ABDSC 377100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T4
    Q=12, 80p:160p k=0,7
    CS - Kombinierer
    IL @ 65 GHz Sii Isolation
    1:1 -3,7@3 mA
    1:2 -4,3@3 mA -6 -34
    1:3 -6,9@3 mA -6 -25
    1:4 -7,1 @3 mA -6 -26
    1:6 -8,8@3 mA -6 -28
    S11(gemeinsam)<-11 dB
    CS - Splitter
    IL @ 65 GHz Sii Isolation
    1:1 -4,5@3 mA -8,5
    1:2 -5@3 mA -8,7 -24
    1:3 -5,7@3 mA -8,7 -24
    1:4 -5,6@3 mA -8,6 -24
    1:6 -7,1 @3 mA -8,8 -22
    S11 (gemeinsam)<-8,9 dB
  • Es wird jetzt auf 378 Bezug genommen, die eine Topologie mit gemeinsamem Gate eines ABDSC 378100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann beispielsweise der ABDSC 378100 als Teil eines Sendeempfängers, beispielsweise als Teil des Sendeempfängers 376000 (376), implementiert sein, z. B. anstelle des ABDSC 376100 (376).
  • In einigen anschaulichen Aspekten kann der ABDSC 378100 zwischen einer Kombiniererbetriebsart und einer Splitterbetriebsart schaltbar sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ABDSC 378100 beispielsweise eine RF-Last/Quelle 378101, z. B. einen Transformator 378110, und mehrere Antennenschnittstellen 378115 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Anzahl von Antennenschnittstellen 378115 beispielsweise analog der Anzahl der Antennenanschlüsse und/oder Antennen sein. Beispielsweise kann für vier Antennen und/oder Antennenanschlüsse der ABDSC 378100 vier Antennenschnittstellen 378115 aufweisen. Beispielsweise kann der ABDSC 378100 als ein 1:4-ABDSC bezeichnet sein. Für sechs Antennen und/oder Antennenanschlüsse kann ein ABDSC 378100 sechs Antennenschnittstellen 378115 aufweisen. Beispielsweise kann der ABDSC 378100 als ein 1:6-ABDSC bezeichnet sein. In anderen Aspekten kann der ABDSC 378100 irgendeine andere Anzahl von Antennenschnittstellen 17115 aufweisen, und/oder der ABDSC 377100 kann irgendeinen anderen 1:X-ABDSC, mit X>1, enthalten.
  • In einigen anschaulichen Aspekten kann eine Antennenschnittstelle 378115 aus den mehreren Antennenschnittstellen 377115 beispielsweise einen Transistor 378120 aufweisen, der eine Verbindung mit einem gemeinsamen Gate aufweist. Beispielsweise kann der Transistor 378120 in der Kombiniererbetriebsart eine Drain-Spannung (Vd) an einem Drain des Transistors 378120, eine Source-Spannung (Vs) an einer Source des Transistors 378120 und eine Gate-Spannung (Vg) an einem Gate des Transistors 378120 empfangen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Transistor 378120 in der Splitterbetriebsart die Source-Spannung (Vs) an dem Drain, die Drain-Spannung (Vd) an der Source und die Gate-Spannung (Vg) an dem Gate empfangen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der Transistor 378120 FET, MOSFET-Transistor, BJT und dergleichen enthalten kann. Beispielsweise kann der MOSFET NMOS- und/oder PMOS-Transistor enthalten.
  • Vorteilhafterweise kann die Kombination aus NMOS- und/oder PMOS-Transistoren die Anzahl von Komponenten in dem ABDSC 378100 reduzieren, Störeffekte der Transistoren unter unterschiedlichen Bias-Bedingungen reduzieren und kann die Gesamtleistung des ABDSC 378100 verbessern.
  • In einigen anschaulichen Aspekten kann eine Antennenschnittstelle 378115, z. B. jede Antennenschnittstelle 378115, aus den mehreren Antennenschnittstellen 378115 einen Widerstand 378180 aufweisen, der mit dem Transistor 378120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 378180 einen Widerstandswert von 150 Ω und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. um den Drain (D) des Transistors Q1 378120 unter Vorspannung zu setzen. In einigen anderen Aspekten kann die Antennenschnittstelle 378115 eine aktive Last als einen Ersatz für den Widerstand 378120 aufweisen, beispielsweise einen Transistor, der konfiguriert ist, in einem Triodenbereich zu sein.
  • In einigen anschaulichen Aspekten kann in der Kombiniererbetriebsart ein RF-Signal von einer oder mehreren Antennen, z. B. jeder Antenne, aus den mehreren Antennen, z. B. der Antenne 376200, für den Drain (D) des Transistors 378120 bereitgestellt sein.
  • In einigen anschaulichen Aspekten kann eine Source-Spannung (Vs) für den Drain (D) des Transistors 378120 bereitgestellt sein. Beispielsweise kann der Transistor 378120 konfiguriert sein, das RF-Signal für die RF-Last/Quelle 378101, z. B. den Transformator 378110, bereitzustellen. Die RF-Last/Quelle 378101, z. B. der Transformator 378110, kann die Signale von einer oder mehreren Antennen, z. B. jeder Antenne, aus den mehreren Antennen, z. B. der Antenne 376200 (376) kombinieren und kann das kombinierte Signal für die Verstärkungsschaltung, z. B. die Verstärkungsschaltung 376105 ( 376) bereitstellen.
  • Es wird jetzt auf 379 Bezug genommen, die eine Topologie mit gemeinsamem Gate / gemeinsamer Source (CS/CG) eines ABDSC 379100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann beispielsweise der ABDSC 379100 als Teil eines Sendeempfängers, beispielsweise als Teil des Sendeempfängers 376000 (376), implementiert sein, z. B. anstelle des ABDSC 376100 (376).
  • In einigen beispielhaften Aspekten kann die Anzahl von Antennenschnittstellen 379115 beispielsweise analog der Anzahl der Antennenanschlüsse und/oder Antennen sein. Beispielsweise kann für vier Antennen und/oder Antennenanschlüsse der ABDSC 379100 vier Antennenschnittstellen 379115 aufweisen. Beispielsweise kann der ABDSC 379100 als ein 1:4-ABDSC bezeichnet sein. Für sechs Antennen und/oder Antennenanschlüsse kann der ABDSC 379100 sechs Antennenschnittstellen 379115 aufweisen. Beispielsweise kann der ABDSC 379100 als ein 1:6-ABDSC bezeichnet sein.
  • In einem anschaulichen Aspekt kann der ABDSC 379100 beispielsweise zwei Transformatoren und/oder RF-Last/Quellen und sechs Antennenschnittstellen 19115 aufweisen. In diesem Beispiel kann der ABDSC 379100 als ein 2:6-ABDSC bezeichnet sein.
  • In anderen Aspekten kann der ABDSC 379100 irgendeine andere Anzahl von Antennenschnittstellen 379115 aufweisen, und/oder der ABDSC 379100 kann irgendeinen anderen 1:X-ABDSC, mit X>1, enthalten.
  • In einigen anschaulichen Aspekten können eine oder mehrere Antennenschnittstellen 379115, z. B. jede Antennenschnittstelle 379115, aus den mehreren Antennenschnittstellen 379115 einen ersten Transistor 379130, der eine Verbindung mit einem gemeinsamen Gate aufweist, der in der Kombiniererbetriebsart aktiviert werden soll, der konfiguriert ist, in der Splitterbetriebsart deaktiviert zu sein; und einen zweiten Transistor 379120, der eine Verbindung mit einer gemeinsamen Source aufweist und konfiguriert ist, in der Splitterbetriebsart aktiviert zu sein und in der Kombiniererbetriebsart deaktiviert zu sein, aufweisen, z. B. wie nachstehend beschrieben.
  • In einem anschaulichen Aspekt können beispielsweise die Transistoren 379120 und/oder 379130 FETs, MOSFET-Transistoren, BJTs und dergleichen enthalten. Die MOSFETs können NMOS- und/oder PMOS-Transistoren enthalten. Beispielsweise kann der BJT NPN- und/oder PNP-Transistoren enthalten.
  • In einem Beispiel können die Transistoren 379120 und/oder 379130 NMOS-Transistoren, PMOS-Transistoren und/oder eine Kombination aus NMOS- und PMOS-Transistoren enthalten.
  • Vorteilhafterweise kann die Kombination aus NMOS- und PMOS-Transistoren die Anzahl von Komponenten in dem ABDSC 379100 reduzieren, Störeffekte der Transistoren unter unterschiedlichen Bias-Bedingungen reduzieren und können die Gesamtleistung des Antennenschnittstellen-ABDSC 379100 verbessern.
  • In anderen Aspekten können die Transistoren 379120 und/oder 379130 irgendwelche anderen Typen von Transistoren aufweisen.
  • In einem anschaulichen Aspekt kann die Antennenschnittstelle 379115 einen Widerstand 379180 aufweisen, der mit dem Drain (D) des Transistors 379120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 379180 einen Widerstandswert von 150 Ω und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. konfiguriert, um den Drain (D) des Transistors (Q1) 379120 unter Vorspannung zu setzen. In einigen anderen Aspekten kann die Antennenschnittstelle 379115 eine aktive Last als einen Ersatz für den Widerstand 379180 aufweisen, beispielsweise einen Transistor, der konfiguriert ist, in einem Triodenbereich zu sein.
  • In einem anschaulichen Aspekt kann die Antennenschnittstelle 379115 einen Widerstand 379185 aufweisen, der mit dem Gate (G) des Transistors 379120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 379185 einen Widerstandswert von 2 KΩ und/oder irgendeinen anderen geeigneten Wert aufweisen, z. B. konfiguriert sein, das Gate (G) des Transistors 379120 unter Vorspannung zu setzen. In einigen anderen Aspekten kann die Antennenschnittstelle 379115 eine aktive Last als einen Ersatz für den Widerstand 379185 aufweisen, beispielsweise einen Transistor, der konfiguriert ist, in einem Triodenbereich zu sein.
  • In einem anschaulichen Aspekt kann die Antennenschnittstelle 379115 einen Widerstand 19190 aufweisen, der mit dem Gate (G) des Transistors 379190 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Widerstand 19190 einen Widerstandswert von 2 KΩ und/oder irgendeinen anderen geeigneten Wert aufweisen, der konfiguriert sein kann, das Gate (D) des Transistors 379130 unter Vorspannung zu setzen. In einigen anderen Aspekten kann die Antennenschnittstelle 379115 eine aktive Last als einen Ersatz für den Widerstand 377180 aufweisen, beispielsweise einen Transistor, der konfiguriert ist, in einem Triodenbereich zu sein.
  • In einem anschaulichen Aspekt kann die Antennenschnittstelle 379115 einen Kondensator 379140 aufweisen, der mit dem Gate (G) des Transistors 379120 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Kondensator 379140 einen Kondensator mit geringem/moderatem Q von etwa 100 fF für die 60 GHz-Bänder mit einem Q-Faktor von 15 aufweisen, der konfiguriert sein kann, beispielsweise den Transformator 379110 von der Gate-Vorspannung des Transistors 379120 zu entkoppeln. In einem anschaulichen Aspekt kann beispielsweise der Transistor 379120 mit einer Topologie mit gemeinsamer Source implementiert sein, und/oder der Transistor 379130 kann mit einer Topologie mit gemeinsamem Gate implementiert sein. Beispielsweise kann eine Gleichspannungsquelle 379150 einem Drain (D) des Transistors 379130 eine Gleichspannung zuführen. Beispielsweise kann eine Gleichspannungsquelle 379155 einer Source (S) des Transistors 379120 eine Gleichspannung zuführen, falls erforderlich. Beispielsweise kann eine Gleichspannungsquelle 379160 dem Gate (G) des Transistors 379130 eine Gleichspannung, z. B. über den Widerstand 379190, zuführen. Beispielsweise kann eine Gleichspannungsquelle 379165 dem Gate (G) des Transistors 379120 eine Gleichspannung, z. B. über den Widerstand 379185, zuführen. Beispielsweise kann eine Gleichspannungsquelle 379170 dem Drain (D) des Transistors (Q1) 379120 eine Gleichspannung, z. B. über den Widerstand 379180, zuführen. In einigen anderen Aspekten können die Widerstände 379190 und 379185 durch eine aktive Last wie einen Widerstand 377180 und/oder einen Stromspiegel ersetzt werden. Der Widerstand 379180 kann durch einen Transistor ersetzt werden, der konfiguriert ist, in einem Triodenbereich des Transistors zu sein.
  • In einigen anschaulichen Aspekten kann der ABDSC 379100 eine Steuereinheitschaltung 376107 (376), die konfiguriert sein kann, den ABDSC 379100 zwischen der Splitterbetriebsart und der Kombiniererbetriebsart steuerbar umzuschalten, aufweisen oder betriebstechnisch damit gekoppelt sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Steuereinheitschaltung, z. B. die Steuereinheitschaltung 376107 (376), konfiguriert sein, den Transistor 379130 in einen Aus-Zustand zu schalten, beispielsweise in der Splitterbetriebsart. Beispielsweise kann in der Splitterbetriebsart der Transformator 379110 ein RF-Signal, das z. B. von der Verstärkungsschaltung 376105 (16) bereitgestellt ist, für wenigstens einige Transistoren, z. B. für den Transistor 379120, der mehreren Antennenschnittstellen 379115 bereitstellen. Beispielsweise kann ein Signal, das für eine oder mehrere, z. B. jede, Antenne aus den mehreren Antennen, z. B. die Antenne 376200 (376), bereitgestellt werden soll, aus dem Drain (D) des Transistors 379120 einer oder mehrerer, z. B. jeder, Antennenschnittstelle aus den mehreren Antennenschnittstellen 379115 bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 376107 (376) konfiguriert sein, den Transistor 379120 in einen Aus-Zustand zu schalten, beispielsweise in der Kombiniererbetriebsart. Beispielsweise kann ein RF-Signal von jeder Antenne aus den mehreren Antennen, z. B. der Antenne 376200 (376), beispielsweise für die Source (S) des Transistors 379130 einer oder mehrerer Antennenschnittstellen, z. B. jeder Antennenschnittstelle, aus den mehreren Antennenschnittstellen 379115 bereitgestellt werden. Beispielsweise kann der Transistor 379130 einer oder mehrerer, z. B. jeder, Antennenschnittstelle aus den mehreren Antennenschnittstellen 379115 das Antennensignal für den Transformator 379110 bereitstellen. Beispielsweise kann der Transformator 379110, die Signale aus dem Transistor 379130 der einen oder mehreren Antennenschnittstellen 379115 kombinieren und kann das kombinierte Signal für die Verstärkungsschaltung 376105 (376) bereitstellen.
  • Das Folgende ist ein Beispiel gemessener Parameter, die beispielsweise durch einen 1:4-ABDSC, z. B. einen 1:4-ABDSC 379100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T5
    CG/CS - Kombinierer
    IL @ 65 GHz Sii Isolation
    1:1 -3,7@2,8 mA -4,8@1,6 mA -18
    1:2 -4,6@2,8 mA -5,6@1,6 mA -18 -20
    1:3 -5,1@2,8 mA -6@1,6 mA -19 -24
    1:4 -5,6@2,8 mA -6,5@1,6 mA -20 -24
    S11 (gemeinsam)<-8,3 dB
    CG/CS - Splitter
    IL @ 65 GHz Sii Isolation
    1:1 -2,6@2,5 mA -10
    1:2 -3,4@2,5 mA -10 -22
    1:3 -4,2@2,5 mA -10 -23
    1:4 -5@2,5 mA -10 -23
    S11 (gemeinsam)<-7 dB
  • Das Folgende ist ein Beispiel gemessener Parameter, die beispielsweise durch einen 1:6-ABDSC, z. B. einen 1:6-ABDSC 379100, in der Kombiniererbetriebsart und in der Splitterbetriebsart in Übereinstimmung mit einigen anschaulichen Aspekten erreicht werden können: Tabelle T6
    Q=12,
    90p:140p k=0,7
    CG/CS - Kombinierer
    IL @ 65 GHz Sii Isolation
    1:1 -4,5@2,6 mA -17
    1:2 -5@2,6 mA -17 -23
    1:3 -5,6@2,6 mA -17 -23
    1:4 -6@2,6 mA -17 -24
    1:6 -7@2,6 mA -17 -25
    S11 (gemeinsam)<-
    ,8 dB
    CG/CS - Splitter
    IL @ 65 GHz Sii Isolation
    1:1 -5,5@1,5 mA -7,7
    1:2 -6@1,5 mA -7,8 -26
    1:3 -6,4@1,5 mA -7,9 -27
    1:4 -6,8@1,5 mA -7,9 -27
    1:6 -7,7@1,5 mA -8 -28
    S11(gemeinsam)<-7,1 dB
  • Bezug nehmend zurück zu 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen Stack-PA der Digitalklasse E aufweisen kann, der konfiguriert ist, RF-Signale zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann in einigen Anwendungsfällen, Szenarios und/oder Implementierungen, beispielsweise um hohe Datenraten für Millimeterwellen- (mm-Wellen) 5G-Anwendungen zu unterstützen, und/oder irgendwelchen anderen Implementierungen ein technischer Bedarf zum Realisieren spektral effizienter polarer Konstellationen, z. B. Mehrpegel-Amplituden-Phasenumtastung (M-APSK), und/oder kartesischen Konstellationen, z. B. Mehrpegel-Quadratamplitudenmodulation (m-QAM), beispielsweise mit hoher Geschwindigkeit, hoher Amplitude und/oder Phasenauflösung, vorhanden sein.
  • In einigen anschaulichen Aspekten kann, um eine hohe Amplitudenauflösung zu realisieren, beispielsweise in einem mm-Wellen-Sender-Frontend, ein mm-Wellen-PA in einem Sender in mehrere Segmente segmentiert sein, beispielsweise binär skalierte Segmente, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können ein oder mehrere der Verstärkersegmente, z. B. sogar jedes Verstärkersegment, digital gesteuert werden, beispielsweise um eine gewünschte Amplitudenauflösung zu realisieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine schaltende Leistungsverstärker-Architektur, beispielsweise Klasse-E/Klasse-F-PA, oder dergleichen, die zwei in Reihe gestapelte Transistoren aufweist, z. B. ein Transistor ist oberhalb des anderen Transistors verbunden, verwendet werden, um einen Verlust der Reihenmodulationssteuerschalter abzuschwächen. Beispielsweise kann ein gestapelter oberer Transistor konfiguriert sein, auch als ein Modulationssteuerschalter zu arbeiten. Beispielsweise kann eine Gate-Spannung des oberen Transistors durch ein Steuersignal digital gesteuert werden, beispielsweise so, dass ein Strom des oberen Transistors den unteren Transistor aushungern lässt, so dass er abschaltet, beispielsweise um zu erzwingen, dass eine modulierte Ausgangsamplitude, z. B. eine mm-Wellenmodulierte Ausgangsamplitude, hoch oder niedrig ist, beispielsweise gemäß digitalen Steuer-Bits des Steuersignals.
  • In einigen anschaulichen Aspekten kann ein digitaler Leistungsverstärker mit N-Bit-Auflösung implementiert sein, beispielsweise durch Replizieren und binäres Skalieren N gleicher gestapelter Transistorsegmente, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Leistungsverstärker mit N-Bit-Auflösung beispielsweise konfiguriert sein, eine verlustbehaftete Reihe von Schaltern an dem Eingang jedes der Verstärkersegmente überflüssig zu machen.
  • In einigen anschaulichen Aspekten kann der obere gestapelte Transistor eine Doppelrolle sowohl einer Leistungsverstärkungsstufe als auch eines Modulationssteuerschalters spielen, z. B. wie nachstehend beschrieben. Beispielsweise können durch Integrieren von Modulationsschalterstöreffekten in beispielsweise ein mm-Wellen-PA-Konstruktionsnetz, größere Schaltergrößen, beispielsweise 25 µm bis 250 µm, verwendet werden, um einen Schalter-EIN-Widerstandsverlust zu reduzieren, beispielsweise sogar ohne den Preis großer parasitärer Schaltkapazitäten, beispielsweise 20-200 Femtofarad (fF).
  • In einigen anschaulichen Aspekten kann der digitale N-Bit-PA in der Funkkettenschaltung 435 (4) enthalten sein, falls gewünscht.
  • Es wird jetzt auf 380 Bezug genommen, die ein Blockdiagramm einer Architektur eines Senders 380100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Sender 380100 beispielsweise als Teil einer integrierten Schaltung (IC) eingebettet sein.
  • In einigen anschaulichen Aspekten kann der Sender 380100 einen Millimeterwellensender zum Senden eines Signals über ein mmWellen-Frequenzband aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann der Sender 380100 irgendeinen anderen Typ eines Senders zum Senden eines Signals über irgendein anderes Frequenzband aufweisen.
  • In einigen anschaulichen Aspekten kann der Sender 380100 einen anlogen Sender, einen Breitbandsender, einen digitalen Sender, einen digital gesteuerten Sender oder dergleichen enthalten. Beispielsweise können ein oder mehrere Elemente des Senders 20100 als Teil des Senders 371110 (371) implementiert sein.
  • In einigen anschaulichen Aspekten kann der Sender 380100 einen LO 380110 aufweisen, beispielsweise einen 60 GHz-LO oder irgendeinen anderen LO.
  • In einigen anschaulichen Aspekten kann der Sender 380100 ein Basisband 380120 aufweisen, um Phasendaten 380125 zu erzeugen. Beispielsweise kann das Basisband 380120 als Teil eines Phasendatenteilsystems (nicht gezeigt), das Phasendaten 380125 erzeugen kann, enthalten sein. Phasendaten 380125 können beispielsweise analoge Phasendaten und/oder digitale Phasendaten enthalten.
  • In einigen anschaulichen Aspekten kann der Sender 380100 einen Phasenmodulator 380130 aufweisen, der konfiguriert ist, ein Eingabesignal 380135 zu erzeugen, beispielsweise durch Modulieren von Phasendaten 380125 gemäß einem LO-Signal aus dem LO-Generator 380110. In einem Beispiel kann das Eingabesignal 380135 ein 60 GHz-RF-Signal oder irgendein anderes Signal irgendeines anderen Frequenzbands enthalten.
  • In einigen anschaulichen Aspekten kann der Sender 380100 eine Amplitudendatensignalquelle 380140 aufweisen, beispielsweise um ein digitales Steuersignal 380145, das Amplitudendaten repräsentiert, zu erzeugen.
  • In einigen anschaulichen Aspekten kann der Sender 380100 einen digitalen N-Bit-PA 380150 aufweisen, der konfiguriert sein kann, das Eingabesignal 380135 zu verstärken, beispielsweise basierend auf dem Steuersignal 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 380100 wenigstens eine Antenne 380170, die z. B. mit dem digitalen PA 380150 gekoppelt ist, um wenigstens ein Signal basierend auf dem Eingabesignal 380135 zu senden, enthalten oder kann betriebstechnisch damit gekoppelt sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 382100 eine oder mehrere Phasengruppenantennen 380170 aufweisen, z. B. gekoppelt mit dem digitalen PA 382150, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der digitale N-Bit-PA 380150 mehrere durch gestapeltes Gate gesteuerte Verstärker 380155 aufweisen, die mit einem Kombinierer 380159 betriebstechnisch gekoppelt sind, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der digitale N-Bit-PA 380150 einen Kombinierer 380159 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der digitale PA 380150 konfiguriert sein, das Eingabesignal 380135 steuerbar zu verstärken und zu modulieren, beispielsweise basierend auf dem digitalen Steuersignal 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 durch ein digitales Steuersignal 380145 steuerbar sein, beispielsweise um mehrere verstärkte modulierte Signale 380157 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann durch gestapeltes Gate gesteuerte Verstärker 380151 aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 380155 einen ersten Eingang 380152 zum Empfangen des Eingabesignals 380135, einen zweiten Eingang 20153 zum Empfangen des digitalen Steuersignals 380145 und einen Ausgang 380154 zum Bereitstellen eines verstärkten modulierten Signals 380157 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Kombinierer 380159 konfiguriert sein, die mehreren verstärkten modulierten Signale 380157 in ein Kombiniererausgangssignal 380180 zu kombinieren, das beispielsweise einen Ausgangsleistungspegel und eine Modulation aufweist, die auf dem digitalen Steuersignal 380145 basierend, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann durch gestapeltes Gate gesteuerte Verstärker 380152 einen ersten Transistor und einen zweiten Transistor aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 konfiguriert sein, das verstärkte modulierte Signal 380157 bereitzustellen, beispielsweise durch Verstärken und Modulieren des Eingabesignals 380135 an einem Gate des zweiten Transistors des durch gestapeltes Gate gesteuerten Verstärkers 380152, beispielsweise basierend auf dem digitalen Steuersignal 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 konfiguriert sein, eine Verstärkung des zweiten Transistors des durch gestapeltes Gate gesteuerten Verstärkers 380152 digital zu steuern, beispielsweise basierend auf dem digitalen Steuersignal 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 konfiguriert sein, beispielsweise den durch gestapeltes Gate gesteuerten Verstärker 380152 zwischen einem Ein-Zustand und einem Aus-Zustand zu schalten, beispielsweise basierend auf einem Bit-Wert des digitalen Steuersignals 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 beispielsweise einen ersten FET aufweisen, und/oder der zweite Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 kann beispielsweise einen zweiten FET aufweisen. In anderen Aspekten können der erste und/oder der zweite Transistor irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann der erste Transistor des durch gestapeltes Gate gesteuerten Verstärkers 380152 konfiguriert sein, das Eingabesignal 380135 beispielsweise mit einem Faktor zwei zu verstärken, beispielsweise basierend auf einem Bit des digitalen Steuersignals 380145, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der digitale PA 380150 konfiguriert sein, das Eingabesignal 380135 basierend auf dem digitalen Steuersignal 20145 zu modulieren, beispielsweise gemäß einem Modulationsschema, beispielsweise dem vorstehend mit Bezug auf die 12A, 12B, 12C, 13A und/oder 13B beschriebenen Modulationsschema und/oder irgendeinem anderen Modulationsschema.
  • In einigen anschaulichen Aspekten kann das Modulationsschema ein QAM-Schema enthalten, z. B. wie vorstehend mit Bezug auf die 12A, 12B, 12C, 13A und/oder 13B beschrieben.
  • In einigen anschaulichen Aspekten kann das QAM-Schema ein 64-QAM-Schema enthalten, z. B. wie nachstehend beschrieben. In anderen Aspekten kann das QAM-Schema irgendein anderes QAM-Schema enthalten, beispielsweise ein 256-QAM-Schema oder irgendein QAM höheren oder niedrigeren Grades.
  • In einem Beispiel kann der digitale N-Bit-PA 20150 sechs Segmente aufweisen, die hohe Modulationsgeschwindigkeit von 64-QAM oder 128-QAM unterstützen. In anderen Aspekten kann irgendeine andere Anzahl von Segmenten implementiert sein.
  • In einigen anschaulichen Aspekten kann das digitale Steuersignal 380145 6 Bits aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann das digitale Steuersignal 380145 irgendeine andere Anzahl von Bits aufweisen, z. B. weniger als oder mehr als 6 Bits.
  • In einigen anschaulichen Aspekten können die mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 durch gestapeltes Gate gesteuerte Verstärker enthalten, z. B. wie nachstehend beschrieben. In anderen Aspekten können die mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 irgendeine andere Anzahl von durch gestapeltes Gate gesteuerten Verstärkern enthalten.
  • In einigen anschaulichen Aspekten kann der Phasenmodulator 380130 ein Eingabesignal 380135 für den digitalen PA 380155 bereitstellen, beispielsweise basierend auf den Phasendaten 380125. Das Basisband 380120 kann das digitale Steuersignal 380145 für den digitalen PA 380150 bereitstellen, beispielsweise basierend auf den Phasendaten 380125, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Basisband 380120 das digitale N-Bit-Signal 380125 für den digitalen N-Bit-Verstärker 380150 bereitstellen. Das Basisband 380120 kann das auf die Phasendaten 380125 bezogene digitale Signal für den Phasenmodulator 380130 bereitstellen. Der Phasenmodulator 380130 kann das LO-Signal von dem LO 380110 empfangen. Der LO 380110 kann beispielsweise ein 60 GHz-Modulationssignal für den Phasenmodulator 380130 bereitstellen. Der Phasenmodulator 380130 kann die Phasendaten 380125 mit dem LO-Signal modulieren und kann das Eingabesignal 380135 für den digitalen N-Bit-PA 380150 bereitstellen.
  • In einigen anschaulichen Aspekten können die ersten Eingänge 380152 der mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 mit dem Phasenmodulator 380130 verbunden sein, die zweiten Eingänge 380153 der mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 können mit der Amplitudendatensignalquelle 380140 verbunden sein, und/oder die Ausgänge 380154 der mehreren durch gestapeltes Gate gesteuerten Verstärker 380155 können mit dem Kombinierer 380159 verbunden sein. Der Kombinierer 380159 kann das Ausgabesignal 380180, das beispielsweise ein moduliertes RF-Signal enthält, für eine oder mehrere Antennen 380170 bereitstellen.
  • In einigen beispielhaften Aspekten kann das digitale Steuersignal 380135, z. B. das digitale N-Bit-Signal an den zweiten Eingängen 380153 der mehreren durch gestapeltes Gate gesteuerten Verstärker 380155, einen Ausgangsleistungspegel und/oder eine Modulation des Ausgabesignals 380180 des Kombinierers 380159 steuern, z. B. wie nachstehend beschrieben.
  • Es wird auf die 381A und 381B Bezug genommen, die eine elektronische Schaltung eines gestapelten durch gestapeltes Gate gesteuerten Verstärkers 381100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellen. Beispielsweise kann der durch gestapeltes Gate gesteuerte Verstärker 380150 (380) ein oder mehrere Elemente des durch gestapeltes Gate gesteuerten Verstärkers 381100 aufweisen.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 einen Transistor (M1) 381110 zum Empfangen eines Eingabesignals 381170 aufweisen. In einem Beispiel kann das Eingabesignal eine Amplitude von etwa 1 Volt, z. B. an einer Frequenz von etwa 60 GHz, aufweisen. In anderen Aspekten können irgendeine andere Amplitude und/oder Frequenz implementiert sein.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 einen Transistor (M2) 381120 zum Empfangen digitalen Steuersignals 381180 aufweisen. Beispielsweise kann das digitale Steuersignal zwischen 1 Volt und 0 Volt oder zwischen irgendeinem anderen Bereich von Spannungen schwanken.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 einen Kondensator 381130 aufweisen. Beispielsweise kann der Kondensator 381130 an dem Gate des Transistors 381120 einen optimalen Ausschlag einführen, um Signale an den Transistoren (M1) 381110 und (M2) 381120 phasengleich zu verstärken.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 einen Kondensator 381140 und/oder einen Kondensator 381150 aufweisen, beispielsweise als ein Kondensatorteilernetz konfiguriert.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 eine Induktivität 381160 aufweisen, die durch das Steuersignal konfiguriert sein kann, einen Strom zu klemmen, der aus einer Versorgungsspannung VDD gezogen wird, um den Transistor (M1) 381110 zu sperren, und/oder eine Ausgabeamplitude an dem Ausgang 381190 niedrig zu machen, z. B. 0 Volt.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker 381100 einen Transistor 381120, um ein verstärktes moduliertes Signal durch Verstärken und Modulieren des Eingabesignals 381170 an einem Gate des Transistors 381170 bereitzustellen, beispielsweise basierend auf dem digitalen Steuersignal 381180, und/oder einen Transistor 381120 zum digitalen Steuern der Verstärkung des Transistors 381170, beispielsweise basierend auf dem digitalen Steuersignal 381180, aufweisen, wie in 381B gezeigt ist.
  • In einigen anschaulichen Aspekten, beispielsweise wie in 381A gezeigt ist, kann der Transistors 381180 konfiguriert sein, den durch gestapeltes Gate gesteuerten Verstärker 381100 zwischen einem Ein-Zustand und einem Aus-Zustand umzuschalten, beispielsweise basierend auf einem Bit-Wert des digitalen Steuersignals 381170. Beispielsweise kann der Transistor 381180 konfiguriert sein, den durch gestapeltes Gate gesteuerten Verstärker 381100 in den Ein-Zustand zu schalten, beispielsweise wenn ein Bit an dem Gate des Transistors 381120 einen „hohen“ Wert aufweist, und den durch gestapeltes Gate gesteuerten Verstärker 381100 in den Aus-Zustand zu schalten, beispielsweise wenn das Bit an dem Gate des Transistors 381120 „tief“ ist.
  • In einigen anschaulichen Aspekten können der Transistor (M1) 381110 und ein Transistor (M2) 381120 beispielsweise FETs enthalten, die gemäß einer Kaskoden-Verbindung miteinander verbunden sein können.
  • In einigen anschaulichen Aspekten können, wenn eine Gate-Spannung des Transistors (M2) 381120 an einem digitalen Hoch sein kann, z. B. 1 Volt, die 2 in Reihe gestapelten Transistoren, z. B. der Transistor (M1) 381110 und der Transistor (M2) 381120, als ein Schalt-PA arbeiten. Beispielsweise kann ein Kondensatorteilernetz, das z. B. durch die Kondensatoren 381140 und 381150 gebildet ist, konfiguriert sein, einen optimalen Ausschlag einführen, um Signale an den Transistoren (M1) 381110 und (M2) 381120 phasengleich zu verstärken.
  • In einem Beispiel kann ein Pegel eines logischen „Eins“-Bits des digitalen Steuersignals 381180 eine Verstärkung um zwei des Eingabesignals 381170 bewirken, z. B. durch den Transistor (M2) 38120. Ein Signalpegel von logisch Null des digitalen Steuersignals 381180 kann an dem Ausgabesignal 381190 ein Signal mit Nullpegel bewirken. Eine 1-Volt-Amplitude des Eingabesignals 381170 kann eine 2-Volt-Amplitude an dem Ausgabesignal 381190 bewirken.
  • Bezug nehmend auf 381B kann in einigen anschaulichen Aspekten während der Modulation die Gate- (G-) Spannung des Transistors (M2) 381120 digital tief sein, z. B. 0 Volt, beispielsweise um den durch gestapeltes Gate gesteuerten Verstärker 381100 in den AUS-Zustand zu drehen. Das kann bewirken, dass der Transistor (M2) 381120 auf AUS schaltet, beispielsweise unabhängig von dem Signalausschlag an dem Gate (G) des Transistors (M1) 381110. Wenn der Transistor (M2) 381120 abschalten kann, kann er einen Strom, der aus der Versorgungsspannung VDD gezogen wird, über die Induktivität 381160 klemmen, was dazu führen kann, dass der Transistor (M1) 381110 sperrt und die Ausgabeamplitude an dem Ausgang 381190 tief gemacht wird, z. B. 0 Volt.
  • In einigen anschaulichen Aspekten kann ein Basisbandprozessor, z. B. das Basisbandteilsystem 380145 (380) das digitale Steuersignal 381180 erzeugen, z. B. in der Form eines digitalen N-Bit-Signals, beispielsweise um den Ausgabeleistungspegel und/oder die Modulation des Ausgabesignals des durch gestapeltes Gate gesteuerten Verstärkers 381100 zu steuern.
  • Es wird auf 382 Bezug genommen, die ein Blockdiagramm eines Senders 382100, der einen durch gestapeltes Gate modulierten digitalen PA 382110 aufweist, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise kann ein durch gestapeltes Gate modulierter digitaler PA 382110 ein oder mehrere Elemente des digitalen N-Bit-PA 380150 (380) aufweisen. Die hier beschriebenen Leistungsverstärker können in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Leistungsverstärker nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten kann der Sender 382100 einen Prozessor 382120 aufweisen, der einen Basisbandprozessor aufweisen kann, der konfiguriert ist, ein digitales Steuersignal 382125 bereitzustellen. Beispielsweise kann der Basisbandprozessor 382120 eine oder mehrere Operationen und/oder Funktionalitäten der Amplitudendatensignalquelle 380140 (380) ausführen.
  • In einigen anschaulichen Aspekten kann der Sender 382100 einen Modulator 382130 aufweisen. Beispielsweise kann der Modulator 382130 eine oder mehrere Operationen und/oder Funktionalitäten des Phasenmodulators 380130 (380) ausführen.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate modulierte digitale PA 382110 mehrere durch gestapeltes Gate gesteuerte Verstärker 382150 aufweisen, um ein Ausgabesignal 382145 zu erzeugen.
  • In einigen anschaulichen Aspekten kann der Sender 382100 einen Antennenanschluss 382140 aufweisen, um das Ausgabesignal 382145 für wenigstens eine Antenne, z. B. die Antenne der phasengesteuerten Gruppe oder irgendeinen anderen Typ einer Antenne, bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Prozessor 382120 beispielsweise ein digitales N-Bit-Signal für die Gates der Transistoren 382152 der mehreren durch gestapeltes Gate gesteuerten Verstärker 382150 bereitstellen, z. B. wie vorstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Bit des digitalen N-Bit-Signals 382125 für ein Gate eines Transistors eines entsprechenden durch gestapeltes Gate gesteuerten Verstärkers aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden.
  • In einem Beispiel kann das digitale N-Bit-Signal 382125 6 Bits aufweisen. Gemäß diesem Beispiel kann ein erstes Bit, z. B. das Bit 0, des digitalen N-Bit-Signals für einen ersten durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden; ein zweites Bit, z. B. das Bit 1, des digitalen N-Bit-Signals kann für einen zweiten durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden; ein drittes Bit, z. B. das Bit 2, des digitalen N-Bit-Signals kann für einen dritten durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden; ein viertes Bit, z. B. das Bit 3, des digitalen N-Bit-Signals kann für einen vierten durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden; ein fünftes Bit, z. B. das Bit 4, des digitalen N-Bit-Signals kann für einen fünften durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden; und/oder ein sechstes Bit, z. B. das Bit 5, des digitalen N-Bit-Signals kann für einen sechsten durch gestapeltes Gate gesteuerten Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150 bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann der Modulator 382130 ein RF-moduliertes Signal für die Transistoren 382154 der mehreren durch gestapeltes Gate gesteuerten Verstärker 382150 bereitstellen. Die mehreren durch gestapeltes Gate gesteuerten Verstärker 382150 können das RF-modulierte Signal gemäß einer Bitfolge des digitalen N-Bit-Signals verstärken. Der durch gestapeltes Gate modulierte digitale PA 382110 kann ein Tx-RF-Signal aus mehreren durch gestapeltes Gate gesteuerten Verstärkern 382150, beispielsweise das Ausgabesignal 382145, z. B. das Tx-RF-Signal, zu dem Antennenanschluss 382140 ausgeben.
  • Es wird auf die 383A und 383B Bezug genommen, die eine dynamische Realisierung einer Mehrpegel-Hochgeschwindigkeits-Augendiagramms 383100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellen.
  • In einigen anschaulichen Aspekten kann beispielsweise der Modulator 382130 ein IF-Signal gemäß einem QAM-Schema, beispielsweise 16-QAM, 32-QAM, 64-QAM und dergleichen, modulieren. Beispielsweise kann ein kombiniertes Ausgabesignal 382145 durch das digitale N-Bit-Signal so geformt sein, dass es mit gewünschten Konstellationspunkten des QAM-Modulationsschemas übereinstimmt, wie in 383, z. B. für 16-QAM, und in 383, z. B. für 64-QAM, gezeigt ist.
  • Es wird auf die 384A und 384B Bezug genommen, die ein Leistungsfähigkeitsverbesserungsdiagramm (384A) und ein Energiereduktionsdiagramm (384B), die einem Eingangsreihenschaltverstärker entsprechen, in Übereinstimmung mit einigen anschaulichen Aspekten abbilden.
  • In einigen anschaulichen Aspekten kann, wie in 384A und 384B gezeigt ist, ein durch gestapeltes Gate gesteuerter Verstärker, z. B. der durch gestapeltes Gate gesteuerte Verstärker 382150 (382), eine Verbesserung um 25 % bei der Energiereduktion erreichen und wenigstens einen Anstieg um 150 % der Leistungsverstärkungseffizienz (PAE), z. B. im Vergleich zu einem Modulationssteuerungsschaltverstärker.
  • Es wird auf die 385A und 385B Bezug genommen, die ein Amplitudenauflösungsdiagramm (385A) und ein Energieeffizienzdiagramm (385B), die einem digitalen N-Bit-PA, z. B. dem digitalen PA 382150 ( 382), entsprechen, in Übereinstimmung mit einigen anschaulichen Aspekten abbilden.
  • In einem Beispiel ist eine 6-Bit-Amplitudenauflösung nahe der Linearität basierend auf der Bit-Einstellung (385A).
  • In einem Beispiel können 50 % Spitzeneffizienz unter 6 dB Leistungs-Backoff durch den digitalen Verstärker mit gestapeltem Gate erreicht werden, z. B. wie in 385B gezeigt ist.
  • Es wird auf 386 Bezug genommen, die ein Diagramm einer Drain-Effizienz versus Leistungssättigung durch gestapeltes Gate gesteuerten Verstärkers und eines Treiberverstärkers vor ihm in Übereinstimmung mit einigen anschaulichen Aspekten abbildet.
  • In einigen anschaulichen Aspekten kann der digitale N-Bit-PA mit dem Treiberverstärker vor dem durch gestapeltes Gate gesteuerten Verstärker eine reduzierte Effizienz bei 6 dB Backoff (z. B. 39 %) aufweisen im Vergleich zu 385, wo die Effizienz beispielsweise 50 % sein kann. In einem Beispiel kann die Treiberverstärkerleistung im Wesentlichen gleich bleiben, beispielsweise selbst wenn Segmente des digitalen PA abgeschaltet werden, und ermöglicht somit, dass das gesamte System 50 % seiner Spitzeneffizienz bei 6 dB Backoff beibehält.
  • In einigen anschaulichen Aspekten können mehrere Treiberverstärker vor dem durch gestapeltes Gate gesteuerten Verstärker hinzugefügt werden, um beispielsweise 50 % Effizienz an der Ausgangsstufe des durch gestapeltes Gate gesteuerten Verstärkers zu empfangen.
  • In einigen anschaulichen Aspekten kann vorteilhafterweise die durch gestapeltes Gate gesteuerte Verstärkerarchitektur, z. B. der durch gestapeltes Gate gesteuerte Verstärker 381100 (381) oder der durch gestapeltes Gate gesteuerte Verstärker 380151 (381) eine Leistungsverstärkung von beispielsweise im Bereich von -2 dBm bis 8 dBm einer PA-Kette, oder eine Empfängereffizienz von beispielsweise bis zu 39 % in einer mm-Wellenbandbreite, z. B. einer 60 GHz-Bandbreite, bereitstellen.
  • Bezug nehmend zurück zu 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen Reihen-Doherty-Kombinierer mit einem Balun unterhalb der Viertelwellenlänge, der konfiguriert sein kann, mehrere RF-Signale in ein RF-Signal zu kombinieren und das RF-Signal über eine oder mehrere Antennen zu senden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der durch gestapeltes Gate gesteuerte Verstärker, z. B. der durch gestapeltes Gate gesteuerte Verstärker 381100 (381) und/oder der durch gestapeltes Gate gesteuerte Verstärker 380151 (380) den gestapelten oberen Transistor, z. B. den Transistor 381120 (381), z. B. einen gestapelten mm-Wellen-Schaltverstärker, bei der Konstruktion als einen Modulationssteuerschalter wiederverwenden und somit die Drain-Effizienz um bis zu 39 % oder mehr an der Leistungssättigung 2,5 dBm bis 8 dBm des digitalen N-Bit-PA verbessern.
  • In einigen anschaulichen Aspekten kann es in einigen Anwendungsfällen und/oder Szenarios vorteilhaft sein, Funkarchitekturen zu implementieren, die eine oder mehrere Schaltungen für Sende- und Empfangspfade gemeinsam verwenden können, z. B. wie nachstehend beschrieben. Die Empfangs- und/oder Sendepfade können beispielsweise einen oder mehrere Verstärker, einen oder mehrere Splitter, einen oder mehrere Kombinierer, einen oder mehrere Mischer und/oder eine oder mehrere zusätzliche oder alternative Komponenten, falls gewünscht, aufweisen.
  • In einigen anschaulichen Aspekten kann eine Funkarchitektur wenigstens einen Doherty-Leistungsverstärker aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren des Doherty-Leistungsverstärkers in der Funkarchitektur einen oder mehrere Vorteile bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise wenigstens durch Steigern der Effizienz des Leistungsverstärkers, während weniger Platz eingenommen wird. Beispielsweise kann die Effizienz der Ausgabeleistung um 9 dB oder irgendein anderes Niveau ansteigen.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker konfiguriert sein, eine hoch effiziente Verstärkung eines RF-Signals bereitzustellen, z. B. wie nachstehend beschrieben. Beispielsweise kann die Fähigkeit zum Bereitstellen einer hocheffizienten Verstärkung des RF-Signals beispielsweise wenigstens einen technischen Nutzen des reduzierten Energieverbrauchs ermöglichen.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker konfiguriert sein, ein Konzept mit einem Balun unterhalb der Viertelwellenlänge einzusetzen, beispielsweise um effizientes Leistungskombinieren bereitzustellen, beispielsweise sogar in einer kompakten Diefläche, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Funkarchitektur beispielsweise wenigstens eine Doherty-Leistungsverstärkerschaltung aufweisen, die mit wenigstens einem Mischer betriebstechnisch gekoppelt ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker als Teil einer Funkkettenschaltung, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen, falls gewünscht.
  • In einigen Aspekten können die hier beschriebenen Doherty-Verstärker und/oder Doherty-Kombinierer können in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Verstärker und Kombinierer nicht darauf beschränkt sind.
  • Es wird auf 387 Bezug genommen, die ein Blockdiagramm eines Senders 27000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des Senders 387100 als Teil eines Sendeempfängers implementiert sein, z. B. wie vorstehend mit Bezug auf die 1, 1A und/oder 371 beschrieben ist.
  • In einigen anschaulichen Aspekten kann der Sender 387000 konfiguriert sein, ein Tx-Signal zu senden, z. B. wie nachstehend beschrieben. Beispielsweise kann der Sender 387000 einen I/Q-Sender aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 387000 wenigstens eine Antenne 387180 aufweisen und/oder damit gekoppelt sein. Beispielsweise kann die wenigstens eine Antenne 387180 eine Antenne einer phasengesteuerten Gruppe, eine Dipolantenne, eine Gruppe von Antennen oder der gleichen enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 387000 einen Doherty-Leistungsverstärker 387110 aufweisen, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker 387110 beispielsweise einen Zweistufen-Doherty-Leistungsverstärker von einem Typ mit über Nebenschluss verbundener Last, einem Zweistufen-Doherty-Leistungsverstärker von einem Typ mit in Reihe verbundener Last oder irgendeinen anderen Typ eines Doherty-Leistungsverstärkers enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker 387110 einen Zweistufe-Doherty-Leistungsverstärker enthalten, der wenigstens einen Verstärker 387113 der ersten Stufe und wenigstens einen Verstärker 387200 der zweite Stufe aufweisen kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der Verstärker 387113 der ersten Stufe einen Treiberverstärker aufweisen, der konfiguriert sein kann, ein Treiber-RF-Signal für die zweite Stufe des Doherty-Leistungsverstärkers 387110 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der Verstärker 387200 der zweiten Stufe wenigstens einen Hauptverstärker 387210 (auch als „Trägerverstärker (CA)“ bezeichnet) und wenigstens einen steuerbaren Spitzenverstärker (PA) 387220 aufweisen, z. B. wie nachstehend beschrieben. Beispielsweise können der Hauptverstärker 387210 und der steuerbare PA 387220 konfiguriert sein, das Treiber-RF-Signal zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Doherty-Leistungsverstärker 387110 ein Balun unterhalb der Viertelwellenlänge (SQWL-Balun) 387230 aufweisen, das z. B. die erste und die zweite Stufe enthält, z. B. wie nachstehend beschrieben. Beispielsweise kann das SQWL-Balun 387230 konfiguriert sein, Signale der ersten Stufe zu der zweiten Stufe zu kombinieren, um beispielsweise als eine Reihen-Last an den Ausgängen des Hauptverstärkers 387210 und des steuerbaren PA verwendet zu werden, an der zweiten Stufe, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der Doherty-Leistungsverstärker 387110 konfiguriert sein, in einer Konfiguration mit über Nebenschluss verbundener Last zu arbeiten. Beispielsweise kann in der Konfiguration mit über Nebenschluss verbundener Last eine Verstärkerlast, als ZLP bezeichnet, an den Verstärker 387210 oder den steuerbaren PA 387220 angelegt werden.
  • In einigen anschaulichen Aspekten kann beispielsweise der Doherty-Leistungsverstärker 387110 konfiguriert sein, in einer Konfiguration mit in Reihe verbundener Last zu arbeiten. Beispielsweise kann in der Konfiguration mit in Reihe verbundener Last eine Verstärkerlast, als ZLS bezeichnet, an den Verstärker 387210 oder den steuerbaren PA 387220 angelegt werden.
  • In einigen anschaulichen Aspekten kann die folgende Beziehung erhalten werden, z. B. für die Konfiguration vom Typ mit über Nebenschluss verbundener Last: Z C L = Z T 2 ( 1 Z L P 1 Z P L )
    Figure DE112017006442T5_0139
    wobei ZCL die CA-Last bezeichnet, ZLP die Verstärkerlast bezeichnet, ZPL die PA-Last bezeichnet und ZT die Gesamtlast bezeichnet.
  • In einigen anschaulichen Aspekten kann die CA-Last ZCL beispielsweise als eine Konfiguration vom Typ mit in Reihe geschalteter Last ausgedrückt werden, z. B. wie folgt: Z C L = Z L S Z T 2 Z P L .
    Figure DE112017006442T5_0140
  • In einigen anschaulichen Aspekten kann die folgende Gleichung beibehalten werden, z. B. für sowohl eine Konfiguration vom Typ mit in Reihe verbundener Last als auch eine Konfiguration mit über Nebenschluss verbundener Last: Z C L = 2 Z o Z o 2 Z P L .
    Figure DE112017006442T5_0141
  • Beispielsweise in einem Fall von: Z T = Z o Z L P = Z o 2 Z L S = 2 Z o
    Figure DE112017006442T5_0142
    wobei Z0 eine Lastimpedanz bezeichnet.
  • In einigen anschaulichen Aspekten kann beispielsweise die Lastimpedanz Zo eine Antennenimpedanz, beispielsweise eine Impedanz von 50 Ohm, aufweisen, sie repräsentieren und/oder auf ihr basieren. In anderen Aspekten kann die Lastimpedanz Zo irgendeine zusätzliche oder alternative Impedanz aufweisen, repräsentieren und/oder auf ihr basieren.
  • In einigen anschaulichen Aspekten kann ZPL unendlich sein, beispielsweise wenn der steuerbare PA 387220 in einem Aus-Zustand ist, beispielsweise an niedrigen RF-Eingabepegeln, die Ausgabeleistungspegeln von z. B. 6 dB unterhalb der Leistungssättigung (Psat) entsprechen, z. B. 6 dB Backoff. Beispielsweise kann in einem solchen Fall die Träger- (Haupt-) Verstärker-Last, z. B. ZCL, zu 2Z0 werden, z. B. unter Berücksichtigung eines Viertelwellenlängenimpedanzwandlers.
  • In einigen anschaulichen Aspekten kann der steuerbare PA 387220 aktiv werden und der Wert von ZPL kann abnehmen, beispielsweise an hohen RF-Eingabepegeln, die der maximalen Ausgabeleistung (Psat) entsprechen. Beispielsweise an dem Leistungspegel, an dem ZPL gleich Z0 ist, kann ZCL gleich Z0 werden. Deshalb kann die Träger- (Haupt-) Verstärker-Last, z. B. ZCL, beispielsweise zwischen Z0 und 2Z0 modulieren, beispielsweise abhängig von dem Status des steuerbaren PA 387220, z. B. abhängig davon, ob der steuerbare PA 387220 ausgeschaltet ist und/oder wie lange der steuerbare PA 387220 eingeschaltet sein kann.
  • In einigen anderen anschaulichen Aspekten kann der Status des steuerbaren PA 387220 gesteuert werden, beispielsweise durch die Größe von Eingangsleistungspegeln.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 387230 konfiguriert sein, als eine in Reihe verbundene Last für den steuerbaren PA 387220 und den Hauptverstärker 387210 zu funktionieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Zweistufen-Doherty-Verstärker 387110 eine Last in Reihe aufweisen, die beispielsweise durch das SQWL-Balun 387230 implementiert sein kann, z. B. wie nachstehend beschrieben. In anderen Aspekten kann der Zweistufen-Doherty-Verstärker 387110 irgendeine andere zusätzliche oder alternative Last aufweisen, die durch irgendwelche anderen zusätzlichen oder alternativen Symmetrieglieder implementiert sein kann.
  • In einigen anschaulichen Aspekten kann ein Verstärker 387200 der zweiten Stufe durch ein digitales Signal 387115 gesteuert werden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 387000 einen LO 387120 zum Erzeugen eines LO-Signals 387125 aufweisen, z. B. wie nachstehend beschrieben. Beispielsweise kann das LO-Signal 387125 ein 60 GHz-Signal sein. In anderen Aspekten kann das LO-Signal 387125 irgendeine andere Frequenz aufweisen. Beispielsweise kann der LO 387120 einen Kristalloszillator, einen Oszillator mit variabler Frequenz, einen Frequenzsynthesizer oder dergleichen aufweisen.
  • In einigen anschaulichen Aspekten kann der Sender 387000 einen phasengleichen (I-) Mischer 387130, der konfiguriert sein kann, ein I-Signal 387135 basierend auf dem LO-Signal 387125 zu erzeugen, und einen QuadraturPhasen- (Q-) Mischer 387140, der konfiguriert sein kann, ein Q-Signal 387125 basierend auf dem LO-Signal 387125 zu erzeugen, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 387000 eine Kombiniererschaltung 387150 aufweisen, die konfiguriert sein kann, das I-Signal 387135 mit dem Q-Signal 387125 zu kombinieren, beispielsweise um das treiberverstärkte Eingabesignal 387155 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Mischer-Schaltung 387130 konfiguriert sein, das I-Signal 387135 zu erzeugen, beispielsweise durch Mischen des LO-Signals 387125 mit einem RF-Signal, z. B. einem I-RF-Signal 387132, das beispielsweise von einem Phasenmodulator empfangen werden kann. In anderen Aspekten kann das I-Signal 387135 für den Doherty-Verstärker 387110 durch irgendeine andere Schaltung und/oder basierend auf irgendeinem anderen Signal erzeugt und/oder bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann die Q-Mischer-Schaltung 387140 konfiguriert sein, das Q-Signal 387145 zu erzeugen, beispielsweise durch Mischen des LO-Signals 387125 mit einem RF-Signal, z. B. einem Q-RF-Signal 387142, das beispielsweise von dem Phasenmodulator empfangen werden kann. In anderen Aspekten kann das Q-Signal für den Doherty-Verstärker 387110 durch irgendeine andere Schaltung und/oder basierend auf irgendeinem anderen Signal erzeugt und/oder bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann die Kombiniererschaltung 387150 konfiguriert sein, das 1-Signal 387135 und das Q-Signal 387145 in das treiberverstärkte Eingabesignal 387155 zu kombinieren. Beispielsweise kann der Kombinierer 387150 einen Wilkinson-Kombinierer, einen 2-zu-1-Kombinierer, einen 4-zu-2-Kombinierer oder dergleichen aufweisen. In anderen Aspekten kann irgendein anderer Typ eines Kombinierers verwendet werden.
  • In einigen anschaulichen Aspekten können die eine oder die mehreren Antennen 381780 mit einem Zweistufen-Doherty-Verstärker 387110 betriebstechnisch gekoppelt sein.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Verstärker 387113 der ersten Stufe konfiguriert sein, das treiberverstärkte Eingabesignal 387155 zu verstärken, und kann ein Treiber-RF-Signal 387157 an der ersten Stufe bereitstellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Hauptverstärker der zweiten Stufe, z. B. der CA 387210, konfiguriert sein, das Treiber-RF-Signal 387157 zu verstärken und ein Hauptverstärkersignal 387215 an der zweiten Stufe bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine steuerbare PA 387220 konfiguriert sein, auf einen Ein-Zustand gedreht zu werden, beispielsweise basierend auf einem Pegel des Treiber-RF-Signals 387157. Beispielsweise kann in dem Ein-Zustand der Zweistufen-Doherty-Verstärker 387110 das Treiber-RF-Signal 387157 verstärken, beispielsweise um ein Spitzenverstärkersignal 387225 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 387230 konfiguriert sein, das Hauptverstärkersignal 387125 mit dem Spitzenverstärkersignal 387225 zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 387230 beispielsweise eine erste Übertragungsleitung 387232 aufweisen, um eine Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Treiberverstärkers, z. B. des Verstärkers 387113 der ersten Stufe, wenigstens einem Eingang des wenigstens einen Hauptverstärkers 387210 und wenigstens einem eingang des wenigstens einen steuerbaren PA 387220 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 387230 beispielsweise eine zweite Übertragungsleitung 387235 aufweisen, um eine Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Hauptverstärkers 387210 und wenigstens einem Ausgang des wenigstens einen steuerbaren PA 387220 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 387230 beispielsweise eine dritte Übertragungsleitung 387237 und mehrere Stichleitungen aufweisen. Beispielsweise kann die dritte Übertragungsleitung 387237 eine erste Impedanz aufweisen, und eine Stichleitung, z. B. jede Stichleitung, aus den mehreren Stichleitungen kann eine zweite Impedanz aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Stichleitung (auch als eine „resonante Stichleitung“ bezeichnet) beispielsweise eine Länge eines Elements, beispielsweise einer Übertragungsleitung oder eines Wellenleiters, die an einem Ende verbunden sein kann, aufweisen.
  • In einigen anschaulichen Aspekten kann die erste Impedanz das Duale der zweiten Impedanz sein. Beispielsweise kann die dritte Übertragungsleitung 387237 eine Impedanz von 50 Ohm aufweisen, und/oder eine Stichleitung aus den mehreren Stichleitungen kann eine Impedanz von 25 Ohm aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten können irgendwelche anderen Impedanzen verwendet werden.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen beispielsweise wenigstens einen Eingang des wenigstens einen Treiberverstärkers, z. B. des Verstärkers 387113 der ersten Stufe, mit der dritten Übertragungsleitung 387237 betriebstechnisch koppeln, können den wenigstens einen Ausgang des wenigstens einen Treiberverstärkers, z. B. des Verstärkers 387113 der ersten Stufe, mit der ersten Übertragungsleitung 387232 betriebstechnisch koppeln, können den wenigstens einen Eingang wenigstens eines Hauptverstärkers 387210 mit der ersten Übertragungsleitung 387232 betriebstechnisch koppeln, können den wenigstens einen Eingang wenigstens eines steuerbaren PA 387220 mit der ersten Übertragungsleitung 387232 betriebstechnisch koppeln, können wenigstens einen Ausgang wenigstens eines Hauptverstärkers 387210 mit der zweiten Übertragungsleitung 387235 betriebstechnisch koppeln und/oder können wenigstens einen Ausgang des wenigstens eines steuerbaren PA 387220 mit der zweiten Übertragungsleitung 387235 betriebstechnisch koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Länge der Stichleitung basierend auf einem Achtel einer Wellenlänge des Treiber-RF-Signals 387257 basieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die zweite Übertragungsleitung 387235 und die mehreren Stichleitungen konfiguriert sein, beispielsweise eine Reihen-Last an dem wenigstens einen Ausgang des Hauptverstärkers 387210 und an dem wenigstens einen Ausgang des steuerbaren PA 387220 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Treiberverstärker, z. B. der Verstärker 387113 der ersten Stufe, ein erstes Anpassungsnetz, das einen ersten Eingang aufweisen kann, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, und ein zweites Anpassungsnetz, das einen zweiten Eingang aufweist, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können das erste und das zweite Anpassungsnetz konfiguriert sein, die Impedanzen der ersten und der zweiten Stichleitung an eine Impedanz der dritten Übertragungsleitung 387237 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Treiberverstärker, z. B. der Verstärker 387113 der ersten Stufe, einen ersten Leistungsverstärker aufweisen, der einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Treiberverstärker, z. B. der Verstärker 387113 der ersten Stufe, einen zweiten Leistungsverstärker aufweisen, der einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die dritte und die vierte Stichleitung konfiguriert sein, eine Impedanz zwischen dem ersten und dem zweiten aus dem ersten und dem zweiten Leistungsverstärker und der ersten Übertragungsleitung anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Hauptverstärker 387210 ein erstes Anpassungsnetz und ein zweites Anpassungsnetz aufweisen, z. B. wie nachstehend beschrieben. Beispielsweise kann das erste Anpassungsnetz des Hauptverstärkers 387210 beispielsweise einen ersten Eingang aufweisen, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, und das zweite Anpassungsnetz des Hauptverstärkers 387210 kann einen zweiten Eingang aufweisen, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann. Beispielsweise können das erste Anpassungsnetz und/oder das zweite Anpassungsnetz des Hauptverstärkers 387210 konfiguriert sein, die Impedanzen der ersten und der zweiten Stichleitung an eine Impedanz der ersten Übertragungsleitung 387232 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine Hauptverstärker 387210 einen ersten Leistungsverstärker und/oder einen zweiten Leistungsverstärker aufweisen, z. B. wie nachstehend beschrieben. Beispielsweise kann der erste Leistungsverstärker des Hauptverstärkers 387210 einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes des Hauptverstärkers 387210 betriebstechnisch gekoppelt sein kann, und einen ersten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen. Beispielsweise kann der zweite Leistungsverstärker des Hauptverstärkers 387210 einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen. Beispielsweise können die dritte und die vierte Stichleitung konfiguriert sein, eine Impedanz zwischen dem ersten und dem zweiten Ausgang des ersten und des zweiten Leistungsverstärkers des Hauptverstärkers 387210 und der zweiten Übertragungsleitung 387235 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine steuerbare PA 387220 ein erstes Anpassungsnetz und ein zweites Anpassungsnetz aufweisen. Beispielsweise kann das erste Anpassungsnetz des steuerbaren PA 387220 einen ersten Eingang aufweisen, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, und das zweite Anpassungsnetz des steuerbaren Verstärkers 387220 kann einen zweiten Eingang aufweisen, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann. Beispielsweise kann das erste Anpassungsnetz des steuerbaren PA 387220 konfiguriert sein, die Impedanzen der ersten und der zweiten Stichleitung an eine Impedanz der ersten Übertragungsleitung 387232 anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine steuerbare PA 387220 einen ersten Leistungsverstärker und einen zweiten Leistungsverstärker aufweisen. Beispielsweise kann der erste Leistungsverstärker des steuerbaren PA 387220 einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes des steuerbaren PA 387220 betriebstechnisch gekoppelt sein kann, und einen ersten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen. Der erste Leistungsverstärker des steuerbaren PA 387220 kann einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes des steuerbaren PA 387220 betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen. In einem Beispiel können die dritte und die vierte Stichleitung beispielsweise konfiguriert sein, eine Impedanz zwischen dem ersten und dem zweiten Ausgang des ersten und des zweiten Leistungsverstärkers des steuerbaren PA 387220 und der zweiten Übertragungsleitung 387235 anzupassen, z. B. wie nachstehend beschrieben.
  • Es wird auf 388 Bezug genommen, die ein Blockdiagramm eines Zweistufen-Doherty-Verstärkers, der ein SQWL-Balun 388000 einsetzen kann, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise kann der Zweistufen-Doherty-Verstärker mit dem SQWL-Balun 388000 implementiert sein, um eine oder mehrere Operationen und/oder Funktionalitäten des Zweistufen-Doherty-Verstärkers 387100 ( 387) auszuführen.
  • In einigen anschaulichen Aspekten kann der Zweistufen-Doherty-Verstärker 388000 beispielsweise einen ersten Treiberverstärker 388100 und einen zweiten Treiberverstärker 388110 aufweisen. Beispielsweise können der erste Treiberverstärker 388100 und der zweite Treiberverstärker 388110 konfiguriert sein, ein RF-Eingabesignal 388350 zu verstärken, und können ein erster Treiber-RF-Signal 388360 und ein zweites Treiber-RF-Signal 388365 an einer ersten Stufe bereitstellen.
  • In einigen anschaulichen Aspekten kann der Zweistufen-Doherty-Verstärker 388000 beispielsweise einen ersten Hauptverstärker 388300 und einen zweiten Hauptverstärker 3883100 aufweisen, die konfiguriert sein können, das Treiber-RF-Signal 388360 zu verstärken und ein Hauptverstärkersignal 388340 an einer zweiten Stufe bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Zweistufen-Doherty-Verstärker 388000 beispielsweise einen ersten steuerbaren PA 388200 und einen zweiten steuerbaren PA 388210 aufweisen. Beispielsweise können der erste steuerbare PA 388200 und/oder der zweite steuerbare PA 388210 konfiguriert sein, auf einen Ein-Zustand gedreht zu werden, beispielsweise basierend auf einem Pegel des Treiber-RF-Signals 388360. Beispielsweise kann der Zweistufen-Doherty-Verstärker 388000 in dem Ein-Zustand das Treiber-RF-Signal 388360 verstärken, um ein PA-Signal 388240 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Zweistufen-Doherty-Verstärker 388000 beispielsweise ein SQWL-Balun 388400 aufweisen, das konfiguriert sein kann, das Hauptverstärkersignal 388340 mit dem PA-Signal 388240 zu kombinieren.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 388400 beispielsweise eine erste Übertragungsleitung 388500 zum Anpassen einer Impedanz zwischen dem Ausgang des ersten Treiberverstärkers 388100 zu dem Eingang des ersten Hauptverstärkers 28300, dem Ausgang des zweiten Treiberverstärkers 388110 zu dem Eingang des zweiten Hauptverstärkers 388310 und/oder dem Eingang des ersten steuerbaren PA 388200 zu dem Eingang des zweiten steuerbaren PA 388210 aufweisen.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 388400 beispielsweise eine zweite Übertragungsleitung 388600 aufweisen, die konfiguriert ist, eine Impedanz zwischen einem Ausgang des ersten Hauptverstärkers 388300 und einem Ausgang des zweiten Hauptverstärkers 388310 anzupassen. Die zweite Übertragungsleitung 388600 kann konfiguriert sein, eine Impedanz zwischen einem Ausgang des ersten steuerbaren PA 388200 und einem Ausgang des zweiten steuerbaren PA 388210 anzupassen.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 388400 beispielsweise eine dritte Übertragungsleitung 388700, die beispielsweise eine Impedanz von 50 Ohm aufweist, und mehrere Stichleitungen 388800 aufweisen. Beispielsweise kann wenigstens eine Stichleitung, z. B. jede Stichleitung 388800, aus den mehreren Stichleitungen 388800 beispielsweise eine Impedanz von 25 Ohm aufweisen.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Eingang des ersten Treiberverstärkers 388100 und den Eingang des zweiten Treiberverstärkers 388110 mit der dritten Übertragungsleitung 388700 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Ausgang des ersten Treiberverstärkers 388100 und den Ausgang des zweiten Treiberverstärkers 388100 mit der Übertragungsleitung 388500 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Eingang des ersten Hauptverstärkers 388300 und/oder den Eingang des zweiten Hauptverstärkers 388310 mit der ersten Übertragungsleitung 388500 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Eingang des ersten steuerbaren PA 388200 und/oder den Eingang des zweiten steuerbaren PA 388210 mit der ersten Übertragungsleitung 388500 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Ausgang des ersten Hauptverstärkers 388300 und/oder den Ausgang des zweiten Hauptverstärkers 388310 mit der zweiten Übertragungsleitung 388600 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten können die mehreren Stichleitungen 388800 beispielsweise den Ausgang des ersten steuerbaren PA 388200 und/oder den Ausgang des zweiten PA 388210 mit der zweiten Übertragungsleitung 388600 betriebstechnisch koppeln.
  • In einigen anschaulichen Aspekten kann eine Länge einer Stichleitung 388800 beispielsweise auf einem Achtel einer Wellenlänge des Treiber-RF-Signals 388360 und/oder des RF-Treibersignals 388365 basieren.
  • In einigen anschaulichen Aspekten können die zweite Übertragungsleitung 388600 und die mehreren Stichleitungen 388800 konfiguriert sein, beispielsweise eine Reihen-Last an dem ersten Ausgang des ersten Hauptverstärkers 388300, an dem Ausgang des zweiten Hauptverstärkers 388310, an dem Ausgang des ersten steuerbaren PA 388200 und/oder an dem Ausgang des zweiten steuerbaren PA 388210 bereitzustellen.
  • In einigen anschaulichen Aspekten können der erste Treiberverstärker 388100 und/oder der zweite Treiberverstärker 388110 beispielsweise ein erstes Anpassungsnetz 388130 und ein zweites Anpassungsnetz 388135 aufweisen. Beispielsweise kann ein Eingang des ersten Anpassungsnetzes 388130 mit einer ersten Stichleitung aus den mehreren Stichleitungen 388800 gekoppelt sein, und ein Eingang des zweiten Anpassungsnetzes 388135 kann mit einer zweiten Stichleitung aus den mehreren Stichleitungen 388800 gekoppelt sein.
  • In einigen anschaulichen Aspekten können das erste und/oder das zweite Anpassungsnetz, z. B. die Anpassungsnetze 388130 und/oder 388135, konfiguriert sein, die Impedanzen der ersten und der zweiten Stichleitung an eine Impedanz der dritten Übertragungsleitung 388700 anzupassen.
  • In einigen anschaulichen Aspekten kann beispielsweise der erste Treiberverstärker 388100 einen ersten Leistungsverstärker 388120 aufweisen, der einen Eingang aufweist, der mit einem ersten Ausgang des ersten Anpassungsnetzes 388130 betriebstechnisch gekoppelt sein kann. Der erste Treiberverstärker 38100 kann einen ersten Ausgang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann.
  • In einigen anschaulichen Aspekten kann der erste Treiberverstärker 388100 einen zweiten Leistungsverstärker 388125 aufweisen, der einen Eingang aufweist, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes 388135 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der erste Treiberverstärker 388100 einen zweiten Ausgang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann.
  • In einigen anschaulichen Aspekten kann beispielsweise der zweite Treiberverstärker 388110 einen ersten Leistungsverstärker aufweisen, der einen Eingang aufweist, der mit einem ersten Ausgang eines ersten Anpassungsnetzes des zweiten Treiberverstärkers 388110 betriebstechnisch gekoppelt sein kann. Der zweite Treiberverstärker 388110 kann einen ersten Ausgang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann.
  • In einigen anschaulichen Aspekten kann der zweite Treiberverstärker 388110 einen zweiten Leistungsverstärker aufweisen, der einen Eingang aufweisen kann, der mit einem zweiten Ausgang eines zweiten Anpassungsnetzes des zweiten Treiberverstärkers 388110 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der zweite Treiberverstärker 388110 einen zweiten Ausgang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann.
  • In einigen anschaulichen Aspekten können eine oder mehrere der Stichleitungen 388800 als ein 2-zu-1-Kombinierer verwendet werden, um die Ausgänge des ersten und des zweiten Leistungsverstärker in ein Treiber-RF-Signal 388360 und/oder ein Treiber-RF-Signal 388365 zu koppeln.
  • In einigen anschaulichen Aspekten kann der erste Hauptverstärker 388300 ein erstes Anpassungsnetz 388320 und ein zweites Anpassungsnetz 388325 aufweisen. Beispielsweise kann das erste Anpassungsnetz 388320 beispielsweise einen ersten Eingang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt ist, und das zweite Anpassungsnetz 388325 kann einen zweiten Eingang aufweisen, der mit einer weiteren Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann. Beispielsweise können das erste Anpassungsnetz 388320 und/oder das zweite Anpassungsnetz 388325 konfiguriert sein, die Impedanzen der Stichleitungen 388800 an die Impedanz der ersten Übertragungsleitung 388600 anzupassen.
  • In einigen anschaulichen Aspekten kann der erste Hauptverstärker 388300 einen ersten Leistungsverstärker 388330 und/oder einen zweiten Leistungsverstärker 388335 aufweisen. Beispielsweise kann der erste Leistungsverstärker 388330 einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes 388320 betriebstechnisch gekoppelt sein kann, und einen ersten Ausgang, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann, aufweisen. Der zweite Leistungsverstärker 388335 einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes 388325 betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer weiteren Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt sein kann, aufweisen. Beispielsweise können die Stichleitungen, die mit der Übertragungsleitung 388600 und der Übertragungsleitung 388600 betriebstechnisch gekoppelt sind, als ein 4-zu-1-Kombinierer konfiguriert sein.
  • In einigen anschaulichen Aspekten kann der zweite Hauptverstärker 388310 beispielsweise ein erstes und ein zweites Anpassungsnetz und einen ersten und einen zweiten Leistungsverstärker aufweisen, die konfiguriert sein können, beispielsweise als das erste und das zweite Anpassungsnetz und der erste und der zweite Leistungsverstärker des ersten Hauptverstärkers 388300 zu arbeiten, z. B. wie vorstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste steuerbare PA 388200 ein erstes Anpassungsnetz 388220 und ein zweites Anpassungsnetz 388225 aufweisen. Beispielsweise kann das erste Anpassungsnetz 388220 einen ersten Eingang aufweisen, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann, und das zweite Anpassungsnetz 388225 kann einen zweiten Eingang aufweisen, der mit einer weiteren Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann. Beispielsweise kann das erste Anpassungsnetz 388220 konfiguriert sein, die Impedanzen der Stichleitungen an eine Impedanz der ersten Übertragungsleitung 388500 anzupassen.
  • In einigen anschaulichen Aspekten kann der erste steuerbare PA 388200 einen ersten Leistungsverstärker 388230 und einen zweiten Leistungsverstärker 388235 aufweisen. Beispielsweise kann der erste Leistungsverstärker 388230 einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes 388220 betriebstechnisch gekoppelt sein kann, und einen ersten Ausgang, der mit einer Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann, aufweisen. Der zweite Leistungsverstärker 388235 kann einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes 388225 betriebstechnisch gekoppelt sein kann, und einen zweiten Ausgang, der mit einer weiteren Stichleitung aus den mehreren Stichleitungen 388800 betriebstechnisch gekoppelt sein kann, aufweisen. In einem Beispiel können die Stichleitungen 388800 konfiguriert sein, eine Impedanz zwischen dem ersten Ausgang des ersten Leistungsverstärkers 388230 und dem zweiten Ausgang des zweiten Leistungsverstärkers 388235 und der zweiten Übertragungsleitung 388600 anzupassen.
  • In einigen anschaulichen Aspekten kann das RF-Eingabesignal 388350 4-Fach aufgespalten werden und kann in den ersten Treiberverstärker 388130 und den zweiten Treiberverstärker 388110 eingegeben werden. Beispielsweise können der erste Treiberverstärker 388130 und der zweite Treiberverstärker 388310 das RF-Eingabesignal 388350 verstärken und können vier Ausgabe-RF-Signale bereitstellen.
  • In einem Beispiel kann jedes Paar aus den vier RF-Ausgabesignalen an den oberen und unteren Hälften der ersten Stufe kombiniert werden, beispielsweise durch das SQWL-Balun 388400, das beispielsweise einen ersten und/oder zweiten 2-zu-1-Leistungskombinierer aufweisen kann, deren Ausgangsimpedanzen beispielsweise 50 Ω sein können. Der erste und der zweite 2-zu-1-Leistungskombinierer können das Treiber-RF-Signal 388360 verstärken und/oder können das Treiber-RF-Signal 388365 verstärken. Beispielsweise kann das Verstärken des Treiber-RF-Signals 388360 und/oder das Verstärken des Treiber-RF-Signals 388365 zwischen dem ersten Hauptverstärker 388300, dem zweiten Hauptverstärker 388310, dem ersten steuerbaren Verstärker 388200 und/oder dem zweiten steuerbaren Verstärker 388210 an den oberen und unteren Hälften der zweiten Stufe aufgeteilt sein. Beispielsweise kann das SQWL-Balun 388400 wenigstens zwei 4-zu-1-Splitter aufweisen, deren Eingangsimpedanzen konfiguriert sein können, so dass sie beispielsweise 50 Ω sind, was verwendet werden kann, um das Treiber-RF-Signal 388360 aufzuteilen und/oder das Treiber-RF-Signal 388365 zwischen dem ersten Hauptverstärker 388300, dem zweiten Hauptverstärker 388310, dem ersten steuerbaren Verstärker 388200 und/oder dem zweiten steuerbaren Verstärker 388210 zu verstärken.
  • In einem Beispiel kann das SQWL-Balun 388400 einen 8-Wege-Leistungskombinierer aufweisen, der sich als ein Zwei-Wege-Parallelkombinierer zwischen der oberen und der unteren Hälfte des SQWL-Baluns 388400 verhalten kann.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun 388400 einen Vier-Wege-Reihenkombinierer aufweisen, der konfiguriert sein kann, die Ausgabe des ersten PA 388200 und/oder die Ausgabe des zweiten PA 388210 mit der Ausgabe des ersten Hauptverstärkers 388300 und/oder der Ausgabe des zweiten Hauptverstärkers 388310 zu kombinieren. Beispielsweise kann der Vier-Wege-Reihenkombinierer die zweite Übertragungsleitung 388600 und mehrere Stichleitungen 388800 aufweisen.
  • Bezug nehmend zurück auf 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die konfiguriert sein kann, in einer TDD-Betriebsart zu arbeiten. In einigen anschaulichen Aspekten kann die RF-Schaltung 425 eine Tx-Kette aufweisen, wobei einige Komponenten und/oder Funktionalitäten der Tx-Kette konfiguriert sein können, in der Rx-Kette wiederverwendet zu werden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Funkarchitektur wenigstens einen I/Q-Generator aufweisen, der konfiguriert sein kann, beispielsweise ein oder mehrere Elemente einer Tx-Kette während einer Rx-Betriebsart der Funkeinrichtung wiederzuverwenden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator konfiguriert, beispielsweise ein oder mehrere Elemente einer Phasenmodulationskette eines polaren Senders wiederzuverwenden, beispielsweise während der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren des I/Q-Generators, der Elemente der Tx-Kette in der Rx-Betriebsart wiederverwendet, einen oder mehrere Vorteile bereitstellen und/oder ein oder mehrere technische Probleme lösen. Beispielsweise kann das Wiederverwenden eines oder mehrerer Elemente der Phasenmodulationskette des polaren Senders während der Rx-Betriebsart ermöglichen, die Diefläche zu reduzieren. Beispielsweise kann ein auf Injektionsverriegelung basierender Oszillatormodulator, der in der Tx-Betriebsart verwendet werden kann, als ein I- oder Q-LO während der Rx-Betriebsart verwendet werden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können mm Wellen-Sender und/oder Empfänger und/oder irgendwelche anderen Typen von Sendern und/oder Empfängern in einer Zeitduplex- (TDD-) Betriebsart arbeiten. Beispielsweise können in der TDD-Betriebsart dasselbe Frequenzband und/oder wenigstens teilweise überlappende Frequenzbänder sowohl für Tx als auch für Rx verwendet werden. Beispielsweise kann das Frequenzband von der Tx-Betriebsart und der Rx-Betriebsart durch Zuweisen alternierender Zeitschlitze für Sende- und Empfangsoperationen gemeinsam verwendet werden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Sendeempfänger-Chip, z. B. ein Halbduplex-Sendeempfänger, konfiguriert sein, in einer TDD-Betriebsart zu arbeiten. Beispielsweise kann der Sendeempfänger-Chip große passive Elemente aufweisen, die eine große Chip-Fläche erfordern können. Beispielsweise kann eine große Chip-Fläche mit einer parasitären Kopplung zwischen den passiven Elementen unerwünschte Effekte verursachen.
  • In einigen anschaulichen Aspekten können eines oder mehrere der großen passiven Elemente wiederverwendet werden, beispielsweise wenn der Sendeempfänger in der Tx-Betriebsart und/oder der Rx-Betriebsart arbeiten kann. Beispielsweise können ein oder mehrere Elemente einer Phasenmodulationskette eines polaren Tx während der Rx-Betriebsart wiederverwendet werden, z. B. wie nachstehend beschrieben.
  • In einem anschaulichen Aspekt kann eine Phasenmodulationskette eines polaren Tx beispielsweise ein oder mehrere Elemente aufweisen, die als ein auf Injektionsverriegelung basierender Oszillatormodulator verwendet werden können, z. B. in der Tx-Betriebsart, und beispielsweise als ein 1-LO und als ein Q-LO, z. B. in der Rx-Betriebsart, wiederverwendet werden können. Beispielsweise kann ein einzelner LO sowohl in der Rx-Betriebsart als auch der Tx-Betriebsart verwendet werden, um LO-Phasenverschiebung auszuführen, die beispielsweise wenigstens für Anwendungen einer phasengesteuerten Gruppe implementiert sein kann.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator als Teil eines Aufwärtsumsetzers und/oder eines Abwärtsumsetzers, z. B. als Teil des Teilsystems 415 (4), und/oder einer Funkkette, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • Es wird jetzt auf 389 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 389100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. In einem Beispiel können ein oder mehrere Elemente des Sendeempfängers 389100 als Teil des Sendeempfängers 371100 (371) implementiert sein oder eine oder mehrere Funktionalitäten davon ausführen.
  • Wie in 389 gezeigt ist, kann in einigen anschaulichen Aspekten der Sendeempfänger 389100 einen Halbduplex-Sendeempfänger aufweisen. Beispielsweise kann der Sendeempfänger 389100 einen Halbduplex-Sendeempfänger aufweisen, der in einer TDD-Betriebsart arbeitet.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 konfiguriert sein, über ein 2,4 GHz-Band, ein 5 GHz-Band, ein mmWellen-Band, ein Sub-1 GHz-Band (S1G-Band) und/oder irgendein anderes Band zu kommunizieren.
  • In anderen Aspekten kann der Sendeempfänger 389100 irgendeinen anderen Typ eines Sendeempfängers aufweisen, um über irgendein anderes zusätzliches oder alternatives Frequenzband zu kommunizieren.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 wenigstens eine einen Antennenanschluss 389180 aufweisen, um eine oder mehrere Antennen 389185 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 wenigstens einen Antennenanschluss 389180 zu einer oder mehreren Antennen 389185 aufweisen und/oder kann darüber betriebstechnisch gekoppelt sein.
  • In einigen anschaulichen Aspekten können eine oder mehrere der Antennen 389185 eine interne Antenne, eine Dipolantenne, eine Antenne einer phasengesteuerten Gruppe, eine Yagi-Antenne, eine Antennengruppe oder dergleichen enthalten.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 einen LNA 389170 aufweisen, der konfiguriert sein kann, ein Rx-Signal 389175 zu erzeugen, beispielsweise basierend auf einem Signal 389182, das von einem oder mehreren Antennenanschlüssen 389180 empfangen wird, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 einen PA 389160 aufweisen, der konfiguriert sein kann, beispielsweise ein Tx-Signal 389126 zu verstärken und ein verstärktes Signal für eine oder mehrere Antennen 389185 über einen oder mehrere Antennenanschlüsse 389180 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 389100 einen I/Q-Signalgenerator 389110 zum Erzeugen eines oder mehrerer I- und/oder Q-Signale aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 389110 einen LO 389115 zum Erzeugen eines LO-Signals 389117 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 389110 eine steuerbare Phasenmodulationskette 389120 aufweisen, die konfiguriert sein kann, eine Phase des LO-Signals 389117 zu modulieren, beispielsweise in der Tx-Betriebsart und/oder in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen kann der I/Q-Generator 389110 eine steuerbare Phasenmodulationskette 389130 aufweisen, die konfiguriert sein kann, ein Q-phasenverschobenes Signal 389136 basierend auf dem LO-Signal 389117 beispielsweise in der Rx-Betriebsart zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 389110 eine Mischerschaltung 389140 aufweisen, die konfiguriert sein kann, das Rx-Signal 389175, z. B. aus einem oder mehreren Antennenanschlüssen 389180, mit einem oder mehreren LO-Signalen zu mischen, beispielsweise in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 389115 konfiguriert sein, das LO-Signal 389117 zu erzeugen, das eine Frequenz aufweist, die ein Drittel einer Trägerfrequenz, als fcarrier bezeichnet, sein kann, z. B. (fcarrier/3). In einem Beispiel kann das LO-Signal 389117 eine Frequenz von 20 GHz aufweisen, beispielsweise wenn der Sendeempfänger 389100 zum Arbeiten in einem 60 GHz-Frequenzband konfiguriert ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 389115 beispielsweise einen Kristalloszillator, einen Oszillator mit variabler Frequenz, einen Frequenzsynthesizer oder dergleichen enthalten.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389120 einen Phasenschieber 389122 aufweisen, der konfiguriert sein kann, beispielsweise ein phasenverschobenes Signal 389123 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389120 einen Verdreifacher 389124 aufweisen, der konfiguriert sein kann, das Tx-Signal 389126 durch Verdreifachen des phasenverschobenen Signals 389123 zu erzeugen, z. B. in der Tx-Betriebsart, und ein phasenverschobenes 1-Signal 389128 durch Verdreifachen des phasenverschobenen Signals 389123 zu erzeugen, beispielsweise in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389120 konfiguriert sein, beispielsweise das Tx-Signal 389126 basierend auf dem LO-Signal 389117 zu erzeugen, z. B. in der Tx-Betriebsart, und beispielsweise ein phasenverschobenes 1-Signal 389128 basierend auf dem LO-Signal 389117 zu erzeugen, beispielsweise in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Phasenschieber 389122 und/oder der Verdreifacher 389124 konfiguriert sein, das Tx-Signal 389126 zu erzeugen, z. B. in der Tx-Betriebsart, und können wiederverwendet werden, um das phasenverschobene 1-Signal 389128 zu erzeugen, z. B. in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 389122 konfiguriert sein, eine Phase des LO-Signals 389117 zu verschieben, beispielsweise durch eine erste Phasenverschiebung, z. B. Δφ/3, wobei Δφ eine Phasenverschiebung von einer Phase des LO-Signals 389117 bezeichnet, wobei Δφ eine Phasenverschiebung einer Ausgabe der steuerbaren Phasenmodulationskette 29120, z. B. des Tx-Signals 389126 und/oder des phasenverschobenen 1-Signals 389128, relativ zu einer Phase des LO-Signals 389117 bezeichnet.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 389122 konfiguriert sein, das phasenverschobene Signal 389123 zu erzeugen, beispielsweise basierend auf dem LO-Signal 389117.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 389124 konfiguriert sein, das Tx-Signal 389126 zu erzeugen, z. B. in der Tx-Betriebsart, beispielsweise durch Verdreifachen einer Phase und einer Frequenz des phasenmodulierten Signals 389123.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 389124 konfiguriert sein, das phasenverschobene 1-Signal 389128 zu erzeugen, z. B. in der Rx-Betriebsart, beispielsweise durch Verdreifachen einer Phase und einer Frequenz des phasenverschobenen Signals 389123.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 389110 einen Schalter 389155 aufweisen, der konfiguriert sein kann, selektiv die steuerbare Phasenmodulationskette 389120 mit dem PA 389160 zu verbinden oder die steuerbare Phasenmodulationskette 389120 von dem PA 389160 zu trennen. Beispielsweise kann der Schalter 389155 gesteuert werden, die steuerbare Phasenmodulationskette 389120 mit dem PA 389160 zu verbinden, z. B. in der Tx-Betriebsart, und/oder die steuerbare Phasenmodulationskette 389120 von dem PA 389160 zu trennen, z. B. in der Rx-Betriebsart.
  • Beispielsweise kann in der Tx-Betriebsart der Schalter 389155 das Tx-Signal 389126 an den PA 389160 anlegen, und der PA 389160 kann das Tx-Signal 389126 verstärken, um ein verstärktes Tx-Signal für die ein oder die mehreren Antennen 389185 bereitzustellen, z. B. für ein Element der Phasengruppenantenne 389185, über den Antennenanschluss 389180.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 389110 einen Schalter 389150 aufweisen, der konfiguriert sein kann, selektiv die steuerbare Phasenmodulationskette 389120 mit der Mischerschaltung 389140 zu verbinden oder die steuerbare Phasenmodulationskette 389120 von der Mischerschaltung 389140 zu trennen. Beispielsweise kann der Schalter 389150 gesteuert werden, die steuerbare Phasenmodulationskette 389120 mit der Mischerschaltung 389140 zu verbinden, z. B. in der Rx-Betriebsart, und/oder die steuerbare Phasenmodulationskette 389120 von der Mischerschaltung 389140 zu trennen, z. B. in der Tx-Betriebsart.
  • Beispielsweise kann der Schalter 389150 in der Rx-Betriebsart das phasenverschobene 1-Signal 389128 an die Mischerschaltung 389140 anlegen, und die Mischerschaltung 389140 kann das Rx-I-Signal 389175 in ein IF-Signal abwärtsumsetzen, z. B. basierend auf dem phasenverschobenen I-Signal 389128.
  • In einigen anschaulichen Aspekten können beispielsweise der Schalter 389150 und/oder der Schalter 389155 einen FET, einen Metalloxidhalbleiter-Feldeffekttransistor (MOSFET) und/oder irgendeinen anderen Schalter enthalten.
  • In einigen anschaulichen Aspekten können der Schalter 389155 und/oder der Schalter 389150 gesteuert werden, beispielsweise durch eine Steuereinheit 389200, beispielsweise basierend auf einer Betriebsart des Sendeempfängers 389100. Beispielsweise kann die Steuereinheit 389200 eine Basisbandsteuereinheit oder irgendein/e andere/s Steuerschaltung, Teilsystem und/oder Logik aufweisen oder als Teil davon implementiert sein.
  • Beispielsweise kann in der Tx-Betriebsart die Steuereinheit 389200 den Schalter 389155 steuern, zwischen einem Ausgang des Verdreifachers 389124 und einem Eingang des PA 380160 betriebstechnisch zu verbinden, und/oder die Steuereinheit 389200 kann den Schalter 389150 steuern, den Ausgang des Verdreifachers 389124 von der Mischerschaltung 389140 betriebstechnisch zu trennen.
  • Beispielsweise kann in der Rx-Betriebsart die Steuereinheit 389200 den Schalter 389155 steuern, den Ausgang des Verdreifachers 389124 von einem Eingang des PA 380160 betriebstechnisch zu trennen, und/oder die Steuereinheit 389200 kann den Schalter 389150 steuern, den Ausgang des Verdreifachers 389124 mit der Mischerschaltung 389140 betriebstechnisch zu verbinden.
  • In anderen Aspekten kann irgendeine andere Schaltkonfiguration implementiert sein, um zwischen der steuerbaren Phasenmodulationskette 389120 und dem PA 389160 und/oder dem Mischer 389140 schaltbar zu verbinden. In einem Beispiel können ein Schalter oder mehr als zwei Schalter implementiert sein, um das Signal 389126 schaltbar für den PA 380160 oder den Mischer 389140 bereitzustellen.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389130 einen Phasenschieber 389132 aufweisen, der konfiguriert sein kann, beispielsweise ein phasenverschobenes Signal 389138 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389130 einen Verdreifacher 389134 aufweisen, der konfiguriert sein kann, das phasenverschobene Signal 389138 in ein phasenverschobenes Q-Signal 389136 zu verdreifachen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die steuerbare Phasenmodulationskette 389130 konfiguriert sein, das phasenverschobene Signal 389138 basierend auf dem LO-Signal 389117 zu erzeugen, beispielsweise in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise der Phasenschieber 389132 und/oder der Verdreifacher 389134 konfiguriert sein, ein phasenverschobenes Q-Signal 389136 zu erzeugen, z. B. in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 389132 konfiguriert sein, eine Phase des LO-Signals 389117 zu verschieben, beispielsweise um eine zweite Phasenverschiebung, z. B. Δφ/3± 30o. In anderen anschaulichen Aspekten, z. B. in Aspekten, die keinen Verdreifacher 389134 und/oder Verdreifacher 389134 enthalten können, kann der Phasenschieber 389132 konfiguriert sein, eine Phase des LO-Signals 389117 zu verschieben, beispielsweise um eine zweite Phasenverschiebung, z. B. Δφ± 90o.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 389132 konfiguriert sein, das phasenverschobene Signal 389138 zu erzeugen, beispielsweise basierend auf dem LO-Signal 389117.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 389124 konfiguriert sein, das phasenverschobene Q-Signal 389136 zu erzeugen, z. B. in der Rx-Betriebsart, beispielsweise durch Verdreifachen einer Phase und einer Frequenz des phasenverschobenen Signals 389138.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 389132 konfiguriert sein, die Phase des LO-Signals 389117 zu verschieben, beispielsweise um eine zweite Phasenverschiebung, z. B. in der Rx-Betriebsart. Beispielsweise kann die zweite Phasenverschiebung eine 90-Grad-Drehung der ersten Phasenverschiebung enthalten, beispielsweise ΔΩ/3.
  • Beispielsweise kann das phasenverschobene Q-Signal 389136 beispielsweise eine Trägerfrequenz fcarrier mit einer Phasenverschiebung mit einer 90-Grad-Drehung, z. B. Δφ ± 90o, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise das phasenverschobene 1-Signal 389128 und/oder das phasenverschobene Q-Signal 389136 beispielsweise eine Trägerfrequenz fcarrier mit einer Phasenverschiebung, z. B. der Phasenverschiebung Δφ, aufweisen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 389134 das phasenverschobene Q-Signal 29136 für die Mischerschaltung 389140 bereitstellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann, in der Rx-Betriebsart, die Mischerschaltung 389140 das Rx-Signal 389175 empfangen, beispielsweise von dem LNA 389170, und kann das Rx-Signal 389175 mit einem phasenverschobenen 1-Signal 389128 mischen, beispielsweise in ein I-Phasensignal 389143, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann, in der Rx-Betriebsart, die Mischerschaltung 389140 das Rx-Signal 389175 mit dem phasenverschobenen Q-Signal 389136 in ein Q-Phasensignal 389146 mischen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischerschaltung 389140 einen Mischer 389142 und/oder einen Mischer 389145 aufweisen. Beispielsweise kann in der Rx-Betriebsart der Mischer 389142 das Rx-Signal 389175 mit dem phasenverschobenen 1-Signal 389128 in ein 1-Phasensignal 389143 mischen, und/oder der Mischer 29145 kann das Rx-Signal 389175 mit dem phasenverschobenen Q-Signal 389136 in das Q-Phasensignal 389146 mischen.
  • In einigen anschaulichen Aspekten können das 1-Phasensignal 389143 und/oder das Q-Phasensignal 389146 beispielsweise Basisbandsignale enthalten.
  • In einigen anschaulichen Aspekten kann das 1-Phasensignal 389143 als I-IF-Signal verwendet werden, und/oder das Q-Phasensignal 389146 kann als Q-IF-Signal verwendet werden, beispielsweise um für ein Basisband, z. B. die IF- und Basisbandverarbeitungsschaltung innerhalb der Sendeschaltung 315 und/oder der Empfangsschaltung 320 (3A), bereitgestellt zu werden.
  • Bezug nehmend zurück auf 4 in einigen anschaulichen Aspekten die RF-Schaltung 425 sein, die gemäß einer Funkarchitektur konfiguriert sein kann, die wenigstens einen Outphasing-Leistungsverstärker aufweisen kann, der konfiguriert ist, RF-Signale zu verstärken. In einigen anschaulichen Aspekten kann der wenigstens eine Outphasing-Leistungsverstärker beispielsweise durch Chireix Balun unterhalb der Viertelwellenlänge implementiert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren des Outphasing-Leistungsverstärkers in der Funkarchitektur einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise durch Steigern der Effizienz des Leistungsverstärkers während weniger Diefläche besetzt wird und/oder Bereitstellen von Hochleistungspegeln und/oder Bereitstellen irgendwelcher anderer zusätzlicher oder alternativer technischer Vorzüge und/oder Vorteile.
  • In einigen anschaulichen Aspekten kann der Outphasing-Leistungsverstärker konfiguriert sein, eine hoch effiziente Verstärkung eines RF-Signals bereitzustellen, z. B. wie nachstehend beschrieben. Beispielsweise kann die Fähigkeit, Ausgaben von mehreren Leistungsverstärkern effizient zu kombinieren, beispielsweise wenigstens einen technischen Vorzug zum Erreichen eines Signals mit Hochleistungspegel ermöglichen.
  • In einigen anschaulichen Aspekten kann der Outphasing-Leistungsverstärker mit einem Balun für eine Wellenlänge unter einem Viertel (SQWL) betriebstechnisch gekoppelt sein. Beispielsweise kann das SQWL-Balun konfiguriert sein, ein Chireix-Kombiniererschema einzusetzen, z. B. um wenigstens effizientes Leistungskombinieren und/oder einen Hochleistungspegel zu ermöglichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Balun konfiguriert sein, eine selektive Induktivitäts-Bank einzusetzen, die digital gesteuert sein kann, und kann beispielsweise folglich ermöglichen, die Bandbreite des Chireix-Kombinierers zu erhöhen.
  • In einigen anschaulichen Aspekten kann der Outphasing-Leistungsverstärker als Teil einer Funkkettenschaltung, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen, falls gewünscht.
  • Es wird jetzt auf 390 Bezug genommen, die ein Blockdiagramm eines Senders 390000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des Senders 390000 als Teil eines Sendeempfängers 371100 implementiert sein, z. B. wie vorstehend mit Bezug auf die 371 beschrieben ist.
  • In einigen anschaulichen Aspekten kann der Sender 390000 einen RF-Verstärker 390100 aufweisen. Beispielsweise kann der RF-Verstärker 390100 mehrere Outphasing-Verstärker aufweisen, die z. B. einen ersten Outphasing-Verstärker 390200 und/oder einen zweiten Outphasing-Verstärker 390300 enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Outphasing-Verstärker 390200 und/oder der Outphasing-Verstärker 390300 beispielsweise als Verstärker mit konstanter Hüllkurve konfiguriert sein, z. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der erste Verstärker mit konstanter Hüllkurve, z. B. der Outphasing-Verstärker 390110, konfiguriert sein, mit einer andere Phase zu arbeiten als der zweite Verstärker mit konstanter Hüllkurve, z. B. der Outphasing-Verstärker 390120, z. B. wie nachstehend beschrieben.
  • In anderen Aspekten können der Outphasing-Verstärker 390200 und/oder der Outphasing-Verstärker 390300 irgendeine andere Konfiguration aufweisen und/oder können gemäß irgendwelchen anderen Parametern arbeiten.
  • In einigen anschaulichen Aspekten kann beispielsweise ein amplitudenmoduliertes Signal Sin(t) = A(t)cos(ωt) als eine Summe von zwei Signalen „mit konstanter Amplitude“ S1(t) and S2(t) neu geschrieben werden, mit z. B.: S 1 ( t ) = cos ( w t + cos 1 ( A ( t ) ) ) S 1 ( t ) = cos ( w t cos 1 ( A ( t ) ) )
    Figure DE112017006442T5_0143
  • In einem Beispiel kann der Winkel θ=cos-1(A(t)) einen Outphasing-Winkel repräsentieren, der beispielsweise in einer Metrik eingesetzt werden kann, die die Phasenverschiebung zwischen dem Outphasing-Verstärker 390200 und dem zweiten Outphasing-Verstärker 390300 zeigt. Beispielsweise falls der erste Outphasing-Verstärker 390200 und der zweite Outphasing-Verstärker 390300 eine Verstärkung von G aufweisen, dann kann die kombinierte Ausgabe z. B. wie folgt bestimmt werden: S o u t ( t ) = G ( S 1 ( t ) + S 2 ( t ) ) = 2 G A ( t ) cos ( w t )
    Figure DE112017006442T5_0144
  • In einigen anschaulichen Aspekten kann ein moduliertes Signal durch zwei Verstärker mit konstanter Hüllkurve mit unterschiedlichen Phasen verstärkt werden, z. B. den ersten Outphasing-Verstärker 390200 und den zweiten Outphasing-Verstärker 390300, z. B. wie nachstehend beschrieben.
  • Vorteilhafterweise kann das Aufweisen einer konstanten Amplitude für jeden gegebenen Eingangsamplitudenpegel in den Verstärkern mit konstanter Hüllkurve eine hohe Effizienz bereitstellen, z. B. selbst für alle Eingangsleistungspegel.
  • In einigen anschaulichen Aspekten kann der RF-Verstärker 390100 eine SQWL-Vier-Wege-Kombinierer-Balun 390400 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 390400 beispielsweise einen Chireix-Kombinierer aufweisen.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 390400 beispielsweise einen nicht isolierenden Kombinierer aufweisen.
  • In anderen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 390400 irgendeinen anderen Kombinierer aufweisen.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 390400 als nicht isolierender Kombinierer, z. B. ein Chireix-Kombinierer, konfiguriert sein, um Zugkraft bereitzustellen und infolgedessen die Effizienz zu erhöhen, z. B. wie nachstehend beschrieben. Beispielsweise kann im Fall eines nicht isolierenden Kombinierers eine Impedanz des ersten Outphasing-Verstärkers 390200 und des zweiten Outphasing-Verstärkers 390300 durch Z1 = RL/2 + j*tan(θ)/2 und Z2 = RL/2 - j*tan(θ)/2 bestimmt werden.
  • In einigen anschaulichen Aspekten kann ein Chireix-Kombinierer eine Technik bereitstellen, um die Effizienz eines nicht isolierenden Kombinierers durch Hinzufügen einer Kapazität und einer Induktivität an dem Ausgang jedes Verstärkers, z. B. des ersten Outphasing-Verstärkers 390200 und des zweiten Outphasing-Verstärkers 390300 und Mitschwingen des reaktiven Elements j*tan(θ)/2, das durch jeden Verstärker gesehen wird, zu optimieren. In diesem Beispiel kann jeder Verstärker eine rein reelle Impedanz von RL/2 sehen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Outphasing-Verstärker 390200 die erste Outphasing-Verstärkerschaltung 390210 aufweisen, die konfiguriert sein kann, ein erstes I-Signal, beispielsweise basierend auf einem ersten Eingabesignal, und/oder ein erstes Q-Signal, beispielsweise basierend auf einem zweiten Eingabesignal, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die zweite Outphasing-Verstärkerschaltung 390220 konfiguriert sein, ein zweites I-Signal, beispielsweise basierend auf dem ersten Eingabesignal, und/oder ein zweites Q-Signal, beispielsweise basierend auf dem zweiten Eingabesignal, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Outphasing-Verstärker 390300 die dritte Outphasing-Verstärkerschaltung 390310 aufweisen, die konfiguriert sein kann, ein drittes I-Signal, beispielsweise basierend auf einem dritten Eingabesignal, und/oder ein drittes Q-Signal, beispielsweise basierend auf einem vierten Eingabesignal, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Outphasing-Verstärker 390300 die vierte Outphasing-Verstärkerschaltung 390320 aufweisen, die konfiguriert sein kann, ein viertes I-Signal, beispielsweise basierend auf dem dritten Eingabesignal, und ein viertes Q-Signal, beispielsweise basierend auf dem vierten Eingabesignal, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 390400 eine erste induktive Stichleitung, um das erste I-Signal und das zweite 1-Signal mit einer ersten Übertragungsleitung zu koppeln, eine zweite induktive Stichleitung, um das dritte 1-Signal und das vierte 1-Signal mit der ersten Übertragungsleitung zu koppeln, eine erste kapazitive Stichleitung, um das erste Q-Signal und das zweite Q-Signal mit der ersten Übertragungsleitung zu koppeln, und/oder eine zweite kapazitive Stichleitung, um das dritte Q-Signal und das vierte Q-Signal mit einer zweiten Übertragungsleitung zu koppeln, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die erste Übertragungsleitung konfiguriert sein, ein erstes RF-Signal bereitzustellen, beispielsweise basierend auf einer Kombination des ersten I-Signals, des zweiten I-Signals, des ersten Q-Signals und/oder des zweiten Q-Signals, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die zweite Übertragungsleitung konfiguriert sein, ein zweites RF-Signal bereitzustellen, beispielsweise basierend auf einer Kombination des dritten I-Signals, des vierten I-Signals, des dritten Q-Signals und/oder des vierten Q-Signals, z. B. die nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die erste Outphasing-Verstärkerschaltung 390210 einen ersten Verstärker, der mit der ersten induktiven Stichleitung betriebstechnisch gekoppelt sein kann, und/oder einen zweiten Verstärker, der mit der ersten kapazitiven Stichleitung betriebstechnisch gekoppelt sein kann, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die zweite Outphasing-Verstärkerschaltung 390220 einen ersten Verstärker, der mit der ersten induktiven Stichleitung betriebstechnisch gekoppelt sein kann, und/oder einen zweiten Verstärker, der mit der ersten kapazitiven Stichleitung gekoppelt sein kann, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die dritte Outphasing-Verstärkerschaltung 390310 einen ersten Verstärker, der mit der zweiten induktiven Stichleitung betriebstechnisch gekoppelt sein kann, und/oder einen zweiten Verstärker, der mit der zweiten kapazitiven Stichleitung betriebstechnisch gekoppelt sein kann, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die vierte Outphasing-Verstärkerschaltung 390320 einen ersten Verstärker 390325, der mit der zweiten induktiven Stichleitung betriebstechnisch gekoppelt sein kann, und/oder einen zweiten Verstärker, der mit der zweiten kapazitiven Stichleitung betriebstechnisch gekoppelt sein kann, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Outphasing-Verstärker, z. B. jeder Outphasing-Verstärker, aus dem ersten Outphasing-Verstärker 390215, dem zweiten Outphasing-Verstärker 390225, dem dritten Outphasing-Verstärker 390315 und/oder dem vierten Outphasing-Verstärker 390325 einen I/Q-Generator aufweisen, um ein initiales 1-Signal basierend auf einem LO-I-Signal zu erzeugen und um ein initiales Q-Signal basierend auf einem LO-Q-Signal zu erzeugen, z. B. wie nachstehend beschrieben.
  • Beispielsweise kann der erste Outphasing-Verstärker 390215 einen I/O-Generator 390127 aufweisen, der zweiten Outphasing-Verstärker 390225 kann einen I/O-Generator 390127 aufweisen, der dritte Outphasing-Verstärker 390315 kann einen I/O-Generator 390317 aufweisen, und/oder der vierte Outphasing-Verstärker 390325 kann einen I/O-Generator 390337 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Outphasing-Verstärker, z. B. jeder Outphasing-Verstärker, aus dem ersten Outphasing-Verstärker 390215, dem zweiten Outphasing-Verstärker 390225, dem dritten Outphasing-Verstärker 390315 und/oder dem vierten Outphasing-Verstärker 390325 eine Phasenmodulatorschaltung aufweisen, um ein phasenmoduliertes 1-Signal durch Modulieren des initialen 1-Signals basierend auf einem ersten Eingang des Outphasing-Verstärkers zu erzeugen und ein phasenmoduliertes Q-Signal durch Modulieren des initialen Q-Signals basierend auf einem zweiten Eingang des Outphasing-Verstärkers zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Outphasing-Verstärker, z. B. jeder Outphasing-Verstärker, aus dem ersten Outphasing-Verstärker 390215, dem zweiten Outphasing-Verstärker 390225, dem dritten Outphasing-Verstärker 390315 und/oder dem vierten Outphasing-Verstärker 390325 einen ersten Verstärker, um ein verstärktes 1-Signal durch Verstärken des phasenmodulierten 1-Signals auszugeben, und einen zweiten Verstärker, um ein verstärktes Q-Signal durch Verstärken des phasenmodulierten Q-Signals auszugeben, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die erste induktive Stichleitung des SQWL-Vier-Wege-Kombinierer-Baluns 390400 konfiguriert sein, eine vordefinierte Impedanz, beispielsweise eine Impedanz von 25 Ohm, oder irgendeine andere Impedanz, an die Ausgänge der ersten Verstärker der Outphasing-Verstärker 390215, 390225, 290315 und/oder 390325 anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die erste induktive Stichleitung des SQWL-Vier-Wege-Kombinierer-Baluns 390400 konfiguriert sein, eine vordefinierte Impedanz, beispielsweise eine Impedanz von 25 Ohm, oder irgendeine andere Impedanz, an die Ausgänge der zweiten Verstärker der Outphasing-Verstärker 390215, 390225, 390315 und/oder 390325 anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die zweite induktive Stichleitung konfiguriert sein, beispielsweise eine Impedanz von 25 Ohm, oder irgendeine andere Impedanz, an einen Ausgang des ersten Verstärkers der Outphasing-Verstärker 390215, 390225, 390315 und/oder 390325 anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die zweite kapazitive Stichleitung beispielsweise eine Impedanz von 25 Ohm, oder irgendeine andere Impedanz, an einen Ausgang des zweiten Verstärkers der Outphasing-Verstärker 390215, 390225, 390315 und/oder 390325 anlegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der RF-Verstärker 390100 einen LO 390500 aufweisen, um das LO-I-Signal und das LO-Q-Signal zu erzeugen.
  • In einigen anschaulichen Aspekten kann der Sender 390000 eine oder mehrere Antennen 390700 aufweisen oder betriebstechnisch damit gekoppelt sein, z. B. betriebstechnisch gekoppelt mit dem RF-Verstärker 390100. Beispielsweise können die eine oder mehreren Antennen 390700 eine Antenne einer phasengesteuerten Gruppe, eine Dipolantenne, eine interne Antenne, eine Gruppe von Antennen und dergleichen enthalten.
  • In einigen anschaulichen Aspekten kann der Sender 390000 einen Signalprozessor 390600 aufweisen. Beispielsweise kann der Signalprozessor 390600 konfiguriert sein, die I- und Q-Eingabesignale zu erzeugen. Beispielsweise können die I- und Q-Eingabesignale an Eingänge der Outphasing-Verstärker 390215, 390225, 390315, und/oder 390325 angelegt werden.
  • Es wird auf 391 Bezug genommen, die ein Blockdiagramm eines Outphasing-Verstärkers 391000, der ein SQWL-Balun 391100 als eine Last einsetzt, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise kann der Outphasing-Verstärker 391000 mit dem SQWL-Balun 391100 eine oder mehrere Operationen und/oder Funktionalitäten des RF-Verstärkers 390100 (390) ausführen.
  • In einigen anschaulichen Aspekten kann der Outphasing-Verstärker 391000 einen ersten Outphasing-Verstärker 391200, einen zweiten Outphasing-Verstärker 391300, einen dritten Outphasing-Verstärker 391400 und/oder einen vierten Outphasing-Verstärker 391500 enthalten, z. B. wie nachstehend beschrieben. Beispielsweise können die Outphasing-Verstärker 391200, 391300, 391400 und/oder 391500 konfiguriert sein, eine oder mehrere Operationen eines RF-Leistungsverstärkers auszuführen.
  • In einigen anschaulichen Aspekten kann die erste Outphasing-Verstärkerschaltung 391200 konfiguriert sein, ein erstes 1-Signal 391212 basierend auf einem ersten Eingabesignal 391020, z. B. einem Eingabe-I-Signal, bereitzustellen und ein erstes Q-Signal 391214 basierend auf einem zweiten Eingabesignal 391010, z. B. einem Eingabe-Q-Signal, bereitzustellen.
  • In einigen anschaulichen Aspekten kann die zweite Outphasing-Verstärkerschaltung 391300 konfiguriert sein, ein zweites 1-Signal 391312, beispielsweise basierend auf dem ersten Eingabesignal 391020, bereitzustellen ein zweites Q-Signal 391314, beispielsweise basierend auf dem zweiten Eingabesignal 391010, bereitzustellen.
  • In einigen anschaulichen Aspekten kann die dritte Outphasing-Verstärkerschaltung 391400 ein drittes 1-Signal 391412, beispielsweise basierend auf einem dritten Eingabesignal 391030, bereitstellen ein drittes Q-Signal 391414, beispielsweise basierend auf einem vierten Eingabesignal 391040, bereitstellen.
  • In einigen anschaulichen Aspekten kann die vierte Outphasing-Verstärkerschaltung 391500 ein viertes 1-Signal 391512, beispielsweise basierend auf dem dritten Eingabesignal 391030, bereitstellen ein viertes Q-Signal 391514, beispielsweise basierend auf dem vierten Eingabesignal 391040, bereitstellen.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 391100 eine erste induktive Stichleitung 391110 aufweisen, die das erste 1-Signal 391212 und das zweite 1-Signal 391312 mit einer ersten Übertragungsleitung 391120 koppeln kann.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 391100 eine zweite induktive Stichleitung 391130 aufweisen, die das dritte 1-Signal 391412 und das vierte 1-Signal 391512 mit der ersten Übertragungsleitung 391120 koppeln kann.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 391100 eine erste kapazitive Stichleitung 391140 aufweisen, die das erste Q-Signal 391214 und das zweite Q-Signal 391314 mit der ersten Übertragungsleitung 391120 koppeln kann.
  • In einigen anschaulichen Aspekten kann das SQWL-Vier-Wege-Kombinierer-Balun 391100 eine zweite kapazitive Stichleitung 391150 aufweisen, um das dritte Q-Signal 391414 und das vierte Q-Signal 391514 mit einer zweiten Übertragungsleitung 391160 zu koppeln.
  • In einigen anschaulichen Aspekten kann die erste Übertragungsleitung 391120 ein erstes RF-Signal 391050, beispielsweise basierend auf einer Kombination des ersten 1-Signals 391212, des zweiten I-Signals 391312, des ersten Q-Signals 391214 und/oder des zweiten Q-Signals 391314, bereitstellen.
  • In einigen anschaulichen Aspekten kann die zweite Übertragungsleitung 391160 ein zweites RF-Signal 391060, beispielsweise basierend auf einer Kombination des dritten 1-Signals 391412, des vierten I-Signals 391512, des dritten Q-Signals 391414 und/oder des vierten Q-Signals 391514, bereitstellen.
  • In einigen anschaulichen Aspekten kann die erste Outphasing-Verstärkerschaltung 391200 einen ersten Verstärker 391210, der mit der ersten induktiven Stichleitung 391110 betriebstechnisch gekoppelt sein kann, und einen zweiten Verstärker 391220, der mit der ersten kapazitiven Stichleitung 391140 betriebstechnisch gekoppelt sein kann, aufweisen.
  • In einigen anschaulichen Aspekten kann die zweite Outphasing-Verstärkerschaltung 391300 einen ersten Verstärker 391310, der mit der ersten induktiven Stichleitung 391110 betriebstechnisch gekoppelt sein kann, und einen zweiten Verstärker 391320, der mit der ersten kapazitiven Stichleitung 391140 betriebstechnisch gekoppelt sein kann, aufweisen.
  • In einigen anschaulichen Aspekten kann die dritte Outphasing-Verstärkerschaltung 391400 einen ersten Verstärker 391410, der mit der zweiten induktiven Stichleitung 391130 betriebstechnisch gekoppelt sein kann, und einen zweiten Verstärker 391420, der mit der zweiten kapazitiven Stichleitung 391150 betriebstechnisch gekoppelt sein kann, aufweisen.
  • In einigen anschaulichen Aspekten kann die vierte Outphasing-Verstärkerschaltung 391500 einen ersten Verstärker 391510, der mit der zweiten induktiven Stichleitung 391130 betriebstechnisch gekoppelt sein kann, und einen zweiten Verstärker 391520, der mit der zweiten kapazitiven Stichleitung 391150 betriebstechnisch gekoppelt sein kann, aufweisen.
  • In einigen anschaulichen Aspekten kann der erste Outphasing-Verstärker 391200 ein erstes Anpassungsnetz 391230, das konfiguriert sein kann, die Impedanz des ersten Verstärkers 391210 auf beispielsweise 50 Ohm anzupassen; und ein zweites Anpassungsnetz 391240, das konfiguriert sein kann, die Impedanz des zweiten Verstärkers 391220 auf beispielsweise 50 Ohm anzupassen, aufweisen. In anderen Aspekten können irgendwelche anderen Anpassungsimpedanzen verwendet werden.
  • In einigen anschaulichen Aspekten kann der zweite Outphasing-Verstärker 391300 ein erstes Anpassungsnetz 391330, das konfiguriert sein kann, die Impedanz mit dem ersten Verstärker 391310 auf beispielsweise 50 Ohm anzupassen; und ein zweites Anpassungsnetz 391340, das konfiguriert sein kann, die Impedanz mit dem zweiten Verstärker 391320 auf beispielsweise 50 Ohm anzupassen, aufweisen. In anderen Aspekten können irgendwelche anderen Anpassungsimpedanzen verwendet werden.
  • In einigen anschaulichen Aspekten kann der dritte Outphasing-Verstärker 391400 ein erstes Anpassungsnetz 391430, das konfiguriert sein kann, die Impedanz mit dem ersten Verstärker 391410 auf beispielsweise 50 Ohm anzupassen; und ein zweites Anpassungsnetz 391440, das konfiguriert sein kann, die Impedanz mit dem zweiten Verstärker 391420 auf beispielsweise 50 Ohm anzupassen, aufweisen. In anderen Aspekten können irgendwelche anderen Anpassungsimpedanzen verwendet werden.
  • In einigen anschaulichen Aspekten kann der vierte Outphasing-Verstärker 391500 ein erstes Anpassungsnetz 391530, das konfiguriert sein kann, die Impedanz mit dem ersten Verstärker 391510 auf beispielsweise 50 Ohm anzupassen; und ein zweites Anpassungsnetz 391540, das konfiguriert sein kann, die Impedanz mit dem zweiten Verstärker 391520 auf beispielsweise 50 Ohm anzupassen, aufweisen. In anderen Aspekten können irgendwelche anderen Anpassungsimpedanzen verwendet werden.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Outphasing-Verstärker, z. B. jeder Outphasing-Verstärker, aus den Outphasing-Verstärkern 391200, 391300, 391400 und/oder 391500 einen I/Q-Generator aufweisen. Beispielsweise kann der Outphasing-Verstärker 391200 einen I/Q-Generator 391250 aufweisen, der Outphasing-Verstärker 391300 kann den I/Q-Generator 391350 aufweisen, der Outphasing-Verstärker 391400 kann den I/Q-Generator 391450 aufweisen, und/oder der Outphasing-Verstärker 391500 kann den IQ-Generator 391550 aufweisen.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 391250 konfiguriert sein, ein initiales I-Signal, z. B. das initiale I-Signal 391260, basierend auf einem LO-I-Signal, z. B. dem LO-I-Signal 31070, zu erzeugen, und ein initiales Q-Signal, z. B. das initiale Q-Signal 391270, basierend auf einem LO-Q-Signal, z. B. dem LO-Q-Signal 391080, zu erzeugen.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 391250 konfiguriert sein, ein initiales I-Signal, z. B. das initiale I-Signal 391360, basierend auf einem LO-I-Signal, z. B. dem LO-I-Signal 391071, zu erzeugen, und ein initiales Q-Signal, z. B. das initiale Q-Signal 391370, basierend auf einem LO-Q-Signal, z. B. dem LO-Q-Signal 391081, zu erzeugen.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 391450 konfiguriert sein, ein initiales I-Signal, z. B. das initiale I-Signal 391460, basierend auf einem LO-I-Signal, z. B. dem LO-I-Signal 391072, zu erzeugen, und ein initiales Q-Signal, z. B. das initiale Q-Signal 391470, basierend auf einem LO-Q-Signal, z. B. dem LO-Q-Signal 31082, zu erzeugen.
  • In einigen anschaulichen Aspekten kann der I/Q-Generator 391550 konfiguriert sein, ein initiales I-Signal, z. B. das initiale I-Signal 391560, basierend auf einem LO-I-Signal, z. B. dem LO-I-Signal 391073, zu erzeugen, und ein initiales Q-Signal, z. B. das initiale Q-Signal 391570, basierend auf einem LO-Q-Signal, z. B. dem LO-Q-Signal 391083, zu erzeugen.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Outphasing-Verstärker, z. B. jeder Outphasing-Verstärker, aus den Outphasing-Verstärkern 391200, 391300, 391400 und/oder 391500 eine Phasenmodulatorschaltung aufweisen, um ein phasenmoduliertes 1-Signal durch Modulieren des initialen 1-Signals basierend auf einem ersten Eingang des Outphasing-Verstärkers zu erzeugen und/oder ein phasenmoduliertes Q-Signal durch Modulieren des initialen Q-Signals basierend auf einem zweiten Eingang des Outphasing-Verstärkers zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise der erste Outphasing-Verstärker 391200 einen ersten Verstärker 391210, der konfiguriert sein kann, das 1-Signal 391212 durch Verstärken eines phasenmodulierten I-Signals 391282 auszugeben, und/oder einen zweiten Verstärker 391220, der konfiguriert sein kann, das Q-Signal 391214 durch Verstärken eines phasenmodulierten Q-Signals 391284 auszugeben, aufweisen.
  • In einigen anschaulichen Aspekten kann beispielsweise der zweite Outphasing-Verstärker 391300 einen ersten Verstärker 391310, der konfiguriert sein kann, das 1-Signal 391312 durch Verstärken eines phasenmodulierten 1-Signals 391382 auszugeben, und/oder einen zweiten Verstärker 391320, der konfiguriert sein kann, das Q-Signal 391314 durch Verstärken eines phasenmodulierten Q-Signals 391384 auszugeben, aufweisen.
  • In einigen anschaulichen Aspekten kann beispielsweise der dritte Outphasing-Verstärker 391400 einen ersten Verstärker 391410, der konfiguriert sein kann, das 1-Signal 391412 durch Verstärken eines phasenmodulierten I-Signals 391482 auszugeben, und/oder einen zweiten Verstärker 391420, der konfiguriert sein kann, das Q-Signal 391414 durch Verstärken eines phasenmodulierten Q-Signals 391484 auszugeben, aufweisen.
  • In einigen anschaulichen Aspekten kann beispielsweise der vierte Outphasing-Verstärker 391400 einen ersten Verstärker 391410, der konfiguriert sein kann, das 1-Signal 391412 durch Verstärken eines phasenmodulierten I-Signals 391482 auszugeben, und/oder einen zweiten Verstärker 391420, der konfiguriert sein kann, das Q-Signal 391414 durch Verstärken eines phasenmodulierten Q-Signals 391484 auszugeben, aufweisen.
  • In einigen anschaulichen Aspekten kann beispielsweise der erste Outphasing-Verstärker 391200 einen Phasenmodulator 391280 aufweisen, der konfiguriert sein kann, ein phasenmoduliertes 1-Signal 391282 und/oder ein phasenmoduliertes Q-Signal 391284 zu erzeugen, beispielsweise durch Modulieren des internen 1-Signals 391260 und/oder internen Q-Signals 391270 z. B. mit dem Eingabe-I-Signal 391020 und/oder dem Eingabe-Q-Signal 391020.
  • In einigen anschaulichen Aspekten kann beispielsweise der zweite Outphasing-Verstärker 391300 einen Phasenmodulator 391380 aufweisen, der konfiguriert sein kann, ein phasenmoduliertes 1-Signal 391382 und/oder ein phasenmoduliertes Q-Signal 391384 zu erzeugen, beispielsweise durch Modulieren des internen 1-Signals 391360 und/oder internen Q-Signals 391370 z. B. mit dem Eingabe-I-Signal 391020 und/oder dem Eingabe-Q-Signal 391020.
  • In einigen anschaulichen Aspekten kann beispielsweise der dritte Outphasing-Verstärker 391400 einen Phasenmodulator 391480 aufweisen, der konfiguriert sein kann, ein phasenmoduliertes 1-Signal 391482 und/oder ein phasenmoduliertes Q-Signal 391484 zu erzeugen, beispielsweise durch Modulieren des internen 1-Signals 391460 und/oder internen Q-Signals 391470 z. B. mit dem Eingabe-I-Signal 391020 und/oder dem Eingabe-Q-Signal 391020.
  • In einigen anschaulichen Aspekten kann beispielsweise der vierte Outphasing-Verstärker 391500 einen Phasenmodulator 391580 aufweisen, der konfiguriert sein kann, ein phasenmoduliertes 1-Signal 391582 und/oder ein phasenmoduliertes Q-Signal 391584 zu erzeugen, beispielsweise durch Modulieren des internen 1-Signals 391560 und/oder internen Q-Signals 391570 z. B. mit dem Eingabe-I-Signal 391020 und/oder dem Eingabe-Q-Signal 391020.
  • In einigen anschaulichen Aspekten kann beispielsweise eine induktive Stichleitung, z. B. die erste induktive Stichleitung 391110 und/oder die zweite induktive Stichleitung 391130, konfiguriert sein, eine Impedanz von 25 Ohm an einen Ausgang, z. B. jeden Ausgang, des ersten Verstärkers der Outphasing-Verstärker 391200, 391300, 391400 und/oder 391500 anzulegen.
  • In einigen anschaulichen Aspekten kann eine kapazitive Stichleitung, z. B. die erste kapazitive Stichleitung 391140 und/oder die zweite kapazitive Stichleitung 391150, konfiguriert sein, eine Impedanz von 25 Ohm an einen Ausgang, z. B. jeden Ausgang, des zweiten Verstärkers der Outphasing-Verstärker 391200, 391300, 391400 und/oder 391500 anzulegen.
  • In andere Aspekten können die erste induktive Stichleitung 391110, wie zweite induktive Stichleitung 391130, erste kapazitive Stichleitung 391140 und/oder die zweite kapazitive Stichleitung 391160 konfiguriert sein, irgendeine andere Impedanz für einen oder mehrere der Ausgänge des ersten Verstärkers und/oder des zweiten Verstärkers eines oder mehrerer der Outphasing-Verstärker 391200, 391300, 391400 und/oder 391500 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Outphasing-Verstärker 391000 einen LO-Splitter 391600 und/oder einen LO-Splitter 391650 aufweisen. Beispielsweise können der LO-Splitter 391600 und/oder der LO-Splitter 31650 konfiguriert sein, ein LO-Signal von einem LO, z. B. dem LO 390500 (390), zu empfangen. Beispielsweise kann der LO-Splitter 391600 das LO-Signal in beispielsweise LO-I-Signale 391070 und/oder 391073 und/oder in LO-Q-Signale 391080 und/oder 391083 aufteilen. Beispielsweise kann der LO-Splitter 391650 das LO-Signal in beispielsweise LO-I-Signale 391071 und/oder 391072 und/oder in LO-Q-Signale 391081 und/oder 391082 aufteilen.
  • Bezug nehmend zurück zu 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen Phasenschieber (auch als „Phasendreher“ bezeichnet) aufweisen kann, der konfiguriert sein kann, eine Phase eines Signals auf eine gewünschte Phase zu verschieben und/oder zu drehen, beispielsweise basierend auf einem oder mehreren vordefinierten Phasenwerten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Phasenschieber als ein steuerbarer Phasenschieber implementiert sein, z. B. ein spannungsgesteuerter Phasenschieber, der konfiguriert sein kann, beispielsweise eine geringere Leistung und/oder eine höhere Auflösung bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber als Teil einer Funkkettenschaltung, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen, falls gewünscht.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber konfiguriert sein, beispielsweise eine Phase eines phasengleichen (I-) Signals und/oder eine Phase eines Quadraturphasen- (Q-) Signals zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber beispielsweise gemäß einer Konstellationskarte kalibriert sein, beispielsweise um einen hohes Genauigkeitsniveau und/oder hohe Regulierung bereitzustellen, beispielsweise an einer maximalen Verstärkung des steuerbaren Phasenschiebers, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber kalibriert sein, um eine I/Q-Verstärkung eines Phasenungleichgewichts beispielsweise mit hoher Präzision zu korrigieren.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber beispielsweise eine I-Phasenverschiebungsschaltung aufweisen, die konfiguriert sein kann, ein phasenverschobenes 1-Signal bereitzustellen, beispielsweise basierend auf dem 1-Signal und dem Q-Signal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung konfiguriert sein, ein erstes verschobenes I-Signal durch Verschieben einer Phase des 1-Signals beispielsweise gemäß einem ersten Steuersignal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung konfiguriert sein, ein erstes verschobenes Q-Signal durch Verschieben einer Phase des Q-Signals beispielsweise gemäß einem zweiten Steuersignal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung konfiguriert sein, das phasenverschobene I-Signal beispielsweise durch Kombinieren des ersten verschobenen 1-Signals mit dem ersten verschobenen Q-Signal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber beispielsweise eine Q-Phasenverschiebungsschaltung aufweisen, die konfiguriert sein kann, ein phasenverschobenes Q-Signal bereitzustellen, beispielsweise basierend auf dem Q-Signal und dem I-Signal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung konfiguriert sein, ein zweites verschobenes I-Signal durch Verschieben der Phase des 1-Signals beispielsweise gemäß einem dritten Steuersignal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung konfiguriert sein, ein zweites verschobenes Q-Signal durch Verschieben der Phase des Q-Signals beispielsweise gemäß einem vierten Steuersignal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung konfiguriert sein, das phasenverschobene Q-Signal beispielsweise durch Kombinieren des zweiten verschobenen 1-Signals mit dem zweiten verschobenen Q-Signal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die I-Phasenverschiebungsschaltung und/oder die Q-Phasenverschiebungsschaltung beispielsweise eine spannungsgesteuerte Phasenverschiebungsschaltung aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung beispielsweise einen ersten Spannungs-Digital/Analog-Umsetzer (VDAC) aufweisen, der konfiguriert sein kann, das erste Steuersignal in eine I-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung konfiguriert sein, die Phase des 1-Signals beispielsweise gemäß der I-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung beispielsweise einen zweiten VDAC aufweisen, der konfiguriert sein kann, das zweite Steuersignal in eine Q-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung konfiguriert sein, die Phase des Q-Signals beispielsweise gemäß der Q-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung beispielsweise einen ersten VDAC aufweisen, um das dritte Steuersignal in eine I-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung konfiguriert sein, die Phase des 1-Signals beispielsweise gemäß der I-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung beispielsweise einen zweiten VDAC aufweisen, der konfiguriert sein kann, das vierte Steuersignal in eine Q-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung konfiguriert sein, die Phase des Q-Signals beispielsweise gemäß der Q-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber konfiguriert sein, beispielsweise das phasenverschobene 1-Signal und/oder das phasenverschobene Q-Signal für einen oder mehrere Leistungsverstärker (PAs) in einem Sende- (Tx-) Pfad und/oder von einem oder mehreren rauscharmen Verstärkern (LNAs) in einem Empfangs- (Rx-) Pfad bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren des steuerbaren Phasenschiebers, z. B. wie hier beschrieben, einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise durch Bereitstellen eines hoch linearen Phasenschiebers mit einem Energieverbrauch, z. B. unabhängig von der Auflösung, und/oder Bereitstellen irgendwelcher anderer zusätzlicher oder alternativer Vorzüge und/oder Vorteile.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber konfiguriert sein, eine hohe Auflösung bereitzustellen, beispielsweise in der Nähe von Einstellungen mit niedriger und/oder hoher Verstärkung, z. B. wie nachstehend beschrieben.
  • Es wird auf 392 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 392000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des Sendeempfängers 392000 als Teil eines Sendeempfängers 371100 implementiert sein, z. B. wie vorstehend mit Bezug auf 371 beschrieben ist.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen Halbduplex-Sendeempfänger und/oder einen Vollduplex-Sendeempfänger aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 einen Millimeterwellen-Sendeempfänger aufweisen, der konfiguriert sein kann, über ein 60 GHz-Frequenzband zu arbeiten. In anderen Aspekten kann der Sendeempfänger 392000 irgendeinen anderen Typ eines Sendeempfängers aufweisen, der konfiguriert ist, auf irgendeinem anderen zusätzlichen oder alternativen Frequenzband zu arbeiten.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 mit mehreren Rx-Antennen 392100 und/oder mit mehreren Tx-Antennen 392150 betriebstechnisch gekoppelt sein, z. B. wie nachstehend beschrieben. Beispielsweise können die Rx-Antennen 392100 und/oder Tx-Antennen 392150 beispielsweise ein oder mehrere Antennenelemente, eine oder mehrere Antennen einer phasengesteuerten Gruppe, eine oder mehrere Dipolantennen, eine oder mehrere interne Antennen und/oder irgendeinen anderen Typ von Antennen aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen lokalen Oszillator (LO) 392200 aufweisen, der konfiguriert sein kann, beispielsweise ein LO-Signal 392205 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 392200 beispielsweise einen Kristalloszillator, einen Phasenregelkreis (PLL), einen Injektions-LO (ILO) und/oder irgendeinen anderen Typ eines LO aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise eine LO-Verteilungsnetzschaltung 392300 aufweisen, die konfiguriert sein kann, phasenverschobene LO-Signale beispielsweise zu einem oder mehreren Sendern und/oder Empfängerkomponenten, Schaltungen und/oder Teilsystemen zu verteilen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die phasenverschobenen LO-Signale beispielsweise ein Sinussignal 392264 und/oder ein Kosinussignal 392274 aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten können irgendwelche anderen zusätzlichen oder alternativen LO-Signale verwendet werden.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen Empfänger 392200 aufweisen, der konfiguriert sein kann, beispielsweise eine oder mehrere Rx-Hochfrequenz- (RF-) Signale zu empfangen, beispielsweise von den Rx-Antennen 392100, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Empfänger 392200 beispielsweise mehrere LNAs 392210 aufweisen, die z. B. jeweils mit den mehreren Rx-Antennen 392100 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein LNA 392210, der mit einer Rx-Antenne 392100 betriebstechnisch gekoppelt sein kann, konfiguriert sein, ein Rx-Signal 392220 bereitzustellen, beispielsweise durch Verstärken eines RF-Signals 392230 von der Rx-Antenne 392100, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Empfänger 392200 beispielsweise mehrere Mischer 392250 aufweisen, die z. B. jeweils mit den mehreren LNAs 392210 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein Mischer 392250, der mit einem LNA 392210 gekoppelt ist, konfiguriert sein, ein 1-Signal 392262 und/oder ein Q-Signal 392272 zu erzeugen, beispielsweise gemäß dem RF-Signal 392220 von dem LNA 392210, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Empfänger 392200 beispielsweise mehrere steuerbare Phasenschieber 392240 aufweisen, die z. B. jeweils mit den mehreren Mischern 392250 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein steuerbarer Phasenschieber 392240, der mit dem Mischer 32250 betriebstechnisch gekoppelt sein kann, konfiguriert sein, eine Phase des 1-Signals 392262 und/oder eine Phase des Q-Signals 392272 aus dem Mischer 392250 zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Mischer 392250 beispielsweise einen ersten Mischer 392260 aufweisen, der mit einem ersten Eingang 392265 des steuerbaren Phasenschiebers 392240 betriebstechnisch gekoppelt sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Mischer 392260 konfiguriert sein, das 1-Signal 392262 durch Mischen des Rx-Signals 392220 beispielsweise gemäß dem Sinussignal 392264 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Mischer 392250 beispielsweise einen zweiten Mischer 392270 aufweisen, der mit einem zweiten Eingang 392275 des steuerbaren Phasenschiebers 392240 betriebstechnisch gekoppelt sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Mischer 392270 konfiguriert sein, das Q-Signal 392272 durch Mischen des Rx-Signals 39220 beispielsweise gemäß dem Kosinussignal 392274 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren steuerbaren Phasenschieber 392240 konfiguriert sein, mehrere entsprechende Phasenverschiebungen auf die mehreren Rx-Antennen 392100 steuerbar anzuwenden, z. B. wie nachstehend beschrieben. Beispielsweise können die Phasenschieber 392240 gesteuert werden, auf die Rx-Antennen 392100 jeweilige mehrere Phasenverschiebungen anzuwenden, die konfiguriert sein können, beispielsweise einen Strahl zu erzeugen und/oder zu lenken, beispielsweise gemäß einem Rx-Strahlformungsschema, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber 392240 konfiguriert sein, eine Phase des 1-Signals 392262 zu verschieben, beispielsweise gemäß einem ersten Steuersignal 392410, und ein phasenverschobenes I-Signal 392280 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der steuerbare Phasenschieber 392240 konfiguriert sein, eine Phase des Q-Signals 392272 zu verschieben, beispielsweise gemäß einem zweiten Steuersignal 392420, und ein phasenverschobenes Q-Signal 392290 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 einen Q-Rx-Kombinierer 392510 aufweisen, der mit den mehreren steuerbaren Phasenschieberen 392240 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Q-Rx-Kombinierer 392510 konfiguriert sein, mehrere phasenverschobene Q-Signale 392290 aus den mehreren steuerbaren Phasenschiebern 392240 beispielsweise in ein Q-Zwischenfrequenz- (IF-) Rx-Signal 392295 zu kombinieren.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen I-Rx-Kombinierer 392520 aufweisen, der mit den mehreren steuerbaren Phasenschieberen 392240 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der I-Rx-Kombinierer 392520 konfiguriert sein, mehrere phasenverschobene I-Signale 392280 aus den mehreren steuerbaren Phasenschiebern 392240 beispielsweise in ein I-IF-Rx-Signal 392285 zu kombinieren.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise ein Basisband 392500 aufweisen, das mit dem I-Rx-Kombinierer 392520 und dem Q-Rx-Kombinierer 392510 betriebstechnisch gekoppelt sein kann. Beispielsweise kann das Basisband 392500 konfiguriert sein, IF-Signale, z. B. das I-IF-Rx-Signal 392285 und/oder das Q-IF-Rx-Signal 392295, zu verarbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen Sender 392300 aufweisen, der mit dem Basisband 392500 betriebstechnisch gekoppelt sein kann, beispielsweise um ein oder mehrere Tx-Signale über die Tx-Antennen 392150 zu senden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Basisband 392500 konfiguriert sein, ein oder mehrere IF-Tx-Signale, beispielsweise ein I-IF-Tx-Signal 392580 und/oder einer Q-IF-Tx-Signal 392590, die durch den Sender 392300 gesendet werden können, zu erzeugen z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 392300 konfiguriert sein, mehrere Tx-RF-Signale 392320 über die mehreren Tx-Antennen 392150 zu senden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen I-Tx-Splitter 392530 aufweisen, der mit dem Basisband 392500 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der I-Tx-Splitter 392530 konfiguriert sein, ein I-IF-Tx-Signal 392580 in mehrere Tx-I-Signale 392285 aufzuteilen, beispielsweise um z. B. jeweils über die mehreren Tx-Antennen 392150 gesendet zu werden.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise einen Q-Tx-Splitter 392540 aufweisen, der mit dem Basisband 392500 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Q-Tx-Splitter 392540 konfiguriert sein, ein Q-IF-Tx-Signal 392590 in mehrere Tx-Q-Signale 392295 aufzuteilen, beispielsweise um z. B. jeweils über die mehreren Tx-Antennen 392150 gesendet zu werden.
  • In einigen anschaulichen Aspekten kann der Sender 392300 beispielsweise mehrere steuerbare Phasenschieber 392340 aufweisen, die mit dem Q-Tx-Splitter 392540 und dem I-Tx-Splitter 392530 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein steuerbarer Phasenschieber 392340 konfiguriert sein, beispielsweise eine Phase eines Tx-I-Signals 392285 aus dem I-Tx-Splitter 392530 und/oder eine Phase eines Tx-Q-Signals 392295 aus dem Q-Tx-Splitter 392540 zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren steuerbaren Phasenschieber 392240 konfiguriert sein, mehrere entsprechende Phasenverschiebungen auf die mehreren Tx-Antennen 392150 steuerbar anzuwenden, z. B. wie nachstehend beschrieben. Beispielsweise können die Phasenschieber 392340 gesteuert werden, auf die Tx-Antennen 392150 jeweilige mehrere Phasenverschiebungen anzuwenden, wie konfiguriert sein können, beispielsweise einen Strahl zu erzeugen und/oder zu lenken, beispielsweise gemäß einem Tx-Strahlformungsschema, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 392300 beispielsweise mehrere Mischer 392350 aufweisen, die z. B. jeweils mit den mehreren steuerbaren Phasenschiebern 392340 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein Mischer 392350, der mit einem steuerbaren Phasenschieber 392340 gekoppelt ist, konfiguriert sein, ein RF-Signal 392330 zu erzeugen, beispielsweise gemäß einem I-verschobenen Signal 392360 und/oder einem Q-verschobenen Signal 32365 aus dem steuerbaren Phasenschieber 392340, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Mischer 392350 beispielsweise einen ersten Mischer 392370 aufweisen, der mit einem ersten Ausgang 392341 des steuerbaren Phasenschiebers 392340 betriebstechnisch gekoppelt sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Mischer 392370 konfiguriert sein, ein erstes RF-Signal 392332 durch Mischen des I- verschobenen Signals 392360 beispielsweise gemäß dem Sinussignal 392264 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Mischer 392250 beispielsweise einen zweiten Mischer 392380 aufweisen, der mit einem zweiten Ausgang 392342 des steuerbaren Phasenschiebers 392340 betriebstechnisch gekoppelt sein kann, z. B. wie nachstehend beschrieben.
  • In einem anschaulichen Aspekt kann der zweite Mischer 392380 konfiguriert sein, ein zweites RF-Signal 392334 durch Mischen des Q-verschobenen Signals 392365 beispielsweise gemäß dem Kosinussignal 392274 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können das erste RF-Signal 392332 und das zweite RF-Signal 392334 aus dem steuerbaren Phasenschieber 392340 kombiniert werden, beispielsweise in ein RF-Signal 392330, das über eine entsprechende Tx-Antenne 392150 gesendet werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 392300 beispielsweise mehrere PAs 392310 aufweisen, die z. B. jeweils mit den mehreren Mischern 392380 betriebstechnisch gekoppelt sein können. Beispielsweise kann ein PA 392310, der mit einem Mischer 392350 betriebstechnisch gekoppelt sein kann, konfiguriert sein, beispielsweise das RF-Signal 392330 aus dem Mischer 392350 in ein Tx-RF-Signal 392320 zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der PA 392310 konfiguriert sein, das Tx-RF-Signal 392320 für eine Tx-Antenne 392150 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der steuerbare Phasenschieber 392240 und/oder der steuerbare Phasenschieber 392340 beispielsweise eine I-Phasenverschiebungsschaltung 392242 aufweisen, die konfiguriert sein kann, ein phasenverschobenes 1-Signal 392280 bereitzustellen, beispielsweise basierend auf dem 1-Signal 392262 und einem Q-Signal 392272, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 konfiguriert sein, ein erstes verschobenes 1-Signal durch Verschieben einer Phase des I-Signals 392262 beispielsweise gemäß einem ersten Steuersignal, z. B. dem Steuersignal 392410, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 konfiguriert sein, ein erstes verschobenes Q-Signal durch Verschieben einer Phase des Q-Signals 392272 beispielsweise gemäß einem zweiten Steuersignal, z. B. dem Steuersignal 392420, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 konfiguriert sein, das phasenverschobene Signal 392280 beispielsweise durch Kombinieren des ersten verschobenen I-Signals mit dem ersten verschobenen Q-Signal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der steuerbare Phasenschieber 392240 und/oder der steuerbare Phasenschieber 392340 beispielsweise eine Q-Phasenverschiebungsschaltung 392244 aufweisen, die konfiguriert sein kann, ein phasenverschobenes Q-Signal 392290 bereitzustellen, beispielsweise basierend auf dem Q-Signal 392272 und dem 1-Signal 392362, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 konfiguriert sein, ein zweites verschobenes 1-Signal durch Verschieben der Phase des 1-Signals 392262 beispielsweise gemäß einem dritten Steuersignal, z. B. einem Steuersignal 392430, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 konfiguriert sein, ein zweites verschobenes Q-Signal durch Verschieben der Phase des Q-Signals 392272 beispielsweise gemäß einem vierten Steuersignal, z. B. einem Steuersignal 392440, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 konfiguriert sein, das phasenverschobene Q-Signal 392290 durch Kombinieren des zweiten verschobenen 1-Signals mit dem zweiten verschobenen Q-Signal bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 beispielsweise einen ersten VDAC (in 392 nicht gezeigt) aufweisen, der konfiguriert sein kann, das erste Steuersignal in eine I-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 konfiguriert sein, die Phase des 1-Signals 392262 beispielsweise gemäß der I-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 beispielsweise einen zweiten VDAC (in 392 nicht gezeigt) aufweisen, der konfiguriert sein kann, das Steuersignal 392420 in eine Q-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 konfiguriert sein, die Phase des Q-Signals 392272 beispielsweise gemäß der Q-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 beispielsweise erste mehrere Transistoren in einer Kaskoden-Gate-Anordnung aufweisen (in 392 nicht gezeigt), die konfiguriert sein können, das erste verschobene I-Signal zu erzeugen, beispielsweise gemäß der I-Steuerspannung, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die I-Phasenverschiebungsschaltung 392242 beispielsweise zweite mehrere Transistoren in einer Kaskoden-Gate-Anordnung aufweisen (in 392 nicht gezeigt), die konfiguriert sein können, das erste verschobene Q-Signal zu erzeugen, beispielsweise gemäß der Q-Steuerspannung, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 beispielsweise einen ersten VDAC (in 392 nicht gezeigt) aufweisen, der konfiguriert sein kann, das Steuersignal 392430 in eine I-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 konfiguriert sein, die Phase des 1-Signals 392262 beispielsweise gemäß der I-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 beispielsweise einen zweiten VDAC (in 392 nicht gezeigt) aufweisen, der konfiguriert sein kann, das Steuersignal 392440 in eine Q-Steuerspannung umzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 konfiguriert sein, die Phase des Q-Signals 392272 beispielsweise gemäß der Q-Steuerspannung zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 beispielsweise erste mehrere Transistoren in einer Kaskoden-Gate-Anordnung aufweisen (in 392 nicht gezeigt), die konfiguriert sein können, das zweite verschobene I-Signal zu erzeugen, beispielsweise gemäß der I-Steuerspannung, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Q-Phasenverschiebungsschaltung 392244 beispielsweise zweite mehrere Transistoren in einer Kaskoden-Gate-Anordnung aufweisen (in 392 nicht gezeigt), die konfiguriert sein können, das zweite verschobene Q-Signal zu erzeugen, beispielsweise gemäß der Q-Steuerspannung, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das erste Steuersignal, z. B. das Steuersignal 392410, beispielsweise ein erstes digitales Signal aufweisen, um erste Daten auf die I-Phasenverschiebungsschaltung 392242 anzuwenden, beispielsweise basierend auf einer vorbestimmten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das zweite Steuersignal, z. B. das Steuersignal 392420, beispielsweise ein zweites digitales Signal aufweisen, um zweite Daten auf die I-Phasenverschiebungsschaltung 392242 anzuwenden, beispielsweise basierend auf der vorbestimmten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das dritte Steuersignal, z. B. das Steuersignal 392430, beispielsweise ein drittes digitales Signal aufweisen, um dritte Daten auf die Q-Phasenverschiebungsschaltung 392244 anzuwenden, beispielsweise basierend auf der vorbestimmten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das vierte Steuersignal, z. B. das Steuersignal 392440, beispielsweise ein viertes digitales Signal aufweisen, um vierte Daten auf die Q-Phasenverschiebungsschaltung 392244 anzuwenden, beispielsweise basierend auf der vorbestimmten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 392000 beispielsweise ein Kalibrierungs- und Steuerteilsystem 392400 aufweisen, das mit einem oder mehreren Elementen des Sendeempfängers 392000 betriebstechnisch gekoppelt sein kann, die beispielsweise das Basisband 392500, steuerbare Phasenschieber 392240 und/oder steuerbare Phasenschieber 392340 enthalten. Beispielsweise kann das Kalibrierungs- und Steuerteilsystem 392400 konfiguriert sein, beispielsweise die steuerbaren Phasenschieber 392240 und/oder die steuerbare Phasenschieber 392340 zu steuern und/oder zu kalibrieren, beispielsweise unter Verwendung eines oder mehrerer Steuersignale, beispielsweise des Steuersignals 392410, des Steuersignals 392420, des Steuersignals 392430 und/oder des Steuersignals 392440, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Kalibrierungs- und Steuerteilsystem 392400 konfiguriert sein, beispielsweise einen oder mehrere Parameter der steuerbaren Phasenschieber 392240 und/oder steuerbaren Phasenschieber 392340 zu kalibrieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Kalibrierungs- und Steuerteilsystem 392400 konfiguriert sein, die Linearität und/oder Auflösung der mehreren steuerbaren Phasenschieber 392240 und/oder der mehreren steuerbaren Phasenschieber 392340 zu kalibrieren, beispielsweise gemäß einer vordefinierten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Kalibrierungs- und Steuerteilsystem 392400 konfiguriert sein, die mehreren steuerbaren Phasenschieber 392240 und/oder die mehreren steuerbaren Phasenschieber 392340 zu steuern und/oder zu kalibrieren, beispielsweise gemäß einer Nachschlagetabelle (LUT) 392450, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LUT 392450 durch das Kalibrierungs- und Steuerteilsystem 392400 erzeugt und/oder aktualisiert werden. In anderen Aspekten kann die LUT 392450 nicht durch das Kalibrierungs- und Steuerteilsystem 392400 erzeugt werden. Beispielsweise kann die LUT 392450 beispielsweise eine vordefinierte LUT enthalten, die beispielsweise in dem Sendeempfänger 392000 vorkonfiguriert sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LUT 392450 beispielsweise mehrere Paare von Spannungswerten enthalten, die entsprechenden mehreren Konstellationspunkten entsprechen, beispielsweise gemäß der vorbestimmten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise ein Paar von Spannungswerten aus den mehreren Paaren von Spannungswerten beispielsweise einen ersten I-Spannungswert, der auf ein erstes Steuersignal, z. B. das Steuersignal 392410, angewandt werden soll, einen ersten Q-Spannungswert, der auf ein zweites Steuersignal, z. B. das Steuersignal 392420, angewandt werden soll, einen zweiten I-Spannungswert, der auf ein drittes Steuersignal, z. B. das Steuersignal 392430, angewandt werden soll, und einen zweiten Q-Spannungswert, der auf ein viertes Steuersignal, z. B. die Steuerspannung 392440, angewandt werden soll, aufweisen, z. B. wie nachstehend beschrieben.
  • Es wird auf 393 Bezug genommen, die einen elektronischen Schaltplan der Phasenverschiebungsschaltung 393000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten der Phasenverschiebungsschaltung 393000 als Teil eines steuerbaren Phasenschiebers 392240 und/oder als Teil des steuerbaren Phasenschiebers 392340 implementiert sein, z. B. wie vorstehend mit Bezug auf 392 beschrieben. Die hier beschriebene Phasenverschiebungsschaltung kann in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Phasenverschiebungsschaltung nicht darauf beschränkt ist.
  • In einem Beispiel können ein oder mehrere Elemente und/oder Komponenten der Phasenverschiebungsschaltung 33000 als Teil der Q-Phasenverschiebungsschaltung 392244 und/oder als Teil der I-Phasenverschiebungsschaltung 392242 implementiert sein, z. B. wie vorstehend mit Bezug auf 392 beschrieben ist.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise konfiguriert sein, ein phasenverschobenes Signal bereitzustellen, beispielsweise ein differentielles phasenverschobenes Signal 393010, das ein positives phasenverschobenes Signal 393015 und ein negatives phasenverschobenes Signal 393020 aufweist, beispielsweise basierend auf einem 1-Signal 393070, z. B. einem differentiellen I-Signal, und einem Q-Signal 393080, z. B. einem differentiellen Q-Signal, z. B. wie nachstehend beschrieben. Beispielsweise kann das phasenverschobene Signal 393010 ein phasenverschobenes I-Signal, z. B. das phasenverschobene I-Signal 392280 (392) enthalten.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 konfiguriert sein, beispielsweise ein phasenverschobenes Signal bereitzustellen, beispielsweise ein differentiell phasenverschobenes Signal 393090, das ein positives phasenverschobenes Signal (in 393 nicht gezeigt) und ein negatives phasenverschobenes Signal (in 393 nicht gezeigt) aufweist, beispielsweise basierend auf dem 1-Signal 393070, z. B. einem differentiellen I-Signal, und dem Q-Signal 393080, z. B. einem differentiellen Q-Signal, z. B. wie nachstehend beschrieben. Beispielsweise kann das phasenverschobene Signal 393090 ein phasenverschobenes Q-Signal, z. B. das phasenverschobene Q-Signal 392290 (392) enthalten.
  • In einigen anschaulichen Aspekten kann, wie in 393 gezeigt ist, die Phasenverschiebungsschaltung 393000 beispielsweise erste mehrere Transistoren 393600 aufweisen, z. B. ein einer Kaskoden-Gate-Anordnung, die konfiguriert sein können, ein verschobenes 1-Signal 393050 zu erzeugen, beispielsweise gemäß einer I-Steuerspannung 393510, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die ersten mehreren Transistoren 393600 beispielsweise einen oder mehrere Feldeffekttransistoren (FETs), einen oder mehrere Bipolartransistoren (BJT) und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise einen ersten VDAC 393500 aufweisen, der mit den ersten mehreren Transistoren 393600 gekoppelt sein kann. Beispielsweise kann der erste VDAC 393500 konfiguriert sein, ein erstes Steuersignal 393300, z. B. ein I-Steuersignal, in eine I-Steuerspannung 393510 umzusetzen und die I-Steuerspannung 393510 für die ersten mehreren Transistoren 393600 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das erste Steuersignal 393300 beispielsweise ein erstes digitales Signal, z. B. das Steuersignal 392410 (392), aufweisen, das konfiguriert sein kann, erste Daten auf die Phasenverschiebungsschaltung 393000 anzuwenden, beispielsweise basierend auf der vordefinierten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste VDAC 393500 beispielsweise einen 5-Bit-VDAC, einen 6-Bit-VDAC und/oder einen VDAC mit irgendeiner anderen Auflösung enthalten.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise einen ersten I-Vorzeichenschalter 393610 und/oder einen zweiten I-Vorzeichenschalter 393620 aufweisen, die mit den ersten mehreren Transistoren 33600 betriebstechnisch gekoppelt sein können. Beispielsweise können der erste I-Vorzeichenschalter 393610 und/oder der zweite I-Vorzeichenschalter 33620 konfiguriert sein, ein positives 1-Signal oder ein negatives I-Signal an die ersten mehreren Transistoren 393600 anzulegen. Beispielsweise können der erste I-Vorzeichenschalter 393610 und/oder der zweite I-Vorzeichenschalter 393620 konfiguriert sein, zwischen dem Anlegen des positiven 1-Signals an die ersten mehreren Transistoren 393600, beispielsweise wenn ein erstes I-Vorzeichensteuersignal 393030 an den ersten I-Vorzeichenschalter 393610 und/oder den zweiten I-Vorzeichenschalter 393620 angelegt ist, und dem Anlegen eines negativen 1-Signals an die ersten mehreren Transistoren 393600, beispielsweise wenn ein zweites I-Vorzeichensteuersignal 393040 an den ersten I-Vorzeichenschalter 393610 und/oder den zweiten I-Vorzeichenschalter 393620 angelegt ist, umzuschalten.
  • In einigen anschaulichen Aspekten können der erste I-Vorzeichenschalter 393610 und/oder der zweite I-Vorzeichenschalter 393610 beispielsweise einen oder mehrere FETs, einen oder mehrere BJTs und/oder irgendeinen anderen Typ von Transistoren und/oder Umschaltschaltung aufweisen.
  • In einigen anschaulichen Aspekten kann, wie in 393 gezeigt ist, die Phasenverschiebungsschaltung 393000 beispielsweise zweite mehrere Transistoren 393650 aufweisen, z. B. ein einer Kaskoden-Gate-Anordnung, die konfiguriert sein können, ein verschobenes Q-Signal 393060 zu erzeugen, beispielsweise gemäß einer Q-Steuerspannung 393520, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die zweiten mehreren Transistoren 393650 beispielsweise einen oder mehrere FETs, einen oder mehrere BJTs und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise einen zweiten VDAC 393550 aufweisen, der mit den zweiten mehreren Transistoren 393650 gekoppelt sein kann. Beispielsweise kann der zweite VDAC 393550 konfiguriert sein, ein zweites Steuersignal 393350, z. B. ein Q-Steuersignal, in eine Q-Steuerspannung 393520 umzusetzen und die Q-Steuerspannung 393520 für die zweiten mehreren Transistoren 393650 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das zweite Steuersignal 393350 beispielsweise ein zweites digitales Signal, z. B. das Steuersignal 392420 (392). aufweisen, das konfiguriert sein kann, zweite Daten auf die Phasenverschiebungsschaltung 393000 anzuwenden, beispielsweise basierend auf der vordefinierten Konstellationspunktkarte, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite VDAC 393550 beispielsweise einen 5-Bit-VDAC, einen 6-Bit-VDAC und/oder einen VDAC mit irgendeiner anderen Auflösung enthalten.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise einen ersten Q-Vorzeichenschalter 393630 und/oder einen zweiten Q-Vorzeichenschalter 393640 aufweisen, die mit den zweiten mehreren Transistoren 393650 betriebstechnisch gekoppelt sein können. Beispielsweise können der erste Q-Vorzeichenschalter 393630 und/oder der zweite Q-Vorzeichenschalter 393640 konfiguriert sein, zwischen dem Anlegen eines positiven Q-Signals oder eines negativen Q-Signals zu beispielsweise den zweiten mehreren Transistoren 393650 umzuschalten. Beispielsweise können der erste Q-Vorzeichenschalter 393630 und/oder der zweite Q-Vorzeichenschalter 393640 konfiguriert sein, ein positives Q-Signal oder ein negatives Q-Signal an die zweiten mehreren Transistoren 393650 anzulegen. Beispielsweise können der erste Q-Vorzeichenschalter 393630 und/oder der zweite Q-Vorzeichenschalter 393640 konfiguriert sein, zwischen dem Anlegen des positiven Q-Signals an die zweiten mehreren Transistoren 393650, beispielsweise wenn ein erstes Q-Vorzeichensteuersignal 393035 an den ersten Q-Vorzeichenschalter 393630 und/oder den zweiten Q-Vorzeichenschalter 393640 angelegt ist, und dem Anlegen eines negativen Q-Signals an die zweiten mehreren Transistoren 393650, beispielsweise wenn ein zweites Q-Vorzeichensteuersignal 393045 an den ersten Q-Vorzeichenschalter 393630 und/oder den zweiten Q-Vorzeichenschalter 393640 angelegt ist, umzuschalten.
  • In einigen anschaulichen Aspekten können der erste Q-Vorzeichenschalter 393650 und/oder der zweite Q-Vorzeichenschalter 393640 beispielsweise einen oder mehrere FETs, einen oder mehrere BJTs und/oder irgendeinen anderen Typ von Transistoren und/oder eine Umschaltungsschaltung aufweisen.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 beispielsweise einen Kombinierer 393400 aufweisen, der mit den ersten mehreren Transistoren 393600 und den zweiten mehreren Transistoren 393650 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der Kombinierer 393400 konfiguriert sein, beispielsweise das verschobene 1-Signal 393050 und das verschobene Q-Signal 393060 zu kombinieren, z. B. wie nachstehend beschrieben. Beispielsweise kann der Kombinierer 393400 beispielsweise ein positiv verschobenes 1-Signal 393100 mit einem positiv verschobenen Q-Signal 393200 zu kombinieren und kann beispielsweise ein negativ verschobenes 1-Signal 393110 mit einem negativ verschobenen Q-Signal 393210 kombinieren.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 konfiguriert sein, das verschobene I-Signal, z. B. das positiv verschobene I-Signal 393100 und das negativ verschobene 1-Signal 393110, durch Verschieben einer Phase des 1-Signals 393070 beispielsweise gemäß dem ersten Steuersignal 393300 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 konfiguriert sein, das verschobene Q-Signal, z. B. das positiv verschobene Q-Signal 393200 und das negativ verschobene Q-Signal 393210, durch Verschieben einer Phase des Q-Signals 393040 beispielsweise gemäß einem zweiten Steuersignal 393350 bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung 393000 konfiguriert sein, das phasenverschobene Signal 393010 bereitzustellen, beispielsweise durch Kombinieren des verschobenen 1-Signals 393050 mit dem verschobenen Q-Signal 393060.
  • Wird auf 394 Bezug genommen, die einen ersten Quadranten 394000 einer Konstellationspunktkarte in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten können ein steuerbarer Phasenschieber, z. B. der steuerbare Phasenschieber 392240 (392) und/oder der steuerbare Phasenschieber 392340 (392) konfiguriert sein, die Phase eines 1-Signals und/oder die Phase eines Q-Signals gemäß Punkten in der Konstellationspunktkarte von 394 zu verschieben.
  • In einigen anschaulichen Aspekten kann, wie in 394 gezeigt ist, der erste Quadrant 394000 der Konstellationspunktkarte beispielsweise mehrere Konstellationspunkte aufweisen, die durch mehrere I-Werte, z. B. entlang einer ersten Achse („I-Achse“), und mehrere Q-Werte, z. B. entlang einer zweiten Achse („Q-Achse“), definiert sind. Beispielsweise können, wie in 394 gezeigt ist, die I-Achse und die Q-Achse Werte im Bereich zwischen 0 und 1 aufweisen, die einen ersten Quadranten der Konstellationspunktkarte repräsentieren können.
  • In einigen anschaulichen Aspekten kann beispielsweise in einem zweiten Quadranten der Konstellationspunktkarte die I-Achse Werte im Bereich zwischen 0 und -1 aufweisen, und die Q-Achse kann Werte im Bereich zwischen 0 und 1 aufweisen; in einem dritten Quadranten der Konstellationspunktkarte kann die I-Achse Werte im Bereich zwischen 0 und -1 aufweisen, und die Q-Achse kann Werte im Bereich zwischen 0 und -1 aufweisen; und in einem vierten Quadranten der Konstellationspunktkarte kann die I-Achse Werte im Bereich zwischen 0 und 1 aufweisen, und die Q-Achse kann Werte im Bereich zwischen 0 und -1 aufweisen.
  • Es wird auf 395 Bezug genommen, die schematisch ein Diagramm 395000 darstellt, das eine Verstärkungsvariation von Konstellationspunkten versus idealen phasenverschobenen Konstellationspunkten in Übereinstimmung mit einigen anschaulichen Aspekten abbildet.
  • In einigen anschaulichen Aspekten kann ein steuerbarer Phasenschieber, z. B. der steuerbare Phasenschieber 392240 (392) und/oder der steuerbare Phasenschieber 392340 (392), kalibriert sein, eine I/Q-Verstärkung und/oder ein Phasenungleichgewicht zu korrigieren, beispielsweise mit hoher Präzision, beispielsweise gemäß einer Konstellationspunktkarte, z. B. der Konstellationspunktkarte von 394.
  • In einigen anschaulichen Aspekten bildet das Diagramm 395000 kalibrierte phasenverschobene Konstellationspunkte 395200 eines kalibrierten steuerbaren Phasenschiebers ab, z. B. des steuerbaren Phasenschiebers 392240 (392) und/oder des steuerbaren Phasenschiebers 392340, beispielsweise relativ zu idealen Punkten 395100 einer idealen Konstellationskarte.
  • In einigen anschaulichen Aspekten können, wie in 395 gezeigt ist, die kalibrierten phasenverschobenen Konstellationspunkte 395200 des kalibrierten steuerbaren Phasenschiebers innerhalb +/- 0,5 dB und/oder einer ähnlichen Diskrepanz von den idealen Punkten 395100 der idealen Konstellationskarte sein.
  • Bezug nehmend zurück auf 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens eine PA-LNA-Schnittstelle aufweisen kann, die konfiguriert sein kann, eine Schnittstelle zwischen einer Signalantenne zu einem PA oder LNA zu bilden, beispielsweise durch Auslöschen eines Leckverlusts eines Tx-Signals von einem PA, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Funkarchitektur eine PA-LNA-Schnittstelle aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle die Schnittstelle für Signale zwischen wenigstens einer Antenne und einem PA und LNA bilden, beispielsweise für Rx-Signale von der Antenne zu dem LNA und/oder Tx-Signale von dem PA zu der Antenne, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle als Teil einer Funkkettenschaltung, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen, falls gewünscht.
  • In einigen anschaulichen Aspekten kann das Implementieren der PA-LNA-Schnittstelle in die Funkarchitektur einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise durch Abschwächen, Reduzieren und/oder Auslöschen eines Leckverlusts des Tx-Signals von dem PA zu dem LNA und/oder Bereitstellen irgendwelcher anderen zusätzlichen oder alternativen technischen Vorzüge und/oder Vorteile.
  • Der Begriff „auslöschen“, wie er hier in Bezug auf einen Leckverlust verwendet ist, kann teilweises oder vollständiges Auslöschen, Reduzieren, Verringern, Dämpfen und/oder Abschwächen des Leckverlusts und/oder einer Auswirkung des Leckverlusts auf ein oder mehrere Signale, Eingaben, Ausgaben, Elemente und/oder Komponenten enthalten.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle konfiguriert sein, ein gewünschtes Niveau der Isolation, z. B. eine hohe Isolation, zwischen einem Tx-Pfad und einem Rx-Pfad bereitzustellen, beispielsweise um die LNA-Zuverlässigkeit in einer Tx-Betriebsart sicherzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle konfiguriert sein, ein reduziertes Niveau des Einfügungsverlusts beizubehalten, z. B. einen geringen Einfügungsverlust, beispielsweise um das Reduzieren, z. B. Minimieren, der Verschlechterung der Rauschfaktor- (NF-) Leistung, z. B. in einer Rx-Betriebsart, und/oder Reduzieren, z. B. Minimieren, der Verschlechterung des Ausgabeleistung, z. B. in einer Tx-Betriebsart, zu ermöglichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle konfiguriert sein, den Leckverlust des Tx-Signals durch Summieren des Leckverlusts des Tx-Signals mit einem Auslöschungssignal an einem Eingang des LNA auszulöschen, z. B. wie nachstehend beschrieben.
  • Es wird jetzt auf 396 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 396000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des Sendeempfängers 396000 als Teil eines Sendeempfängers 371100 implementiert sein, z. B. wie vorstehend mit Bezug auf die 371 beschrieben ist.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 396000 eine oder mehrere Antennen 396400 aufweisen oder kann mit ihnen betriebstechnisch gekoppelt sein kann, die beispielsweise mit einem Antennenanschluss 396150 betriebstechnisch gekoppelt sein können, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die eine oder die mehreren Antennen 396400 beispielsweise eine Antenne einer phasengesteuerten Gruppe, eine Dipolantenne, eine interne Antenne und/oder irgendeinen anderen zusätzlichen oder alternativen Typ einer Antenne enthalten.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 396000 eine PA-LNA-Schnittstelle 396100 aufweisen, die konfiguriert ist, eine Schnittstelle des Antennenanschlusses 396150 zu einem PA 396310 und einem LNA 36310 zu bilden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 396000 beispielsweise einen Empfänger 396100, der z. B. eine RX-Schaltung aufweist, die einen LNA 396210 aufweist, und/oder einen Sender 396300, der z. B. eine TX-Schaltung aufweist, die den PA 396310 aufweist, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 konfiguriert sein, ein Tx-Signal 36010 aus dem PA 396310 für den Antennenanschluss 396150 bereitzustellen, beispielsweise in einer Tx-Betriebsart, und ein Rx-Signal 396050 aus dem Antennenanschluss 396150 für den LNA 396140 bereitzustellen, beispielsweise in einer Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 396000 einen Halbduplex-Sendeempfänger aufweisen, der konfiguriert sein kann, den Empfang des Rx-Signals 396050 und das Senden des Tx-Signals 398010 separat und/oder während nicht überlappender Zeitspannen zu bearbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 396000 einen Vollduplex-Sendeempfänger aufweisen, der konfiguriert sein kann, den Empfang des Rx-Signals 396050 und das Senden des Tx-Signals 396010 gleichzeitig und/oder während überlappender Zeitspannen zu bearbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 396300 beispielsweise ein oder mehrere Elemente und/oder Komponenten eines Outphasing-Senders, eines Doherty-Senders, eines digitalen Senders, eines digitalen Senders oder dergleichen aufweisen und/oder eine oder mehrere ihrer Funktionalitäten ausführen.
  • In einigen anschaulichen Aspekten kann der Sender 396300 beispielsweise einen Mischer 396320 zum Mischen eines LO-Signals 396020 mit einem Datensignal 396030, beispielsweise von Daten einer erforderlichen Phase, um ein phasenmoduliertes Signal 396040 zu erzeugen, aufweisen.
  • In einigen anschaulichen Aspekten kann der Sender 396300 einen PA 396310 aufweisen, der konfiguriert sein kann, das phasenmodulierte Signal 396040 zu verstärken, um das Tx-Signal 396010 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 396300 einige der oder alle in 396 gezeigten Elemente aufweisen und/oder kann ein oder mehrere zusätzliche oder alternative Elemente aufweisen, um eine oder mehrere zusätzliche oder alternativ Funktionalitäten auszuführen. Beispielsweise kann der Sender 396300 ein oder mehrere Elemente des Senders 380100 ( 38) aufweisen und/oder Funktionalitäten davon ausführen.
  • In einigen anschaulichen Aspekten kann der Empfänger 396100 konfiguriert sein, ein LNA-Eingabesignal 396055, das durch die PA-LNA-Schnittstelle 396100 bereitgestellt sein kann, basierend auf dem Rx-Signal 396050, das an dem Antennenanschluss 396150 empfangen wird, beispielsweise in der Rx-Betriebsart, abwärtsumsetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Empfänger 396200 den LNA 396210 aufweisen, der konfiguriert sein kann, beispielsweise das LNA-Eingabesignal 396055 zu verstärken und ein verstärktes Rx-Signal 396057 zu einem Splitter 396220 auszugeben. Beispielsweise kann der Splitter 396220 das verstärkte Rx-Signal 396057 in ein I-Rx-Signal 396058 und ein Q-Rx-Signal 396059 aufteilen.
  • In einigen anschaulichen Aspekten kann der Splitter 396220 einen Wilkinson-Splitter, einen 1-zu-2-Splitter und/oder irgendeinen anderen Typ eines Splitters enthalten.
  • In einigen anschaulichen Aspekten kann der Empfänger 396200 beispielsweise einen symmetrischen 1-Signal-Mischer 396240 und/oder einen symmetrischen Q-Signal-Mischer 396230 aufweisen, der beispielsweise mit einer Quadraturhybridschaltung 396250 betriebstechnisch gekoppelt sein kann. Beispielsweise kann der symmetrische I-Signal-Mischer 396240 ein I-Rx-Signal 396058 aus dem Splitter 396220 und ein LO-Signal mit einer ersten Phase, z. B. einer Phase von 0 Grad oder irgendeiner anderen Phase, aus der Quadraturhybridschaltung 396250 empfangen und kann ein positives 1-Signal und ein negatives I-Signal erzeugen.
  • In einigen anschaulichen Aspekten kann beispielsweise kann symmetrische Q-Signal-Mischer 396230 ein Q-Rx-Signal 396059 aus dem Splitter 396220 und das LO-Signal mit einer zweiten Phase, z. B. einer Phase von 90 Grad oder irgendeiner anderen Phase, aus der Quadraturhybridschaltung 396250 empfangen und kann ein positives Q-Signal und ein negatives Q-Signal erzeugen.
  • In einigen anschaulichen Aspekten kann der Empfänger 396200 beispielsweise einen Treiberverstärker 396260 und/oder einen Treiberverstärker 36250 aufweisen. Beispielsweise kann der Treiberverstärker 396250 konfiguriert sein, das negative Q-Signal und das positive Q-Signal zu beispielsweise einem Basisband auszugeben. Beispielsweise kann der Treiberverstärker 396260 konfiguriert sein, das negative 1-Signal und das positive 1-Signal zu beispielsweise dem Basisband auszugeben.
  • In einigen anschaulichen Aspekten kann der Empfänger 396200 einige der oder alle in 396 gezeigten Elemente aufweisen und/oder kann ein oder mehrere zusätzliche oder alternative Elemente aufweisen, um eine oder mehrere zusätzliche oder alternativ Funktionalitäten auszuführen.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 konfiguriert sein, beispielsweise eine hohe Impedanz an einen Eingang des LNA 396310 anzulegen, beispielsweise in der Tx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 konfiguriert sein, beispielsweise eine hohe Impedanz an einen Ausgang des PA 396310 anzulegen, beispielsweise in der Rx-Betriebsart.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 konfiguriert sein, eine Auswirkung des Tx-Signals 396010 auf den LNA 396210 auszulöschen, abzuschwächen, zu dämpfen und/oder zu reduzieren, beispielsweise durch Auslöschen, Abschwächen, Dämpfen und/oder Reduzieren eines Leckverlusts des Tx-Signals 396010 für den LNA 396210, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 einen Sensor 396130 aufweisen, der konfiguriert sein kann, beispielsweise ein erfasstes Signal 396060 bereitzustellen, das auf einem Tx-Signal 396010 aus dem PA 396319 basieren kann, z. B. wie nachstehend beschrieben. Beispielsweise kann der Sensor 396130 einen kapazitiven Sensor aufweisen. In anderen Aspekten kann der Sensor 396130 einen induktiven Sensor und/oder irgendeinen anderen Typ eines Sensors aufweisen.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 einen Phasendreher 396110 aufweisen, um ein phasengedrehtes Signal 396070 bereitzustellen, beispielsweise durch Drehen einer Phase des erfassten Signals 396060.
  • In einigen anschaulichen Aspekten kann der Phasendreher 396110 konfiguriert sein, die Phase des erfassten Signals 396060 zu drehen, beispielsweise um 180 Grad. In anderen Aspekten kann irgendeine andere Phasendrehung verwendet werden.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 einen Verstärker mit variabler Verstärkung (VGA) 396120 aufweisen, der konfiguriert ist ein Tx-Leckverlustauslöschungssignal 396080 bereitzustellen, beispielsweise durch Verstärken des phasengedrehten Signals 396070, beispielsweise basierend auf einer Amplitude des Tx-Signals 396010.
  • In einigen anschaulichen Aspekten kann die PA-LNA-Schnittstelle 396100 einen Kombinierer 396140 aufweisen, der konfiguriert sein kann, beispielsweise ein erstes Kombinierereingabesignal 36085 mit einem zweiten Kombinierereingabesignal 396095 zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das erste Kombinierereingabesignal 396085 ein Tx-Leckverlustauslöschungssignal 396080 enthalten, und das zweite Kombinierereingabesignal kann beispielsweise einen Tx-Leckverlust 396090 aus dem Tx-Signal 396010 zu dem LNA 396210 enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Kombinierer 396140 einen Wilkinson-Kombinierer enthalten. In anderen Aspekten kann der Kombinierer 396140 irgendeinen anderen Typ eines 2-zu-1-Kombinierers enthalten.
  • In einigen anschaulichen Aspekten können der Phasendreher 396110 und/oder der VGA 396210 konfiguriert sein, ein Tx-Leckverlustauslöschungssignal 396080 bereitzustellen, das eine Phase und eine Amplitude aufweist, die konfiguriert sein können, eine Auswirkung des Tx-Leckverlusts 396090 auszulöschen, abzuschwächen, zu dämpfen und/oder zu reduzieren.
  • In einigen anschaulichen Aspekten kann der Phasendreher 396110 konfiguriert sein, ein phasengedrehtes Signal 396070 bereitzustellen, beispielsweise durch Drehen der Phase des erfassten Signals 396060, z. B. um 180 Grad, so dass beispielsweise eine resultierende Phase des Tx-Leckverlustauslöschungssignals 396080 im Wesentlichen entgegengesetzt einer Phase des Tx-Leckverlusts 396090 sein kann.
  • In einigen anschaulichen Aspekten kann der VGA 396120 konfiguriert sein, das Tx-Leckverlustauslöschungssignal 396080 durch Verstärken des phasengedrehten Signals 396070 bereitzustellen, so dass beispielsweise eine resultierende Amplitude des Tx-Leckverlustauslöschungssignals 396080 im Wesentlichen gleich einer Amplitude des Tx-Leckverlusts 396090 sein kann.
  • In einigen anschaulichen Aspekten kann eine Beziehung zwischen Amplituden des Tx-Leckverlusts 396090 und Amplitude und/oder Frequenzniveaus des Tx-Signals 396010 bestimmt werden oder a priori bekannt sein, beispielsweise basierend auf Simulation. Beispielsweise kann der Tx-Leckverlust 396090 durch Simulation durch Beobachtung eines zweiten Kombinierereingabesignals 396095 für verschiedene Amplituden und/oder Frequenzniveaus des Tx-Signals 396010 charakterisiert werden.
  • In einigen anschaulichen Aspekten kann eine Verstärkung des VGA 396120 eingestellt sein, um den Tx-Leckverlust 396090 auszulöschen. Beispielsweise kann die Verstärkung des VGA 396120 durch eine Basisbandsteuereinheit (in 396 nicht gezeigt), beispielsweise ein Basisbandteilsystem 110 (1), beispielsweise basierend auf der Amplitude oder dem Frequenzniveau des Tx-Signals 396010 eingestellt werden. In einem Beispiel können mehrere Verstärkungswerte, die mehreren Amplituden und/oder Frequenzniveaus des Tx-Signals 396010 entsprechen, gespeichert sein, beispielsweise in einem Speicher oder einer Nachschlagetabelle (LUT), und die Verstärkung des VGA 396120 kann beispielsweise durch die Basisbandsteuereinheit beispielsweise basierend auf einer Verstärkung, die einer Amplitude und/oder Frequenz des Tx-Signals 396010 entspricht, eingestellt werden.
  • In anderen Aspekten kann die Verstärkung des VGA 396120 gemäß irgendeinem zusätzlichen oder alternativen Parameter, z. B. entsprechend dem Tx-Signal 396010, eingestellt und/oder gesteuert werden.
  • In einigen anschaulichen Aspekten kann der Kombinierer 396140 das Rx-Signal 396050 mit dem Tx-Leckverlustauslöschungssignal 396080 kombinieren, beispielsweise in einem Fall, in dem das Rx-Signal 396050 während einer Zeitspanne empfangen werden soll, die wenigstens teilweise eine Zeitspanne zum Senden des Tx-Signals 396010 überlappt.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart beispielsweise das zweite Kombinierereingabesignal 396095 eine Kombination aus dem Rx-Signal 396050 aus dem Antennenanschluss 396150 und dem Tx-Leckverlust 396090 aus dem Tx-Signal 396010 zu dem LNA 396130 enthalten.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart beispielsweise der Kombinierer 396140 konfiguriert sein, für den LNA 396210 das LNA-Eingabesignal 396055 bereitzustellen, beispielsweise basierend auf einer Summe aus dem ersten Kombinierereingabesignal 396085 und dem zweiten Kombinierereingabesignal 396095.
  • Bezug nehmend zurück auf 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens eine Quadratur-LO-Verteilungsnetzschaltung aufweisen kann, die konfiguriert sein kann, LO-I- und Q-Signale zu Komponenten und/oder Teilsystemen der RF-Schaltung 1000 zu verteilen, beispielsweise basierend auf einem LO-Signal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Funkarchitektur einen Quadratur-LO-Generator aufweisen, der konfiguriert sein kann, beispielsweise I-Signale und/oder Q-Signale basierend auf einem LO-Signal zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator konfiguriert sein, die 1-Signale und/oder die Q-Signale zu einem oder mehreren Elementen, Teilsystemen, Schaltungen und/oder Komponenten eines Senders und/oder eines Empfängers zu verteilen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator ein LO-Verteilungsnetz aufweisen, das konfiguriert sein kann, die I- und/oder Q-Signale zu erzeugen und zu verteilen, beispielsweise basierend auf dem LO-Signal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das LO-Verteilungsnetz konfiguriert sein, die I- und/oder Q-Signale basierend auf einem Multiplikationsfaktor, als X bezeichnet, der beispielsweise auf einem Verhältnis zwischen einer Trägerfrequenz und einer Frequenz des LO-Signals basieren kann, zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Trägerfrequenz beispielsweise eine Frequenz eines Trägersignals zum Tragen eines oder mehrerer Signale, die gesendet und/oder empfangen werden sollen, enthalten.
  • In einigen anschaulichen Aspekten kann das LO-Verteilungsnetz konfiguriert sein, die I- und/oder Q-Signale basierend auf eine Multiplikationsfaktor X=3 zu erzeugen, beispielsweise falls das LO-Signal eine Frequenz aufweist, die ein Drittel der Trägerfrequenz ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die Trägerfrequenz beispielsweise eine 60 GHz-Frequenz aufweisen, und das LO-Signal kann eine 20 GHz-Frequenz aufweisen. Gemäß diesen Aspekten kann beispielsweise das LO-Verteilungsnetz konfiguriert sein, die I- und/oder Q-Signale basierend auf einem Multiplikationsfaktor X=3 zu erzeugen. In anderen Aspekten kann das LO-Verteilungsnetz konfiguriert sein, die I- und/oder Q-Signale basierend auf irgendeinem anderen Multiplikationsfaktor, irgendeiner anderen Trägerfrequenz, irgendeiner anderen LO-Signalfrequenz und/oder irgendeiner anderen Kombination daraus zu erzeugen.
  • In einigen anschaulichen Aspekten kann das LO-Verteilungsnetz konfiguriert sein, die I- und/oder Q-Signale beispielsweise durch Verschieben einer Phase des LO-Signals, um phasenverschobene Signale bereitzustellen, und Multiplizieren der Phase und Frequenz der phasenverschobenen Signale gemäß dem Multiplikationsfaktor X, zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das LO-Verteilungsnetz konfiguriert sein, auf das LO-Signal eine Phasenverschiebung, als φ bezeichnet, anzuwenden, die beispielsweise in Übereinstimmung mit dem Multiplikationsfaktor X konfiguriert sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise der Multiplikationsfaktor X und/oder die Phasenverschiebung φ konfiguriert sein, so dass X*φ = 90 Grad ist, beispielsweise um die I- und Q-Signale mit einer Phasenverschiebung von 90 Grad (o) zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise das LO-Verteilungsnetz konfiguriert sein, auf das LO-Signal eine Phasenverschiebung von φ = 30o anzuwenden, um ein erstes und ein zweites verschobenes Signal mit einer Phasenverschiebung von 30o zu erzeugen und die Frequenz und Phase des ersten und des zweiten verschobenen Signals zu verdreifachen, beispielsweise unter Verwendung eines Frequenzverdreifachers, z. B. wie nachstehend beschrieben. In anderen Aspekten können irgendeine andere Phasenverschiebung und/oder Multiplizierer verwendet werden.
  • In einigen anschaulichen Aspekten kann das Implementieren des Quadratur-LO-Generators in die Funkarchitektur einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technischen Probleme lösen, beispielsweise durch Erreichen einer reduzierten Phasenvariation, z. B. sogar kleiner als eine 2-Grad-Phasenvariation über das Frequenzband von 48-72 GHz, Liefern beinahe gleicher Amplituden für I- und Q-Signale an den Ausgängen der Frequenzverdreifacher, Verbrauchen von weniger Energie und/oder Bereitstellen eines oder mehrerer zusätzlicher oder alternativer technischer Vorzüge und/oder Vorteile.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator als Teil einer Aufwärtsumsetzungs- und/oder Abwärtsumsetzungsschaltung, der Teilsysteme und/oder Elemente, z. B. als Teil des Teilsystems 415 (4), und/oder der Synthesizer-Schaltung, z. B. als Teil des Teilsystems 420 (4) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • Es wird jetzt auf 397 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 397000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des Sendeempfängers 397000 als Teil des Sendeempfängers 371100 (371) implementiert sein.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 397000 beispielsweise einen Halbduplex-Sendeempfänger aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 397000 einen Millimeterwellen-Sendeempfänger aufweisen, der konfiguriert sein kann, über ein 60 GHz-Frequenzband zu arbeiten. In anderen Aspekten kann der Sendeempfänger 397000 irgendeinen anderen Typ eines Sendeempfängers aufweisen, der konfiguriert ist, auf irgendeinem anderen zusätzlichen oder alternativen Frequenzband zu arbeiten.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 397000 beispielsweise einen LO 397600 aufweisen, um ein LO-Signal 397080 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 397600 beispielsweise einen Kristalloszillator, einen Phasenregelkreis (PLL), einen Injektions-LO (ILO) und/oder irgendeinen anderen Typ eines LO aufweisen.
  • In einigen anschaulichen Aspekten kann der LO 397600 konfiguriert sein, beispielsweise ein LO-Signal 397080 zu erzeugen, das eine Frequenz aufweist, die auf, z. B. einem Bruchteil, einer Trägerfrequenz basiert, die durch den Sendeempfänger 397000 implementiert werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 397600 konfiguriert sein, ein LO-Signal 397080 zu erzeugen, das eine Frequenz aufweist, die ein Drittel der Trägerfrequenz ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 397600 beispielsweise einen 20 GHz-LO aufweisen, beispielsweise um ein LO-Signal 397080 zu erzeugen, das eine Frequenz in einem 20 GHz-Frequenzband aufweist, z. B. einem Drittel eines 60 GHz-Frequenzbands, z. B. wie nachstehend beschrieben. In anderen Aspekten kann der LO 397600 konfiguriert sein, ein LO-Signal 397080 zu erzeugen, das eine andere Frequenz aufweist, die auf irgendeiner anderen Trägerfrequenz basieren kann.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 397000 beispielsweise eine LO- Verteilungsnetzschaltung 397500 aufweisen, die konfiguriert sein kann, beispielsweise phasenverschobene LO-Signale beispielsweise zu einer/einem oder mehreren Sender- und/oder Empfängerkomponenten, Schaltungen und/oder Teilsystemen zu verteilen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LO-Verteilungsnetzschaltung 397500 konfiguriert sein, beispielsweise ein oder mehrere Tx-Signale, z. B. ein Tx-I-Signal 397055 und/oder ein Tx-Q-Signal 397070, beispielsweise zu einem IQ-Sender 397300; und/oder ein oder mehrere Rx-Signale, z. B. ein Rx-I-Signal 397025 und/oder ein Rx-Q-Signal 397040, beispielsweise zu einem IQ-Empfänger 397100 zu verteilen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LO-Verteilungsnetzschaltung 397500 wenigstens einen IQ-Generator aufweisen, um wenigstens ein entsprechendes Paar aus einem 1-Signal und einem Q-Signal basierend auf dem LO-Signal 397080 aus dem LO 397600 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der wenigstens eine IQ-Generator einen Quadratur-LO-Generator aufweisen, als Teil davon implementiert sein und/oder eine oder mehrere Funktionalitäten davon ausführen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LO-Verteilungsnetzschaltung 397500 beispielsweise mehrere Treiberverstärker aufweisen, beispielsweise einen Treiberverstärker 397530, einen Treiberverstärker 397540, einen Treiberverstärker 397550 und/oder einen Treiberverstärker 397560, die konfiguriert sein können, beispielsweise das LO-Signal 397080 zu dem wenigstens einen IQ-Generator zu treiben. In anderen Aspekten kann irgendeine andere Anzahl und/oder Konfiguration von Treiberverstärkern und/oder anderen zusätzlichen oder alternativen Schaltungen oder Komponenten implementiert sein, um das LO-Signal 397080 zu dem wenigstens einen IQ-Generator zu verteilen.
  • In einigen anschaulichen Aspekten kann die LO-Verteilungsnetzschaltung 397500 einen ersten IQ-Generator, z. B. einen Tx-IQ-Generator 397510, der konfiguriert sein kann, ein erstes I-Signal, z. B. ein Tx-I-Signal 397055, und ein erstes Q-Signal, z. B. ein Tx-Q-Signal 397070, zu erzeugen, beispielsweise basierend auf dem LO-Signal 397080; und/oder einen zweiten IQ-Generator, z. B. einen Rx-IQ-Generator 397520, der konfiguriert sein kann, ein zweites I-Signal, z. B. ein Rx-I-Signal 397025, und ein zweites Q-Signal, z. B. ein Rx-Q-Signal 397040, zu erzeugen, beispielsweise basierend auf dem LO-Signal 397080, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Tx-IQ-Generator 397510 und/oder der Rx-IQ-Generator 397520 als Teil eines Quadratur-LO-Generators implementiert sein und/oder können eine oder mehrere Funktionalitäten davon ausführen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LO-Verteilungsnetzschaltung 397500 zwei IQ-Generatoren aufweisen, beispielsweise den Tx-IQ-Generator 397510 und den Rx-IQ-Generator 397520, z. B. wie in 397 gezeigt ist. In anderen Aspekten kann die LO-Verteilungsnetzschaltung 397500 irgendeine andere Anzahl von IQ-Generatoren, z. B. einen IQ-Generator, z. B. einen Tx-IQ-Generator oder einen Rx-IQ-Generator, oder mehr als zwei IQ-Generatoren, aufweisen.
  • In einigen anschaulichen Aspekten kann der Tx-IQ-Generator 397510 als ein Tx-IQ-Generator konfiguriert sein, um das Tx-I-Signal 397055 und das Tx-Q-Signal 397070 zu erzeugen, die in ein Tx-Signal aufwärtsumgesetzt werden sollen, das über eine oder mehrere Antennen 397325 gesendet werden kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Rx-IQ-Generator 397520 als ein Rx-IQ-Generator konfiguriert sein, um das Rx-I-Signal 397025 und das Rx-Q-Signal 397040 zu erzeugen, die in ein oder mehrere IF-Signale abwärtsumgesetzt werden sollen, beispielsweise basierend auf einem Rx-Signal, das durch eine oder mehrere Antennen empfangen werden kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein IQ-Generator des LO-Verteilungsnetzes 397500, z. B. der IQ-Generator 397510 und/oder der Rx-IQ-Generator 397520, beispielsweise eine Phasenverschiebungsschaltung aufweisen, um ein erstes phasenverschobenes Signal und ein zweites phasenverschobenes Signal basierend auf dem LO-Signal 397080 zu erzeugen, das z. B. eine erste Frequenz aufweisen kann, so dass beispielsweise eine Phase des zweiten phasenverschobenen Signals um eine Phasenverschiebung, z. B. um 30o oder irgendeine andere Phasenverschiebung, von einer Phase des ersten phasenverschobenen Signals verschoben sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-IQ-Generator 397510 beispielsweise eine Phasenverschiebungsschaltung 397512 aufweisen, um ein erstes phasenverschobenes Signal 397052 und ein zweites phasenverschobenes Signal 397072, beispielsweise basierend auf dem LO-Signal 397080, das eine erste Frequenz, z. B. eine 20 GHz-Frequenz, aufweisen kann, zu erzeugen. Beispielsweise kann eine Phase des zweiten phasenverschobenen Signals 397072 um 30o von einer Phase des ersten phasenverschobenen Signals 397052 verschoben sein, z. B. wie nachstehend beschrieben. Beispielsweise können das erste phasenverschobene Signal 397052 und/oder das zweite phasenverschobene Signal 397072 eine Frequenz von 20 GHz aufweisen, z. B. wenn das LO-Signal 397080 eine Frequenz von 20 GHz aufweist.
  • In einigen anschaulichen Aspekten kann das erste phasenverschobene Signal 397052 beispielsweise ein differentielles Signal enthalten, das mehrere Signale enthält (in 397 nicht gezeigt). Beispielsweise kann das differentielle Signal beispielsweise ein erstes I-phasenverschobenes Signal und ein zweites I-phasenverschobenes Signal enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das zweite phasenverschobene Signal 397072 beispielsweise ein differentielles Signal enthalten, das mehrere Signale enthält (in 397 nicht gezeigt). Beispielsweise kann das differentielle Signal beispielsweise ein erstes Q-phasenverschobenes Signal und ein zweites Q-phasenverschobenes Signal enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Rx-IQ-Generator 397520 beispielsweise eine Phasenverschiebungsschaltung 397522 aufweisen, um ein drittes phasenverschobenes Signal 397022 und ein viertes phasenverschobenes Signal 397042, beispielsweise basierend auf dem LO-Signal 397080, das die erste Frequenz aufweisen kann, zu erzeugen. Beispielsweise kann eine Phase des vierten phasenverschobenen Signals 397042 um eine Phasenverschiebung, z. B. 30o oder irgendeine andere Phasenverschiebung, von einer Phase des dritten phasenverschobenen Signals 397022 verschoben sein, z. B. wie nachstehend beschrieben. Beispielsweise können das dritte phasenverschobene Signal 397022 und/oder das vierte phasenverschobene Signal 397042 eine Frequenz von 20 GHz aufweisen, z. B. wenn das LO-Signal 397080 eine Frequenz von 20 GHz aufweist.
  • In einigen anschaulichen Aspekten kann die erste Frequenz ein Drittel einer Trägerfrequenz sein. Beispielsweise können das LO-Signal 397080, das erste phasenverschobene Signal 397052, das zweite phasenverschobene Signal 397072, das dritte phasenverschobene Signal 397022, und/oder das vierte phasenverschobene Signal 397042 eine Frequenz von 20 GHz aufweisen, beispielsweise wenn die Trägerfrequenz eine 60 GHz-Frequenz aufweist. In anderen Aspekten können das LO-Signal 397080, das erste phasenverschobene Signal 397052, das zweite phasenverschobene Signal 397072, das dritte phasenverschobene Signal 397022, und/oder das vierte phasenverschobene Signal 397042 irgendeine andere Frequenz und/oder irgendeinen anderen Bruchteil der Trägerfrequenz aufweisen.
  • In einigen anschaulichen Aspekten kann das dritte phasenverschobene Signal 397022 beispielsweise ein differentielles Signal enthalten, das mehrere Signale enthält (in 397 nicht gezeigt). Beispielsweise kann das differentielle Signal beispielsweise ein erstes I-phasenverschobenes Signal und ein zweites I-phasenverschobenes Signal enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das vierte phasenverschobene Signal 397042 beispielsweise ein differentielles Signal enthalten, das mehrere Signale enthält (in 397 nicht gezeigt). Beispielsweise kann das differentielle Signal beispielsweise ein erstes Q-phasenverschobenes Signal und ein zweites Q-phasenverschobenes Signal enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der IQ-Generator des LO-Verteilungsnetzes 397500, z. B. der Tx-IQ-Generator 397510 und/oder der Rx-IQ-Generator 397520, beispielsweise eine erste Verdreifacherschaltung aufweisen, um ein I-Signal, das eine zweite Frequenz aufweist, durch Verdreifachen der Phase des ersten phasenverschobenen Signals, das durch den IQ-Generator erzeugt wird, und durch Verdreifachen einer Frequenz des ersten phasenverschobenen Signals, das durch den IQ-Generator erzeugt wird, zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-IQ-Generator 397510 beispielsweise eine erste Verdreifacherschaltung 397514 aufweisen, um ein Tx-I-Signal, das eine zweite Frequenz aufweist, beispielsweise durch Verdreifachen der Phase des ersten phasenverschobenen Signals 397052 und Verdreifachen einer Frequenz des ersten phasenverschobenen Signals 397052, zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-IQ-Generator 397510 konfiguriert sein, das Tx-I-Signal 397055 zu erzeugen, das eine Frequenz gleich der Trägerfrequenz, beispielsweise 60 GHz, aufweisen kann. Beispielsweise kann das Tx-I-Signal 397055 eine Frequenz von 60 GHz aufweisen, wenn das erste phasenverschobene Signal 397052 eine Frequenz von 20 GHz aufweist. In anderen Aspekten kann das Tx-I-Signal 397055 irgendeine andere Trägerfrequenz aufweisen, beispielsweise basierend auf einem Vielfachen einer Frequenz des ersten phasenverschobenen Signals 397052, die wiederum ein Bruchteil irgendeiner anderen Trägerfrequenz sein kann.
  • In einigen anschaulichen Aspekten kann der Rx-IQ-Generator 397520 beispielsweise eine erste Verdreifacherschaltung 397524 aufweisen, um ein Rx-I-Signal 397025, das eine zweite Frequenz aufweist, beispielsweise durch Verdreifachen der Phase des dritten phasenverschobenen Signals 397022 und Verdreifachen einer Frequenz des dritten phasenverschobenen Signals 397022, zu erzeugen, z. B. wie nachstehend beschrieben. Beispielsweise kann das, Rx-I-Signal 397025 eine Frequenz von 60 GHz aufweisen, wenn das erste phasenverschobene Signal 397052 eine Frequenz von 20 GHz aufweist. In anderen Aspekten kann das Rx-I-Signal 397025 irgendeine andere Trägerfrequenz aufweisen, beispielsweise basierend auf einem Vielfachen einer Frequenz des dritten phasenverschobenen Signals 397022, die wiederum ein Bruchteil irgendeiner anderen Trägerfrequenz sein kann.
  • In einigen anschaulichen Aspekten kann der IQ-Generator der LO-Verteilungsnetzschaltung 397500, beispielsweise der Tx-IQ-Generator 397510 und/oder der Rx-IQ-Generator 397520, beispielsweise eine zweite Verdreifacherschaltung aufweisen, um ein Q-Signal zu erzeugen, das die zweite Frequenz aufweist, beispielsweise durch Verdreifachen der Phase des zweiten phasenverschobenen Signals und Verdreifachen einer Frequenz des zweiten phasenverschobenen Signals, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-IQ-Generator 397510 beispielsweise eine zweite Verdreifacherschaltung 397516 aufweisen, um ein Tx-Q-Signal 397070, das eine zweite Frequenz aufweist, beispielsweise durch Verdreifachen der Phase des zweiten phasenverschobenen Signals 397072 und Verdreifachen einer Frequenz des zweiten phasenverschobenen Signals 397072, zu erzeugen, z. B. wie nachstehend beschrieben. Beispielsweise kann das Tx-Q-Signal 397070 eine Frequenz von 60 GHz aufweisen, wenn das zweite phasenverschobene Signal 397072 eine Frequenz von 20 GHz aufweist. In anderen Aspekten kann das Tx-Q-Signal 397070 irgendeine andere Trägerfrequenz aufweisen, beispielsweise basierend auf einem Vielfachen einer Frequenz des zweiten phasenverschobenen Signals 397072, die wiederum ein Bruchteil irgendeiner anderen Trägerfrequenz sein kann.
  • In einigen anschaulichen Aspekten kann der Rx-IQ-Generator 397520 beispielsweise eine zweite Verdreifacherschaltung 397526 aufweisen, um ein Rx-Q-Signal 397040, das eine zweite Frequenz aufweist, beispielsweise durch Verdreifachen der Phase des vierten phasenverschobenen Signals 397042 und Verdreifachen einer Frequenz des vierten phasenverschobenen Signals 397042, zu erzeugen, z. B. wie nachstehend beschrieben. Beispielsweise kann das Rx-Q-Signal 397040 eine Frequenz von 60 GHz aufweisen, wenn das vierte phasenverschobene Signal 397042 eine Frequenz von 20 GHz aufweist. In anderen Aspekten kann das Rx-Q-Signal 397040 irgendeine andere Trägerfrequenz aufweisen, beispielsweise basierend auf einem Vielfachen einer Frequenz des vierten phasenverschobenen Signals 397042, die wiederum ein Bruchteil irgendeiner anderen Trägerfrequenz sein kann.
  • In einigen anschaulichen Aspekten kann die erste Verdreifacherschaltung des IQ-Generators, z. B. die erste Verdreifacherschaltung 397514 des Tx-IQ-Generators 397510 und/oder die erste Verdreifacherschaltung 397524 des Rx-IQ-Generators 397520, eine erste Asymmetrie- und Amplitudenschaltung (in 397 nicht gezeigt) aufweisen, um eine Amplitude eines ersten I-phasenverschobenen Signals, das durch die Phasenverschiebungsschaltung des IQ-Generators erzeugt wird, z. B. eines positiven I-phasenverschobenen Signals, beispielsweise gemäß einem zweiten Q-phasenverschobenen Signals, des IQ-Generators, z. B. einem negativen Q-phasenverschobenen Signal, auszugleichen; und/oder eine Amplitude eines zweiten I-phasenverschobenen Signals, z. B. eines negativen I-phasenverschobenen Signals, beispielsweise gemäß einem ersten Q-phasenverschobenen Signal, z. B. einem positiven Q-phasenverschobenen Signal, auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die zweite Verdreifacherschaltung des IQ-Generators, z. B. die zweite Verdreifacherschaltung 397516 des Tx-IQ-Generators 397510 und/oder die zweite Verdreifacherschaltung 397526 des Rx-IQ-Generators 397520, eine zweite Asymmetrie- und Amplitudenschaltung (in 397 nicht gezeigt) aufweisen, um eine Amplitude des ersten Q-phasenverschobenen Signals, das durch die Phasenverschiebungsschaltung des IQ-Generators erzeugt wird, z. B. des positiven Q-phasenverschobenen Signals, beispielsweise gemäß dem zweiten I-phasenverschobenen Signal, z. B. dem negativen I-phasenverschobenen Signal, auszugleichen; und/oder eine Amplitude des zweiten Q-phasenverschobenen Signals, z. B. des negativen Q-phasenverschobenen Signals, beispielsweise gemäß dem ersten I-phasenverschobenen Signal, z. B. dem negativen I-phasenverschobenen Signal, auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die Phasenverschiebungsschaltung 397512 und/oder die Phasenverschiebungsschaltung 397522 eine passive Phasenverschiebungsschaltung (in 397 nicht gezeigt) aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Phasenverschiebungsschaltung des IQ-Generators, z. B. die Phasenverschiebungsschaltung 397512 des Tx-IQ-Generators 397510 und/oder die Phasenverschiebungsschaltung 397522 des Rx-IQ-Generators 3957520, eine erste Injektions-LO- (ILO-) Schaltung (in 397 nicht gezeigt), um das erste phasenverschobene Signal des IQ-Generators zu erzeugen, und/oder eine zweite ILO-Schaltung (in 397 nicht gezeigt), um das zweite phasenverschobene Signal des IQ-Generators zu erzeugen, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der IQ-Empfänger 397100 konfiguriert sein, ein Rx-I-Signal 397025 und/oder ein Rx-Q-Signal 397040 zu benutzen, um beispielsweise ein I-IF-Signal und/oder ein Q-IF-Signal zu erzeugen, beispielsweise basierend auf einem oder mehreren Rx-Signalen von einer oder mehreren Antennen, z. B. wie nachstehend beschrieben. Beispielsweise kann der IQ-Generator 397100 beispielsweise eine oder mehrere Antennen, die z. B. die Antennen 397130 und/oder 397140 enthalten, aufweisen und/oder kann betriebstechnisch mit ihnen gekoppelt sein.
  • In einigen anschaulichen Aspekten können die Antennen 397130 und/oder 397140 beispielsweise wenigstens eine Antenne der phasengesteuerten Gruppe, eine Dipolantenne und/oder irgendeinen anderen Typ einer Antenne aufweisen.
  • In einigen anschaulichen Aspekten kann der IQ-Empfänger 397100 einen oder mehrere rauscharme Verstärker (LNAs) aufweisen, die z. B. einen LNA 397110 und/oder einen LNA 397120 enthalten, die konfiguriert sein können, wenigstens ein verstärktes Rx-Signal, z. B. ein verstärktes Rx-Signal 397015 und/oder ein verstärktes Rx-Signal 397030, zu erzeugen, beispielsweise basierend auf einem Rx-Signal, z. B. einem Rx-Signal 397010 und/oder einem Rx-Signal 397011.
  • In einigen anschaulichen Aspekten kann der IQ-Empfänger 397100 einen RF-Mischer 397200 aufweisen, der konfiguriert sein kann, das verstärkte Rx-Signal 397015 in ein abwärtsumgesetztes I-Signal 397020 abwärtsumzusetzen, beispielsweise basierend auf dem Rx-I-Signal 397025; und/oder das verstärkte Rx-Signal 397030 in ein abwärtsumgesetztes Q-Signal 397035 umzusetzen, beispielsweise basierend auf dem Rx-Q-Signal 397040, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Rx-Mischer 397200 beispielsweise einen ersten Mischer, z. B. einen I-Mischer 397210, aufweisen, der konfiguriert sein kann, das verstärkte Rx-Signal 397015 in ein abwärtsumgesetztes 1-Signal 397020 abwärtsumzusetzen, beispielsweise basierend auf dem Rx-I-Signal 397025.
  • In einigen anschaulichen Aspekten kann der Rx-Mischer 397200 beispielsweise einen zweiten Mischer, z. B. einen Q-Mischer 397220, aufweisen, der konfiguriert sein kann, das verstärkte Rx-Signal 397030 in ein abwärtsumgesetztes Q-Signal 397035 abwärtsumzusetzen, beispielsweise basierend auf dem Rx-Q-Signal 397040.
  • In einigen anschaulichen Aspekten kann der IQ-Sender 397300 konfiguriert sein, ein verstärktes Tx-Signal 397325 zu erzeugen, das beispielsweise über eine oder mehrere Antennen 397310 geendet werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der IQ-Sender 397300 die eine oder mehreren Antennen 397310 aufweisen und/oder damit gekoppelt sein.
  • In einigen anschaulichen Aspekten können die Antennen 397310 beispielsweise wenigstens eine Antenne der phasengesteuerten Gruppe, eine Dipolantenne und/oder irgendeinen anderen Typ einer Antenne aufweisen.
  • In einigen anschaulichen Aspekten kann der IQ-Sender 397300 einen Tx-Mischer 397400 aufweisen, der konfiguriert sein kann, ein IF-I-Signal 397045 in ein aufwärtsumgesetztes 1-Signal 397050 aufwärtsumzusetzen, beispielsweise basierend auf dem Tx-I-Signal 397055, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-Mischer 397400 konfiguriert sein, ein IF-Q-Signal 397060 in ein aufwärtsumgesetztes Q-Signal 397065 aufwärtsumzusetzen, beispielsweise basierend auf dem Tx-Q-Signal 397070, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tx-Mischer 397400 beispielsweise einen ersten Mischer, z. B. einen I-Mischer 397420, aufweisen, der konfiguriert sein kann, das IF-I-Signal 397045 in ein aufwärtsumgesetztes I-Signal 397050 aufwärtsumzusetzen, beispielsweise basierend auf dem Tx-I-Signal 397055.
  • In einigen anschaulichen Aspekten kann der Tx-Mischer 397400 beispielsweise einen zweiten Mischer, z. B. einen Q-Mischer 397410, aufweisen, der konfiguriert sein kann, das IF-Q-Signal 397060 in ein aufwärtsumgesetztes Q-Signal 397065 aufwärtsumzusetzen, beispielsweise basierend auf einem Tx-Q-Signal 397070.
  • In einigen anschaulichen Aspekten kann der IQ-Sender 397300 beispielsweise einen Kombinierer 397330 aufweisen, der konfiguriert sein kann, das aufwärtsumgesetzte 1-Signal 397050 und das aufwärtsumgesetzte Q-Signal 397065 in ein Tx-Signal 397075 zu kombinieren.
  • In einigen anschaulichen Aspekten kann der IQ-Sender 397300 einen PA 397320 aufweisen, der konfiguriert sein kann, das Tx-Signal 397075 in das verstärkte Tx-Signal 397325 zu verstärken. Beispielsweise kann das verstärkte Tx-Signal 397325 über eine oder mehrere Antennen 397310 gesendet werden.
  • Es wird auf 398 Bezug genommen, die einen Quadratur-LO-Generator 398000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten können eine oder mehrere Komponenten des Quadratur-LO-Generators 398000 beispielsweise als Teil eines LO-Verteilungsnetzes, z. B. des LO-Verteilungsnetzes 397500 (397), implementiert sein, beispielsweise um I- und Q-Signale beispielsweise für einen Sender, z. B. den IQ-Sender 397300 (397), und/oder einen Empfänger, z. B. den IQ-Empfänger 397100 (397), bereitzustellen.
  • In einigen Aspekten können die hier beschriebenen Quadratur-LO-Generatoren in eine oder mehrere Schaltungen (z. B. die Aufwärtsumsetzungsschaltung 350) innerhalb der Sendeschaltung 315 (3B) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die LO-Generatoren nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten können eine oder mehrere Komponenten, Teilsysteme und/oder Schaltungen des Quadratur-LO-Generators 398000 beispielsweise als Teil eines Tx-IQ-Generators, z. B. des Tx-IQ-Generators 397510 (397), und/oder als Teil eines Rx-IQ-Generators, z. B. des Rx-IQ-Generators 397520 (397) implementiert sein.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator 398000 konfiguriert sein, die I- und Q-Signale zu erzeugen, beispielsweise basierend auf einem LO-Signal 398010 und oder einem LO-Signal 398020, die durch einen LO 398100 bereitgestellt sein können, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator 398000 einen ILO 398200 aufweisen, der konfiguriert sein kann, beispielsweise ein erstes I-verschobenes Signal 398030 und/oder ein zweites I-verschobenes Signal 398040 zu erzeugen, beispielsweise basierend auf einem LO-Signal 398010 und/oder LO-Signal 398020, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ILO 398200 beispielsweise ein steuerbares Resonanzteilsystem 398205, z. B. in der Form eines Indikator- (L-)-Kondensator- (LC-) Blocks, und mehrere Transistoren, die z. B. die Transistoren 398230, 398240, 398250 und/oder 398260 enthalten, aufweisen. Beispielsweise kann der LO 398100 ein erstes LO-Signal 398010 für den Transistor 398250 und/oder ein zweites LO-Signal 398020 für den Transistor 398260 bereitstellen.
  • In einigen anschaulichen Aspekten können die Transistoren 398230, 398240, 398250 und/oder 398260 FETs, BJTs und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann das LO-Signal 398020 mit dem LO-Signal 398010 außer Phase sein. Beispielsweise kann das LO-Signal 398010 eine 20 GHz-Frequenz und eine Phase von +30o aufweisen, und/oder das LO-Signal 398020 kann eine Frequenz von 20 GHz und eine Phase von -30o aufweisen. In anderen Aspekten können andere Frequenzen und/oder andere Phasenverschiebungen verwendet sein.
  • In einigen anschaulichen Aspekten können der Transistor 398230 und der Transistor 398240 konfiguriert sein zu bewirken, dass das steuerbare Resonanzteilsystem 398205 an einer ruhenden Frequenz, beispielsweise 20 GHz, in Resonanz ist. Beispielsweise kann das steuerbare Resonanzteilsystem 398205 ein erstes I-verschobenes Signal 398030 und/oder ein zweites I-verschobenes Signal 398040 jeweils basierend auf dem LO-Signal 398010 und/oder dem LO-Signal 398020 erzeugen. Beispielsweise kann das zweite I-verschobene Signal 398040 mit dem ersten I-verschobenen Signal 398030 außer Phase sein.
  • In einigen anschaulichen Aspekten kann das steuerbare Resonanzteilsystem 398205 das erste I-verschobene Signal 398030 und/oder das zweite I-verschobene Signal 398040 steuerbar erzeugen, beispielsweise gemäß einem Steuersignal 398050, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Steuersignal 398050 beispielsweise durch eine Steuereinheit 398800, beispielsweise eine Basisbandsteuereinheit und/oder irgendeine andere Steuereinheit, bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann das Steuersignal 398050 beispielsweise das steuerbare Resonanzteilsystem 398205 steuern, die Phase des ersten I-verschobenen Signals 398030 und/oder des zweiten I-verschobenen Signals 398040 zu verschieben. Beispielsweise kann das Steuersignal 398050 beispielsweise eine 7-Bit-Kondensatoren-Digital/Analog-Umsetzer- (CAPDAC-) Steuerung und/oder irgendwelche anderen Steuerdaten aufweisen.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator 398000 einen ILO 398300 aufweisen, der konfiguriert sein kann, beispielsweise ein erstes Q-verschobenes Signal 398060 und/oder ein zweites Q-verschobenes Signal 398070 zu erzeugen, beispielsweise basierend auf dem LO-Signal 398010 und/oder LO-Signal 398020, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der ILO 398300 beispielsweise ein steuerbares Resonanzteilsystem 398305, z. B. in der Form eines LC-Blocks, und mehrere Transistoren, die z. B. die Transistoren 398330, 398340, 398350 und/oder 398360 enthalten, aufweisen. Beispielsweise kann der LO 398100 ein erstes LO-Signal 398010 für den Transistor 398350 und/oder ein zweites LO-Signal 398020 für den Transistor 398360 bereitstellen.
  • In einigen anschaulichen Aspekten können die Transistoren 398330, 398340, 398350 und/oder 398360 FETs, BJTs und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten können der Transistor 398330 und der Transistor 398340 konfiguriert sein zu bewirken, dass das steuerbare Resonanzteilsystem 398305 an einer ruhenden Frequenz, beispielsweise 20 GHz, in Resonanz ist. Beispielsweise kann das steuerbare Resonanzteilsystem 398305 ein erstes Q-verschobenes Signal 398060 und/oder ein zweites Q-verschobenes Signal 398070 jeweils basierend auf dem LO-Signal 398010 und/oder dem LO-Signal 398020 erzeugen. Beispielsweise kann das zweite Q-verschobene Signal 398070 mit dem ersten Q-verschobenen Signal 398060 außer Phase sein.
  • In einigen anschaulichen Aspekten kann das steuerbare Resonanzteilsystem 398305 das erste Q-verschobene Signal 398060 und/oder der zweite Q-verschobene Signal 398070 steuerbar erzeugen, beispielsweise gemäß einem Steuersignal 398080, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Steuersignal 38080 beispielsweise durch die Steuereinheit 398800, beispielsweise eine Basisbandsteuereinheit und/oder irgendeine andere Steuereinheit, bereitgestellt werden.
  • In einigen anschaulichen Aspekten kann das Steuersignal 398080 beispielsweise das steuerbare Resonanzteilsystem 398305 steuern, die Phase des ersten Q-verschobenen Signals 38060 und/oder des zweiten Q-verschobenen Signals 398070 zu verschieben. Beispielsweise kann das Steuersignal 398080 beispielsweise eine 7-Bit-CAPDAC-Steuerung und/oder irgendwelche anderen Steuerdaten aufweisen.
  • In einigen anschaulichen Aspekten kann das Implementieren eines 20 GHz-ILO mit einem steuerbaren Resonanzteilsystem, z. B. dem Resonanzteilsystem 398205 und/oder dem Resonanzteilsystem 398305, z. B. dem LC-Block, das beispielsweise gemäß einer 7-Bit-CAPDAC-Steuerung und/oder irgendein anderes Steuerschema gesteuert werden kann, eine zusätzliche und/oder verbesserte Steuerung der Phasenverschiebungsabstimmung bereitstellen, beispielsweise im Vergleich zu einem passiven Phasenschieber. Zusätzlich oder alternativ kann die aktive Beschaffenheit der ILOs 398200 und/oder 398300 mehr Verstärkung garantieren, beispielsweise im Vergleich zu dem passiven Phasenschieber.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator 398000 einen Verdreifacher 398400 aufweisen, der konfiguriert sein kann, eine Phase und/oder Frequenz des ersten I-verschobenen Signals 398030 und/oder des zweiten I-verschobenen Signals 398040 zu verdreifachen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Verdreifacher 398400 das erste I-verschobene Signal 398030 empfangen, beispielsweise über eine Reihenschaltung von Last 398270 und Kondensator 398280, und kann die Phase und/oder die Frequenz des ersten I-verschobenen Signals 398030 verdreifachen. Beispielsweise kann der Verdreifacher 398400 das zweite I-verschobene Signal 398040 empfangen, beispielsweise über eine Reihenschaltung von Last 398275 und Kondensator 398285, und kann eine Phase und/oder Frequenz des zweiten I-verschobenen Signals 398040 verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398400 beispielsweise einen Transistor 398430 und einen Transistor 398450 aufweisen, die mit einer Stromquelle 398470, z. B. in einer Anordnung mit gemeinsamer Source, gekoppelt sein können. Beispielsweise kann die Stromquelle 398470 kann einen vordefinierten Strom, beispielsweise 1,2 Milliampere (mA), oder irgendeinen anderen Strom, für die Sources der Transistoren 398430 und 398450 bereitstellen, falls gewünscht.
  • In einigen anschaulichen Aspekten kann ein Transistor 398440 konfiguriert sein, das erste I-verschobene Signal 398030 an einem Drain des Transistors 398430 bereitzustellen.
  • In einigen anschaulichen Aspekten kann ein Transistor 398460 konfiguriert sein, das zweite I-verschobene Signal 398040 an einem Drain des Transistors 398450 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398400 beispielsweise einen Kondensator 398420 und/oder einen Transformator 398410 aufweisen. Beispielsweise kann der Kondensator 398420 so konfiguriert sein, dass er in Resonanz mit dem Transformator 398410 ist, beispielsweise wenn der Verdreifacher 398400, z. B. in Kombination mit dem Transistor 398430 und dem Transistor 398450, die Phasen und Amplituden des ersten I-verschobenen Signals 398030 und/oder des zweiten I-verschobenen Signals 398040 verdreifachen kann.
  • In einigen anschaulichen Aspekten können die Transistoren 398430, 398440, 398450 und 398460 FETs, BJTs und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398400 ein verdreifachtes positives 1-Signal und ein verdreifachtes negatives I-Signal für einen Mischer 398700 bereitstellen.
  • In einigen anschaulichen Aspekten kann der Quadratur-LO-Generator 398000 einen Verdreifacher 398500 aufweisen, der konfiguriert sein kann, eine Phase und/oder Frequenz des ersten Q-verschobenen Signals 398060 und/oder des zweiten I-verschobenen Signals 398070 zu verdreifachen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Verdreifacher 398500 das erste Q-verschobene Signal 398060 empfangen, beispielsweise über eine Reihenschaltung von Last 398375 und Kondensator 398385, und kann die Phase und/oder die Frequenz des ersten Q-verschobenen Signals 398060 verdreifachen. Beispielsweise kann der Verdreifacher 398500 das zweite Q-verschobene Signal 398070 empfangen, beispielsweise über eine Reihenschaltung von Last 398375 und Kondensator 398385, und kann eine Phase und/oder Frequenz des zweiten Q-verschobenen Signals 398070 verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398500 beispielsweise einen Transistor 398530 und einen Transistor 398550 in einer Anordnung mit gemeinsamer Source aufweisen, die mit einer Stromquelle 398570 verbunden sein können. Beispielsweise kann die Stromquelle 398470 kann einen vorbestimmten Strom, beispielsweise 1,2 mA, oder irgendeinen anderen Strom, für die Sources der Transistoren 398430 und 398450 bereitstellen, falls gewünscht.
  • In einigen anschaulichen Aspekten kann der Transistor 398540 konfiguriert sein, das erste Q-verschobene Signal 398060 für einen Drain des Transistors 398530 bereitzustellen.
  • In einigen anschaulichen Aspekten kann ein Transistor 398560 konfiguriert sein, das zweite Q-verschobene Signal 398070 für einen Drain des Transistors 398550 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398500 beispielsweise einen Kondensator 398520 und/oder einen Transformator 398510 aufweisen. Beispielsweise kann der Kondensator 398520 so konfiguriert sein, dass er in Resonanz mit dem Transformator 398510 ist. Beispielsweise kann der Verdreifacher 398400, z. B. in Kombination mit dem Transistor 398430 und dem Transistor 398450, die Phasen und Amplituden des ersten Q-verschobenen Signals 398060 und/oder des zweiten Q-verschobenen Signals 398070 verdreifachen.
  • In einigen anschaulichen Aspekten können die Transistoren 398530, 398540, 398550 und 398560 FETs, BJTs und/oder irgendeinen anderen Typ von Transistoren enthalten.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 398500 ein verdreifachtes positives Q-Signal und ein verdreifachtes negatives Q-Signal für einen Mischer 398600 bereitstellen.
  • In einigen anschaulichen Aspekten kann ein Quadratur-LO-Generator, z. B. der Quadratur-LO-Generator 398000, der eine parallele Verbindung von Frequenzverdreifachern, z. B. des Verdreifachers 398400 und des Verdreifachers 398500, implementiert, beispielsweise einen breiteren Verriegelungsbereich bereitstellen, z. B. im Vergleich zu einer Reihe von Frequenzverdreifachern.
  • In einigen anschaulichen Aspekten kann ein Quadratur-LO-Generator, z. B. der Quadratur-LO-Generator 398000, der den ILO 398200 und den ILO 398300 implementiert, mehr Steuerung für die Phasenabstimmung bereitstellen, weniger Amplitudenasymmetrie aufweisen und/oder kann einen breiteren Verriegelungsbereich um 60 GHz aufweisen, beispielsweise im Vergleich zu einem passiven LO-Generator.
  • Es wird auf 399 Bezug genommen, die einen passiven Quadratur-LO-Generator 399000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der passive Quadratur-LO-Generator 399000 konfiguriert sein, eine Phase eines ersten LO-Signals 399010 und eines zweiten LO-Signals 399020 um eine vordefinierte Phasenverschiebung, beispielsweise eine 90o-Phasenverschiebung oder irgendeine andere Phasenverschiebung, zu verschieben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können eine oder mehrere Komponenten des passiven Quadratur-LO-Generators 399000 beispielsweise als Teil des LO-Verteilungsnetzes, z. B. des LO-Verteilungsnetzes 397500 ( 397), implementiert sein, beispielsweise um I- und Q-Signale beispielsweise für einen Sender, z. B. den Sender 397300, und/oder einen Empfänger, z. B. den Empfänger 397100 (397), bereitzustellen.
  • In einigen anschaulichen Aspekten können eine oder mehrere Komponenten, Teilsysteme und/oder Schaltungen des passiven Quadratur-LO-Generators 399000 beispielsweise als Teil eines Tx-IQ-Generators, z. B. des Tx-IQ-Generators 397510 (397), und/oder als Teil eines Rx-IQ-Generators, z. B. des Rx-IQ-Generators 397520 (397) implementiert sein.
  • In einigen anschaulichen Aspekten kann der passive Quadratur-LO-Generator 399000 konfiguriert sein, die I- und Q-Signale zu erzeugen, beispielsweise basierend auf einem LO-Signal 399010 und oder einem LO-Signal 399020, die durch einen LO 399100 bereitgestellt sein können, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO 399100 konfiguriert sein, das LO-Signal 399010 und/oder das LO-Signal 399020 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das LO-Signal 399020 mit dem LO-Signal 399010 außer Phase sein. Beispielsweise kann das LO-Signal 399010 eine 20 GHz-Frequenz und eine Phase von +0o aufweisen, und/oder das LO-Signal 399020 kann eine Frequenz von 20 GHz und eine Phase von 90o aufweisen. In anderen Aspekten können andere Frequenzen und/oder andere Phasenverschiebungen verwendet sein.
  • In einigen anschaulichen Aspekten kann der passive Quadratur-LO-Generator 399000 einen Phasenschieber 399200 aufweisen, der konfiguriert sein kann, eine Phase des LO-Signals 399010 und/oder eine Phase des LO-Signals 399020 zu verschieben, beispielsweise um 30o. In anderen Aspekten kann der Phasenschieber 39200 konfiguriert sein, die Phase des LO-Signals 399010 und/oder des LO-Signals 399020 auf irgendwelche anderen Phasen zu verschieben, falls gewünscht.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 399200 konfiguriert sein, beispielsweise ein erstes I-verschobenes Signal 399050, z. B. ein positives I-verschobenes Signal, und/oder ein zweites I-verschobenes Signal 399060, z. B. ein negatives I-verschobenes Signal zu erzeugen, beispielsweise basierend auf dem ersten LO-Signal 399010.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 399200 konfiguriert sein, beispielsweise ein erstes Q-verschobenes Signal 399040, z. B. ein positives Q-verschobenes Signal, und/oder ein zweites Q-verschobenes Signal 399030, z. B. ein negatives Q-verschobenes Signal zu erzeugen, beispielsweise basierend auf dem zweiten LO-Signal 399020, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 399200 eine passive Indikator-Widerstand-Kondensator- (LRC-) Schaltung 399205 aufweisen, die konfiguriert sein kann, beispielsweise das erste I-verschobene Signal 399050, das zweite I-verschobene Signal 398040, das erste Q-verschobene Signal 399040 und/oder das zweite Q-verschobene Signal 399030 zu erzeugen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die LRC-Schaltung 399205 eine Anordnung aus einer Induktivität 399210, z. B. mit einem Induktivitätswert von L, eine Induktivität 399220, z. B. mit einem Induktivitätswert von L, einen Kondensator 399230, z. B. mit einer Kapazität von C, einen Kondensator 399240, z. B. mit einer Kapazität von C, einen Widerstand 399250, z. B. mit einem Widerstandswert von 2R, und einen Widerstand 399260, z. B. mit einem Widerstandswert von 2R aufweisen. Beispielsweise kann die Anordnung der LRC-Schaltung 399205 konfiguriert sein, beispielsweise das erste I-verschobene Signal 399050, das zweite I-verschobene Signal 398040, das erste Q-verschobene Signal 399040 und/oder der zweite Q-verschobene Signal 399030 zu erzeugen, beispielsweise mit einer vordefinierten Phasenverschiebung, z. B. einer 30o-Phasenverschiebung oder irgendeiner anderen Phasenverschiebung, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die Induktivität 399210, der Kondensator 399240 und/oder der Widerstand 399250 konfiguriert sein, beispielsweise ein erstes I-verschobenes Signal 399050 und/oder ein zweites Q-verschobenes Signal 399030 bereitzustellen, beispielsweise basierend auf dem LO-Signal 399010.
  • In einigen anschaulichen Aspekten können die Induktivität 399220, der Kondensator 399230 und/oder der Widerstand 399260 konfiguriert sein, beispielsweise ein erstes Q-verschobenes Signal 399040 und/oder ein zweites I-verschobenes Signal 399060 bereitzustellen, beispielsweise basierend auf dem LO-Signal 399020.
  • In einigen anschaulichen Aspekten kann eine Phasenverschiebung, die durch den Phasenschieber 399200 angewandt wird, beispielsweise auf einem Qualitäts- (Q-) Faktor, als Q bezeichnet, basieren, der sich auf die maximale oder Spitzenenergie beispielsweise der LRC-Schaltung 399025 beziehen kann. Beispielsweise kann für einen Q-Faktor Q=1 eine Phasenverschiebung von 90o angewandt werden, und/oder für einen Q-Faktor Q=0,25 kann eine Phasenverschiebung von 30o angewandt werden. Beispielsweise kann die Phase des LO-Signals 399010 und/oder des LO-Signals 399020 beispielsweise basierend auf dem Q-Faktor konfiguriert sein, der beispielsweise wie folgt bestimmt werden kann: Q = L / C / R
    Figure DE112017006442T5_0145
    ω 0 = 1 / L C
    Figure DE112017006442T5_0146
    Q = L / C / 2 R = 0,25
    Figure DE112017006442T5_0147
    wobei Q einen Qualitätsfaktor bezeichnet, L einen Induktivitätswert bezeichnet, C die Kapazität bezeichnet, R den Widerstandswert bezeichnet und ω0 eine Winkelfrequenz bezeichnet.
  • In einigen anschaulichen Aspekten kann eine Eingangsimpedanz des Quadratur-LO-Generators 399000 beispielsweise auf eine 50 Ohm-Impedanz oder irgendeine andere Impedanz konfiguriert sein durch Einstellen des Widerstandswerts R beispielsweise auf eine 50 Ohm-Impedanz. Beispielsweise kann eine Eingangsimpedanz des Phasenschiebers 399200 für eine 50 Ohm-Impedanz oder höhere Impedanzen konstruiert sein.
  • In einigen anschaulichen Aspekten kann der Phasenschieber 399200 beispielsweise einen 30°-Phasenschieber für einen 60 GHz-Quadratur-Generator mit einer 50 Ohm-Impedanz aufweisen. In einem Beispiel kann der 30°-Phasenschieber weniger als 2° Phasenvariation über das Frequenzband von 48-72 GHz erreichen. Beispielsweise kann eine Amplitudenasymmetrie zwischen I- und Q-Signalen des Phasenschiebers 399200 beispielsweise 0,3 dB an dem Eingang der Verdreifacher sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der passive Quadratur-LO-Generator 399000 einen Verdreifacher 399300 aufweisen, der konfiguriert sein kann, die Phase und/oder Frequenz des zweiten Q-verschobenen Signals 399030 und des ersten Q-verschobenen Signals 399040 zu verdreifachen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Verdreifacher 399300 das erste Q-verschobene Signal 399040 an einem Gate des Transistors 399370 und das zweite Q-verschobene Signal 399040 an einem Gate des Transistors 399360 empfangen.
  • In einigen anschaulichen Aspekten kann der Transistor 399360 konfiguriert sein, beispielsweise gemäß dem zweiten Q-verschobenen Signal 399030 zu oszillieren.
  • In einigen anschaulichen Aspekten kann der Transistor 399370 konfiguriert sein, beispielsweise gemäß dem ersten Q-verschobenen Signal 399040 zu oszillieren.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399300 einen Transistor 399330 aufweisen, der konfiguriert sein kann, das zweite Q-verschobene Signal 399030 von dem Transistor 399360 zu empfangen und die Phase und die Frequenz des zweiten Q-verschobenen Signals 399030 zu verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399300 einen Transistor 399350 aufweisen, der konfiguriert sein kann, das erste Q-verschobene Signal 399040 zu empfangen und die Phase und die Frequenz des ersten Q-verschobenen Signals 399040 zu verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399300 einen Transformator 399310 aufweisen, der so konfiguriert sein kann, dass er an einer Frequenz von beispielsweise 60 GHz und einer Phase von beispielsweise 90o in Resonanz mit dem Kondensator 399320 ist. In anderen Aspekten kann die Resonanzfrequenz auf irgendeine andere Frequenz eingestellt sein. Beispielsweise können der Transistor 399370 und/oder der Transistor 399350 die Phase und Frequenz des erstem Q-verschobenen Signals 399040 verdreifachen; und/oder der Transistor 399360 und/oder der Transistor 399330 können die Phase und die Frequenz des zweiten Q-verschobenen Signals 399030 verdreifachen, gemäß der Resonanzfrequenz.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399300 eine Asymmetrie- und Amplitudenschaltung 399390 aufweisen, die konfiguriert sein kann, zwischen Amplituden des zweiten Q-verschobenen Signals 399030 und des ersten I-verschobenen Signals 399050 auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Asymmetrie- und Amplitudenschaltung 399390 einen Transistor 399340 aufweisen, z. B. einen Kopplungs-Transistor M5 oder irgendeinen anderen Transistor, der konfiguriert sein kann, die Phasen- und Amplitudenasymmetrie zwischen dem zweiten Q-verschobenen Signal 399030 und dem ersten I-verschobenen Signal 399050 auszugleichen.
  • In einigen anschaulichen Aspekten kann die Verdreifacherschaltung 399300 eine Asymmetrie- und Amplitudenschaltung 399395 aufweisen, die konfiguriert sein kann, zwischen Amplituden des ersten Q-verschobenen Signals 399040 und des zweiten I-verschobenen Signals 399060 auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Asymmetrie- und Amplitudenschaltung 399395 einen Transistor 399380 aufweisen, z. B. den Kopplungs-Transistor M6 oder irgendeinen anderen Transistor, der konfiguriert sein kann, die Phasen- und Amplitudenasymmetrie zwischen dem ersten Q-verschobenen Signal 399040 und dem zweiten I-verschobenen Signal 399060 auszugleichen.
  • In einigen anschaulichen Aspekten können die Transistoren 399330, 399340, 399350, 399360, 399380 und/oder 399390 FETs, BJTs und/oder irgendeinen anderen Typ eines Transistors enthalten.
  • In einigen anschaulichen Aspekten kann der IQ-Generator 399000 einen Mischer 399500 aufweisen, der konfiguriert sein kann, ein erstes verdreifachtes Q-Signal 399070, z. B. ein positives verdreifachtes Q-Signal, mit einem zweiten verdreifachten Q-Signal 399075, z. B. einem negativen verdreifachten Q-Signal, zu mischen, um beispielsweise ein Q-verschobenes Signal 399510 bereitzustellen.
  • In einigen anschaulichen Aspekten kann der passive Quadratur-LO-Generator 399000 einen Verdreifacher 399400 aufweisen, der konfiguriert sein kann, die Phase und/oder Frequenz des ersten I-verschobenen Signals 399050 und des zweiten I-verschobenen Signals 399060 zu verdreifachen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Verdreifacher 399400 das erste I-verschobene Signal 399050 an einem Gate des Transistors 399460 und das zweite I-verschobene Signal 399060 an einem Gate des Transistors 399470 empfangen.
  • In einigen anschaulichen Aspekten kann der Transistor 399460 konfiguriert sein, beispielsweise gemäß dem ersten I-verschobenen Signal 399050 zu oszillieren.
  • In einigen anschaulichen Aspekten kann der Transistor 399470 konfiguriert sein, beispielsweise gemäß dem zweiten I-verschobenen Signal 399060 zu oszillieren.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399400 einen Transistor 399430 aufweisen, der konfiguriert sein kann, das erste I-verschobene Signal 399050 von dem Transistor 399460 zu empfangen und die Phase und die Frequenz des ersten I-verschobenen Signals 399050 zu verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399400 einen Transistor 399450 aufweisen, der konfiguriert sein kann, das zweite I-verschobene Signal 399060 zu empfangen und die Phase und die Frequenz des zweiten I-verschobenen Signals 399060 zu verdreifachen.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399400 einen Transformator 399410 aufweisen, der so konfiguriert sein kann, dass er an einer Frequenz von beispielsweise 60 GHz und einer Phase von beispielsweise 90o in Resonanz mit dem Kondensator 399420 ist, z. B. wie nachstehend beschrieben. In einigen anderen Aspekten kann die Resonanzfrequenz auf irgendeine andere Frequenz eingestellt sein. Beispielsweise können der Transistor 399460 und/oder der Transistor 399470 die Phase und Frequenz des ersten I-verschobenen Signals 399050 und/oder des zweiten I-verschobenen Signals 399060 gemäß der Resonanzfrequenz verschieben.
  • In einigen anschaulichen Aspekten kann der Verdreifacher 399400 eine Asymmetrie- und Amplitudenschaltung 399490 aufweisen, die konfiguriert sein kann, zwischen Amplituden des zweiten Q-verschobenen Signals 399030 und des ersten I-verschobenen Signals 399050 auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Asymmetrie- und Amplitudenschaltung 399490 einen Transistor 399440 aufweisen, z. B. den Kopplungs-Transistor M5 oder irgendeinen anderen Transistor, der konfiguriert sein kann, die Phasen- und Amplitudenasymmetrie zwischen dem zweiten Q-verschobenen Signal 399030 und dem ersten I-verschobenen Signal 399050 auszugleichen.
  • In einigen anschaulichen Aspekten kann die Verdreifacherschaltung 399400 eine Asymmetrie- und Amplitudenschaltung 399495 aufweisen, die konfiguriert sein kann, zwischen Amplituden des ersten Q-verschobenen Signals 399040 und des zweiten I-verschobenen Signals 399060 auszugleichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Asymmetrie- und Amplitudenschaltung 399495 einen Transistor 399480 aufweisen, z. B. den Kopplungs-Transistor M6 oder irgendeinen anderen Transistor, der konfiguriert sein kann, die Phasen- und Amplitudenasymmetrie zwischen dem ersten Q-verschobenen Signal 399040 mit dem zweiten I-verschobenen Signal 399060 auszugleichen.
  • In einigen anschaulichen Aspekten können die Transistoren 399430, 399440, 399450, 399460, 399480 und 399490 FETs, BJTs und/oder irgendeinen anderen Typ eines Transistors enthalten.
  • In einigen anschaulichen Aspekten kann der IQ-Generator 399000 einen Mischer 399600 aufweisen, der konfiguriert sein kann, ein erstes verdreifachtes 1-Signal 399085, z. B. ein positives verdreifachtes I-Signal, mit einem zweiten verdreifachten I-Signal 399080, z. B. einem negativen verdreifachten I-Signal, zu mischen, um beispielsweise ein I-verschobenes Signal 399610 bereitzustellen.
  • Vorteilhafterweise können die Verdreifacher 399300 und 399400 im Wesentlichen gleiche Amplituden für I- und Q-Signale an dem Ausgang der Verdreifacher 399300 und 399400 bereitstellen.
  • Bezug nehmend zurück auf 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen Zweikanalbreitbandverstärker aufweist, der konfiguriert sein kann, ein RF-Signal aus einem ersten Kanal mit einem RF-Signal aus einem zweiten Kanal in ein Breitband-RF-Signal zu, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Funkarchitektur beispielsweise einen Breitband-Sendeempfänger aufweisen, der konfiguriert sein kann, mehrere Kanäle zu unterstützen, beispielsweise gleichzeitige Kommunikation über zwei oder mehr Kanäle zu unterstützen, beispielsweise gemäß einer oder mehreren Kanal-Bonding- und/oder Kanal-Aggregations-Techniken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Breitband-Sendeempfänger konfiguriert sein, RF-Signale über einen oder mehrere drahtlose Kanäle zu senden. Beispielsweise kann ein drahtloses Medium in Bezug auf ein Frequenzband, beispielsweise ein 60 GHz-Band, ein 2,4 GHz-Band, ein 5 GHz-Band oder dergleichen, definiert sein.
  • In einigen anschaulichen Aspekten kann das Frequenzband in einen oder mehrere Kanäle unterteilt sein, die eine vordefinierte Kanalbandbreite aufweisen, beispielsweise 20 Mega-Hertz (MHz) oder 40 MHz, z. B. in einem 2,4 GHz- oder 5 GHz-Band, 2,16 GHz, 4,32 GHz, 6,48 GHz oder 8,64 GHz, z. B. in einem 60 GHz-Band, und/oder irgendeine andere Bandbreite, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können eine oder mehrere Kanal-Bonding- und/oder Kanal-Aggregations-Techniken verwendet werden, beispielsweise um eine breitere Kanalbandbreite bereitzustellen.
  • In einigen anschaulichen Aspekten kann beispielsweise in einem 2,4 GHz- oder 5 GHz-Frequenzband Kanal-Bonding den Datentransport durch Bonden und/oder Kombinieren von beispielsweise zwei 20 MHz-Kanälen in einen 40 MHz-Kanal, von zwei 40-MHz-Kanälen in einen 80 MHz-Kanal, von zwei 80 MHz-Kanälen in einen 160 MHz-Kanal und/oder irgendeine andere Anzahl von Kanälen irgendeiner anderen Kanalbandbreite erhöhen.
  • In einigen anschaulichen Aspekten können beispielsweise in einem direktionalen Multi-Gigabit- (DMG-) Frequenzband oberhalb einer Kanalfrequenz von 45 GHz, z. B. einem 60 GHz-Frequenzband, ein oder mehrere Mechanismen implementiert sein, beispielsweise um Kommunikation über eine Kanal-Bandbreite (Kanal-BW) (auch als ein „breiter Kanal“, ein „EDMG-Kanal“ oder ein „gebondeter Kanal“ bezeichnet), die zwei oder mehr Kanäle, z. B. zwei oder mehr 2,16 GHz-Kanäle, aufweist, zu unterstützen.
  • In einigen anschaulichen Aspekten können die Kanal-Bonding-Mechanismen beispielsweise einen Mechanismus und/oder eine Operation enthalten, wodurch zwei oder mehr Kanäle, z. B. 2,16 GHz-Kanäle, kombiniert werden können, z. B. für eine höhere Bandbreite zur Paketübertragung, beispielsweise um das Erreichen höherer Datenraten zu ermöglichen, z. B. im Vergleich zur Übertragung über einen einzelnen Kanal.
  • Einige anschauliche Aspekte sind hier mit Bezug auf Kommunikation über eine Kanal-BW beschrieben, die zwei oder mehr 2,16 GHz-Kanäle enthält, es können jedoch andere Aspekte in Bezug auf Kommunikation über eine Kanalbandbreite, z. B. einen „breiten“ Kanal, implementiert sein, die irgendeine andere Anzahl von zwei oder mehr Kanälen aufweist oder dadurch gebildet ist, beispielsweise einen aggregierten Kanal, der eine Aggregation von mehr oder mehr Kanälen aufweist.
  • In einigen anschaulichen Aspekten können ein oder mehrere Kanal-Bonding-Mechanismen implementiert sein, beispielsweise um eine vergrößerte Kanalbandbreite zu unterstützen, beispielsweise eine Kanal-BW von 4,32 GHz, eine Kanal-BW von 6,48 GHz, eine Kanal-BW von 8,64 GHz und/oder irgendeine andere zusätzliche oder alternative Kanal-BW.
  • In einigen anschaulichen Aspekten kann ein Breitband-Sendeempfänger konfiguriert sein, Kommunikation über zwei unterschiedliche Kanäle zu unterstützen, beispielsweise mit zwei oder mehr unterschiedlichen Netzen.
  • In einem Beispiel kann ein drahtloses Netz konfiguriert sein, eine erste Kanalbandbreite zu besetzen, beispielsweise eine 20 MHz-Kanalbandbreite, und/oder einige andere drahtlose Netze können konfiguriert sein, eine zweite Kanalbandbreite zu besetzen, beispielsweise eine 40 MHz-Kanalbandbreite oder eine weitere breitere Kanalbandbreite.
  • In einem weiteren Beispiel kann ein drahtloses Netz konfiguriert sein, eine erste Kanalbandbreite zu besetzen, beispielsweise eine 2,16 GHz-Kanalbandbreite, und/oder ein anderes drahtloses Netz kann konfiguriert sein, eine zweite Kanalbandbreite zu besetzen, beispielsweise eine 4,32 GHz-Kanalbandbreite oder eine weitere breitere Kanalbandbreite.
  • In einigen anschaulichen Aspekten kann es nicht effizient sein, einen Breitbandsender zu implementieren, der einen oder mehrere Verstärker aufweist, z. B. mit Netzen mit niedriger Q-Anpassung, die konfiguriert sein können, beispielsweise eine flache Frequenzantwort zu erreichen, und somit mehr Energie ziehen können, um Leistungsverluste zu kompensieren. Beispielsweise können die Verstärker, wenn sie in einem einzigen Kanal arbeiten, energieineffizient sein, da ein Abschnitt der Bandbreite nicht verwendet sein kann.
  • In einigen anschaulichen Aspekten kann ein Breitbandsender, der zum Senden über eine Breitband-Bandbreite konfiguriert sein kann, einen Breitband-PA aufweisen, der konfiguriert sein kann, Signale, die über zwei oder mehr unterschiedliche Bandbreiten in einer Breitband-Bandbreite gesendet werden sollen, zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Breitband-PA zwei oder mehr PAs aufweisen, die konfiguriert sein können, Signale, die in zwei oder mehr jeweils unterschiedlichen Bandbreiten innerhalb der Breitband-Bandbreite gesendet werden sollen, zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Breitband-PA konfiguriert sein, die zwei oder mehr PAs zu benutzen, z. B. in Kombination, um die Breitbandsignale, die über die Breitband-Bandbreite, die die zwei oder mehr Bandbreiten enthält, gesendet werden sollen, zu verstärken, z. B. wie nachstehend beschrieben. Beispielsweise können die zwei oder mehr PAs konfiguriert sein, eine flache Breitbandantwort mit hoher Verstärkung zu erzeugen, z. B. wenn sie ein Breitband-RF-Signal senden.
  • In einigen anschaulichen Aspekten kann der Breitband-PA konfiguriert sein, selektiv nur einige der zwei oder mehr PAs zu benutzen, um Signale, die über eine Bandbreite, die schmaler ist als die Breitband-Bandbreite, gesendet werden sollen, zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren eines Breitband-PA, der zwei oder mehr PAs aufweist, z. B. wie hier beschrieben, ermöglichen, beispielsweise einen Gesamtenergieverbrauch des Breitband-PA zu reduzieren, beispielsweise wenn wenigstens ein PA aus den PAs abgeschaltet ist und wenigstens ein anderer PA verwendet wird, um RF-Signale über einen Abschnitt des Breitbandfrequenzkanals zu senden.
  • In einigen anschaulichen Aspekten kann der Breitband-PA einen selektiven Netzleistungskombinierer zum selektiven Koppeln von Eingabe-RF-Signalen mit einem oder mehreren der PAs, und/oder einen selektiven Netzleistungs-Splitter zum selektiven Koppeln von Ausgabe-RF-Signalen aus einem oder mehreren der PAs aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der selektive Netzkombinierer einen Transformator („Kombinierer-Transformator“) aufweisen oder durch ihn implementiert sein, und/oder der selektive Netz-Splitter kann einen Transformator („Splitter-Transformator“) aufweisen oder durch ihn implementiert sein, z. B. wie nachstehend beschrieben. In anderen Aspekten kann der selektive Netzkombinierer durch irgendeinen anderen Kombinierer implementiert sein, und/oder der selektive Netz-Splitter kann durch irgendeinen anderen Splitter implementiert sein.
  • In einigen anschaulichen Aspekten kann der Splitter-Transformator zwei oder mehr Abschnitte aufweisen, um die Eingangs-RF-Signale in die zwei oder mehr PAs jeweils selektiv zu koppeln; und/oder der Kombinierer-Transformator kann zwei oder mehr Abschnitte aufweisen, um die Ausgabe-RF-Signale aus den zwei oder mehr PAs jeweils selektiv zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Abschnitt des Kombinierer-Transformators so konfiguriert sein, dass er eine physikalische Struktur und/oder Größe aufweist, die auf einer Arbeitsfrequenz und/oder einer Bandbreite eines entsprechenden PA, der mit dem Abschnitt gekoppelt werden soll, basiert, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Abschnitt des Splitter-Transformators so konfiguriert sein, dass er eine physikalische Struktur und/oder Größe aufweist, die auf einer Arbeitsfrequenz und/oder einer Bandbreite eines entsprechenden PA, der durch den Abschnitt gekoppelt werden soll, basiert, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise eine Größe eines Abschnitts des Transformators, z. B. des Abschnitts des Kombinierer-Transformators und/oder des Abschnitts des Splitter-Transformators, so konfiguriert sein, dass sie einer Frequenz des PA, der durch den Abschnitt gekoppelt werden soll proportional ist. Beispielsweise kann ein erster Abschnitt des Transformators, z. B. ein erster Abschnitt des Kombinierer-Transformators und/oder ein erster Abschnitt des Splitter-Transformators, eine erste Größe aufweisen, die für eine erste Frequenz eines ersten PA konfiguriert ist; und/oder ein zweiter Abschnitt des Transformators, z. B. ein zweiter Abschnitt des Kombinierer-Transformators und/oder ein zweiter Abschnitt des Splitter-Transformators, kann eine zweite Größe aufweisen, die für eine zweite Frequenz eines zweiten PA konfiguriert ist. Beispielsweise kann die zweite Größe größer als die erste Größe sein, z. B. wenn die zweite Frequenz höher ist als die erste Frequenz.
  • In einigen anschaulichen Aspekten kann das Implementieren unterschiedlicher Größen für die unterschiedlichen Abschnitte des Splitter-Transformators und/oder des Kombinierer-Transformators beispielsweise das Reduzieren einer Chip-Fläche des Breitband-PA ermöglichen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren des Breitband-PA mit den mehreren PAs, wie z. B. hier beschrieben, einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen, beispielsweise durch Erreichen eines reduzierten Energieverbrauchs, Erhöhen einer Linearität und/oder Erhöhen der Effizienz, beispielsweise durch Ermöglichen von selektivem Schalten eines oder mehrerer PAs aus den mehreren PAs, beispielsweise basierend auf der Bandbreite, die benutzt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Breitband-PA als Teil einer Funkkettenschaltung, z. B. als Teil des Teilsystems 1035 (10) und/oder irgendeines anderen Teilsystems und/oder Elements enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen, falls gewünscht.
  • Es wird auf 400 Bezug genommen, die ein Blockdiagramm eines Senders 400000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Sender 400000 konfiguriert sein, Breitband-RF-Signale und/oder Hochfrequenz-RF-Signale zu senden, beispielsweise in einem 60-GHz-Frequenzband und/oder irgendeinem anderen Frequenzband, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 400000 beispielsweise mit einer oder mehreren Antennen 400100 betriebstechnisch gekoppelt sein und/oder sie enthalten. Beispielsweise können die eine oder mehreren Antennen 400100 eine Antenne einer phasengesteuerten Gruppe, eine Dipolantenne, eine interne Antenne und dergleichen enthalten. In anderen Aspekten können andere unterschiedliche Typen von Antennen verwendet werden.
  • In einigen anschaulichen Aspekten kann der Sender 400000 einen Breitbandverstärker 400200 aufweisen, der konfiguriert sein kann, Breitband-RF-Signale zu verstärken, die beispielsweise über eine oder mehrere Antennen 400100 gesendet werden können, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sender 400000 eine Basisbandschaltung 400300 aufweisen, die konfiguriert sein kann, ein IF-Eingabesignal 400310 zu erzeugen.
  • In einigen anschaulichen Aspekten kann der Sender 400000 eine RF-Schaltung 400400 aufweisen, die konfiguriert sein kann, beispielsweise ein RF-Eingabesignal 400410 zu erzeugen, beispielsweise durch Aufwärtsumsetzen des IF-Eingabesignals 400310 in das RF-Eingabesignal 400410. Beispielsweise kann das RF-Eingabesignal 400410 gemäß einer Frequenzbandbreite eines Kanals, der zum Senden verwendet werden soll, konfiguriert sein.
  • In einigen anschaulichen Aspekten kann die Basisbandschaltung 400300 mit der RF-Schaltung 400400 betriebstechnisch gekoppelt sein, beispielsweise durch ein RF-Kabel 400500. Beispielsweise kann das RF-Kabel 400500 ein Koax-Kabel oder dergleichen enthalten. In anderen Aspekten können andere Typen eines RF-Kabels, Verbindungselemente und/oder Schnittstellen verwendet werden. In anderen Aspekten können irgendwelche anderen zusätzlichen oder alternativen Elemente und/oder Teilsysteme implementiert sein, um zwischen der Basisbandschaltung 400300 und der RF-Schaltung 400400 zu koppeln.
  • In einigen anschaulichen Aspekten kann beispielsweise der Sender 400000 einen oder mehrere Schalter aufweisen, um zwischen dem Basisband 400300 und mehreren RF-Schaltungselementen 400400 betriebstechnisch zu koppeln, z. B. wie nachstehend mit Bezug auf 404 beschrieben ist.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 konfiguriert sein, das RF-Eingabesignal 400410 zu verstärken, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 beispielsweise einen 60 GHz-Verstärker enthalten, der konfiguriert ist, RF-Signale 400410 in einem 60 GHz-Frequenzband zu verstärken, z. B. wie nachstehend beschrieben. In anderen Aspekten kann der Breitbandverstärker 400200 für andere zusätzliche oder alternative Frequenzbänder konfiguriert sein.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 konfiguriert sein, beispielsweise das RF-Eingabesignal 400410 über eine Breitbandfrequenz zu verstärken, beispielsweise durch Aufspalten des RF-Eingabesignals 400410 in eine Hochbandfrequenz und eine Tiefbandfrequenz, Verstärken der Hochbandfrequenz durch einen Hochbandverstärker, Verstärken der Tiefbandfrequenz durch einen Tiefbandverstärker und Kombinieren eines verstärkten Hochbandsignals mit einem verstärkten Tiefbandsignal in ein verstärktes Breitbandsignal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Breitbandverstärkerschaltung 400200 einen Splitter 400210 aufweisen, der konfiguriert sein kann, das RF-Eingabesignal 400410 in mehrere Signale über jeweils mehrere Frequenzbänder aufzuspalten. Beispielsweise können die mehreren Signale wenigstens erste und zweite Signale über erste bzw. zweite Frequenzbänder aufweisen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Splitter 400210 konfiguriert sein, das RF-Eingabesignal 400410 in beispielsweise ein Hochfrequenzbandsignal 400220 über ein hohes Frequenzband und/oder ein Tieffrequenzbandsignal 400230 über ein tiefes Frequenzband aufzuspalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das hohe Frequenzband beispielsweise ein Frequenzband aufweisen, das einen oder mehrere erste Kanäle eines 5G-Frequenzbands aufweist, und/oder das tiefe Frequenzband kann beispielsweise ein Frequenzband aufweisen, das einen oder mehrere zweite Kanäle des 5G-Frequenzbands aufweist. In einem Beispiel können der eine oder die mehreren ersten Kanäle und/oder der eine oder die mehreren zweiten Kanäle einen oder mehrere 500 MHz-Kanäle und/oder irgendwelche anderen zusätzlichen oder alternativen Kanäle aufweisen. In einem Beispiel kann das 5G-Frequenzband beispielsweise ein Frequenzband von 37-43,5 GHz, ein Frequenzband von 24,5-39,5 GHz oder irgendein anderes Frequenzband aufweisen. In anderen Aspekten können irgendwelche anderen zusätzlichen oder alternativen Frequenzbänder implementiert sein.
  • Einige anschauliche Aspekte sind hier mit Bezug auf einen Breitbandverstärker beschrieben, der zwei Frequenzbänder, z. B. das hohe Frequenzband und/oder das tiefe Frequenzband, implementiert. In anderen Aspekten kann der Breitbandverstärker irgendeine andere Anzahl von Frequenzbändern implementieren, z. B. wenigstens drei Frequenzbänder.
  • In einigen anschaulichen Aspekten kann der Splitter 400210 beispielsweise einen resistiven Splitter, einen Hybrid-Splitter, einen transistorimplementierten Splitter, einen Wilkinson-Splitter und/oder irgendeinen anderen Typ eines Splitters enthalten.
  • In einigen anschaulichen Aspekten kann der Splitter 400210 beispielsweise einen Transformator 400215 aufweisen, der konfiguriert sein kann, beispielsweise ein RF-Eingabesignal 400410 an einem ersten Abschnitt 400218 des Transformators 400215 zu empfangen, durch einen zweiten Abschnitt 400212 des Transformators 400215 beispielsweise das Signal des tiefen Frequenzbands 400230 für einen Tiefbandverstärker 400250 bereitzustellen und eine Impedanz zwischen beispielsweise dem zweiten Abschnitt 400212 des Transformators 400215 und dem Tiefbandverstärker 400250 anzupassen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Transformator 400215 konfiguriert sein, beispielsweise eine 50 Ohm-Impedanz zwischen dem zweiten Abschnitt 400212 und dem Tiefbandverstärker 400250 anzupassen. In anderen Aspekten kann die Impedanz zwischen dem zweiten Abschnitt 400212 und dem Tiefbandverstärker 400250 irgendeinen anderen Impedanzwert aufweisen.
  • In einigen anschaulichen Aspekten kann der Transformator 400215 konfiguriert sein, beispielsweise durch einen dritten Abschnitt 400214 des Transformators 400215 das Signal des hohen Frequenzbands 400220 für einen Hochbandverstärker 40240 bereitzustellen und die Impedanz zwischen beispielsweise dem dritten Abschnitt 400214 des Transformators 400215 und dem Hochbandverstärker 400240 anzupassen. Beispielsweise kann der Transformator 400215 konfiguriert sein, beispielsweise eine 50 Ohm-Impedanz zwischen dem dritten Abschnitt 400214 und dem Hochbandverstärker 400240 anzupassen. In anderen Aspekten kann die Impedanz zwischen dem dritten Abschnitt 400214 und dem Hochbandverstärker 400240 irgendeinen anderen Impedanzwert aufweisen.
  • In einigen anschaulichen Aspekten kann der Splitter 400210 beispielsweise eine erste Schaltung 400211, um das Signal des tiefen Frequenzbands 400230 aus dem RF-Eingabesignal 400410 zu filtern, und beispielsweise eine zweite Schaltung 400213, um das Signal des hohen Frequenzbands 400220 aus dem RF-Eingabesignal 400410 zu filtern, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die erste Schaltung 400211 durch beispielsweise den zweiten Abschnitt 400212 des Transformators 400215 und wenigstens einen Teil des ersten Abschnitts 400218 des Transformators 400215 implementiert sein, und/oder die zweite Schaltung 400213 kann beispielsweise durch den dritten Abschnitt 400214 des Transformators 400214 und wenigstens einen Teil des ersten Abschnitts 400218 des Transformators 400215 implementiert sein. In anderen Aspekten können die erste Schaltung 400211 und/oder die zweite Schaltung 400213 durch irgendwelche anderen zusätzlichen oder alternativen Elemente implementiert sein. Beispielsweise können die erste Schaltung 400211 und/oder die zweite Schaltung 400213 beispielsweise durch mehrere Transistoren, eine integrierte Schaltung, eine Hybridschaltung und/oder irgendwelche anderen Komponenten implementiert sein.
  • In einigen anschaulichen Aspekten kann der Hochbandverstärker 400240 konfiguriert sein, beispielsweise das Signal des hohen Frequenzbands 400220 zu verstärken, und kann ein erstes verstärktes Signal, z. B. ein verstärktes Hochbandsignal 400245 bereitstellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Hochbandverstärker 400240 einen Outphasing-Verstärker, z. B. wie vorstehend mit Bezug auf 390 beschrieben, einen Doherty-Leistungsverstärker, z. B. wie vorstehend mit Bezug auf 387 beschrieben, einen digitalen Leistungsverstärker, z. B. wie vorstehend mit Bezug auf 380 beschrieben, und/oder irgendeinen anderen Verstärker enthalten.
  • In einigen anschaulichen Aspekten kann der Tiefbandverstärker 400250 konfiguriert sein, beispielsweise ein Signal des tiefen Frequenzbands 400230 zu verstärken, und kann ein zweites verstärktes Signal, z. B. ein verstärktes Tiefbandsignal 400255, bereitstellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Tiefbandverstärker 400250 einen Outphasing-Verstärker, z. B. wie vorstehend mit Bezug auf 390 beschrieben, einen Doherty-Leistungsverstärker, z. B. wie vorstehend mit Bezug auf 387 beschrieben, einen digitalen Leistungsverstärker, z. B. wie vorstehend mit Bezug auf 380 beschrieben, und/oder irgendeinen anderen Verstärker enthalten.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 einen Kombinierer 400260 aufweisen, der konfiguriert sein kann, beispielsweise ein erstes verstärktes Signal, z. B. ein verstärktes Hochbandsignal 400245, und ein zweites verstärktes Signal, z. B. ein verstärktes Tiefbandsignal 400255, in beispielsweise ein verstärktes RF-Signal 400270 zu kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Kombinierer 400260 einen resistiven Kombinierer, einen Hybridkombinierer, einen transistorimplementierten Kombinierer, einen Wilkinson-Kombinierer und/oder irgendeinen anderen Typ eines Kombinierers aufweisen.
  • In einigen anschaulichen Aspekten kann der Kombinierer 400260 beispielsweise einen Transformator 400265 aufweisen, der konfiguriert sein kann, das erste verstärkte („Hochband“-) Signal 400245 von dem Hochbandverstärker 400240 an einem ersten Abschnitt 400262 des Transformators 400265 zu empfangen und eine Impedanz, beispielsweise eine 50 Ohm-Impedanz, zwischen dem ersten Abschnitt 400262 des Transformators 400265 und dem Hochbandverstärker 400240 anzupassen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann die Impedanz zwischen beispielsweise dem ersten Abschnitt 400245 und dem Hochbandverstärker 400240 irgendeinen anderen Impedanzwert aufweisen.
  • In einigen anschaulichen Aspekten kann der Transformator 400265 konfiguriert sein, das zweite verstärke („Tiefband“-) Signal 400255 von dem Tiefbandverstärker 400250 an einem zweiten Abschnitt 400264 des Transformators 400265 zu empfangen und eine Impedanz, beispielsweise eine 50 Ohm-Impedanz, zwischen dem zweiten Abschnitt 400264 des Transformators 400265 und dem Tiefbandverstärker 400250 anzupassen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann die Impedanz zwischen dem zweiten Abschnitt 400264 und dem Tiefbandverstärker 400250 irgendeinen anderen Impedanzwert aufweisen.
  • In einigen anschaulichen Aspekten kann der Transformator 400265 beispielsweise einen dritten Abschnitt 400267 aufweisen, um das erste verstärkte Signal 400245 aus dem ersten Abschnitt 400262 des Transformators 400265 mit dem zweiten verstärkten Signal 400255 aus dem zweiten Abschnitt 400264 des Transformators 400265 in das verstärkte RF-Signal 400270 zu kombinieren.
  • In einigen anschaulichen Aspekten kann der dritte Abschnitt 400267 konfiguriert sein, beispielsweise eine 50 Ohm-Impedanz zwischen dem Tiefbandverstärker 400250 und beispielsweise einer oder mehreren Antennen 400100 und zwischen dem Hochbandverstärker 400240 und einer oder mehreren Antennen 400100 anzupassen. In anderen Aspekten kann die Impedanz zwischen dem dritten Abschnitt 400267 und einer oder mehreren Antennen 400100 irgendeinen anderen Impedanzwert aufweisen.
  • In einigen anschaulichen Aspekten können der erste Abschnitt 400262 und der zweite Abschnitt 400264 beispielsweise unterschiedliche physikalische Größen aufweisen, z. B. wie nachstehend beschrieben. In einigen anschaulichen Aspekten kann ein Abschnitt des Transformators 400265 so konfiguriert sein, dass er eine physikalische Struktur und/oder Größe aufweist, die auf einer Arbeitsfrequenz und/oder einer Bandbreite eines entsprechenden PA, der durch den Abschnitt gekoppelt werden soll, basiert. Beispielsweise kann eine physikalische Größe des ersten Abschnitts 400262 des Transformators 400265 größer sein als eine physikalische Größe des zweiten Abschnitts 400264 des Transformators 400265.
  • In einigen anschaulichen Aspekten kann die Breitbandverstärkerschaltung 400200 einen ersten Schalter 400270 aufweisen, der konfiguriert sein kann, den Tiefbandverstärker 400250 zu aktivieren, beispielsweise wenn das RF-Eingabesignal 400410 wenigstens über einem ersten Frequenzband ist, das z. B. das tiefe Frequenzband aufweist, das durch den Tiefbandverstärker 400250 verstärkt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Breitbandverstärkerschaltung 400200 einen zweiten Schalter 400280 aufweisen, der konfiguriert sein kann, den Hochbandverstärker 400240 zu aktivieren, beispielsweise wenn das RF-Eingabesignal 400410 wenigstens über einem zweiten Frequenzband ist, das z. B. das hohe Frequenzband aufweist, das durch den Hochbandverstärker 400240 verstärkt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Schalter 400270 konfiguriert sein, den Tiefbandverstärker 400250 zu deaktivieren, beispielsweise wenn das RF-Eingabesignal 400410 nicht wenigstens teilweise über dem ersten Frequenzband ist, und/oder der Schalter 400270 kann konfiguriert sein, den Tiefbandverstärker 400250 zu deaktivieren, beispielsweise wenn das RF-Eingabesignal 400410 wenigstens teilweise außerhalb des zweiten Frequenzbands ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Schalter 400270 und/oder der Schalter 400280 steuerbar aktiviert und/oder deaktiviert werden, beispielsweise durch die Basisbandschaltung 40310, beispielsweise basierend auf einem Frequenzband des RF-Signals 400410.
  • Es wird auf 401 Bezug genommen, die einen Bandplan 401000 mehrerer Kanäle, die mehreren Kanalbandbreiten entsprechen, die implementiert sein können, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann ein Breitbandverstärker, z. B. der Breitbandverstärker 400200 (400) konfiguriert sein, RF-Signale über eine oder mehrere Kanalbandbreiten der mehreren Kanalbandbreiten gemäß dem Bandplan von 401 zu senden.
  • In einigen anschaulichen Aspekten kann der Bandplan 401000 beispielsweise mehrere 2,16 GHz-Kanäle 401400 aufweisen, beispielsweise in einem Frequenzbereich von 57,24 GHz bis 65,88 GHz aufweisen, z. B. gemäß einer Spezifikation IEEE 802.11-2016. Beispielsweise kann, wie in 401 gezeigt ist, der Bandplan 401000 vier 2,16 GHz-Kanäle aufweisen, die als Nr. 1, Nr. 2, Nr. 3 und Nr. 4 bezeichnet sind.
  • In einigen anschaulichen Aspekten kann ein Breitbandverstärker, z. B. der Breitbandverstärker 400200 (400) konfiguriert sein, RF-Signale über eine oder mehrere breite Kanalbandbreiten, die beispielsweise durch zwei oder mehr Kanäle 401400 gebildet sein können, zu senden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Kanalbandbreite 401100, beispielsweise in einem Frequenzbereich von 57,24 bis 65,88 GHz, beispielsweise eine Bandbreite von 8,64 GHz-Frequenz aufweisen. Beispielsweise kann die Kanalbandbreite 401100 beispielsweise zwischen dem Tiefbandverstärker 400250 (400) und dem Hochbandverstärker 400240 (400) aufgeteilt sein, z. B. wie vorstehend beschrieben. Beispielsweise kann der Tiefbandverstärker 400250 (400) beispielsweise für einen ersten 4,32-GHz-Kanal, z. B. den Kanal Nr. 9 in 401, konfiguriert sein, und der Hochbandverstärker 400250 (400) kann beispielsweise für einen zweiten 4,32-GHz-Kanal, z. B. den Kanal Nr. 11 in 401, konfiguriert sein.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 (400) vier Verstärker aufweisen, und jeder Kanal aus den vier Kanälen 401400, die die Kanalbandbreite 401100 bilden, kann beispielsweise für einen anderen entsprechenden Verstärker aus den vier Verstärkern vorgesehen sein, gemäß dem Frequenzband des Kanals und dem Frequenzband des Verstärkers.
  • In einigen anschaulichen Aspekten kann beispielsweise in einem Frequenzbereich von 57,24 GHz bis 63,72 GHz eine Kanalbandbreite 401200 beispielsweise eine Bandbreite von 6,48 GHz aufweisen. Beispielsweise kann die Kanalbandbreite 401200 beispielsweise zwischen dem Tiefbandverstärker 400250 (400) und dem Hochbandverstärker 400240 (400) aufgeteilt sein, z. B. wie vorstehend beschrieben. In einem Beispiel kann der Tiefbandverstärker 400250 (400) beispielsweise für einen ersten 2,16-GHz-Kanal, z. B. den Kanal Nr. 1 in 401, konfiguriert sein, und der Hochbandverstärker 400240 (400) kann beispielsweise für eine 4,32-GHz-Bandbreite, die den Kanal Nr. 2 und den Kanal Nr. 3 in 401 enthält, konfiguriert sein. In einem weiteren Beispiel kann der Tiefbandverstärker 400250 (400) beispielsweise für einen 4,32-GHz-Kanal, z. B. den Kanal Nr. 9 in 401, konfiguriert sein, und der Hochbandverstärker 400240 (400) kann beispielsweise für einen 2,16-GHz-Kanal, z. B. den Kanal Nr. 4 in 401, konfiguriert sein.
  • In einigen anschaulichen Aspekten kann beispielsweise in einem Frequenzbereich von 57,24 GHz bis 65,88 GHz eine Kanalbandbreite 401300 beispielsweise die Bandbreite 4,32 GHz aufweisen und kann beispielsweise zwei Kanäle, z. B. ein tiefes Kanalband von 57,24 GHz bis 61,56 GHz, und ein hohes Kanalband, z. B. 61,56 GHz bis 65,88 GHz, enthalten. Beispielsweise kann das tiefe Kanalband für den Tiefbandverstärker 400250 (400) vorgesehen sein, und das hohe Kanalband kann für den Hochbandverstärker 400240 (400) vorgesehen sein, z. B. wie vorstehend beschrieben. In einem Beispiel kann der Tiefbandverstärker 400250 (400) beispielsweise für einen ersten 2,16-GHz-Kanal, z. B. den Kanal Nr. 1 in 401, konfiguriert sein, und der Hochbandverstärker 400250 (400) kann beispielsweise für einen zweiten 2,16-GHz-Kanal, z. B. den Kanal Nr. 2 in 401, konfiguriert sein. In einem weiteren Beispiel kann der Tiefbandverstärker 400250 (400) beispielsweise für einen dritten 2,16-GHz-Kanal, z. B. den Kanal Nr. 3 in 401, konfiguriert sein, und der Hochbandverstärker 400250 (400) kann beispielsweise für einen vierten 2,16-GHz-Kanal, z. B. den Kanal Nr. 4 in 401, konfiguriert sein.
  • Es wird auf 402 Bezug genommen, die ein Diagramm 402000, das eine Verstärkungsantwort eines Tiefbandverstärkers und eines Hochbandverstärkers abbildet, in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. In einigen Aspekten kann die hier beschriebene Verstärkerschaltung in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Verstärkerschaltung nicht darauf beschränkt ist.
  • In einigen anschaulichen Aspekten kann der Breitbandverstärker 400200 (400) den Tiefbandverstärker 400250 (400), der eine Verstärkungsantwort 402100 aufweist, und/oder den Hochbandverstärker 400240 (400), der eine Verstärkungsantwort 402200 aufweist, enthalten. Beispielsweise kann eine Kombination des Tiefbandverstärkers 400250 ( 400), der eine Verstärkungsantwort 402100 aufweist, mit dem Hochbandverstärker 400240 (400), der eine Verstärkungsantwort 402200 aufweist, beispielsweise zu einem Breitbandverstärker, z. B. dem Breitbandverstärker 400200 (400) führen, der eine flache Verstärkungsantwort aufweist.
  • Es wird auf 403 Bezug genommen, die einen Transformator 403000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Transformator 403000 als Teil eines Kombinierers, z. B. als Teil des Transformators 400265 (400), und/oder eines Splitters, z. B. als Teil des als Teil des Transformators 400215 (400) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen. In einigen Aspekten können die hier beschriebenen Transformatoren in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Transformatoren nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten kann der Transformator 403000 beispielsweise einen Tiefbandabschnitt 403100 aufweisen, der konfiguriert sein kann, Tiefbandfrequenzen durch Eingabe/Ausgabe-Anschlüsse 403400 zu empfangen und/oder auszugeben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Transformator 403000 beispielsweise einen Hochbandabschnitt 403200 aufweisen, der konfiguriert sein kann, Hochbandfrequenzen durch Eingabe/Ausgabe-Anschlüsse 403300 zu empfangen und/oder auszugeben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Transformator 403000 beispielsweise einen gemeinsamen Abschnitt 403600 aufweisen, der konfiguriert sein kann, ein RF-Signal zu empfangen und ein kombiniertes RF-Signal an den Eingabe/Ausgabe-Anschlüssen 403500 auszugeben, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Transformator 403000 konfiguriert sein, beispielsweise als ein Kombinierer und/oder als ein Splitter zu arbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Transformator 403000, beispielsweise wenn er als ein Kombinierer arbeitet, ein Tiefbandfrequenzsignal an dem Eingangsanschluss 403400 des Tiefbandabschnitts 403100 empfangen, kann beispielsweise ein Hochbandfrequenzsignal an dem Eingangsanschluss 403300 des Hochbandabschnitts 403200 empfangen und kann beispielsweise ein kombiniertes Signal an dem Ausgangsanschluss 403500 des gemeinsamen Abschnitts 403600 ausgeben.
  • In einigen anschaulichen Aspekten kann der Transformator 403000, beispielsweise wenn er als ein Splitter arbeitet, ein Eingabe-RF-Signal an dem Eingangsanschluss 403500 des gemeinsamen Abschnitts 403600 empfangen, kann beispielsweise ein Tiefbandfrequenzsignal an dem Ausgangsanschluss 403400 des Tiefbandabschnitts 403100 ausgeben und kann beispielsweise ein Hochbandfrequenzsignal an dem Ausgangsanschluss 403300 des Hochbandabschnitts 403200 ausgeben.
  • In einigen anschaulichen Aspekten kann die physikalische Größe des Tiefbandabschnitts 403100 beispielsweise kleiner sein als die physikalische Größe des Hochbandabschnitts 403200.
  • Bezug nehmend zurück auf 1A können in einigen anschaulichen Aspekten das Basisbandteilsystem 110 und/oder die RFEMs 115 gemäß einer Funkarchitektur konfiguriert sein, die mehrere Impedanzanpassungsschalter aufweisen kann, die konfiguriert sein können, die Impedanz zwischen einem Modemkern, z. B. einem Basisbandteilsystem, z. B. einem Basisbandprozessor, 110 an mehrere Funkkerne, z. B. RFEMs 115, z. B. basierend auf einer Anzahl der mehreren Funkkerne, anzupassen, z. B. wie nachstehend beschrieben. In einigen anschaulichen Aspekten kann ein Modemkern einen Basisbandprozessor und/oder eine oder mehrere zusätzliche oder alternative Verarbeitungskomponenten aufweisen, um Signale zur drahtlosen Kommunikation, z. B. über einen oder mehrere Funkkerne, zu erzeugen und/oder zu verarbeiten.
  • In einigen anschaulichen Aspekten kann ein Sendeempfänger mehrere Funkkerne und wenigstens einen Modemkern aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein 5G-Sendeempfänger wenigstens zwei Hauptkerne aufweisen, die beispielsweise durch ein Koax und/oder irgendwelche anderen RF-kompatiblen Verbindungselemente und/oder Teilsysteme verbunden sind, z. B. wie nachstehend beschrieben. Beispielsweise können die wenigstens zwei Hauptkerne ein Modem-Basisband (M-Kern) und zwei oder mehrere Funk-Frontends (R-Kern) aufweisen.
  • In einigen anschaulichen Aspekten kann der R-Kern als Teil einer oder mehrerer Funkkettenschaltungen und/oder Teilsysteme, z. B. als Teil des Teilsystems 435 (4) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • In einigen anschaulichen Aspekten können mehrere Schalter konfiguriert sein, den M-Kern mit den mehreren R-Kernen zu verbinden. Beispielsweise können die mehreren Schalter gemäß Anforderungen einer drahtlosen Vorrichtung geschaltet werden, z. B. wie nachstehend beschrieben. Beispielsweise kann der M-Kern mit einem R-Kern zu einer Zeit und/oder beispielsweise mit mehreren R-Kernen, die gleichzeitig arbeiten, verbunden sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann wenigstens ein Schalter aus den mehreren Schaltern, z. B. nur einige der Schalter oder jeder Schalter, konfiguriert sein, eine Impedanz zwischen einem R-Kern aus mehreren R-Kernen und dem M-Kern anzupassen, beispielsweise basierend auf der Anzahl von R-Kernen, die mit dem M-Kern verbunden sein können, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Anpassen der Impedanz zwischen dem R-Kern aus den mehreren R-Kernen und dem M-Kern beispielsweise basierend auf der Anzahl von R-Kernen, die mit dem M-Kern verbunden sein können, einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen. Beispielsweise kann das Anpassen der Impedanz zwischen dem R-Kern und dem M-Kern basierend auf der Anzahl von R-Kernen, die mit dem M-Kern verbunden werden sollen, beispielsweise ermöglichen, eine im Wesentlichen konstante Impedanz, beispielsweise eine Impedanz von 50 Ohm oder irgendeine andere Impedanz, zwischen dem M-Kern und dem R-Kern, z. B. zwischen dem M-Kern und jedem der R-Kerne, die mit dem M-Kern verbunden sind, aufrechtzuerhalten.
  • Es wird jetzt auf 404 Bezug genommen, die ein Blockdiagramm einer drahtlosen Kommunikationseinrichtung 404000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. In einigen Aspekten können die drahtlosen Kommunikationseinrichtungen (z. B. Sender, Empfänger, Sendeempfänger und so weiter), die hier beschrieben sind, in eine oder mehrere Schaltungen innerhalb der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein (oder als sie implementiert sein), obwohl die Kommunikationseinrichtungen nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten kann die drahtlose Kommunikationseinrichtung 404000 konfiguriert sein, drahtlose RF-Signale zu senden und/oder zu empfangen, beispielsweise über ein 2,4 GHz-Frequenzband, ein 5 GHz-Frequenzband, ein 60 GHz-Frequenzband, ein Frequenzband eines 5G-Kommunikationsnetzes und/oder irgendein anderes Frequenzband, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die drahtlose Kommunikationseinrichtung 404000 einen M-Kern 404300 aufweisen, der beispielsweise als Teil eines Basisbands, z. B. als Teil einer Basisbandschaltung und/oder Logik, und/oder als Teil irgendeines/irgendeiner anderen zusätzlichen oder alternativen Elements, Teilsystems und/oder Schaltung implementiert sein kann.
  • In einigen anschaulichen Aspekten kann die drahtlose Kommunikationseinrichtung 404000 mehrere R-Kerne 404100 aufweisen, die selektiv mit dem M-Kern 404300 gekoppelt werden sollen. Beispielsweise können, wie in 404 gezeigt ist, die mehreren R-Kerne 404100 wenigstens zwei R-Kerne, beispielsweise einen R-Kern 404130 und einen R-Kern 404160, aufweisen, die selektiv mit dem M-Kern 404300 gekoppelt werden sollen, z. B. wie nachstehend beschrieben. Beispielsweise können der R-Kern 404130 und/oder der R-Kern 404160 ein Funk-Frontend aufweisen. Beispielsweise kann das Funk-Frontend eine oder mehrere Schaltungen, Komponenten und/oder Teilsysteme zum Empfangen oder Senden von RF-Signalen aufweisen, wie beispielsweise einen Leistungsverstärker (PA), einen rauscharmen Verstärker (LNA), eine Antennenschnittstelle und/oder dergleichen. In einem Beispiel können der R-Kern 404130 und/oder der R-Kern 404160 ein oder mehrere Elemente des Funk-Frontend-Moduls 115 (1) aufweisen und/oder eine oder mehrere seiner Funktionalitäten ausführen.
  • In einigen anschaulichen Aspekten können der R-Kern 404130 und/oder der R-Kern 404160 ein Halbduplex-Funk-Frontend, einen Halbduplex-Sendeempfänger oder dergleichen aufweisen, z. B. wie nachstehend beschrieben. In einigen anderen anschaulichen Aspekten können der R-Kern 404130 und/oder der R-Kern 404160 eine Vollduplex-Funkeinrichtung aufweisen.
  • In einigen anschaulichen Aspekten kann die drahtlose Kommunikationseinrichtung 404000 eine oder mehrere Antennen, die z. B. die Antenne 404400 und/oder die Antenne 404450 enthalten, aufweisen und/oder mit ihnen betriebstechnisch gekoppelt sein. Beispielsweise kann der R-Kern 404130 mit wenigstens einer Antenne 404400 betriebstechnisch gekoppelt sein, und/oder der Funkkern 404160 kann mit wenigstens einer Antenne 404450 betriebstechnisch gekoppelt sein.
  • In einigen anschaulichen Aspekten können die Antennen 404400 und/oder 404450 beispielsweise eine oder mehrere Antennen einer phasengesteuerten Gruppe, eine oder mehrere Dipolantennen und/oder irgendeinen anderen Typ von Antennen enthalten.
  • In einigen anschaulichen Aspekten können die mehreren R-Kerne 404100 mit dem M-Kern 404300 über mehrere RF-Kabel 404500 gekoppelt sein, so dass z. B. der M-Kern 404300 mit einem R-Kern über wenigstens ein RF-Kabel verbunden sein kann. Beispielsweise kann der R-Kern 404130 mit dem M-Kern 404300 über ein RF-Kabel 404530 gekoppelt sein, und/oder der R-Kern 404160 kann mit dem M-Kern 404300 über ein RF-Kabel 404560 gekoppelt sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können das RF-Kabel 404530 und/oder das RF-Kabel 404560 ein Koaxialkabel enthalten. In anderen Aspekten können das RF-Kabel 404530 und/oder das RF-Kabel 404560 irgendein anderes RF-berechenbares Kabel enthalten.
  • Einige anschauliche Aspekte sind hier in Bezug auf eine Architektur beschrieben, die ein oder mehrere RF-Kabel implementiert, um einen M-Kern mit mehreren RF-Kernen zu koppeln. In anderen Aspekten können jedoch irgendwelche anderen zusätzlichen oder alternativen Verbinder, Kabel und/oder Elemente implementiert sein, um den M-Kern mit den mehreren RF-Kernen zu koppeln.
  • In einigen anschaulichen Aspekten kann die drahtlose Kommunikationseinrichtung 404000 mehrere Impedanzanpassungsschalter 404600 aufweisen, um den M-Kern 404300 mit einem oder mehreren R-Kernen aus den mehreren R-Kernen 404100 schaltbar zu koppeln, z. B. wie nachstehend beschrieben. Beispielsweise können, wie in 404 gezeigt ist, die mehreren Impedanzanpassungsschalter 404600 einen Impedanzanpassungsschalter 404630, um den M-Kern 404300 mit dem R-Kern 404130 schaltbar zu koppeln; und/oder einen Impedanzanpassungsschalter 404630, um den M-Kern 404300 mit dem R-Kern 404160 schaltbar zu koppeln, enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können, wie in 404 gezeigt ist, die mehreren Impedanzanpassungsschalter 404600 zwei Schalter enthalten, z. B. die Schalter 404630 und 404660, um den M-Kern 404300 mit zwei entsprechenden R-Kernen, z. B. dem R-Kerne 404130 und dem R-Kern 404160, schaltbar zu koppeln. In anderen Aspekten können die mehreren Impedanzanpassungsschalter 404600 irgendeine andere Anzahl von Schaltern enthalten, z. B. drei oder mehr Schalter, um den M-Kern 404300 mit irgendeiner anderen Anzahl von R-Kernen, z. B. drei oder mehr entsprechenden R-Kernen, schaltbar zu koppeln.
  • In einigen anschaulichen Aspekten kann ein Impedanzanpassungsschalter aus den mehreren Impedanzanpassungsschaltern 404600, z. der Impedanzanpassungsschalter 404630 und/oder der Impedanzanpassungsschalter 404660, einen ersten Anschluss, um mit dem M-Kern 404300 betriebstechnisch gekoppelt zu sein, und einen zweiten Anschluss, um mit einem entsprechenden R-Kern aus den mehreren R-Kernen 404100 gekoppelt zu sein, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404630 einen ersten Anschluss 404610, um mit dem M-Kern 404300 betriebstechnisch gekoppelt zu sein, und einen zweiten Anschluss 404620, um mit dem R-Kern 404130 betriebstechnisch gekoppelt zu sein, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404660 einen ersten Anschluss 404670, um mit dem M-Kern 404300 betriebstechnisch gekoppelt zu sein, und einen zweiten Anschluss 404680, um mit dem R-Kern 404160 betriebstechnisch gekoppelt zu sein, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann, wie in 404 gezeigt ist, ein Impedanzanpassungsschalter aus den mehreren Impedanzanpassungsschaltern 404600, z. B. der Impedanzanpassungsschalter 404630 und/oder der Impedanzanpassungsschalter 404660, eine Impedanzanpassungsschaltung aufweisen, um eine Impedanz zwischen einem R-Kern aus den mehreren R-Kernen 404100 und dem M-Kern 404300 beispielsweise basierend auf einer Anzahl der einen oder mehreren R-Kerne aus den mehreren R-Kernen, die mit dem M-Kern 404300 gekoppelt sein können, durch die mehreren Impedanzanpassungsschalter 404600, anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404630 eine Impedanzanpassungsschaltung aufweisen, die konfiguriert sein kann, eine Impedanz zwischen dem R-Kern 404130 und dem M-Kern 404300 beispielsweise basierend auf einer Anzahl des einen oder der mehreren R-Kerne aus den mehreren R-Kernen 404100, die mit dem M-Kern 404300 gekoppelt sein können, durch die mehreren Impedanzanpassungsschalter 404600 steuerbar anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404660 eine Impedanzanpassungsschaltung aufweisen, die konfiguriert sein kann, eine Impedanz zwischen dem R-Kern 404160 und dem M-Kern 404300 beispielsweise basierend auf einer Anzahl des einen oder der mehreren R-Kerne aus den mehreren R-Kernen 404100, die mit dem M-Kern 404300 gekoppelt sein können, durch die mehreren Impedanzanpassungsschalter 404600 steuerbar anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters, z. B. die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404660 und/oder die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404630, zwischen mehreren Impedanzanpassungsbetriebsarten gemäß einem Steuersignal, das beispielsweise durch den M-Kern 404300 bereitgestellt sein kann, schaltbar sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404630 zwischen mehreren Impedanzanpassungsbetriebsarten schaltbar sein, beispielsweise gemäß einem ersten Steuersignal 404010, das durch den M-Kern 404300 bereitgestellt sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404660 zwischen den mehreren Impedanzanpassungsbetriebsarten schaltbar sein, beispielsweise gemäß einem zweiten Steuersignal 404020 von dem M-Kern 404300, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters, z. B. die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404660 und/oder die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404630, konfiguriert sein, beispielsweise in einer Impedanzanpassungsbetriebsart aus den mehreren Impedanzanpassungsbetriebsarten, eine Impedanz zwischen dem M-Kern 404300 und einem R-Kern aus den mehreren R-Kernen 404100, der dem Impedanzanpassungsschalter entspricht, beispielsweise basierend auf einer Anzahl von R-Kernen, die der Impedanzanpassungsbetriebsart entspricht, anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404630 konfiguriert sein, beispielsweise in einer Impedanzanpassungsbetriebsart aus den mehrere Impedanzanpassungsbetriebsarten, eine Impedanz zwischen dem M-Kern 404300 und dem R-Kern 404130, beispielsweise basierend auf einer Anzahl von R-Kernen, die der Impedanzanpassungsbetriebsart entspricht, anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters 404660 konfiguriert sein, beispielsweise in einer Impedanzanpassungsbetriebsart aus den mehrere Impedanzanpassungsbetriebsarten, eine Impedanz zwischen dem M-Kern 404300 und dem R-Kern 404160, beispielsweise basierend auf einer Anzahl von R-Kernen, die der Impedanzanpassungsbetriebsart entspricht, anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Impedanzanpassungsbetriebsarten beispielsweise wenigstens eine erste Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung konfiguriert sein kann, die Impedanz zwischen dem M-Kern 404300 und einem R-Kern aus den R-Kernen 404100, z. B. dem R-Kern 404130 oder dem R-Kern 44160, anzupassen; eine zweite Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung konfiguriert sein kann, die Impedanz zwischen dem M-Kern 404300 und zwei R-Kernen aus den R-Kernen 404100, z. B. beiden R-Kernen 404130 und 404160, anzupassen; und/oder eine dritte Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung konfiguriert sein kann, die Impedanz zwischen dem M-Kern 404300 und drei R-Kernen, die z. B. den R-Kern 404130, den R-Kern 404160 und einen weiteren R-Kern aus den mehreren R-Kernen 404100 (in 404 nicht gezeigt) enthalten, anzupassen, enthalten, z. B. wie nachstehend beschrieben. In anderen Aspekten können die mehreren Impedanzanpassungsbetriebsarten eine oder mehrere zusätzliche oder alternative Impedanzanpassungsbetriebsarten aufweisen, um die Impedanz zwischen dem M-Kern 404300 und irgendeiner anderen Anzahl von R-Kernen 404100 anzupassen.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung des Impedanzanpassungsschalters, z. B. wie vorstehend beschrieben, mehrere Transistoren aufweisen, die konfiguriert sein können, beispielsweise den M-Kern 404300 mit einem R-Kern, der dem Impedanzanpassungsschalter entspricht, zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Impedanzanpassungsschalter aus den mehreren Impedanzanpassungsschaltern 404600, z. B. der Impedanzanpassungsschalter 404630 und/oder der Impedanzanpassungsschalter 404660, konfiguriert sein, eine im Wesentlichen konstante Impedanz, beispielsweise eine 50 Ohm-Impedanz oder irgendeine andere Impedanz, zwischen dem M-Kern 404300 und einem R-Kern, der dem Impedanzanpassungsschalter entspricht, aufrechtzuerhalten, beispielsweise ohne Berücksichtigung und/oder unabhängig von der Anzahl des einen oder der mehreren R-Kerne 404100, die mit dem M-Kern 404300 verbunden werden sollen.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404630 konfiguriert sein, eine im Wesentlichen konstante Impedanz, beispielsweise eine 50 Ohm-Impedanz oder irgendeine andere Impedanz, zwischen dem M-Kern 404300 und dem R-Kern 404130 aufrechtzuerhalten, beispielsweise ohne Berücksichtigung und/oder unabhängig von der Anzahl des einen oder der mehreren R-Kerne 404100, die mit dem M-Kern 404300 verbunden werden sollen.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 404660 konfiguriert sein, eine im Wesentlichen konstante Impedanz, beispielsweise eine 50 Ohm-Impedanz oder irgendeine andere Impedanz, zwischen dem M-Kern 404300 und dem R-Kern 404160 aufrechtzuerhalten, beispielsweise ohne Berücksichtigung und/oder unabhängig von der Anzahl des einen oder der mehreren R-Kerne 404100, die mit dem M-Kern 404300 verbunden werden sollen.
  • Es wird auf 405 Bezug genommen, die einen Impedanzanpassungsschalter 405000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. In einigen Aspekten können die hier beschriebenen Schalter in eine oder mehrere Schaltungen (z. B. die Funkkettenschaltung 372) innerhalb der RF-Schaltung 325 (3D) der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Schalter nicht darauf beschränkt sind.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 405000 konfiguriert sein, die Impedanz zwischen einem M-Kern, z. B. dem M-Kern 404300 (404), und einem R-Kern aus mehreren R-Kernen, z. B. den mehreren R-Kernen 404100 (404), beispielsweise basierend auf einer Anzahl der R-Kerne, die mit dem M-Kern verbunden werden sollen, anzupassen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 405000 als Teil des Impedanzanpassungsschalters 404630 und/oder Impedanzanpassungsschalters 404660 (404) und/oder irgendeinem anderen Teilsystem und/oder Element, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • In einigen anschaulichen Aspekten kann der Impedanzanpassungsschalter 405000 die Impedanzanpassungsschaltung 405100 aufweisen, die einen ersten Anschluss 405010 zum Koppeln eines M-Kerns 405700, z. B. des M-Kerns 404300 (404), mit der Impedanzanpassungsschaltung 405100 und einen zweiten Anschluss 405020 zum Koppeln eines R-Kerns 405800, z. B. des R-Kerns 404130 oder des R-Kerns 404160 (404), mit der Impedanzanpassungsschaltung 405100 aufweisen.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung 405100 konfiguriert sein, eine Impedanz, beispielsweise eine Impedanz von 50 Ohm oder irgendeine andere Impedanz, zwischen dem M-Kern 405700 und dem R-Kern 405800, beispielsweise basierend auf einer Anzahl von einem oder mehreren R-Kernen, z. B. der R-Kerne 404100 (404), die mit dem M-Kern 405700 gekoppelt sein können, steuerbar anzupassen.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung 405100 zwischen mehreren Impedanzanpassungsbetriebsarten, die beispielsweise eine erste Betriebsart (Betriebsart A), eine zweite Betriebsart (Betriebsart B) und eine dritte Betriebsart (Betriebsart C) enthalten, z. B. gemäß einem Steuersignal 405030 schaltbar sein. Beispielsweise kann das Steuersignal 405030 durch den M-Kern 405700 bereitgestellt werden. In anderen Aspekten kann die Impedanzanpassungsschaltung 405100 konfiguriert sein, zwischen irgendeiner anderen Anzahl von Impedanzanpassungsbetriebsarten, beispielsweise basierend auf der Anzahl von R-Kernen 404100 (404) umgeschaltet zu werden.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart A beispielsweise der M-Kern 405700 mit einem einzelnen R-Kern, z. B. dem R-Kern 405800, aus den mehreren R-Kernen, z. B. den mehreren R-Kernen 404110 (404) gekoppelt sein. Beispielsweise kann in der Impedanzanpassungsbetriebsart A die Impedanzanpassungsschaltung 405100 konfiguriert sein, beispielsweise eine Impedanz, z. B. eine Impedanz von 50 Ohm und/oder irgendeine andere gewünschte Impedanz, zwischen dem R-Kern 405800 und dem M-Kern 405700 anzupassen, beispielsweise wenn der M-Kern 45700 nur mit dem R-Kern 405800 gekoppelt ist.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart B beispielsweise der M-Kern 405700 mit zwei R-Kernen, z. B. dem R-Kern 405800 und einem anderen R-Kern, aus den mehreren R-Kernen, z. B. den mehreren R-Kernen 404110 (404) gekoppelt sein. Beispielsweise kann in der Impedanzanpassungsbetriebsart B die Impedanzanpassungsschaltung 405100 konfiguriert sein, beispielsweise eine Impedanz, z. B. eine Impedanz von 50 Ohm und/oder irgendeine andere gewünschte Impedanz, zwischen dem R-Kern 405800 und dem M-Kern 405700 anzupassen, beispielsweise wenn der M-Kern 405700 mit dem R-Kern 405800 und dem anderen R-Kern gekoppelt ist.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart C beispielsweise der M-Kern 405700 mit vier R-Kernen, z. B. dem R-Kern 405800 und drei anderen R-Kernen, aus den mehreren R-Kernen, z. B. den mehreren R-Kernen 404110 (404) gekoppelt sein. Beispielsweise kann in der Impedanzanpassungsbetriebsart C die Impedanzanpassungsschaltung 405100 konfiguriert sein, beispielsweise eine Impedanz, z. B. eine Impedanz von 50 Ohm und/oder irgendeine andere gewünschte Impedanz, zwischen dem R-Kern 405800 und dem M-Kern 405700 anzupassen, beispielsweise wenn der M-Kern 405700 mit dem R-Kern 405800 und den zwei anderen R-Kernen gekoppelt ist.
  • In einigen anschaulichen Aspekten kann die Impedanzanpassungsschaltung 405100 mehrere Transistoren aufweisen, die z. B. die Transistoren 405200, 405300 und/oder 405400 enthalten, die den M-Kern 405700 selektiv mit dem R-Kern 405800 über eine Last, z. B. eine RF-Last, aus mehreren Lasten, selektiv koppeln können, beispielsweise gemäß der Impedanzanpassungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein erstes Lastniveau, z. B. eine Nulllast, zwischen dem M-Kern 405700 zu dem R-Kern 405800 angewandt werden, beispielsweise in der Impedanzanpassungsbetriebsart A; ein zweites Lastniveau, z. B. höher als das erste Lastniveau, kann zwischen dem M-Kern 45700 zu dem R-Kern 405800 angewandt werden, beispielsweise in der Impedanzanpassungsbetriebsart B; und/oder ein drittes Lastniveau, z. B. höher als das zweite Lastniveau, kann zwischen dem M-Kern 45700 zu dem R-Kern 405800 angewandt werden, beispielsweise in der Impedanzanpassungsbetriebsart C, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart A beispielsweise der Transistor 45400 gesteuert werden, z. B. durch das Steuersignal 405060, selektiv den M-Kern 405700 mit dem R-Kern 405800 zu koppeln, z. B. ohne irgendeine Last.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart B beispielsweise der Transistor 405300 gesteuert werden, z. B. durch das Steuersignal 405040, selektiv den M-Kern 405700 mit dem R-Kern 405800 zu koppeln, beispielsweise über eine Last 405600.
  • In einigen anschaulichen Aspekten kann in der Impedanzanpassungsbetriebsart C beispielsweise der Transistor 405200 gesteuert werden, z. B. durch das Steuersignal 405030, selektiv den M-Kern 405700 mit dem R-Kern 405800 zu koppeln, beispielsweise über eine Last 405500.
  • In einigen anschaulichen Aspekten kann die Last 405500 beispielsweise höher als die Last 405600 sein.
  • In einigen anschaulichen Aspekten kann die Last 405600 beispielsweise eine Last von 50 Ohm aufweisen, und die Last 405500 kann beispielsweise eine Last von 100 Ohm aufweisen. In anderen Aspekten können irgendwelche anderen Lastwerte verwendet werden.
  • In einigen anschaulichen Aspekten können die Last 405500 und/oder die Last 405600 beispielsweise ein Widerstand-Induktivität-Kondensator- (RLC-) Netz enthalten. In anderen Aspekten können die Last 405500 und/oder die Last 405600 eine aktive Last, z. B. eine Widerstandslast, eine kapazitive Last, eine induktive Last oder dergleichen enthalten.
  • In einigen anschaulichen Aspekten kann das RLC-Netz konfiguriert sein, eine vordefinierte Impedanz, beispielsweise eine 50 Ohm-Impedanz oder irgendeine andere Impedanz, zwischen dem M-Kern 405700 und dem R-Kern 405800, beispielsweise basierend auf einer Anzahl der R-Kerne, die mit dem M-Kern 405700 verbunden werden sollen, aufrechtzuerhalten.
  • Bezug nehmend zurück auf 4 kann in einigen anschaulichen Aspekten die RF-Schaltung 425 gemäß einer Funkarchitektur konfiguriert sein, die wenigstens einen bidirektionalen Mischer aufweisen kann, der konfiguriert sein kann, ein IF-Signal in ein RF-Signal in einer Aufwärtsumsetzungsbetriebsart des bidirektionalen Mischers aufwärtsumzusetzen und ein RF-Signal in ein IF-Signal in einer Abwärtsumsetzungsbetriebsart des bidirektionalen Mischers abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann eine Sendeempfängerarchitektur, die in mm-Wellen-Anwendungen wie beispielsweise 5G von zellularen Systemen und/oder WLAN mit einer Kommunikationsfrequenz von etwa 60 GHz, beispielsweise WiGig, implementiert sein kann, einen ersten Mischer, der konfiguriert sein kann, ein Tx-IF-Signal in ein RF-Signal aufwärtsumzusetzen, z. B. um in einer Tx-Betriebsart des Sendeempfänger gesendet zu werden; und/oder einen zweiten Mischer, der konfiguriert sein kann, ein Rx-RF-Signal in ein Rx-IF-Signal abwärtsumzusetzen, z. B. in einer Rx-Betriebsart des Sendeempfängers, aufweisen kann.
  • In einigen anschaulichen Aspekten kann es in einigen Anwendungsfällen, Implementierungen und/oder Szenarien nicht vorteilhaft sein, eine Sendeempfängerarchitektur zu implementieren, die separate Mischer, z. B. zwei separate Mischer, für die Tx-Betriebsart und die Rx-Betriebsart aufweist.
  • In einigen anschaulichen Aspekten kann eine Sendeempfängerarchitektur wenigstens einen bidirektionalen Mischer implementieren, der für sowohl die Rx-Betriebsart als auch die Tx-Betriebsart konfiguriert sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann das Implementieren eines bidirektionalen Mischers in einer Sendeempfängerarchitektur einen oder mehrere Vorzüge bereitstellen und/oder ein oder mehrere technische Probleme lösen. Beispielsweise kann der bidirektionale Mischer ermöglichen, die Sendeempfänger-Chip-Fläche zu reduzieren, beispielsweise durch Implementieren eines oder mehrerer bidirektionaler Elemente, beispielsweise eines oder mehrerer bidirektionaler Verstärker, z. B. eines bidirektionalen RF-Verstärkers und/oder eines bidirektionalen IF-Verstärkers, und/oder irgendwelcher anderer zusätzlicher oder alternativer bidirektionaler Elemente in den Sendeempfänger-Chip.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer eine halb-passive Mischer-Architektur aufweisen, die beispielsweise selbst für eine niedrige Versorgungsspannung und/oder einen geringen Energieverbrauch gut geeignet sein kann, während sie eine ausreichende Umsetzungsverstärkung (C.G) bereitstellt. Beispielsweise können einige bidirektionalen Mischer eine RF-Stufe aufweisen, um RF-Signale zu verarbeiten. Das Eliminieren der RF-Stufe des bidirektionalen Mischers kann ermöglichen, die Temperaturabhängigkeit und den Stromverbrauch zu reduzieren, was beispielsweise erforderlich sein kann, um eine hohe Linearität zu erreichen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer als Teil einer Aufwärtsumsetzungs- und Abwärtsumsetzungs-Schaltung, z. B. als Teil des Teilsystems 415 (4) und/oder irgendeines anderen Teilsystems und/oder Elements, falls gewünscht, enthalten sein und/oder kann eine oder mehrere Operationen und/oder Funktionalitäten davon ausführen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer konfiguriert sein, in einer Aufwärtsumsetzungsbetriebsart und/oder in einer Abwärtsumsetzungsbetriebsart zu arbeiten, z. B. wie nachstehend beschrieben. Beispielsweise kann der bidirektionale Mischer in der Aufwärtsumsetzungsbetriebsart ein IF-Signal in ein RF-Signal aufwärtsumsetzen, und/oder der bidirektionale Mischer kann in der Abwärtsumsetzungsbetriebsart ein RF-Signal in ein IF-Signal abwärtsumsetzen, z. B. wie nachstehend beschrieben.
  • In einem anschaulichen Aspekt kann der bidirektionale Mischer einen oder mehrere Schalter aufweisen, um eine Richtung von Signalen, die durch den bidirektionalen Mischer verarbeitet werden sollen, beispielsweise von der Verarbeitung von Signalen in einer ersten Richtung zur Verarbeitung von Signalen in einer zweiten Richtung, z. B. wenn von der Aufwärtsumsetzungsbetriebsart in die Abwärtsumsetzungsbetriebsart umgeschaltet wird; und/oder von der Verarbeitung von Signalen in der zweiten Richtung zu der Verarbeitung von Signalen in der ersten Richtung, z. B. wenn von der Abwärtsumsetzungsbetriebsart in die Aufwärtsumsetzungsbetriebsart umgeschaltet wird, umzuschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die einen oder mehreren Schalter des bidirektionalen Mischers beispielsweise einen oder mehrere Metalloxidhalbleiter-Feldeffekttransistoren (MOSFET) aufweisen, die eine Parametrisierungszelle (PCell) aufweisen, die einen Drain-Kanal und eine Source-Kanal aufweisen kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können der Drain-Kanal und der Source-Kanal des MOSFET symmetrisch sein. Beispielsweise können die rollen des Drain-Kanals und des Source-Kanals vertauscht werden, so dass beispielsweise der Drain-Kanal als der Source-Kanal verwendet werden kann und/oder der Source-Kanal als der Drain-Kanal verwendet werden kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer einen Gilbert-Zellen-Mischer, beispielsweise einen halbpassiven Gilbert-Zellen-Mischer, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Gilbert-Zellen-Mischer konfiguriert sein, z. B. in der Aufwärtsumsetzungsbetriebsart ein IF-Signal in ein RF-Signal aufwärtsumzusetzen, beispielsweise durch Mischen des IF-Signals mit einem LO-Signal, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Gilbert-Zellen-Mischer konfiguriert sein, z. B. in der Abwärtsumsetzungsbetriebsart ein RF-Signal in ein IF-Signal abwärtsumzusetzen, beispielsweise durch Mischen des RF-Signals mit einem LO-Signal, z. B. wie nachstehend beschrieben.
  • Es wird auf 406 Bezug genommen, die ein Blockdiagramm eines Sendeempfängers 406100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 beispielsweise als ein Halbduplex-Sendeempfänger konfiguriert sein, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger, z. B. der Sendeempfänger 406100, zwischen einer Tx-Betriebsart, beispielsweise zum Senden von Tx-Signalen, und einer Rx-Betriebsart, beispielsweise zum Empfangen von Rx-Signalen, umgeschaltet werden, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 beispielsweise einen 60 GHz-Sendeempfänger aufweisen, der konfiguriert ist, über beispielsweise wenigstens ein 60 GHz-Frequenzband Tx-Signale zu senden und Rx-Signale zu empfangen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen zellularen 5G-Sendeempfänger aufweisen.
  • In anderen Aspekten kann der Sendeempfänger 406100 irgendeinen anderen Typ eines Sendeempfängers aufweisen und/oder kann konfiguriert sein, Tx-Signale oder Rx-Signale über irgendein anderes zusätzliches oder alternatives Frequenzband zu kommunizieren.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 eine oder mehrere Antennen 406150 aufweisen oder kann mit ihnen betriebstechnisch gekoppelt sein. Beispielsweise können die Antennen 406150 konfiguriert sein, ein oder mehrere RF-Signale zu senden und/oder zu empfangen.
  • In einigen anschaulichen Aspekten können die Antennen 406150 eine oder mehrere Antennen phasengesteuerter Gruppen, eine chip-interne Antenne und/oder einen anderen Typ von Antennen aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 ein Basisband 406110 aufweisen, das konfiguriert sein kann, Basisbandsignale, z. B. ein Tx-Basisbandsignal 406113 und/oder ein Rx-Basisbandsignal 406117, zu erzeugen und/oder zu verarbeiten, z. B. wie nachstehend beschrieben. Beispielsweise können das Tx-Basisbandsignal 406113 und/oder das Rx-Basisbandsignal 406117 ein differentielles Basisbandsignal und/oder irgendeinen anderen Typ von Basisbandsignalen enthalten.
  • In einigen anschaulichen Aspekten kann das Basisband 406110 ein digitales Basisband zum Verarbeiten digitaler Daten und/oder ein analoges Basisband beispielsweise zum Umsetzen der digitalen Daten in analoge Daten aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen bidirektionalen Mischer 406130 aufweisen, der konfiguriert sein kann, ein IF-Signal, z. B. ein Tx-IF-Signal 406123, in ein Tx-RF-Signal, z. B. ein Tx-RF-Signal 406143 aufwärtsumzusetzen, z. B. in der Tx-Betriebsart; und/oder ein Rx-RF-Signal, z. B. ein Rx-RF-Signal 406147, in ein Rx-IF-Signal, z. B. ein Rx-IF-Signal 406127, abwärtsumzusetzen, beispielsweise in der Rx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 406130 einen differentiellen bidirektionalen Mischer aufweisen, der konfiguriert sein kann, ein differentielles IF-Signal in ein differentielles RF-Signal aufwärtsumzusetzen und/oder ein differentielles RF-Signal in ein differentielles IF-Signal abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 406130 einen IF-Anschluss 406133 aufweisen, um das Tx-IF-Signal 406123 einzugeben, z. B. in einer Aufwärtsumsetzungsbetriebsart, und das Rx-IF-Signal 406127 auszugeben, z. B. in einer Abwärtsumsetzungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 406130 einen RF-Anschluss 406139 aufweisen, um das Tx-RF-Signal 406143 auszugeben, z. B. in der Aufwärtsumsetzungsbetriebsart, und das Rx-RF-Signal 406147 einzugeben, z. B. in der Abwärtsumsetzungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen LO 406135 zum Erzeugen eines LO-Signals 406137 aufweisen oder kann betriebstechnisch damit gekoppelt sein, z. B. wie nachstehend beschrieben. Beispielsweise kann das LO-Signal 406137 eine Frequenz von 60 GHz aufweisen, und/oder irgendein anderes erforderliches Signal, das an den bidirektionalen Mischer 406130 angelegt werden soll. Beispielsweise kann das LO-Signal 406137 in der Aufwärtsumsetzungsbetriebsart verwendet werden, um ein oder mehrere IF-Signale aufwärtsumzusetzen, und/oder in der Abwärtsumsetzungsbetriebsart verwendet werden, um ein oder mehrere RF-Signale abwärtsumzusetzen, z. B. wie nachstehend beschrieben. In einem Beispiel kann das LO-Signal 406137 ein differentielles Signal aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen oder mehrere Verstärker zum Verstärken des Tx-Basisbandsignals 406113, des Tx-RF-Signals 406143, des Rx-Signals 406155 und/oder des Rx-IF-Signals 406127 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen oder mehrere bidirektionale Verstärker zum Verstärken des Tx-Basisbandsignals 406113, des Rx-IF-Signals 406127, des Tx-RF-Signals 406143 und/oder des Rx-Signals 406155 aufweisen, z. B. wie nachstehend beschrieben. In anderen Aspekten kann wenigstens einer der bidirektionalen Verstärker durch mehrere Einzelrichtungsverstärker ersetzt sein.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 einen bidirektionalen IF-Verstärker 406120 aufweisen, der konfiguriert sein kann, Rx-Signale aus dem Basisband 406110 in der Rx-Betriebsart zu verstärken und/oder Tx-Signale aus dem bidirektionalen Mischer 406130 in der Tx-Betriebsart zu verstärken. Beispielsweise kann der bidirektionale IF-Verstärker 406120 konfiguriert sein, das Rx-IF-Signal 406127 zu verstärken, z. B. in der Rx-Betriebsart, und/oder das Tx-Basisbandsignal 406113 zu verstärken, z. B. in der Tx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale IF-Verstärker 406120 konfiguriert sein, z. B. in der Tx-Betriebsart das Tx-Basisbandsignal 406113 in das Tx-IF-Signal 406123 zu verstärken und/oder z. B. in der Rx-Betriebsart das Rx-IF-Signal 406127, beispielsweise aus dem IF-Anschluss 406133 des bidirektionalen Mischers 406130, in das Rx-Basisbandsignal 406117 zu verstärken.
  • In einigen anschaulichen Aspekten kann der bidirektionale IF-Verstärker 406120 einen ersten IF-Verstärker (in 406 nicht gezeigt) zum Verstärken von Signalen in der Tx-Betriebsart und einen zweiten IF-Verstärker (in 406 nicht gezeigt) zum Verstärken von Signalen in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einem Beispiel kann der bidirektionale IF-Verstärker 406120 einen Tx-IF-Verstärker, der konfiguriert sein kann, in der Tx-Betriebsart das Tx-Basisbandsignal 406113 aus dem Basisband 406110 in das Tx-IF-Signal 406123 zu verstärken; und einen Rx-IF-Verstärker. der konfiguriert sein kann, in der Rx-Betriebsart das Rx-IF-Signal 406127 in das Rx-Basisbandsignal 46117, das für das Basisband 406110 bereitgestellt werden soll, zu verstärken, aufweisen.
  • In einigen anschaulichen Aspekten kann der bidirektionale IF-Verstärker 406120 beispielsweise einen differentiellen bidirektionalen IF-Verstärker aufweisen. Beispielsweise kann der differentielle bidirektionale IF-Verstärker differentielle IF-Signale verstärken. Beispielsweise können das Rx-IF-Signal 406127 und/oder das Tx-Basisbandsignal 406113 ein differentielles IF-Signal aufweisen.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 46100 einen bidirektionalen RF-Verstärker 406140 aufweisen, der konfiguriert sein kann, Rx-Signale von den Antennen 406150 in der Rx-Betriebsart zu verstärken und/oder Tx-Signale aus dem bidirektionalen Mischer 406130 in der Tx-Betriebsart zu verstärken. Beispielsweise kann der bidirektionale RF-Verstärker 406140 konfiguriert sein, ein Rx-Signal 406155 von den Antennen 406150 zu verstärken, z. B. in der Rx-Betriebsart, und/oder das Tx-RF-Signal 406143 zu verstärken, z. B. in der Tx-Betriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale RF-Verstärker 406140 konfiguriert sein, z. B. in der Tx-Betriebsart das Tx-RF-Signal 406143 in das Tx-Signal 406153 zu verstärken und/oder z. B. in der Rx-Betriebsart das Rx-RF-Signal 406155, beispielsweise von einer oder mehreren Antennen 406150, in das Rx-RF-Signal 406147 zu verstärken.
  • In einigen anschaulichen Aspekten kann der bidirektionale RF-Verstärker 406140 einen ersten RF-Verstärker (in 406 nicht gezeigt) zum Verstärken von Signalen in der Tx-Betriebsart und einen zweiten RF-Verstärker (in 406 nicht gezeigt) zum Verstärken von Signalen in der Rx-Betriebsart aufweisen, z. B. wie nachstehend beschrieben.
  • In einem Beispiel kann der bidirektionale RF-Verstärker 406140 den ersten RF-Verstärker (in 406 nicht gezeigt), z. B. einen Leistungsverstärker (PA), der konfiguriert sein kann, in der Tx-Betriebsart das Tx-RF-Signal 406143 aus dem bidirektionalen Mischer 406130 in ein Tx-Signal 406153 zu verstärken; und den zweiten RF-Verstärker (in 406 nicht gezeigt), z. B. einen rauscharmem Verstärker (LNA), der konfiguriert sein kann, in der Rx-Betriebsart das Rx-Signal 406155 in das erste RF-Signal, z. B. das Rx-RF-Signal 406147, das für den bidirektionalen Mischer 406130 bereitgestellt werden soll, zu verstärken, aufweisen.
  • In einem Beispiel kann der bidirektionale IF-Verstärker 406140 einen differentiellen bidirektionalen RF-Verstärker zum Verstärken eines differentiellen RF-Signals, z. B. des differentiellen RF-Signals 406155, und/oder eines differentiellen Tx-RF-Signals, z. B. des differentiellen Tx-RF-Signals 406143, aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 406130 einen ersten Spannungsanschluss 406131 und einen zweiten Spannungsanschluss 406132 aufweisen, die konfiguriert sein können, eine oder mehrere Bias-Spannungen an den bidirektionalen Mischer 406130 anzulegen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 406130 eine Mischschaltung (in 406 nicht gezeigt) aufweisen, die konfiguriert sein kann, in der Aufwärtsumsetzungsbetriebsart zu arbeiten, beispielsweise wenn eine erste Bias-Spannung an den ersten Spannungsanschluss 406131 angelegt werden soll und eine zweite Bias-Spannung an den zweiten Spannungsanschluss 406132 angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 konfiguriert sein, in der Abwärtsumsetzungsbetriebsart zu arbeiten, beispielsweise wenn die zweite Bias-Spannung an den ersten Spannungsanschluss 406131 angelegt werden soll und die erste Bias-Spannung an den zweiten Spannungsanschluss 406132 angelegt werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die zweite Bias-Spannung niedriger sein als die erste Bias-Spannung.
  • In einigen anschaulichen Aspekten kann die erste Bias-Spannung eine positive Spannung sein, beispielsweise eine Spannung im Bereich von 1-5 Volt oder irgendeine andere Spannung, und/oder die zweite Bias-Spannung kann eine Nullspannung und/oder eine Spannung nahe null sein.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 konfiguriert sein, z. B. in der Abwärtsumsetzungsbetriebsart, beispielsweise das erste RF-Signal an dem RF-Anschluss 406139, z. B. das Rx-RF-Signal 406147, in ein erstes IF-Signal an dem IF-Anschluss 406133, z. B. das Rx-RF-Signal 406127, abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 konfiguriert sein, z. B. in der Aufwärtsumsetzungsbetriebsart, beispielsweise ein zweites IF-Signal an dem IF-Anschluss 406133, z. B. das Tx-IF-Signal 406123, in ein zweites RF-Signal an dem RF-Anschluss 406139, z. B. das Tx-RF-Signal 406143, aufwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise eine Gilbert-Zelle (in 406 nicht gezeigt) aufweisen, die beispielsweise mehrere Transistoren aufweisen kann, die konfiguriert sein können, das Tx-IF-Signal 406123 in das Tx-RF-Signal 406143 aufwärtsumzusetzen, beispielsweise in der Aufwärtsumsetzungsbetriebsart, und/oder das Rx-RF-Signal 406147 in das Rx-IF-Signal 406127 abwärtsumzusetzen, beispielsweise in der Abwärtsumsetzungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können beispielsweise die mehreren Transistoren der Gilbert-Zelle einen oder mehrere Feldeffekttransistoren (FETs) aufweisen.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise einen ersten Transformator (in 406 nicht gezeigt) aufweisen, der konfiguriert sein kann, die Drains der mehreren Transistoren mit dem RF-Anschluss 406139 und mit dem Spannungsanschluss 406131 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise einen zweiten Transformator (in 406 nicht gezeigt) aufweisen, der konfiguriert sein kann, die Sources der mehreren Transistoren mit dem IF-Anschluss 406133 und mit dem Spannungsanschluss 406132 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise einen LO-Anschluss (in 406 nicht gezeigt) aufweisen, der konfiguriert sein kann, das LO-Signal 406137 aus dem LO 406135 mit Gates der mehreren Transistoren der Gilbert-Zelle zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Transformator, beispielsweise in der Aufwärtsumsetzungsbetriebsart, das Tx-IF-Signal 406123 und die zweite Bias-Spannung für die Sources der mehreren Transistoren der Gilbert-Zelle bereitstellen. Beispielsweise kann die Gilbert-Zelle konfiguriert sein, das Tx-IF-Signal 406123 mit dem LO-Signal 406137 zu mischen, beispielsweise um ein gemischtes RF-Signal für die Drains der mehreren Transistoren der Gilbert-Zelle bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transformator, beispielsweise in der Aufwärtsumsetzungsbetriebsart, das gemischte RF-Signal an den Drains der mehreren Transistoren in das Tx-RF-Signal 406143 kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transformator in der Abwärtsumsetzungsbetriebsart konfiguriert sein, das Rx-RF-Signal 406147 und die zweite Bias-Spannung für die Drains der mehreren Transistoren bereitzustellen. Beispielsweise kann die Gilbert-Zelle konfiguriert sein, das Rx-RF-Signal 406147 mit dem LO-Signal 406137 zu mischen, um beispielsweise ein gemischtes IF-Signal für die Sources der mehreren Transistoren bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Transformator, beispielsweise in der Abwärtsumsetzungsbetriebsart, das gemischte IF-Signal an den Sources der mehreren Transistoren in das Rx-IF-Signal 406127 kombinieren, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise einen ersten Schalter (in 406 nicht gezeigt) aufweisen, der konfiguriert sein kann, z. B. in der Aufwärtsumsetzungsbetriebsart, die erste Bias-Spannung mit dem Spannungsanschluss 406131 zu koppeln, z. B. die erste Bias-Spannung mit den Drains der mehreren Transistoren der Gilbert-Zelle zu koppeln; und, z. B. in der Abwärtsumsetzungsbetriebsart, die zweite Bias-Spannung mit dem Spannungsanschluss 406131 zu koppeln, z. B. die zweite Bias-Spannung mit den Drains der mehreren Transistoren der Gilbert-Zelle zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung des bidirektionalen Mischers 406130 beispielsweise einen zweiten Schalter (in 406 nicht gezeigt) aufweisen, der konfiguriert sein kann, z. B. in der Aufwärtsumsetzungsbetriebsart, die zweite Bias-Spannung mit dem Spannungsanschluss 406132 zu koppeln, z. B. die zweite Bias-Spannung mit den Sources der mehreren Transistoren der Gilbert-Zelle zu koppeln; und, z. B. in der Abwärtsumsetzungsbetriebsart, die erste Bias-Spannung mit dem Spannungsanschluss 406132 zu koppeln, z. B. die erste Bias-Spannung mit den Sources der mehreren Transistoren der Gilbert-Zelle zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 406100 eine Steuereinheit aufweisen, z. B. die Steuereinheitschaltung 406160, die konfiguriert sein kann, beispielsweise eine Arbeitsrichtung des bidirektionalen IF-Verstärkers 406120, des bidirektionalen Mischers 406130 und/oder des bidirektionalen RF-Verstärkers 406140 umzuschalten, beispielsweise basierend auf der Tx-Betriebsart und/oder der Rx-Betriebsart des Sendeempfängers 406100, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 406160 mit einer Steuerleitung 406161 betriebstechnisch gekoppelt sein, die konfiguriert sein kann, die erste Bias-Spannung an den Spannungsanschluss 406131 anzulegen, beispielsweise in der Aufwärtsumsetzungsbetriebsart, und die zweite Bias-Spannung an den Spannungsanschluss 406131 anzulegen, beispielsweise in der Abwärtsumsetzungsbetriebsart, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 406160 mit einer Steuerleitung 406162 betriebstechnisch gekoppelt sein, die konfiguriert sein kann, beispielsweise in der Aufwärtsumsetzungsbetriebsart die zweite Bias-Spannung an den Spannungsanschluss 406132 anzulegen und in der Abwärtsumsetzungsbetriebsart beispielsweise die erste Bias-Spannung an den Spannungsanschluss 406132 anzulegen, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 406160 konfiguriert sein, die Richtung des bidirektionalen Mischers 406130 umzuschalten, beispielsweise durch Schalten zwischen dem Anlegen der ersten Bias-Spannung an den Spannungsanschluss 406131 und dem Anlegen der zweite Bias-Spannung an den Spannungsanschluss 406131, z. B. über die Steuerleitung 406161; und Schalten zwischen dem Anlegen der zweiten Bias-Spannung an den Spannungsanschluss 406132 und Anlegen der ersten Bias-Spannung an den Spannungsanschluss 406132, z. B. über eine Steuerleitung 406162, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 406160 konfiguriert sein, den bidirektionalen Mischer 406130 in die Aufwärtsumsetzungsbetriebsart zu schalten, beispielsweise durch Anlegen der ersten Bias-Spannung, beispielsweise über den Spannungsanschluss 406131, an die Drains der mehreren Transistoren des bidirektionalen Mischers 406130 und durch Anlegen der zweiten Bias-Spannung beispielsweise über den Spannungsanschluss 406132 an die Sources der mehreren Transistoren des bidirektionalen Mischers 406130, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 406160 konfiguriert sein, den bidirektionalen Mischer 406130 in die Abwärtsumsetzungsbetriebsart zu schalten, beispielsweise durch Anlegen der ersten Bias-Spannung, beispielsweise über den Spannungsanschluss 406132, an die Sources der mehreren Transistoren des bidirektionalen Mischers 406130 und durch Anlegen der zweiten Bias-Spannung beispielsweise über den Spannungsanschluss 406131 an die Drains der mehreren Transistoren des bidirektionalen Mischers 406130, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann in der Tx-Betriebsart das Basisband 406100 ein Basisbandsignal, z. B. das Basisbandsignal 406113, für den bidirektionalen IF-Verstärker, z. B. den bidirektionalen IF-Verstärker 406120, bereitstellen. Beispielsweise kann der bidirektionale IF-Verstärker 406120 das Basisbandsignal 406113 in das Tx-IF-Signal 406123 verstärken.
  • In einigen anschaulichen Aspekten kann in der Tx-Betriebsart der bidirektionale Mischer 406130 das Tx-IF-Signal 406123 an dem IF-Anschluss 406133 empfangen und kann das Tx-IF-Signal 406123 in das Tx-RF-Signal 406143 aufwärtsumsetzen.
  • In einigen anschaulichen Aspekten kann in der Tx-Betriebsart der bidirektionale RF-Verstärker 406140 das Tx-RF-Signal 406143 von dem RF-Anschluss 406139 des bidirektionalen Mischers 406130 empfangen und kann das Tx-RF-Signal 406143 in das Tx-Signal 406153 verstärken, das beispielsweise durch eine oder mehrere Antennen 406150 gesendet werden kann.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart der bidirektionale RF-Verstärker 406140 das Rx-Signal 406155 von einer oder mehreren Antennen 406150 empfangen und kann beispielsweise das Rx-Signal 406155 in das Rx-RF-Signal 406147 verstärken.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart der bidirektionale Mischer 406130 das Rx-RF-Signal 406147 in das IF-Signal 406127 abwärtsumsetzen.
  • In einigen anschaulichen Aspekten kann in der Rx-Betriebsart der bidirektionale IF-Verstärker 406120 das Rx-IF-Signal 406127 aus dem IF-Anschluss 406133 des bidirektionalen Mischers 406130 in das Rx-Basisbandsignal 406117 verstärken.
  • Es wird jetzt auf 407 Bezug genommen, die ein Blockdiagramm eines Halbduplex-Sendeempfängers 407100 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 konfiguriert sein, in der Tx-Betriebsart und/oder der Rx-Betriebsart zu arbeiten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 beispielsweise einen 60 GHz-Sendeempfänger aufweisen, der konfiguriert ist, beispielsweise über ein 60 GHz-Frequenzband die Tx-Signale zu senden und die Rx-Signale zu empfangen. In anderen Aspekten können andere Frequenzbänder verwendet werden.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 einen zellularen 5G-Sendeempfänger aufweisen. In anderen Aspekten kann der Sendeempfänger 407100 irgendeinen anderen Typ eines Sendeempfängers aufweisen und/oder kann konfiguriert sein, die Tx- und/oder Rx-Signale über irgendein anderes Frequenzband zu kommunizieren.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 eine oder mehrere Antennen 407150 aufweisen oder kann betriebstechnisch damit gekoppelt sein. Beispielsweise, können eine oder mehrere Antennen 407150 konfiguriert sein, ein oder mehrere RF-Signale zu senden und/oder zu empfangen. Beispielsweise können die Antennen 407150 eine oder mehrere Antennen einer phasengesteuerten Gruppe, eine chip-interne Antenne und/oder irgendeinen anderen Typ von Antennen aufweisen.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 ein Basisband 407110 aufweisen, das konfiguriert sein kann, die Basisbandsignale 407010 und 407015 zu erzeugen und/oder zu verarbeiten, z. B. wie nachstehend beschrieben. Beispielsweise kann das Basisband 407110 ein digitales Basisband zum Verarbeiten digitaler Daten und/oder ein analoges Basisband beispielsweise zum Verarbeiten analoger Signale aufweisen. Beispielsweise kann das Basisband 407110 ein differentielles Basisband aufweisen, das konfiguriert sein kann, ein differentielles Basisbandsignal zu verarbeiten.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 einen Tx-IF-Verstärker 407120 aufweisen, der konfiguriert sein kann, beispielsweise ein Tx-Basisbandsignal 407010 in ein erstes Tx-IF-Signal 407020 und/oder ein zweites Tx-IF-Signal 407025 zu verstärken.
  • In einigen anschaulichen Aspekten kann der Tx-IF-Verstärker 407120 beispielsweise einen differentiellen IF-Verstärker aufweisen, der einen differentiellen Eingang und einen differentiellen Ausgang aufweist. In anderen Aspekten kann irgendein anderer differentieller und/oder nicht differentieller IF-Verstärker verwendet sein.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 eine Rx-IF-Verstärker 407125 aufweisen, der konfiguriert sein kann, beispielsweise ein erstes Rx-IF-Signal 407030 und/oder ein zweites Rx-IF-Signal 407035 in ein Rx-Basisbandsignal 407015 zu verstärken. Beispielsweise kann der Rx-IF-Verstärker 407125 beispielsweise einen differentiellen IF-Verstärker aufweisen, der einen differentiellen Eingang und einen differentiellen Ausgang aufweist. In anderen Aspekten kann irgendein anderer differentieller und/oder nicht differentieller IF-Verstärker verwendet sein.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 einen Splitter 407127 aufweisen, der konfiguriert sein kann, beispielsweise das erste Tx-IF-Signal 407020 und/oder das zweite Tx-IF-Signal 407025 aus dem Tx-IF-Verstärker 407120 zu einem bidirektionalen Mischer 407130 zu verteilen und beispielsweise das erste Rx-IF-Signal 407030 und/oder das zweite Rx-IF-Signal 407035 aus dem bidirektionalen Mischer 407130 zu dem Rx-IF-Verstärker 407125 zu verteilen. Beispielsweise kann die Verwendung des Splitters 407127 optional sein, und in anderen Aspekten kann der Splitter 407127 nicht enthalten sein.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 konfiguriert sein, das erste Tx-IF-Signal 407020 und/oder das zweite Tx-RF-Signal 407025 in ein erstes Tx-RF-Signal 407040 und/oder ein zweites Tx-RF-Signal 407045 aufwärtsumzusetzen; und/oder beispielsweise ein erstes Rx-RF-Signal 407050 und/oder ein zweites Rx-RF-Signal 407055 in das erste Rx-IF-Signal 407030 und/oder das zweite Rx-IF-Signal 407035 abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 einen differentiellen bidirektionalen Mischer aufweisen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 beispielsweise einen IF-Anschluss 407133 zum Eingeben und/oder Ausgeben von IF-Signalen, z. B. zum Eingeben des ersten Tx-IF-Signals 407020 und/oder des zweiten Tx-IF-Signals 407025 und/oder zum Ausgeben des ersten Rx-IF-Signals 407030 und/oder des zweiten Rx-IF-Signals 407035, aufweisen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 beispielsweise einen RF-Anschluss 407134 zum Eingeben und/oder Ausgeben von RF-Signalen, z. B. zum Ausgeben des ersten Tx-RF-Signals 407040 und/oder des zweiten Tx-RF-Signals 407045 und/oder zum Eingeben des ersten Rx-RF-Signals 407050 und/oder des zweiten Rx-RF-Signals 407055, aufweisen.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 beispielsweise einen ersten Spannungsanschluss 407131 zum Aufnehmen einer ersten Bias-Spannung und/oder einer zweiten Bias-Spannung über eine erste Steuerleitung 407060 und einen zweiten Spannungsanschluss 407132 zum Aufnehmen der ersten Bias-Spannung und/oder der zweiten Bias-Spannung über eine zweite Steuerleitung 407065 aufweisen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 einen LO 407135 zum Erzeugen eines ersten LO-Signals 407070 und/oder eines zweiten LO-Signals 407075 aufweisen oder kann betriebstechnisch damit gekoppelt sein, z. B. wie nachstehend beschrieben. Beispielsweise können das erste LO-Signal 407070 und/oder das zweite LO-Signal 407075 eine Frequenz von 60 GHz und/oder irgendeine andere Frequenz aufweisen.
  • In einigen anschaulichen Aspekten können das erste LO-Signal 407070 und/oder das zweite LO-Signal 407075 an den bidirektionalen Mischer 407130 angelegt werden, um beispielsweise das erste Tx-IF-Signal 407020 und das zweite Tx-IF-Signal 407025 aufwärtsumzusetzen; und/oder beispielsweise das erste Rx-RF-Signal 407050 und das zweite Rx-RF-Signal 407055 abwärtsumzusetzen, z. B. wie nachstehend beschrieben. In einem Beispiel können das erste LO-Signal 407070 und/oder das zweiten LO-Signal 407075 als ein differentielles Signal implementiert sein.
  • In einigen anschaulichen Aspekten kann der Sendeempfänger 407100 eine Steuereinheit, aufweisen, z. B. eine Steuerschaltung 407160, die konfiguriert sein kann, beispielsweise eine Arbeitsrichtung des bidirektionalen Mischers 407130 umzuschalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuerschaltung 407160 konfiguriert sein, beispielsweise über die erste Steuerleitung 407060 die erste Bias-Spannung an den Spannungsanschluss 407131 anzulegen, z. B. in der Aufwärtsumsetzungsbetriebsart, und die zweite Bias-Spannung an den Spannungsanschluss 407131 anzulegen, z. B. in der Abwärtsumsetzungsbetriebsart, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 407160 konfiguriert sein, beispielsweise über die zweite Steuerleitung 407065 die zweite Bias-Spannung an den Spannungsanschluss 407132 anzulegen, z. B. in der Aufwärtsumsetzungsbetriebsart, und die erste Bias-Spannung an den Spannungsanschluss 407132 anzulegen, z. B. in der Abwärtsumsetzungsbetriebsart, wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 407160 konfiguriert sein, die Richtung des bidirektionalen Mischers 406130 umzuschalten, beispielsweise durch Schalten zwischen dem Anlegen der ersten Bias-Spannung und Anlegen der zweiten Bias-Spannung an den Spannungsanschluss 407131, z. B. über eine erste Steuerleitung 407060, und/oder durch Schalten zwischen dem Anlegen der zweiten Bias-Spannung und Anlegen der ersten Bias-Spannung an den Spannungsanschluss 406132, z. B. über eine zweite Steuerleitung 407065, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 407130 mehrere Transistoren aufweisen, z. B. in einer Gilbert-Zellen-Anordnung, beispielsweise in einer Gilbert-Zellen-Anordnung, die konfiguriert sein kann das erste Tx-IF-Signal 407020 und das zweite Tx-IF-Signal 407025 in das erste Tx-RF-Signal 407040 und das zweite Tx-RF-Signal 407045 aufwärtsumzusetzen, beispielsweise in der Aufwärtsumsetzungsbetriebsart, und/oder das erste Rx-RF-Signal 407055 und das zweite Rx-RF-Signal 407050 in das erste Rx-IF-Signal 407030 und das zweite Rx-IF-Signal 407035 abwärtsumzusetzen, beispielsweise in der Abwärtsumsetzungsbetriebsart z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 407160 konfiguriert sein, den bidirektionalen Mischer 407130 in die Aufwärtsumsetzungsbetriebsart zu schalten, beispielsweise durch Anlegen der ersten Bias-Spannung, beispielsweise über den Spannungsanschluss 407131, an Drains der mehreren Transistoren des bidirektionalen Mischers 407130 und/oder durch Anlegen der zweiten Bias-Spannung, beispielsweise über den Spannungsanschluss 407132, an Sources der mehreren Transistoren des bidirektionalen Mischers 407130, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Steuereinheitschaltung 407160 konfiguriert sein, den bidirektionalen Mischer 407130 in die Abwärtsumsetzungsbetriebsart zu schalten, beispielsweise durch Anlegen der ersten Bias-Spannung, beispielsweise über den Spannungsanschluss 407132, an die Sources der mehreren Transistoren des bidirektionalen Mischers 407130 und/oder durch Anlegen der zweiten Bias-Spannung, beispielsweise über den Spannungsanschluss 407131, an die Drains der mehreren Transistoren des bidirektionalen Mischers 407130, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der Halbduplex-Sendeempfänger 407100 einen Splitter 407137 aufweisen, der konfiguriert sein kann, beispielsweise das erste Rx-RF-Signal 407050 und/oder das zweite Rx-RF-Signal 407055 aus einem Rx-Tx-Verstärker 407145 zu einem bidirektionalen Mischer 407130 zu verteilen und beispielsweise das erste Tx-RF-Signal 407040 und/oder das zweite Tx-RF-Signal 407045 aus dem bidirektionalen Mischer 407130 zu einem Tx-RF-Verstärker 407140 zu verteilen. Beispielsweise kann die Verwendung des Splitters 407130 optional sein, und in anderen Aspekten kann der Splitter 407130 nicht enthalten sein.
  • In einigen anschaulichen Aspekten kann der Tx-RF-Verstärker 407140 konfiguriert ein, beispielsweise das erste Tx-RF-Signal 407040 und/oder das zweite Tx-RF-Signal 407045 in ein RF-Signal 407060 zu verstärken und das RF-Signal 407060 für eine oder mehrere der Antennen 407150 bereitzustellen. Beispielsweise kann der Tx-RF-Verstärker 407140 einen differentiellen PA aufweisen, der einen differentiellen Eingang und einen differentiellen Ausgang aufweist.
  • In einigen anschaulichen Aspekten kann der Tx-RF-Verstärker 407140 einen Outphasing-Verstärker, z. B. wie vorstehend mit Bezug auf 390 beschrieben, einen Doherty-Leistungsverstärker, z. B. wie vorstehend beschrieben mit Bezug auf 387 beschrieben, einen digitalen Leistungsverstärker, z. B. wie vorstehend mit Bezug auf 380 beschrieben, und/oder irgendeinen anderen Verstärker aufweisen.
  • In einigen Aspekten kann der Rx-RF-Verstärker 407145 konfiguriert sein, ein RF-Signal 407070 von einer oder mehreren der Antennen 407150 in das erste Rx-RF-Signal 407050 und/oder das zweite Rx-RF-Signal 407055 zu verstärken. In einigen anschaulichen Aspekten kann der Rx-RF-Verstärker 407145 einen differentiellen LNA aufweisen, der einen Eingang und einen differentiellen Ausgang aufweist. In anderen Aspekten kann der Rx-RF-Verstärker 407145 einen nicht differentiellen LNA, einen Breitband-LNA und/oder irgendeinen anderen Typ eines LNA aufweisen.
  • Es wird jetzt auf 408 Bezug genommen, die einen bidirektionalen Mischer 408000 in Übereinstimmung mit einigen anschaulichen Aspekten schematisch darstellt. Beispielsweise können ein oder mehrere Elemente und/oder Komponenten des bidirektionalen Mischers 408000 als Teil eines bidirektionalen Mischers 406130, z. B. wie vorstehend mit Bezug auf 406 beschrieben, und/oder des bidirektionalen Mischers 407130, z. B. wie vorstehend mit Bezug auf 407 beschrieben, implementiert sein.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 408000 beispielsweise einen RF-Anschluss 408105 aufweisen, der konfiguriert sein kann, ein erstes RF-Signal 408106 zu empfangen, beispielsweise von einem Rx-RF-Verstärker, z. B. dem Rx-RF-Verstärker 407145 (407), und/oder ein zweites RF-Signal 408103 beispielsweise für einen Tx-RF-Verstärker, z. B. den Tx-RF-Verstärker 407140 (407) bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 408000 beispielsweise einen IF-Anschluss 408160 aufweisen, der konfiguriert sein kann, ein erstes IF-Signal 408166 zu empfangen, beispielsweise von einem Tx-IF-Verstärker, z. B. dem Tx-IF-Verstärker 407120 (407), und/oder ein zweites IF-Signal 408163 beispielsweise für einen Rx-IF-Verstärker, z. B. den Rx-IF-Verstärker 407125 (407) bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 408000 beispielsweise einen ersten Spannungsanschluss 408170 aufweisen, der konfiguriert sein kann, beispielsweise eine erste Bias-Spannung 408175, z. B. VDD, und/oder eine zweite Bias-Spannung 408185, z. B. VSS, anzulegen, beispielsweise basierend darauf, ob der bidirektionale Mischer 408000 in einer Aufwärtsumsetzungsbetriebsart oder einer Abwärtsumsetzungsbetriebsart betrieben werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 408000 beispielsweise einen zweiten Spannungsanschluss 408180 aufweisen, der konfiguriert sein kann, beispielsweise die erste Bias-Spannung 408175, z. B. VDD, und/oder die zweite Bias-Spannung 408185, z. B. VSS, anzulegen, beispielsweise basierend darauf, ob der bidirektionale Mischer 408000 in einer Aufwärtsumsetzungsbetriebsart oder einer Abwärtsumsetzungsbetriebsart betrieben werden soll, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die erste Bias-Spannung 408175 eine positive Spannung sein, beispielsweise eine Spannung im Bereich von 1-5 Volt oder irgendeine andere Spannung, und/oder die zweite Bias-Spannung 408185 kann eine Nullspannung und/oder eine Spannung nahe null sein.
  • In einigen anschaulichen Aspekten kann der bidirektionale Mischer 408000 beispielsweise die Mischschaltung 408100 aufweisen, die konfiguriert sein kann, beispielsweise in der Aufwärtsumsetzungsbetriebsart zu arbeiten, beispielsweise wenn die erste Bias-Spannung 408175, z. B. VDD, an den ersten Spannungsanschluss 408170 angelegt ist und die zweite Bias-Spannung 408185, z. B. VSS, an den zweiten Spannungsanschluss 408180 angelegt ist, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 konfiguriert sein, beispielsweise in der Abwärtsumsetzungsbetriebsart zu arbeiten, wenn die zweite Bias-Spannung 408185, z. B. VSS, an den ersten Spannungsanschluss 408170 angelegt sein kann und die erste Bias-Spannung 408175, z. B. VDD, an den zweiten Spannungsanschluss 408180 angelegt sein kann, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 konfiguriert sein, beispielsweise in der Abwärtsumsetzungsbetriebsart das erste RF-Signal 408106 an dem RF-Anschluss 408106 beispielsweise in das erste IF-Signal 408163 an dem IF-Anschluss 408160 abwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 konfiguriert sein, beispielsweise in der Aufwärtsumsetzungsbetriebsart beispielsweise ein zweites IF-Signal 408166 an dem IF-Anschluss 408160 in beispielsweise ein zweites RF-Signal 408103 an dem RF-Anschluss 408105 aufwärtsumzusetzen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise eine Gilbert-Zelle 408120 aufweisen, die mehrere Transistoren aufweist, die beispielsweise die Transistoren 408122, 408124, 408126 und/oder 408128 enthalten, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten können die mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Transistoren 408122, 408124, 408126 und/oder 408128, einen oder mehrere FETs enthalten.
  • In einigen anschaulichen Aspekten können die mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Transistoren 408122, 408124, 408126 und/oder 408128, konfiguriert sein, beispielsweise das zweite IF-Signal 408166 in das zweite RF-Signal 408103 aufwärtsumzusetzen, z. B. in der Aufwärtsumsetzungsbetriebsart; und/oder beispielsweise das erste RF-Signal 408106 in das erste IF-Signal 408163 abwärtsumzusetzen, z. B. in der Abwärtsumsetzungsbetriebsart.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise einen ersten Transformator 408110 aufweisen, der konfiguriert sein kann, beispielsweise die Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Drains der Transistoren 408122, 408124, 408126 und/oder 408128, mit dem RF-Anschluss 408160 und mit dem ersten Spannungsanschluss 408170 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise einen zweiten Transformator 408130 aufweisen, der konfiguriert sein kann, beispielsweise die Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Sources der Transistoren 408122, 408124, 408126 und/oder 408128, mit dem IF-Anschluss 408160 und dem zweiten Spannungsanschluss 408180 zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise einen LO-Anschluss 408132 aufweisen, der konfiguriert sein kann, beispielsweise ein LO-Signal 408136 mit den Gates der mehreren Transistoren der Gilbert-Zelle 408120, z. B. den Gates der Transistoren 408122, 408124, 408126 und/oder 408128, zu koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der LO-Anschluss 408132 konfiguriert sein, an die Gilbert-Zelle 408120 eine positive LO-Signal-(LO+-) Komponente und/oder eine negative LO-Signal- (LO--) Komponente des LO-Signals 408136 anzulegen.
  • In einigen anschaulichen Aspekten kann der erste Transformator 408110 konfiguriert sein, z. B. in der Abwärtsumsetzungsbetriebsart, beispielsweise das erste RF-Signal 408106 und die zweite Bias-Spannung 408185, z. B. VSS, für die Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Drains der Transistoren 408122, 408124, 408126 und/oder 408128, bereitzustellen.
  • In einigen anschaulichen Aspekten kann beispielsweise die Gilbert-Zelle 408120 konfiguriert sein, z. B. in der Abwärtsumsetzungsbetriebsart, das erste RF-Signal 408106 mit dem LO-Signal 408136 zu mischen, beispielsweise um ein gemischtes RF-Signal für die Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Sources der Transistoren 408122, 408124, 408126 und/oder 408128, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der zweite Transformator 408130 konfiguriert sein, z. B. in der Abwärtsumsetzungsbetriebsart, beispielsweise das gemischte RF-Signal an den Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, in das zweite IF-Signal 408163 zu kombinieren.
  • In einigen anschaulichen Aspekten kann der zweite Transformator 408130 konfiguriert sein, z. B. in der Aufwärtsumsetzungsbetriebsart, beispielsweise das zweite IF-Signal 408166 und die zweite Bias-Spannung 408185, z. B. VDD, für die Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann beispielsweise die Gilbert-Zelle 408120 konfiguriert sein, z. B. in der Aufwärtsumsetzungsbetriebsart, das zweite IF-Signal 408166 mit dem LO-Signal 408136 zu mischen, beispielsweise um ein gemischtes RF-Signal für die Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, bereitzustellen, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann der erste Transformator 408110 konfiguriert sein, z. B. in der Aufwärtsumsetzungsbetriebsart, beispielsweise das gemischte IF-Signal an den Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, in das erste RF-Signal 408103 zu kombinieren.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise einen ersten Schalter 408140 aufweisen, der beispielsweise mit dem ersten Spannungsanschluss 408170 betriebstechnisch gekoppelt sein kann. Beispielsweise in der Aufwärtsumsetzungsbetriebsart kann der erste Schalter 408140 die erste Bias-Spannung 408175, z. B. VDD, mit den Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. den Drains der Transistoren 408122, 408124, 408126 und/oder 408128, koppeln. Beispielsweise kann in der Abwärtsumsetzungsbetriebsart der erste Schalter 408140 die zweite Bias-Spannung 408185, z. B. VSS, mit den Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, koppeln, z. B. wie nachstehend beschrieben.
  • In einigen anschaulichen Aspekten kann ein Steuersignal 408190 konfiguriert sein, den ersten Schalter 408140 zu steuern, selektiv die erste Bias-Spannung 408175, z. B. VDD, oder die zweite Bias-Spannung 48185, z. B. VSS, an die Gilbert-Zelle 408120 über den ersten Transformator 408110 anzulegen.
  • In einigen anschaulichen Aspekten kann der erste Schalter 408140 mehrere Transistoren aufweisen, die konfiguriert sein können, beispielsweise in der Aufwärtsumsetzungsbetriebsart, die erste Bias-Spannung 408175, z. B. VDD, beispielsweise aus dem ersten Spannungsanschluss 408170, mit den Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. de Drains der Transistoren 408122, 408124, 408126 und/oder 408128, zu koppeln.
  • In einigen anschaulichen Aspekten kann der erste Schalter 408140 mehrere Transistoren aufweisen, die konfiguriert sein können, beispielsweise in der Abwärtsumsetzungsbetriebsart die zweite Bias-Spannung 408175, z. B. VSS, beispielsweise aus dem ersten Spannungsanschluss 408170, mit den Drains der mehreren Transistoren der Gilbert-Zelle 408120, z. B. den Drains der Transistoren 408122, 408124, 408126 und/oder 408128, zu koppeln.
  • In einigen anschaulichen Aspekten kann die Mischschaltung 408100 beispielsweise einen zweiten Schalter 408150 aufweisen, der beispielsweise mit dem zweiten Spannungsanschluss 408180 betriebstechnisch gekoppelt sein kann. Beispielsweise kann in der Aufwärtsumsetzungsbetriebsart der zweite Schalter 408150 die zweite Bias-Spannung 408185, z. B. VSS, mit den Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, koppeln.
  • In einigen anschaulichen Aspekten kann in der Abwärtsumsetzungsbetriebsart der zweite Schalter 408150 die erste Bias-Spannung 408175, z. B. VDD, mit den Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. der Transistoren 408122, 408124, 408126 und/oder 408128, koppeln.
  • In einigen anschaulichen Aspekten kann ein Steuersignal 408195 konfiguriert sein, den zweiten Schalter 408150 zu steuern, beispielsweise die erste Bias-Spannung 408175, z. B. VDD, oder die zweite Bias-Spannung 408185, z. B. VSS, an die Gilbert-Zelle 408120 über den zweiten Transformator 408130 anzulegen.
  • In einigen anschaulichen Aspekten kann der zweite Schalter 408150 mehrere Transistoren aufweisen, die konfiguriert sein können, beispielsweise in der Abwärtsumsetzungsbetriebsart die erste Bias-Spannung 408175, z. B. VDD, beispielsweise aus dem zweiten Spannungsanschluss 408180, mit den Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. den Sources der Transistoren 408122, 408124, 408126 und/oder 408128, zu koppeln.
  • In einigen anschaulichen Aspekten kann der zweite Schalter 408150 mehrere Transistoren aufweisen, die konfiguriert sein können, beispielsweise in der Aufwärtsumsetzungsbetriebsart die zweite Bias-Spannung 408175, z. B. VSS, beispielsweise aus dem zweiten Spannungsanschluss 408180, mit den Sources der mehreren Transistoren der Gilbert-Zelle 408120, z. B. den Sources der Transistoren 408122, 408124, 408126 und/oder 408128, zu koppeln.
  • In einigen anschaulichen Aspekten können der erste Schalter 408140 und/oder der zweite Schalter 480150 beispielsweise mehrere Transistoren aufweisen, die konfiguriert sein können, zwischen der ersten und der zweiten Bias-Spannung umzuschalten, die an beispielsweise die mehreren Transistoren der Gilbert-Zelle 408120 angelegt werden sollen, z. B. wie nachstehend beschrieben.
  • Beispielsweise können, wie in 408 gezeigt ist, die mehreren Transistoren des Schalters 408150 einen Transistor 408156 und einen Transistor 408153 aufweisen. Beispielsweise kann der Transistor 408156 konfiguriert sein, die erste Bias-Spannung 408175, z. B. VDD, beispielsweise an die mehreren Transistoren der Gilbert-Zelle 408120 anzulegen, z. B. wie nachstehend beschrieben. Beispielsweise kann der Transistor 408153 konfiguriert sein, die zweite Bias-Spannung 408175, z. B. VSS, beispielsweise an die mehreren Transistoren der Gilbert-Zelle 408120 anzulegen, z. B. wie nachstehend beschrieben.
  • Beispielsweise kann der Transistor 408156 konfiguriert sein, beispielsweise die erste Bias-Spannung 408175, z. B. VDD, an die mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Transistoren 408122, 408124, 408126 und/oder 408128, anzulegen, wenn ein Spannungspegel des Steuersignals 408195 an einem Gate des Transistors 408156 beispielsweise in einem Bereich von 1 bis 5 Volt sein kann. Beispielsweise kann der Transistor 408153 konfiguriert sein, beispielsweise die zweite Bias-Spannung 408185, z. B. VSS, an beispielsweise die mehreren Transistoren der Gilbert-Zelle 408120, z. B. die Transistoren 408122, 408124, 408126 und/oder 408128, anzulegen, wenn ein Spannungspegel des Steuersignals 408195 an einem Gate des Transistors 408153 beispielsweise in einem Bereich von 1 bis 5 Volt sein kann.
  • In einigen anschaulichen Aspekten können die Transistoren 408153 und/oder 408156 einen FET enthalten. In anderen Aspekten können die Transistoren 408153 und/oder 408156 irgendeinen anderen Typ von Transistoren enthalten.
  • Es ist eine Herausforderung für mmWellen-RFEMs, vollständige oder nahezu vollständige Richtungsabdeckung mit einem hohen Antennengewinn bereitzustellen. Gewöhnlich wird das durch Antennen einer phasengesteuerten Gruppe, die Strahllenkung bereitstellen, erreicht. Die Anwendung phasengesteuerter Gruppenantennen (wie z. B. eine Gruppe von planaren Patchantennen) stellt selbst nur eine beschränkte Winkelabdeckung bereit. Obwohl Strahllenkung dazu beitragen kann, Energie zu dem vorgesehenen Empfänger zu lenken, beschränkt eine einfache Gruppe die Abdeckung der Lenkungswinkel der Strahllenkung. Zusätzlich ist auch die Polarisation von RF-Signalen eine Herausforderung für mmWellen-RFEMs, wenigstens aus dem Grund, dass dann, wenn zu einer mobilen Vorrichtung gesendet wird, die Position oder Orientierung der mobilen Vorrichtung nicht garantiert werden kann, was zu einem weniger als optimale Signalempfang führt, weil die Polarisation des gesendeten Signals keine geeignete Übereinstimmung mit der Position oder Orientierung der mobilen Vorrichtung sein kann.
  • Diese Herausforderungen sind in hier beschriebenen Aspekten adressiert. In einigen Aspekten der vorliegenden Offenbarung sind Patchantennen verwendet. Eine Patchantenne enthält eine Schmalband-Weitstrahl-Antenne, die durch Ätzen des Antennenelementmusters in eine Metallleiterbahn, die an ein isolierendes dielektrisches Substrat, wie z. B. eine Leiterplatte (PCB), gebondet ist, hergestellt ist. In einige Fällen kann die Masseebene der Antenne unter Verwendung einer kontinuierlichen oder nahezu kontinuierlichen Metallschicht gebildet sein, die an die entgegengesetzten Seite des Substrats gebondet ist, was eine Masseebene bilden kann. In anderen Fällen kann die Masseebene der Antenne unter Verwendung einer kontinuierlichen oder nahezu kontinuierlichen Metallschicht gebildet sein, die an dieselbe Seite wie das Antennenelementmuster gebondet ist.
  • In einigen Aspekten der vorliegenden Offenbarung können eine oder mehrere Abschirmungsabdeckungen für integrierte Schaltungen (IC), die geerdet sein können, als die Antennenmasseebene verwendet sein. Die Verwendung einer solchen Abschirmungsabdeckung als eine Masseebene ist nicht auf Patchantennen beschränkt, sondern kann auch für Monopolantennen, Dipolantennen und Kombinationen aller oder einiger der Vorstehenden angewandt werden.
  • Weiter mit der Diskussion von Patchantennen können Patchantennen durch Verwenden eines Mikrostreifens implementiert sein, der ein gedruckter Metallstreife auf einer Leiterplatte zur RF-Übertragung sein kann. Gewöhnliche Mikrostreifenantennenformen sind quadratisch, rechteckig, rund und elliptisch, es ist jedoch irgendeine kontinuierliche Form möglich. In einigen Aspekten der vorliegenden Offenbarung verwendet eine Patchantenne kein dielektrisches Substrat und ist stattdessen aus einem Metall-Patch oberhalb einer Masseebene unter Verwendung dielektrischer Abstandshalter hergestellt. Der Metall-Patch kann so nahe wie möglich (entsprechend anderen Systemanforderungen) an der Abschirmung montiert sein, die wiederum als die Masseebene funktioniert. Es können Strukturen implementiert sein, um eine größere Bandbreite als das vorstehend erwähnte Schmalband bereitzustellen. Weil solche Antennen ein sehr niedriges Profil aufweisen, mechanisch stabil sind und so geformt sein kann, dass sie die Systemanforderungen erfüllen, können sie in mobile Funkkommunikationsvorrichtungen integriert sein.
  • In einigen Aspekten der vorliegenden Offenbarung können Antennen strikte Platzbeschränkungen unterworfen sein. Beispielsweise wenn Antennen als ein Teil einer mobilen Vorrichtung, wie z. B. eines UE, verwendet werden, können Antennen Platzbeschränkungen unterworfen sein, wie z. B. Beschränkungen für den Grundriss eines elektrischen Platine und/oder Beschränkungen für die Dicke der mobilen Vorrichtung, die zu Höhenbeschränkungen führen kann.
  • Um diese Platzbeschränkungen zu adressieren, können Antennen in einigen Aspekten der vorliegenden Offenbarung IC-Abschirmungen als eine Masseebene verwenden, um einige Anforderungen der Antennen zu erfüllen. Beispielsweise können IC-Abschirmungen, die eine Systemanforderung in irgendeinem Fall sein können, verwendet werden, um ein Strahlungsmuster bereitzustellen, das die Strahlung primär nach außen aus der mobilen Vorrichtung lenkt, wobei wenig oder minimale Strahlungsleistung durch Rückreflexion in die mobile Vorrichtung verloren geht. Das ermöglicht es, dass die Antennen eine gewünschte Strahlung bereitstellen, während sie gleichzeitig mit den Grenzen des eingeschränkten Platzes aufgrund, in einigen Fällen, der Anforderungen für Globalnaya Navigatsionnaya Sputnikovaya Sistema (GLONASS) arbeiten.
  • In einigen Aspekten der vorliegenden Offenbarung können Antennen als eine oder mehrere SMDs integriert sein. In vielen Fällen können SMD-Antennen eine kleine Dicke aufweisen, was zum Übereinstimmen mit den Dickenanforderungen mobiler Vorrichtungen hilfreich ist. Die SMD selbst kann, im Kontext dieses Patents, Material umfassen, das zum Drucken oder auf andere Weise Anbringen einer Antenne oder einer Speiseleitung auf der oder innerhalb der SMD geeignet ist. Die SMD kann ein Hochfrequenzmaterial ähnlich dem der Hauptbaugruppe, die die integrierte Hochfrequenzschaltung (RFIC) beherbergt, sein. Abhängig von der spezifischen Lösung kann das Material so gewählt sein, dass es das gleiche wie die Hauptbaugruppe oder mit unterschiedlicher Dielektrizitätskonstante ist; beispielsweise kann, um die gestapelte Patchlösung von 46 zu optimieren oder zu verbessern, die Dielektrizitätskonstante so gewählt sein, dass sie niedriger ist als die der Hauptbaugruppe. Typischerweise sind für eine gute Antennenleistung eine niedrige Dielektrizitätskonstante und ein geringer Verlustfaktor erwünscht. In einigen Aspekten der vorliegenden Offenbarung kann eine SMD-Antenne, die eine geringere Höhe als die Dicke der mobilen Vorrichtung aufweist, die auf intern verfügbaren Höhe, die nicht verwendet wird, ausnutzen. Beispielsweise kann eine Antenne auf oder innerhalb einer SMD innerhalb der mobilen Vorrichtung implementiert sein, wo andernfalls nicht ausreichend Grundfläche für die Antenne vorhanden wäre. In einigen Aspekten der vorliegenden Offenbarung kann die SMD-Antenne an die Leiterplatte (PCB) gelötet sein.
  • Ferner kann in einigen Aspekten der vorliegenden Offenbarung die Platzierung der Zuleitung für das gewünschte Sende- oder Empfangssignal vorteilhafterweise für das Vorstehende und andere Zwecke verwendet werden. Beispielsweise kann die Zuleitung zur Impedanzanpassung verwendet werden, wie nachstehend mit Bezug auf die 52B und 52C beschrieben ist.
  • In einigen Aspekten der vorliegenden Offenbarung können Antennentypen eine Einzelpolarisation bieten. In einigen Aspekten der vorliegenden Offenbarung können Antennentypen eine Dualpolarisation bieten. Allgemein sind einige Polarisationsrichtungen schwierig zu erreichen gewesen. Dieses Problem kann durch die Antennenstrukturen und Kombinationen, die nachstehend offenbart sind, gelöst oder wenigstens verbessert werden.
  • In einigen Aspekten der vorliegenden Offenbarung können Antennen die Fähigkeit für Einzel-, Dual- oder Mehrfachpolarisation bieten. In einigen Aspekten der vorliegenden Offenbarung werden mehrere Antennen unterschiedlicher Typen verwendet, um Winkelabdeckung und Polarisationsabdeckung bereitzustellen. In einigen Aspekten der vorliegenden Offenbarung können mehrere unterschiedliche Antennentypen allein oder miteinander zusammen arbeiten, wie z. B. in einer Kombination phasengesteuerter Gruppen von Antennen.
  • In einigen Aspekten der vorliegenden Offenbarung können, wenn mehrere unterschiedliche Antennentypen, die in einer phasengesteuerten Antennengruppe implementiert sind, miteinander zusammenarbeiten, sie durch eine Steuereinheit und/oder durch ein Codebuch gesteuert werden, um zu ermöglichen, dass die gesteuerten Gruppen vertikale, horizontale und/oder diverse Polarisation je nach Bedarf bereitstellen, abhängig von der Stärke des empfangenen polarisierten Signals in der mobilen Vorrichtung.
  • In einigen Aspekten der vorliegenden Offenbarung wird die Stärke des empfangenen polarisierten Signals in der mobilen Vorrichtung zu der Steuereinheit der Antenne oder der Antennengruppe zurückgemeldet, um die Antennengruppen in einer Reihenfolge zu feuern oder zu speisen, die an dem Empfänger, der in einigen Aspekten der vorliegenden Offenbarung ein UE sein wird, die geeignete Polarisation bereitstellen wird, und dadurch die gesamte Winkelabdeckung zu verbessern. Somit können in einigen Aspekten der vorliegenden Offenbarung mehrere unterschiedliche Antennentypen zusammen betrieben werden, um Polarisationsabdeckung in einer oder mehreren Richtungen bereitzustellen. Diese Vorteile werden nachsehend in dieser schriftlichen Beschreibung verdeutlicht.
  • Das Verwenden einer preiswerten planaren Gruppe kann zu einer reduzierten Abdeckung und verschlechtertem Dienst wegen Abtastungseinschränkungen führen. Die Abdeckung kann durch Hinzufügen zusätzlicher Antennengruppen mit zusätzlichen Kosten wiederhergestellt werden. Die zusätzlichen Antennengruppen an Millimeterwellenfrequenzen können die Abdeckung mit geringen zusätzlichen Kosten erweitern und preiswerte Systeme für Kommunikation mit sehr hoher Datenrate ermöglichen.
  • In einigen Aspekten kann die hier beschriebene Antennen- (oder antennenbezogene) Schaltung in die Antennengruppe 330 der in 3A gezeigten mmWellen-Kommunikationsschaltung 300 integriert sein, obwohl die Antennenschaltung nicht darauf beschränkt ist.
  • 409A stellt bei 40900 einen Sendeempfänger, der innerhalb der RFIC 40901 mit einer phasengesteuerten Gruppe 40903, die die Antennen 40905 umfasst, gekoppelt sein kann, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der Sendeempfänger umfasst die RFIC 40901, die in einigen Aspekten der vorliegenden Offenbarung in 60 GHz-Funkeinrichtungen arbeiten kann. Die Anordnung mit phasengesteuerter Gruppe wird verwendet, um den Ausbreitungsverlust bei 60 GHz zu überwinden und Kommunikation mit mehreren Gb/s über große Distanzen zu ermöglichen. Die RFIC 40901 kann mit der phasengesteuerten Gruppe 40903 gekoppelt sein, die Antennen 40905 umfasst, die Patchantennen oder andere Typen von Antennen sein können, die sich auf dem Substrat 40907 befinden. Solche Sendeempfänger einer phasengesteuerten Gruppe können jedoch unter einem begrenzten Abdeckungswinkel leiden, der den Winkel enthält, über den der Strahl 40909 abgetastet werden kann, ohne eine Gitterkeulen-Leistungsverschlechterung, die über die geforderten Systemspezifikationen hinaus geht.
  • 409B stellt eine Antennengruppe mit einem ursprünglichen Abdeckungswinkel gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der ursprüngliche Abdeckungswinkel kann den Winkel 40911 zwischen A und B enthalten. Allgemein ist der Abdeckungswinkel einer Antennengruppe kleiner als die idealen 180 Grad (Halbraum). Dieser eingeschränkte Abdeckungswinkel neigt dazu, den Dienst des Kommunikationssystems, das die Antennengruppe verwendet, zu verschlechtern.
  • In einigen Aspekten der vorliegenden Offenbarung kann ein Mikrowellenelement, das in einem Kommunikationspfad von der Antennengruppe angeordnet ist, den Abdeckungswinkel verbessern. 409C stellt ein Mikrowellenelement, das zusammen mit einer Antenne einer phasengesteuerten Gruppe verwendet ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das Mikrowellenelement (z. B. eine Linse 40913) ist konfiguriert, die Strahlen abzulenken und den Abdeckungswinkel der Antennengruppe von dem Winkel 40911 (zwischen den Strahlen A und B) auf dem Winkel 40915 (zwischen den abgelenkten Strahlen A1 und B1) zu erweitern. Das führt zu einer besseren räumlichen Abdeckung für das Kommunikationssystem mit der gleichen Anzahl von Antennengruppen.
  • In 409C ist eine einfache Linse 40913 (z. B. ein Prisma) oben auf der Antennengruppe platziert. Weil die €r (Permittivität) der Linse 40913 höher ist als Luft, wird der Strahl A näher zu der Linse abgelenkt und wird zum Strahl A1, anstatt geradeaus weiter zu laufen (A2). Ähnlich für Strahl B am anderen Ende des Abdeckungswinkels der Gruppe. Es ist zu sehen, dass der Abdeckungswinkel mit der Linse (Winkel 40915 zwischen A1 und B1) größer ist als der ursprüngliche Abdeckungswinkel (40911 Winkel zwischen A2 und B2, der auch der Winkel zwischen den Strahlen A und B der 409B und 409C ist). Dieser vergrößerte Winkel führt zu einer vergrößerten Abdeckung für das drahtlose System und einer kleineren Wahrscheinlichkeit für eine Nichtverfügbarkeit.
  • In einigen Aspekten der vorliegenden Offenbarung kann das Mikrowellenelement irgendein/e Linsenanordnung oder Linsensystem aufweisen, die/das Fokussieren der elektromagnetischen Strahlung in der gewünschten Richtung ermöglicht. Die Linse kann kostengünstig sein. In einigen Aspekten der vorliegenden Offenbarung werden Anomalien der Linse durch das Strahlformungstraining berücksichtigt, das in den meisten gerichteten Millimeterwellensystemen vorhanden ist. Strahlformungstraining kann in diesem Fall einen Algorithmus und/oder eine Prozedur bedeuten, der/die das Erzeugen eines optimalen Strahls, der in die gewünschte Richtung weist, ermöglicht. Als ein Beispiel kann ein Referenzempfänger verwendet werden, um den Strahl der geprüfte Vorrichtung (DUT) zu kalibrieren, wenn die DUT als ein Sender (TX) konfiguriert ist. Der DUT-TX-Strahl kann durch Anpassen der Gewichte (Phase und mögliche Amplitude) des TX-Signals an jedem DUT-Antennenelement angepasst werden, so dass das Signal an dem Referenzempfänger maximiert oder in hohem Maße verbessert wird. Das würde nicht ideales TX sowohl in der RFIC als auch in den Antennen und der Linse kompensieren. Eine planare phasengesteuerte Gruppe ist in der Diskussion angenommen worden, da das zu der Lösung mit den geringsten Kosten führen würde, obwohl normale Fachleute erkennen, dass andere Typen phasengesteuerter Gruppen verwendet werden können.
  • In einigen Aspekten der vorliegenden Offenbarung kann das Mikrowellenelement einen Reflektor aufweisen. 409D stellt einen konvexen Reflektor 40923, der zusammen mit einer phasengesteuerten Gruppe verwendet wird, um die abgestrahlten Strahlen abzulenken und den Abdeckungswinkel zu erweitern, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Wie in den 409B und 409C weist die phasengesteuerte Antennengruppe einen ursprünglichen reduzierten Abdeckungswinkel auf, der den Winkel 40911 zwischen den Strahlen A und B umfasst. Der Winkel 40911 repräsentiert den eingeschränkten Abdeckungswinkel, der durch Abtasten abgedeckt ist. Die Strahlen A und B werden von dem konvexen Reflektor 40923 reflektiert, was zu einem größeren Abdeckungswinkel (z. B. dem Winkel 40915 zwischen den Strahlen A1 und B1) als der ursprüngliche Abdeckungswinkel (Winkel 40911 zwischen den Strahlen A und B) führt.
  • In einigen Aspekten der vorliegenden Offenbarung kann das Mikrowellenelement eine Kombination aus einer Linse 40913 und einem Reflektor 40923 aufweisen. Relativ kleine Strahllenkung, die aus einer Nicht-Reflektor-Gruppe-Kombination resultieren kann (z. B. einer Gruppe ohne Reflektor) entspricht einem größeren Abdeckungswinkel nach der Reflexion durch den Reflektor 40923. Beispielsweise ist der Winkel 40915, der nach der Reflexion an dem Reflektor 40923 in den Aspekten von 409D resultiert, größer als der Winkel 40911 vor der Reflexion an dem Reflektor. Somit kann, falls die phasengesteuerte Gruppe auf ungefähr plus oder minus 45 Grad Lenkung begrenzt ist, der Reflektor in einigen Aspekten der vorliegenden Offenbarung diesen Gradbereich bis auf plus oder minus 90 Grad vergrößern.
  • In einigen Aspekten der vorliegenden Offenbarung weist der konvexe Reflektor 40923 einen sphärischen Reflektor auf. Der konvexe Reflektor 40923 kann konstruiert sein, um mit den Systemanforderungen kompatibel zu sein. In einigen Fällen kann der konvexe Reflektor 40923 Reflektorkrümmungen variierender Typen verwenden und kann in variierenden Abständen von der phasengesteuerten Gruppe platziert sein, um Systemanforderungen zu erfüllen.
  • In einigen Aspekten der vorliegenden Offenbarung kann der konvexe Reflektor 40923 konfiguriert sein, nichtlineare Strahlerweiterung ohne übermäßiges Experimentieren bereitzustellen, wobei der Abdeckungswinkel nach der Reflexion mit zunehmender Strahllenkung zunimmt. Als ein Beispiel kann, falls eine anfängliche 1,5-fach erhöhte Reflexionsabdeckung im Vergleich zu dem nicht reflektierten Fall erreicht wird, Strahllenkung, die sich der Grenze des Strahllenkungsbereichs der Strahls der phasengesteuerten Gruppe nähert, eine 2-fach vergrößerte Reflexionsabdeckung oder mehr erreichen und zeigt somit eine vergrößerte Abdeckung aufgrund einer Vergrößerung der Strahllenkung. Diese verbesserte Abdeckung ist ein Vorteil, ohne eine übermäßige Größe der Lenkungswinkelauflösung bei kleineren Lenkungswinkeln zu opfern. Diese nichtlineare Strahlabdeckungserweiterung kann als eine Funktion der Größe der Strahllenkung aufgezeichnet werden, für unterschiedliche Typen von Reflektorkrümmungen, wieder in variierenden Abständen der phasengesteuerten Gruppe von dem Reflektor.
  • Wenn mmWellen-Frequenzen wie 60 GHz oder 28 GHz zur Kommunikation verwendet werden, wird ein relativ hoher Antennengewinn verwendet. Obwohl ein hoher Antennengewinn durch eine Einzelstrahl-Parabolantenne erhalten werden kann, ist eine solche Antenne teuer und erfordert eine erhebliche Energie für den Betrieb.
  • Um dieses Problem zu adressieren, kann das RFEM konfiguriert sein, eine phasengesteuerte Gruppe von Antennen (z. B. 16 Elemente) oder mehrere solche phasengesteuerten Gruppen zu verwenden, im Wesentlichen mit dem Fokus auf eine Cassegrain oder einen anderen Typ eine Reflektor-Antenne, wie z. B. ein einem Aspekt eine aufgedruckte Reflektor-Antenne. Ein Effekt ist, dass auf dem Fokus das gesendete Signal unter Verwendung des Reflektors selbst verstärkt wird, was zu einem besser fokussierten Strahl mit höherer Verstärkung führt. Ferner, falls mehr als eine phasengesteuerte Gruppe von, in einem Aspekt, Patchantennen in dem oder nahe dem Fokus der Reflektorantenne platziert wurden, resultieren mehrere sektorisierte Abtastbereiche aus derselben/demselben Antenne oder Reflektor, wie nachstehend genauer diskutiert ist. Was die Platzierung betrifft können, wenn die Implementierung für mmWellen-Frequenzen ist, die RFEMs in einigen Aspekten der vorliegenden Offenbarung durch eine armartige Befestigung ähnlich der, jedoch viel kleiner als die, größerer Antennen, die derzeit im Gebrauch sind, montiert sein, wobei das Ziel ist, den Fokus zu bestrahlen, um den Ort der mehreren RFEMs in der Mittenzuleitung zu ermöglichen. Eine alternative Platzierung in einigen Aspekten der vorliegenden Offenbarung wäre mit Hilfe einer kleinen Anzahl (vielleicht zwei) kleinerer und kürzerer Arme, die die Cassegrain-Schüssel oder den aufgedruckten Reflektor umgeben. Bei der Platzierung der PAFs sollte eine Toleranz berücksichtigt werden.
  • In einigen Aspekten ist die Toleranz als etwa 5 % bis 10 % des Abstands von der genauen Mitte (oder der Unterseite in einigen Aspekten der vorliegenden Offenbarung) betrachtet, um die gewünschte Leistung zu erhalten. Selbst wenn der Ort nicht innerhalb der vorstehend genannten Toleranz ist, wird das System immer noch wie hier beschrieben arbeiten, es kann jedoch eine lineare Verschlechterung der Leistung vorhanden sein. Ob eine Cassegrain-Antenne oder eine aufgedruckte Reflektorantenne verwendet wird, kann ein Kompromiss sein. Während eine Cassegrain-Antenne einen höheren Gewinn (und Reichweite) als eine gedruckte Reflektorantenne bereitstellen kann, ist eine Cassegrain-Antenne viel voluminöser, schwerer und teurer als ein aufgedruckter Reflektor. Somit hängt viel von den Systemanforderungen ab. In einigen Aspekten der vorliegenden Offenbarung kann nur eine mittlere Reichweite erforderlich sein, und für diese Aspekte können aufgedruckte Reflektoren die bessere Wahl sein.
  • Kommunikationssysteme mit phasengesteuerter Gruppe wie z. B. 5G mmWellen- und WiGig-Zugangspunkte und Basisstationen, die in diesen Technologien implementiert sind, besitzen als ein Ziel, Mehrsektor- und Mehrbenutzer-Abdeckung bereitzustellen. Hier diskutierte Aspekte ermöglichen preiswerte hohe äquivalente isotrope abgestrahlte Leistung (ERIP) für Implementierungen von phasengesteuerten mmWellen-Gruppenantennen für Mehrsektor -und Mehrbenutzer-Abdeckung. Ein Sektor enthält den Winkelbereich im Azimut, in dem die Strahlabtastung einer mmWellen-Gruppe effektiv ist (typischerweise plus oder minus sechzig (60) Grad). Zusätzlich zielten offenbarte Implementierungen darauf, Mehrfrequenzfähigkeit in einer einzelnen Gruppe (pro Sektor lokalisiert) bereitzustellen. Das kann erreicht werden durch physikalisches Montieren von drei (oder mehr) separaten phasengesteuerten mmWellen-Antennengruppen in dem Zuleitungsbereich einer reflektorbasierten Antenne, wie z. B. denjenigen, die in den 410 bis 415 zu sehen sind. Diese phasengesteuerten Gruppen können hier nachstehend als „Zuleitungen der phasengesteuerten Gruppe“ („PAF“) bezeichnet sein. Da sich jede Antennengruppe an einer anderen Position versus dem Ort der optimalen Zuleitung der Antennengruppe befinden kann, wird das Strahlabtastmuster jeder Antenne anders geneigt sein, wie in der nachstehend diskutierten Sektorisierung von 416 zu sehen ist.
  • Falls jedoch die Antennengruppe in der Mitte entweder einer Cassegrain- oder Reflektorgruppe platziert ist, tritt ein Problem auf, weil bei mmWellen-Frequenzen sowohl die mmWellen-Antennengruppe selbst als auch der Mechanismus, der diese mmWellen-Antennengruppe hält, die Emission des Reflektors beeinträchtigen wird. Das kann auftreten, weil an der hohen Frequenz von mmWellen, im Gegensatz zu Gruppen mit niedrigerer Frequenz mit Frequenzen bei beispielsweise ungefähr 5 GHz, im Wesentlichen jedes Hindernis, selbst nichtmetallische Objekte wie z. B. Holz oder Kunststoff, die Kommunikation tatsächlich blockiert oder auf andere Weise stört. Somit kann das Installieren einer relativ großen mechanischen Halterung für eine kleine Antennengruppe, die genau in die Mitte einer Schüssel passt, beispielsweise zu einer Beeinträchtigung der Emission führen. Eine Lösung ist, die Antennengruppe im Wesentlichen auf den Fokus zu setzen. Eine weitere Art, dieses Problem zu verbessern, ist es, die phasengesteuerte Gruppe auf die Seite oder den Boden des Reflektors in einem Winkel zu setzen, so dass der Strahl auf den Fokus des Reflektors treffen wird oder die Bestrahlung einen Strahl emulieren wird, der an dem Fokus des Reflektors platziert ist.
  • 410 stellt einen Betrieb einer Kombination aus phasengesteuerter Gruppe/Reflektor dar, wenn die Antennengruppe an dem Boden einer Cassegrain-Gruppe oder Reflektor-Gruppe platziert ist, wobei 410 angibt, dass durch Verwenden einer kleinen phasengesteuerten Antennengruppe der Strahl so gelenkt werden kann, dass er im Wesentlichen den Fokus der Reflektor- oder Cassegrain-Antenne trifft.
  • In einigen Aspekten der vorliegenden Offenbarung kann die Mehrsektor-Antennengruppe mit hohem Antennengewinn unter Verwendung mächtiger Antennengruppen implementiert sei. Mächtige Antennengruppen weisen eine kohärente Kombination einer Gruppe auf, die Antennenelemente in viel höherer Anzahl als die 8-, 16-, 32- oder 64-Elemente-Gruppen, die manchmal verwendet werden, aufweist oder mehrere Gruppen aufweist, in beiden Fällen, um einen Strahl mit hohem Gewinn zu erzeugen. Die Anzahl solcher Elemente könnte in einigen Aspekten der vorliegenden Offenbarung im Bereich bis zu Hunderten sein. Dann kann die Zuweisung solcher Mehrgruppenpro-Richtung-Aspekte (z. B. drei Mehrantennengruppen, die sich physikalisch an 60 Grad voneinander befinden) implementiert sein, sehr ähnlich den Anordnungen von drei PAFs, die in den 410-415 dargestellt sind.
  • Zusätzliche Vorteile von mehreren Zuleitungen phasengesteuerter Gruppen, die an oder nahe dem Fokus eines Reflektors platziert sind, enthalten beispielsweise:
    1. a. Hinzufügen von Sektoren in einem leichten Formfaktor ohne Vergrößern der Abmessung der Antenne jedes Sektors, was lediglich das Hinzufügen zusätzlicher PAFs ist,
    2. b. Hinzufügen von Benutzern ohne Verschlechterung des Durchsatzes oder der effektiven isotrop abgestrahlten Leistung (EIRP) (Beispiel: in unterschiedlichen Sektoren wären zwei unterschiedliche Zuleitungen der phasengesteuerten Gruppe (PAF) aktiv. In anderen Schemas wie z. B. einer mächtigen Antennengruppe würde jeder Benutzer die Hälfte der Gruppenelemente erhalten);
    3. c. Höhere EIRP durch Ändern des Reflektors; und
    4. d. Hinzufügen von Zuleitungen der phasengesteuerten Gruppe (PAF), um mehr Sektoren zu erzeugen, verursacht keine Erwärmungsprobleme, da jede PAF „eigenständig“ ist.
  • In dem Fall, wenn sich eine Antennengruppe in der Zuleitung der reflektorbasierten Antenne befindet, dann bleibt ein Teil ihrer Strahlabtastfähigkeit immer erhalten. In einigen Aspekten der vorliegenden Offenbarung ist, falls eine Antennengruppe im Freien verwendet wird (ohne dass sie an die Zuleitung der Reflektorgruppe montiert ist), ihr typischer Abtastbereich von plus oder minus 3 dB etwa plus oder minus 60 Grad. Sobald eine solche Gruppe an eine Zuleitung der reflektorbasierten Antenne montiert ist, wird der Abtastbereich auf (ungefähr) plus oder minus 30 Grad reduziert. Der Abtastbereich versus dem Null-Grad-Referenzpunkt ändert sich abhängig von dem physikalischen Ort der Gruppen in der Reflektorantenne.
  • Wenn die Gruppe näher an dem idealen Fokus des Reflektors montiert ist, wird ihr Abtastbereich symmetrischer und kann im Bereich von -30 bis +30 Grad (um den Null-Azimut) sein. Sobald sich die Antennengruppe weit entfernt von dem idealen Fokus befindet, wird ihr Abtastbereich an unterschiedlichen Winkeln zentriert sein (proportional zu dem Abstand der Antennengruppe von dem Fokus). Jede Zuleitung der phasengesteuerten Gruppe kann in einer Frequenz oder in mehreren Frequenzen arbeiten (z. B. 60 GHz und 28 GHz, insoweit sie ein Vielfaches von 2 sind).
  • Die Mehrfachzuleitungen können in einer aufgedruckten Reflektorantennengruppe, die in den 410, 412 und 414 dargestellt ist, oder einer Cassegrain-Antenne mit parabolischer Form, wie in 411, 413 und 415 dargestellt ist, montiert sein, gemäß einigen Aspekten der vorliegenden Offenbarung
  • 410 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer ersten Konfiguration verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 410 stellt das Bezugszeichen 41000 einen aufgedruckten Reflektor 41010 dar, wobei sich drei phasengesteuerte Gruppen 41020, 41030, 41040 am Boden der Antenne 41010 befinden. Da hier beschriebene Aspekte in Punkt-zu-Punkt-Kommunikation wie z. B. der Kommunikation zwischen zwei Knoten oder Endpunkten verwendet werden können, können die phasengesteuerten Gruppen in einer Sendebetriebsart oder in einer Empfangsbetriebsart verwendet werden, wobei die Zeit in Übereinstimmung mit dem Systembetrieb gesteuert wird. Die phasengesteuerten Gruppen 41020, 41030 und 41040 können in der Sendebetriebsart zu dem Reflektor 41000 senden. In der Seitenansicht sind die ankommenden Strahlen 41050, 41060, 41070, wenn die Empfangsbetriebsart aktiv ist, gezeigt. Der Reflektor 41010 ist in Vorderansicht (mit Blick in den Reflektor), Seitenansicht (mit Blick von der Seite des Reflektors) und Draufsicht (mit Blick von der Oberseite des Reflektors nach unten) zu sehen. Diese Ansichten sind herkömmlicherweise als Vorderansicht, Seitenansicht und Draufsicht im Sinn einer technischen Zeichnung zur Vereinfachung der Beschreibung benannt. Falls die Kombination jedoch innerhalb einer mobilen Vorrichtung wäre, können die Ansichten anders benannt sein, wobei beispielsweise das, was in 410 als Vorderansicht bezeichnet ist, einem Blick nach unten in die mobile Vorrichtung entspricht, und das, was in 410 als Draufsicht bezeichnet ist, der Blick darauf ist, was als die Unterseite des Reflektors bezeichnet sein kann. Die in 410 dargestellten Ansichten sind für die 411 bis 415 typisch.
  • 411 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der ersten Konfiguration 41100, und zwar an dem Boden der Cassegrain-Antenne, verwendet sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • 412 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer zweiten Konfiguration 41200, und zwar in dem Mittengebiet, verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die Draufsicht stellt eine Ansicht mit Blick nach unten von der Oberseite des Reflektors dar. Gruppe, Sektor 1, und Gruppe, Sektor 3, sind im Wesentlichen maßgerecht gezeichnet, während Gruppe Sektor 2 zum Unterscheiden von Gruppe, Sektor 2, von den anderen Gruppen in dieser Ansicht kleiner gezeichnet ist. Die 413, 414 und 415 sind typisch (oder ähnlich gezeichnet) in Bezug auf Gruppe, Sektor 1, Gruppe, Sektor 2 und Gruppe, Sektor 3, in Draufsicht.
  • 413 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der zweiten Konfiguration 41300, und zwar in dem Mittengebiet, verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • 414 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einem gedruckten Reflektor in einer dritten Konfiguration 41400, und zwar um den Reflektor, verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • 415 stellt mehrere phasengesteuerte Gruppen, die zusammen mit einer Cassegrain-Antenne in der dritten Konfiguration 41500, und zwar um den Reflektor, verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • Die drei Gruppen, Gruppe, Sektor 1, Gruppe, Sektor 2, und Gruppe, Sektor 3, werden in einigen Aspekten der vorliegenden Offenbarung ein RFEM aufweisen oder Teil davon sein. Die RFEMs befinden sich in der Praxis nicht am Boden des Reflektors, wie in de 410-415 dargestellt ist. Stattdessen werden sie in der oder nahe der Mitte des Reflektors mit einem mechanischen Arm, der in der Zeichnung nicht gezeigt ist, gehalten.
  • Die unterschiedliche physikalische Position jeder Zuleitung der phasengesteuerten Gruppe wird ein sektorisiertes Abtastmuster erzeugen, das um einen speziellen Winkel von jeder Antennengruppe verschoben ist, was eine sektorähnliche Verteilung mit hohem Gewinn erzeugt. Idealerweise sollte eine solche Neigung zwischen der Mitte jedes Abtastmusters der Gruppe in der Größenordnung von 60 bis 90 Grad sein, wie in 416 dargestellt ist. 416 stellt eine Draufsicht einer Sektorisierung 41600, die von mehreren phasengesteuerten Gruppen herrührt, die zusammen mit einer reflektierenden Antenne verwendet werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar. An mmWellen-Frequenzen ist ein Strahl von einer Antennengruppe sehr eng, konzeptionell wie in Laserstrahl, und kann über den Sektor abgetastet werden. Der enge Strahl ist bei 41601 angegeben, und die Strahlabtastung über Sektoren ist durch den Doppelpfeil angegeben.
  • 417 stellt das Abtasten in jedem Sektor der sektorierten Abtastgebiete gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die X-Achse ist der Winkel zum Abtasten des Strahls von einer Antennengruppe, der an der Reflektorantenne fokussiert ist. Die Y-Achse ist die Amplitude des Strahls. Die Diskussion findet hier in Bezug auf den Sektor 1 statt, aber die Operation für Sektor 2 und Sektor 3 (und zusätzliche Sektoren, abhängig von der Anzahl von Zuleitungen der phasengesteuerten Gruppe) ist ähnlich. Für Sektor 1 ist eine Variation der Amplitude des abgetasteten Strahls vorhanden. Zahlen, die in dieser Diskussion gegeben werden, sind nur Beispiele und repräsentieren keine tatsächlich geprüften numerischen Werte.
  • Die Strahlamplitude 41701 tritt auf, wenn der Strahl abgestimmt wird, beispielsweise auf minus 50 Grad zur Mittelachse. Die Strahlamplitude 41703 tritt auf, wenn der Strahl näher an der Mittelachse abgestimmt ist, beispielsweise minus 20 Grad zur Mittelachse. Die Strahlamplitude 41705 tritt auf, wenn der Strahl abgestimmt wird, beispielsweise auf minus 10 Grad zur Mittelachse. Die Strahlamplitude 41707 tritt auf, wenn der Strahl auf die Mittelachse von Sektor 1 abgestimmt ist. Der Grund für die Amplitudenvariation in jedem Strahlabstimmungswinkel ist, dass, wenn der Strahl abgetastet wird, eine Tendenz für eine Amplitudenverschlechterung wegen der verschiedenen physikalischen Eigenschaften der Patches, die die Zuleitung der phasengesteuerten Gruppe umfassen, vorhanden ist.
  • Die hier diskutierte PAF-Konstruktion bietet außerdem einen Streckenvorteil. Es wird das Beispiel betrachtet, in dem ein Sektor verwendet ist (z. B. in einem Aspekt, der nur eine Zuleitung der phasengesteuerten Gruppe aufweist, mit vielen Antennenelementen in der Gruppe). Falls die PAF in dem gesamten Bereich von plus oder minus 90 Grad abgetastet wird oder in einem verkürzten Bereich von plus/minus 80 Grad abgetastet wird, tritt bei großen Winkeln, manchmal als „an den Seiten“ (oder „sehr große Winkel von der Mittelachse“) bezeichnet, eine spezielle Größe der Dämpfung auf. Selbst eine Antenne mit hohem Gewinn an der Mittelachse kann einen sehr schlechten Gewinn an den Seiten bereitstellen (oder eine große Verschlechterung zeigen). Mit einer hier beschriebenen PAF könnte die Verschlechterung an den Seiten in der Größenordnung von minus 3 dB sein, was für viele Zwecke eine zulässige Verschlechterung ist. Folglich ist auch ein Vorteil für das Streckenbudget mit der beschriebenen PAF-Herangehensweise im Vergleich zu den phasengesteuerten Gruppen, die nicht als Zuleitungen für eine Reflektorantenne verwendet werden, vorhanden.
  • Mit anderen Worten ist es manchmal vorteilhaft, die phasengesteuerte Gruppe in die Mitte am Boden zu platzieren. Dann wird durch die Strahlzuleitung der Strahl den Fokus treffen, weil bei 60 GHz die Ausbreitung sehr ähnlich einem Laserstrahl ist und so gut fokussiert ist, dass das Verwenden einer Strahlzuleitung den Winkel des Strahls verschieben wird, so dass das vorstehend beschriebene Phänomen auftritt. Ferner können mehrere Gruppen wie in den nachstehend beschriebenen 410-415 verwendet werden, um unterschiedliche Abtastsektoren zu erzeugen.
  • Zusammengefasst können phasengesteuerte Antennengruppen am Fokus einer Schlüssel- oder Reflektorantenne platziert werden, was einen hohen Gewinn erzeugen wird. Die phasengesteuerte Gruppe kann nicht nur an dem Fokus platziert werden, sondern sie kann auch am Boden des Reflektors platziert werden, um das Signal, das durch die Schlüssel oder durch den Reflektor erzeugt wird, weniger zu stören. Darüber hinaus können mehrere phasengesteuerte Gruppen in der Mitte der Schüssel platziert sein, und wenn der Strahl auf den Fokus gerichtet ist, werden sektorisierte Emissionen für jede phasengesteuerte Gruppe in einem speziellen Gebiet vor dem Reflektor erzeugt, und das wird wiederum eine sektorisierte Emission zu einem Ziel erzeugen. Das gleiche Phänomen tritt auf, wenn die phasengesteuerte Gruppe am Boden des Reflektors oder um den Reflektor platziert ist, wie vorstehend diskutiert.
  • In einigen Aspekten der vorliegenden Offenbarung kann die PAF-Konstruktion mehrere Benutzer unterstützen. Falls das System konstruiert werden soll, um mehrere Benutzer zu unterstützen, anstatt die Übertragung zu jede Benutzer zu verringern (was zu einer geringeren Gesamtqualität des Signals führt), können zusätzliche Zuleitungen zu demselben Reflektor hinzugefügt werden, um die Kapazität des Systems und die Anzahl der Benutzer, die das System unterstützen kann, zu erhöhen, ohne Problemen wie übermäßiger Wärmeableitung an einem Ort gegenüber zu stehen. Obwohl in den 410-416 drei PAFs dargestellt worden sind, können zusätzliche PAFs hinzugefügt werden, wenn zusätzliche Benutzer zum Empfangen des Signals von dem Sender, der über die PAFs sendet, hinzugefügt werden. Beispielsweise wenn drei PAFs in einer gleichseitigen dreieckigen Anordnung um einen Punkt wie z. B. einen Fokus verwendet werden, wie in 415, können vier PAFs in einer quadratischen Anordnung um den Punkt verwendet werden. Fünf PAFs können ähnlich angeordnet sein (in einer pentagrammähnlichen Anordnung um den Punkt).
  • Eine Anwendung dieses Typs von Reflektor / phasengesteuerter Gruppe wäre, dass ein solches System in einem Zugangspunkt implementiert wäre. Ein Zugangspunkt kann viele Anwender unterstützen durch Aufteilen der Abdeckung auf unterschiedliche Sektoren oder innerhalb jedes Sektors, so dass sie eine separate Zuleitung aufweisen, um die Kapazität jedes Sektors zu erhöhen.
  • Was die Polarisation betrifft, ist es in Punkt-zu-Punkt-Systemen durchaus üblich, dass ein/e Reflektor / phasengesteuerte Gruppe eine Zuleitung mit vertikaler Polarisation (V-Zuleitung) und eine Zuleitung mit horizontaler Polarisation (H-Zuleitung) aufweist. In einigen Aspekten der vorliegenden Offenbarung kann der vorstehende Reflektor mit einer V-Zuleitung und einer H-Zuleitung sowohl vertikale Polarisation als auch horizontale Polarisation abdecken. Das System kann mit vertikaler Polarisation oder horizontaler Polarisation oder mit sowohl vertikaler Polarisation als auch horizontaler Polarisation, die zu der vertikalen Polarisation orthogonal ist, senden. Wie nachstehend genauer diskutiert sind im Allgemeinen zwei Zuleitungen für jeden Patch innerhalb einer phasengesteuerten Gruppe vorhanden, somit kann einer davon für vertikale Polarisation und einer für horizontale Polarisation sein. Wenn ein Benutzer über eine Basisstation oder einen Zugangspunkt verbunden wird, dann kann eine der beiden Zuleitungen (vertikale Polarisation oder horizontale Polarisation) durch ein Steuerprogramm aufgerufen und zum Abtasten oder „Sektorabtasten“ verwendet werden. Beispielsweise falls 63 Sektoren nur mit der vertikalen Polarisation vorhanden sind, können 128 Sektoren mit horizontaler Polarisation vorhanden sein. Ein Sektor ist eigentlich eine Kombination aus der phasengesteuerten Gruppe(n), dem Phasenschieber und der Polarisation, die Polarisationsprobleme abschwächen kann.
  • Für einige Aspekte der vorliegenden Offenbarung enthalten in einer WiGig-Implementierung die Polarisationstechniken nur Abtasten. Ein Prüfsendepaket in einer Menge aus Phasenschieber und einer Menge von beispielsweise vertikaler Polarisation wird zu dem Empfänger gesendet, und der Empfänger misst die Empfangssignalstärke. Eine weitere Menge mit horizontaler Polarisation wird zu dem Empfänger gesendet, und der Empfänger misst die Empfangssignalstärke. Nachfolgend sendet der Empfänger die Polarisation mit der besseren Signalstärke, und der Sender sendet dann in dieser Polarisation.
  • In einigen Aspekten der vorliegenden Offenbarung ist dieser Prozess kontinuierlich, um kontinuierlich die bessere Polarisation zu senden. Das kann unter Verwendung einer Steuerungsnachricht erreicht werden, die eine sehr geringe Feuerrate aufweist, so dass sie eine sehr hohe Verarbeitungsrate aufweist und den Gewinn der Antenne nicht benötigt. Anstelle der Verwendung einer anderen Kombination des Phasenschiebers wird eine Zuleitung mit einer anderen Polarisation verwendet. Das System selbst ist nicht eingeschränkt, weil eine gute Isolation zwischen der vertikalen Polarisation und der horizontalen Polarisation an der Zuleitung vorhanden ist. Die Patchantennenelemente einer phasengesteuerten Gruppe weisen eine gute Isolation auf, und der Reflektor verschlechtert sie nicht signifikant. Im Wesentlichen leitet jede Patchantenne ein vertikales Polarisationssignal und ein horizontales Polarisationssignal dual zu, jedes mit denselben Informationen, und die geeignete Polarisation wird zur geeigneten Zeit verwendet.
  • In anderen Aspekten kann dieser Prozess ausgeführt werden, wenn spezielle Kriterien erfüllt sind.
  • Die hier offenbarten Aspekte sind relativ kostengünstig, weil, obwohl mehrere kleine Gruppen verwendet werden, in einigen Aspekten der vorliegenden Offenbarung nur ein einziger Reflektor verwendet wird. Ferner wird keine relativ große Fläche verwendet, wie es wäre, wenn die übliche Lösung mit drei Reflektoren verwendet würde. Die beschriebene Lösung ist außerdem energieeffizient, weil nur ein einziger Reflektor verwendet wird. Die beschriebene Lösung stellt eine hochkompakte Lösung sowohl zu niedrigen Kosten als auch mit geringem Volumen bereit.
  • Eine kurze Diskussion des Empfängers ist angebracht. In der Punkt-zu-Punkt-Kommunikation kann in 416 das Senden in drei unterschiedlichen Sektoren stattfinden. Jeder Sektor wird allgemein durch einen entsprechenden Sektor an dem Empfänger gesehen, entweder einem weiteren Reflektor oder einem weiteren System mit mehreren Sektoren und mehreren phasengesteuerten Gruppen. Die sendenden Sektoren sind separat. In einem Aspekt sendet Sektor 1 zu einem passenden Sektor 1 an einem ersten Empfänger, Sektor 2 sendet zu einem passenden Sektor 2 an einem zweiten Empfänger, und Sektor 3 sendet zu einem passenden Sektor 3 an einem dritten Empfänger. Wie vorstehend erwähnt sind die Strahlen ziemlich eng, und die Sektoren sind wirklich gut isoliert. Mit anderen Worten ist der Strahl tatsächlich sehr eng, vielleicht 3 Grad, aber er wird abgetastet, wobei jedes Dreieck von 416 eine Abtastung repräsentiert. Jede Sektorabtastung ist typischerweise plus oder minus 30 Grad.
  • Es kann eine Übertragung von Cassegrain-Reflektor zu Cassegrain-Reflektor, von aufgedrucktem Reflektor zu Cassegrain-Reflektor, von aufgedrucktem Reflektor zu aufgedrucktem Reflektor oder sogar Cassegrain-Reflektor oder aufgedruckten Reflektor zu einer Mehrantennengruppe sein. An dem Empfänger können, anstelle der Verwendung eines Cassegrain- oder aufgedruckten Reflektors, 4 oder 8 kleinere Gruppen miteinander kombiniert sein, um einen hohen Gewinn in einer Mehrantennengruppe zu bilden. Jede Option weist einen Halt für die abgestrahlte Emission auf. Anstelle von Punkt-zu-Punkt-Kommunikation (beispielsweise Basisstation zu Basisstation) kann der Empfänger ein Smartphone sein.
  • Die vorstehende Komponente/Vorrichtung kann in einer Basisstation oder in einer mobilen Vorrichtung wie z. B. einem Smartphone platziert sein. Wenn sie in einer Basisstation platziert ist, kann die Komponente/Vorrichtung mit einer hohen Verstärkung konfiguriert sein. Wenn die Komponente/Vorrichtung in einer mobilen Vorrichtung platziert ist, kann die Komponente/Vorrichtung mit einer niedrigeren Verstärkung als die der Basisstation konfiguriert sein. Das System kann sehr einfach vergrößert werden. Um mehr Sektoren oder mehr Benutzer aufzunehmen, kann das System denselben Reflektor mit hinzugefügten Zuleitungen verwenden, was zu geringerer Wärmeableitung als für Lösungen ohne einen Reflektor führt. Ferner kann jede Zuleitung an einer von anderen Zuleitungen verschiedenen Frequenz arbeiten.
  • Ferner hängt der Typ der Übertragung davon ab, ob eine Sichtlinie zwischen dem Sender und dem Empfänger vorhanden ist oder nicht. Falls eine Sichtlinie vorhanden ist, dann findet die Übertragung durch Abtasten zu dem Ort des Empfängers statt. Falls keine Sichtlinie zu dem Empfänger vorhanden ist, dann basiert die Übertragung auf Reflexion.
  • Die offenbarten Techniken können auch vorteilhaft sein, wenn die Komponente/Vorrichtung im Freien aufgestellt ist. Beispielsweise kann die offenbarte Komponente/Vorrichtung auf einer Straße aufgestellt sein, z. B. als eine Basisstation, die auf einer Ampel installiert ist, die Vibrationen und anderen Umgebungsfaktoren ausgesetzt ist. In solchen Anwendungen kann das System eine sehr gute Verfolgung bereitstellen, um den Vibrationseffekt auf die Basisstation selbst zu verbessern, und die Basisstation kann dann einen gehenden Benutzer, der ein Smartphone hält, effektiver verfolgen. Das Verfolgen kann Verschieben zwischen Sektoren enthalten, insbesondere wenn viele Sektoren durch den Reflektor unterstützt werden. Der Effekt der Vibration kann einfach aufgrund der Tatsache verbessert werden, dass die reflektierende Komponente/Vorrichtung eine phasengesteuerte Gruppe einsetzt und die phasengesteuerte Gruppe de Strahl abstimmen kann. Deshalb kann, falls die Vibration signifikant groß ist oder falls detektiert wird, dass das Smartphone zwischen zwei Sektoren ist, als ein Beispiel das Umschalten zwischen Sektoren zum Abschwächen der Effekte der Vibration beitragen. Ferner kann, selbst ohne Verbessern der Vibration, der abgetastete Strahl einer gehenden Person, die ein Smartphone hält, folgen, und falls die Signalstärke eine Notwendigkeit anzeigt, zu anderen Sektoren umzuschalten, kann das System zu einem anderen Sektor umschalten.
  • 418 stellt ein Package, innerhalb der Antennen innerhalb eines Benutzergeräts ausgeführt sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Es sind individuelle Packages 41800 und 41802 dargestellt. Die Elemente 41801, 41801A enthalten Wärmeleitungsmechanismen von der Diebaugruppe auf eine externe Oberfläche.
  • In einigen Aspekten der vorliegenden Offenbarung enthalten die Elemente 41803, 41803A eine Flip-Chip-Baugruppe in Chip-Größe (FC-CSP), die einen freigelegten Die mit einer umgebenden konformen Abschirmung aufweist. In anderen Aspekten enthalten die Elemente 41803, 41803A einen Die mit einer Gussmasse und einem Kupferwärmeverteiler.
  • Die Elemente 41805, 41805A enthalten ein Laminat-Substrat, das Signale von dem Die zu einer weiteren Platine mitnimmt. Das Laminat-Substrat kann beispielsweise ein Kunststoff-Kontaktstiftgitteranordnung (PPGA), eine Kunststoff-Kugelgitteranordnung (PBGA) und/oder irgendein anderes Substrat enthalten, das zum Bereitstellen von Kommunikation zwischen der Platine und dem Die fähig ist. In einigen Aspekten der vorliegenden Offenbarung kann überhaupt kein Umgießen vorhanden sein, wobei der Die freigelegt ist. Das Element 41807 kann eine Patchantenne aufweisen, die gespeist oder angeregt wird. Die Elemente 41809, 41809A sind SMD-Elemente, die Antennen aufweisen, die auf irgendeiner Seite aufgedruckt sind, wie z. B. die Antennen 41811, 41811A und 41813, 41813 A. In einigen Aspekten der vorliegenden Offenbarung ist keine elektrische Verbindung zu dem Gehäuse vorhanden. Die Signale können von dem Die zu dem Substrat 41805, 41805A auf der Platine geführt werden. Die Platine 41806, 41806A weist verschiedene Formen von Antennen auf, aufgedruckt oder SMD-montiert, wie nachstehend genauer diskutiert ist. Alternativ können verschiedene Formen von Antennen innerhalb der SMD konfiguriert sein. Die aufgedruckten Antennen und SMD-Antennen koppeln mit Direktoren wie 41815, 41815A in dem Gehäuse. Das Element 41817 kann in einigen Aspekten der vorliegenden Offenbarung eine Masseebene sein. In einigen Aspekten der vorliegenden Offenbarung kann eines oder beide aus dem Substrat und der PCB Wärme-Schmelzperlen oder wärmeübertragende Elemente aufweisen.
  • 419 stellt ein Diagramm der realisierten Verstärkung einer 1x4-Dipolgruppe, die entweder in dem Package 41800 oder 41802 von 418 realisiert ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das Diagramm 41901 des realisierten Gewinns der Antennen zeigt den realisierten Gewinn der Antennen an einem Winkel von dreißig (30) Grad, wobei die Direktoren in dem Gehäuse ortsfest sind, jedoch der Dipol in der PCB in verschiedenen Höhen entfernt von dem Direktor ist, wobei die Höhen in Mikrometern auf der X-Achse des Diagramms gegeben sind, wobei „hdpole [um]“ die Platzierungshöhe des Dipols in Mikrometern angibt. Das Diagramm 1903 des realisierten Gewinns zeigt den realisierten Gewinn von Antennen an einem Winkel von sechzig (60) Grad, wobei die Direktoren in dem Gehäuse ortsfest sind, jedoch der Dipol in der PCB an verschiedenen Höhen entfernt von dem Gehäuse ist. Das Diagramm 41905 des realisierten Gewinns zeigt den realisierten Gewinn von Antennen an einem Winkel von neunzig (90) Grad, wobei die Direktoren in dem Gehäuse ortsfest sind, jedoch der Dipol in der PCB an verschiedenen Höhen entfernt ist. Das Diagramm zeigt, dass der ideale Ort ist, den Dipol in angemessener Entfernung von dem Direktor in dem Gehäuse zu platzieren, wobei der realisierte Gewinn von 41901, 41903, 41905 auf der linken Seit des Diagramms allgemein höher ist (d. h. an größeren Höhen entlang der X-Achse) und die meisten Diagramme für den realisierten Gewinn (d. h. 41901, 41903) abfallen, wenn sich die Position zur rechten Seite des Diagramms bewegt (d. h. an geringeren Höhen entlang der X-Achse).
  • 420 stellt Strahlungsmuster, die dem Diagramm von 419 zugeordnet sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 420 ist ein Dipol in der PCB 42003 implementiert oder alternativ als eine SMD-Komponente implementiert. Der Direktor 42001 ist in oder auf dem Gehäuse und kann an unterschiedlichen Höhen und Tiefen in dem Gehäuse implementiert sein. Die Massen und Zuleitungsstrukturen in der PCB 42003 sind bei 42005 dargestellt. Die Antennenmusterkarte 42007 stellt bei 42009 das Antennenmuster als eine Funktion des Strahlungswinkels (entlang dem Umfang der Antennenmusterkarte 42007) und als eine Funktion des Orts des Direktors dar. Falls der Direktor wie bei 42001 gezeigt implementiert ist, dann dreht sich das Strahlungsmuster, und es kann mehr in der Aufwärtsrichtung in Bezug auf die Orientierung der PCB 42003 abgestrahlt werden.
  • Der Längsstrahler-Gewinn einiger WiGig-Produkte mit vertikaler Polarisation ist im Vergleich zu der Querrichtung sehr gering aufgrund ihres sehr kleinen Formfaktors. Der Längsstrahler-Gewinn mit vertikaler Polarisation weist eine hauptsächliche Bedeutung in der Abdeckung für Laptop-Benutzer-, für Tablet-Benutzer- und für Smartphone-Benutzer-Szenarien auf. In einigen Fällen wurden Einschub-Elemente verwendet, die einen kleinen Gewinn zu der Längsstrahler-Richtung und einen größeren Formfaktor aufweisen. Monopolare Patches, die einen guten Formfaktor jedoch einen schwachen Gewinn zu der Längsstrahler-Richtung (9 dB geringer als zu der Querrichtung) aufweisen, sind ebenfalls verwendet worden.
  • Der hier offenbarte Aspekt kann die Längsstrahlung verbessern, während er einen guten Formfaktor beibehält. Der Aspekt nutzt den Vorteil einer IC-Abschirmungsstruktur, die in dem Produkt zum Abschirmen integrierter und diskreter Schaltung verwendet ist. Die Abschirmung ist in eine Kombination aus einem monopolaren gestapelten Patch-Strahlungselement und einem parasitären Element integriert, als Teil einer Antennengruppe. Dadurch ist gezeigt worden, dass der Gewinn der Antenne in die Längsstrahlerrichtung um 2 dB bis 3 dB verbessert ist. Die Größe von Elementen ist ebenfalls bis zu 40 % reduziert, während die gleiche Bandbreite beibehalten wird. Obwohl ein einzelnes parasitäres Element beschrieben ist, werden normale Fachleute erkennen, dass es in einigen Aspekten der vorliegenden Offenbarung angebracht sein kann, mehrere parasitäre Elemente zu verwenden.
  • Der Nutzen dieser Kombination leitet sich wenigstens teilweise aus der Tatsache ab, dass in moderner Kommunikation weithin vertikale Polarisation verwendet wird. Das liegt daran, dass die Ausbreitung mit vertikaler Polarisation einen kleineren Dämpfungsverlust erfährt als die horizontale Polarisation bei der Ausbreitung entlang der Masseebene der Erde. Der Empfänger und Sender eines Produkts, das die offenbarte Kombination verwendet, kann an der vertikalen Polarisation ausgerichtet sein, wenn er in die Längsstrahlerrichtung sendet. Eine solche Monopolantenne ist eine gute Lösung, weil sie eine einfache Struktur aufweist, während sie die gewünschte vertikale Polarisation bereitstellt. Einige Monopolantennen verwenden ein hohes Profil von Viertelwellenlängen, was in den Formfaktor-Systembeschränkungen, die häufig in Produkten für drahtlose Kommunikation zu finden sind, nicht akzeptabel ist. Längsstrahlungs-Gewinn herkömmlicher monopolarer Patchantennen ist klein im Vergleich zu dem 2 dB bis 3 dB Längsstrahlungs-Gewinn, der durch die beschriebene monopolare Patchantenne kombiniert mit einer IC-Abschirmungsstruktur eingeführt ist.
  • 421 stellt die Verwendung einer IC-Abschirmung als eine Antennenmasseebene und einen Reflektor für eine gestapelte Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar. In dem dargestellten Aspekt ist eine PCB-Platine 42108 als transparent dargestellt, um die innere Struktur der Platine zu zeigen, die mehrere parallele Schichten umfasst, von denen einige oder alle metallisierte Schichten sein können.
  • Eine Monopolantenne 42100, die metallisierte gestapelte Patchantennenelemente 42104, 42106 aufweist, ist mit einer IC-Abschirmungsstruktur 42102 kombiniert und verwendet dadurch die IC-Abschirmungsstruktur als einen Reflektor und als eine Masseebene. Die Kombination nutzt die IC-Abschirmung, die in Benutzervorrichtungen unabhängig von Antennenanforderungen ist. Beispielsweise enthalten RFEMs, wie z. B. die in 5A und 5B dargestellten, eine an Masse gelegte Abschirmung, wie z. B. 42102 in 421, die die IC und diskrete Komponenten des RFEM zum Zweck der Abschirmung bedeckt. Das Vorhandensein dieser Abschirmung beeinflusst Strahlungsmuster und Impedanz von Antennen. Anstatt dieses Gebiet des RFEM zu vermeiden und dadurch Platz zu verschwenden, der andernfalls für Antennen verwendet werden könnte, kann die Abschirmung als ein Reflektor und eine Masseebene verwendet werden, wie vorstehend erwähnt, um Antennen herzustellen, die kleiner und korrekt angepasst sind, und das Strahlungsmuster in bevorzugte Richtungen zu lenken.
  • Insbesondere kann eine Viertelwellen-Monopolantenne auf die Platine in unmittelbarer Nähe zu der Abschirmung aufgedruckt sein. Obwohl ein Viertelwellen-Monopol beschrieben ist, werden normale Fachleute erkennen, dass Monopole anderer Wellenlänge verwendet werden, wie es für einen gegebenen Aspekt angemessen sein kann. Der Speisepunkt in den Monopol kann verwendet werden, um die Impedanz abzustimmen, ähnlich dem nachstehend mit Bezug auf die 452B und 452C beschriebenen Verfahren. Parasitär gestapelte Dual-Patches 42104, 42106 sind in einigen Aspekten der vorliegenden Offenbarung verwendet, um eine große Bandbreite zu erreichen, um die Bandbreitenanforderungen, wie z. B. die WiGig-Vierkanal-Bandbreitenanforderungen, zu erfüllen. In einem Aspekt ist der untere Patch 42106 das angesteuerte Element und wird über eine Speiseleitung angeregt, sehr ähnlich den 424B und 424C gezeigten, die nachstehend diskutiert sind. In einigen Aspekten der vorliegenden Offenbarung ist der Patch 42104 ein parasitäres Element. Die Abmessungen sind durch Simulation bestimmt. In dem diskutierten Aspekt ist der Platz in der Z-Richtung in 422 zwischen dem angesteuerten Element 42106 und dem parasitären Element 42104 gleich 186 um. In diesem Aspekt ist die Abmessung zwischen der Patchantenne und der Abschirmung 42102 gleich 165 um, wie in 422A dargestellt ist. Simulation hat gezeigt, dass die Abmessung zwischen der Patchantenne und der Abschirmung 42102 die Anpassung und die Gewinn-Eigenschaften der Patchantenne beeinflusst. 422B stellt dar, dass in dem diskutierten Aspekt die Länge der PCB, die durch die Patchantenne verwendet ist, gleich 1,25 mm ist. 422C stellt dar, dass in dem diskutierten Aspekt das Patchelement 42104 um 60 um geringfügig kleiner ist als das Patchelement 42106. In einem weiteren Aspekt sind die Antennenelemente 42104, 42016 von der gleichen Größe, und die Abmessung zwischen der Patchantenne und der Abschirmung ist 40 um. Die Abschirmung 42102, die als eine Masseebene und auch als ein Reflektor für den angeregten Patch 42106 in den 421 und 422 arbeitet, überträgt ungefähr 2 dB Gewinn auf die Patchantenne in der Längsstrahlungsrichtung. Folglich agiert die Patchantenne, wenn sie in der Längsstrahlungsrichtung arbeitet, wie eine Monopolantenne.
  • Angesichts der Enge des Grundstücksfläche in einer mobilen Vorrichtung kann es schwierig sein, die Patchantenne nahe der Abschirmung zu positionieren, es ist jedoch das Ziel, die Patchantenne so nahe wie möglich an der Abschirmung zu platzieren, um den Gewinn in der Längsstrahlungsrichtung zu erhöhen, wobei der Abstand zwischen der Abschirmung und der Patchantenne durch Simulation bestimmt werden kann.
  • In einigen Aspekten der vorliegenden Offenbarung kann in dem Längsstrahlerbetrieb die Polarisation vertikal sein. In einigen Aspekten der vorliegenden Offenbarung kann in dem Querstrahlerbetrieb die Polarisation horizontal sein. Da die vertikale Polarisation in dem Längsstrahlerbetrieb ungefähr 7 dB bis 8 dB unterhalb der horizontalen Polarisation in dem Querstrahlerbetrieb der Antenne ist, können die ungefähr 2 dB bis 3 dB Gewinn, die durch die beschriebene Kombination vermittelt werden, ein wichtiger Aspekt zum Verbessern des Längsstrahlergewinns sein. Die Nähe der Abschirmung zu dem Patch beeinflusst die Anpassung der Antenne und das Abstimmen des Patch auf 50 Ohm über die Bandbreite und verengt die Breite des Patches, was zum Reduzieren der Antennengröße beiträgt.
  • 422 stellt eine Seitenansicht der in 421 dargestellten Monopolantenne, die einen asymmetrischen Vias-Speisemechanismus zeigt, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Komponenten von 422, die gleich denen in 421 sind, werden zur Verdeutlichung die gleichen Bezugszeichen wie in 421 zugeordnet.
  • Die IC-Schaltung 42202 ist so angegeben, dass sie unterhalb der Abschirmung 42102 integriert ist, was ähnlich oder gleich ist wie in den 424A-424E dargestellten Abschirmungen und in anderen Figuren, die eine IC-Abschirmung darstellen, die nachstehend genau diskutiert sind. Die Zeichnung von 422 ist nicht maßstabsgerecht, und die Abschirmung 42102 ist in Teilansicht gezeigt. Aber die Abschirmung in den beschriebenen Aspekten bedeckt die IC und schirmt sie gegen RF-Störung und elektromagnetische Störung ab. Eine vollständigere Darstellung einer RF-Abschirmung ist in den 454A und 461A gezeigt. Das Angesteuerte Element 42106 wird über eine Speiseleitung gespeist, die das Via 42201 und die Zuleitungsstreifen 42203 aufweist. Die Vias 42207 in der PCB 42108 sind sehr nahe an dem Strahlungselement 42106. Der Abstand zwischen den Vias und dem Patch kann optimiert oder verbessert werden, um den Längsstrahlungsgewinn zu maximieren, wie nachstehend genauer diskutiert ist.
  • 423 stellt Abschirmungs-Patchelemente in einer Antennengruppenkonfiguration mit einer mobilen Plattform, die geradlinig sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar. 423 gibt deutlicher die Beziehung der Abschirmung und der Monopol-Patchantenne in einer Gruppe solcher Monopol-Patchantennen entlang den Seiten der Abschirmung an, wie allgemein bei 42300 angegeben ist. Nur die parasitären Patchelemente 42104 der Gruppe sind gezeigt.
  • Dipolantenne mit einer oberflächenmontierten Vorrichtung, die zu einer Dipolantenne mit einer Monopolantenne überleitet
  • 424A stellt eine Dipolantenne mit einer SMD-Antenne, die den Dipol zu einem Dipol mit einem Monopol überleitet, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Im Allgemeinen und wie in Verbindung mit 421 erwähnt hat sich das Erzeugen eines Längsstrahlerantennen-Strahlungsmusters mit sowohl vertikaler als auch horizontaler Polarisation als schwierig erwiesen. Dipolantennen produzieren das erforderliche Längsstrahlungs-Strahlungsmuster, könnten jedoch nicht beide Polarisationen produzieren. Vertikale Polarisation wird für Wandreflexionseigenschaften und zum Anpassen der installierten Basis von Docking-Stationen bevorzugt, die physikalische Orientierung einer tragbaren Vorrichtung kann jedoch nicht garantiert werden. Deshalb ist es wünschenswert, beide Polarisationen bereitzustellen.
  • In einigen Aspekten der vorliegenden Offenbarung ist die Antenne, um beide Polarisationen bereitzustellen, auf eine SMD aufgedruckt. Eine oberflächenmontierte Vorrichtung ist manchmal als oberflächenmontierte Technologie (SMT) bezeichnet. Die SMD kann zur Vereinfachung der Montage die Abmessungen von Standard-Komponenten aufweisen. Die hier kombinierte Antenne weist einen modifizierte Dipol 42400 auf, der Strahlung mit sowohl horizontaler als auch vertikaler Polarisation aufweist.
  • In einigen Aspekten der vorliegenden Offenbarung beginnt, wie in den nächsten Abschnitten zu sehen ist, die Antenne mit einem vollständigen Dipol, wobei beide horizontalen Zweige auf einer Leiterplatte aufgedruckt sind. Folglich weist die Antenne, an diesem Punkt ein Dipol, hauptsächlich horizontale Polarisation auf. In einigen Aspekten der vorliegenden Offenbarung wird ein vertikaler Zweig durch eine SMD hinzugefügt, der vertikale Polarisation hinzufügt, ohne die horizontale Polarisation signifikant zu reduzieren.
  • Bezug nehmend auf 424A ist bei 42400 ein Dipol mit einer SMD, die konfiguriert ist, zu einem Dipol mit einem Monopol zu transformieren, allgemein gezeigt. Das kann innerhalb einer mobilen Vorrichtung oder einer anderen Vorrichtung, in der Platz sehr wertvoll ist, beispielsweise weil Platzanforderungen für GLONASS erfüllt werden müssen, ausgeführt sein. Dieser begrenzte Platz macht es schwierig, eine korrekt funktionierende Antenne zu konstruieren. Eine PCB-Platine oder eine andere Leiterplatte ist bei 42403 als transparent gezeichnet zu sehen, um Komponenten innerhalb der Leiterplatte darzustellen.
  • In einigen Aspekten der vorliegenden Offenbarung enthält die Antenne einen Dipol 42405, 42407. Es ist außerdem die SMD 42409 gezeigt, die nachstehend mit Bezug auf die 424D und 424E genauer dargestellt werden soll. Die Metallleiterbahn 42411 enthält einen Teil des Monopols, wie ebenfalls nachstehend diskutiert ist. Wegen der Platzanforderungen kann die SMD Größe „0402“ verwendet werden. Allgemein stellt die beschriebene SMD eine spezielle Menge vertikaler Polarisation bereit.
  • 424B ist eine perspektivische Ansicht des Dipolabschnitts der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung. 424B stellt bei 42402 die Dipolzweige 42405 und 42407 aus 424A und den Anfangsabschnitt 42413 des Vias 42413, 42413A von 424A dar, ohne dass das SMD-Element bereits hinzugefügt ist. Das Via 42413, 42413A ist in den nachstehend beschriebenen 424D und 424E deutlicher zu sehen. 424C stellt eine kombinierte Dipol- und Monopolantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar. In der Antenne, die allgemein bei 42404 von 424C zu sehen ist, weist die SMD 42409 den hinzugefügten Monopol auf, der in einigen Aspekten der vorliegenden Offenbarung die obere Leiterbahn 42411 enthält, falls die Länge des Vias 42413, 42413A nicht ausreichend hoch wie, beispielsweise aufgrund von Höheneinschränkungen in der Benutzervorrichtung, in der die Antenne verwendet ist. Mit andere Worten, falls die Simulation zeigt, dass der Antennenzweig an einer speziellen Höhe sein sollte, die nicht durch die Dicke der Benutzervorrichtung aufgenommen werden kann, dann kann die Leiterbahn 42411 in einigen Aspekten der vorliegenden Offenbarung zu dem Via 42413, 42413A hinzugefügt und auf die Oberseite der SMD 42409 „gefaltet“ werden.
  • 424D stellt eine perspektivische Ansicht des Monopolteils der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die Antenne ist allgemein bei 42406 angegeben. Die Abschirmung ist wieder bei 42401 zu sehen. Das metallisierte Via 42413, 42413A ist als ein Antennenzweig dargestellt, und die Metallleiterbahn 42411 funktioniert, um den Zweig 42413, 42413A falls notwendig zu erweitern. Ein horizontaler Zweig 42405 des Dipols ist dargestellt. Es ist außerdem die Speiseleitung 42415, die eine Streifenleitung sein kann, im Inneren der Leiterplatte 42403 gezeigt. Die Abschirmung 42401, die ursprünglich in 424A zu sehen ist, ist als Teil eines Smartphones oder einer anderen Benutzervorrichtung verwendet, in jedem Fall, um die integrierte Schaltung abzuschirmen, und die Abschirmung wird sowohl als Reflektor für die Antenne als auch eine Art und Weise, die Impedanzanpassung zu verbessern, verwendet. In einem Aspekt befand sich die Übergangsantenne etwa 1,2 Millimeter von dem Rand der Abschirmung zu der Mitte des Vias, die den Monopol bildet, und etwa 0,38 Millimeter von dem Rand der Abschirmung zu dem Rand der SMD. In der Praxis ist der Abstand primär dadurch gegeben, wie viel Platz für die Platine für die Benutzervorrichtung verfügbar ist, mit dem Ziel zu versuchen, den Abstand zu maximieren.
  • 424E ist eine Seitenansicht der Antenne der 424A und 424D gemäß einigen Aspekten der vorliegenden Offenbarung. 424E stellt die gesamte Baugruppe dar und stellt die gleichen Komponenten wie 424B genauer dar. Beispielsweise ist die Speiseleitung 42415 so zu stehen, dass sie innerhalb der Leiterplatte 42403 ist, wo sie an einer RFIC (aufgrund von beschränktem Platz nicht gezeigt) befestigt wäre, die durch die in Teilansicht gezeigte (ebenfalls aufgrund von beschränktem Platz nicht gezeigt) Abschirmung 42401 abgedeckt wäre. Die Speiseleitung 42415 speist den vertikalen Zwei 42413, 42413A, der zu der Oberseite der SMD 42409 weiter läuft, wo er so dargestellt ist, dass er die Metallleiterbahn 42411 enthält. 42417 gibt die Masseebene für die Antenne an.
  • Simulierte Strahlungsmuster haben gezeigt, dass dann, wenn die SMD-Komponente auf dem Dipolelement platziert ist, die Kombination vertikale Polarisation verursacht, ohne irgendeine negative Auswirkung auf die Leistung der horizontalen Polarisationskomponente der Antenne. 425 stellt ein Strahlungsmuster der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die x-, y- und z-Koordinaten entsprechen den in 424A dargestellten. 426A stellt einen Höhenschnitt 42600 des Strahlungsmusters der Antenne von 424A gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das Strahlungsmuster 42601 stellt den Gewinn in vertikaler Polarität dar, und das Strahlungsmuster 42603 stellt den Gewinn in horizontaler Polarität dar. Das Strahlungsmuster 42605 stellt den gesamten Gewinn dar. 426B stellt ein Strahlungsmuster 42602 der Antenne von 424B gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die Antenne von 424B ist ohne den SMD-Teil des Monopols, und das Strahlungsmuster ist im Wesentlichen das des Dipols 42405, 42407 von 424B, zu sehen bei 42605 von 426B.
  • L-förmiger SMD-Dipol mit Abschirmungsreflektor
  • Ein L-förmiger Dipol mit einer gestützten Abschirmung ist nachstehend beschrieben. 427A stellt eine Seitenansicht eines L-förmigen SMD-Dipols mit einer IC-Abschirmung, die als ein Reflektor verwendet ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der Dipol ist allgemein bei 42700 zu sehen. Eine einendige Speiseleitung 42701 von der IC-Schaltung (nicht gezeigt), die durch die IC-Abschirmung 42703 abgeschirmt ist, speist den vertikalen Abschnitt 42705 des Dipols. Der vertikale Abschnitt 42705 setzt sich als vertikaler Abschnitt 42705A (der innerhalb der SMD 42708 ist), vertikale Abschnitte 42705, 42705A, die durch eine Lötverbindung 42711 verbunden sind, und die vertikalen Abschnitt 42707, 42705A fort, wobei die Lötverbindung einen vertikalen Zweig der Dipols bildet. Die Masse 42704 von 427A ist verlängert, um als der zweite Zweig des Dipols 42707 zu agieren, und somit wird ein L-förmiger Dipol gebildet (in 427B deutlicher zu sehen, wie nachstehend genauer diskutiert wird). Die IC-Abschirmung ist eine konforme Abdeckung über der IC, die auf der Platine einer mobilen Vorrichtung ist. Die Abschirmung ist normalerweise zu der Platine als eine Abschirmung gegen elektromagnetische Störung geerdet. Ein L-förmiger Dipol wie der beschriebene, kombiniert mit einer gestützten Abschirmung, kann mit einem größeren Gewinn in die Längsstrahlungsrichtung strahlen (siehe diagrammatisch in 427B) als das Standard-Patchelement.
  • In einigen Aspekten der vorliegenden Offenbarung nutzt das L-förmige Element 42705, 42705A, 42707 (wobei 42505A in einer abgeschnittenen Seitenansicht in 427A so gezeigt ist, dass es innerhalb der SMD 42708 ist) die Fläche neben der Abschirmung und die Platinenhöhe. Der übliche Dipol weist zwei horizontale Zweige auf. Der in 427B dargestellte Dipol weist jedoch einen horizontalen Zweig 42707 und einen vertikalen Zweig 42705, 42705A auf. Ein Teil des vertikalen Zweigs 42705 des Dipols ist in der Platine (wo sich die Anregung befindet), und ein Teil 42705A ist in der SMD 42708, um eine geringere Platinenhöhe zu ermöglichen, wie es erforderlich sein kann. Das metallisierte Via 42705A in der SMD 42708 agiert als ein zweiter Dipolzweig, der in die SMD 42708 aufgefaltet sein kann, um einen gefalteten Dipol zu bilden. Mit anderen Worten sind die zwei Zweige des Dipols jeweils in unterschiedlichen Ebenen.
  • Beispielsweise sind die zwei Zweige des Dipols jeweils in orthogonalen Ebenen. Das führt zu zwei unterschiedlichen Polarisationen, vertikal aus dem vertikalen Zweig und horizontal aus dem horizontalen Zweig. In einigen Aspekten der vorliegenden Offenbarung kann der vertikale Zweig 42705, 42705A vollständig durch die SMD 42708 verlaufen und sich zu der Oberseite 42709 der SMD 42708 erstrecken. Falls, was vorkommen kann, die Höhe der SMD 42708 für die benötigte Länge des Zweigs 42705A nicht elektrisch ausreichend ist, kann für einen gegebenen Aspekt eine horizontale Metallleiterbahn wie z. B. Kupfer zu 42705A (der sich jetzt zu der obersten Schicht 42709 der SMD 42708 erstreckt) als eine horizontal gefaltete Erweiterung des Zweigs 42705A hinzugefügt werden. Diese horizontal gefaltete Erweiterung oder Leiterbahn erstreckt sich von dem Via an der Oberseite 42709 der SMD 42708, sehr ähnlich der bei 42411 in 424A dargestellten Metallleiterbahn. Diese Metallleiterbahn kann verwendet werden, um den vertikalen Zweig 42705, 42705A zu erweitern.
  • In einigen Aspekten der vorliegenden Offenbarung kann die SMD auf die PCB unter Verwendung einer Kontaktstelle mit Schachbrettanordnung (LGA-Kontaktstelle), die in 427A zu sehen ist, montiert sein, wobei die SMD 42709 als über die Lötstelle 42711 angebracht zu sehen ist. Mit anderen Worten umfasst der Dipol zwei Zweige, einen, eine horizontale Leiterbahn wie z. B. Kupfer, die den horizontalen Zweig 42707 bildet, der in der PCB ist, und einen, ein vertikaler Arm 42705A, der in der SMD 42709 ist. Wie dargestellt ist ein metallisierter horizontaler Zweig des Dipols aus der Masse 42704, und ein metallisierter vertikaler Zweig, oder in diesem Fall metallisiertes Via, ist in der SMD.
  • In einigen Aspekten der vorliegenden Offenbarung weist die Breite / der Durchmesser des metallisierten Vias 42705A, die als eine Metallleiterbahn funktionieren kann, im Wesentlichen die gleiche Breite auf wie die Breite der horizontalen Leiterbahn 42707, die den horizontalen Zweig des Dipols bildet. Folglich sieht das vertikale metallisierte Via elektrisch so aus, als ob sie der andere Zweig des Dipols ist. Zusammengefasst ist die Abschirmung in 427A und in 427B als 42703 zu sehen. Die Abschirmung dient als Reflektor für den Dipol, der den metallisierten horizontalen Zweig 42707 aus der Masse, zu sehen in 427B, und den metallisierten vertikalen (oder gefalteten) Zweig, zu sehen als Vias 42705, 42705A in 427A, umfasst. Der vertikale Zweig wird durch die Speiseleitung 42701 von 427A gespeist. Mit anderen Worten ist der horizontale Zweig Masse, und dem vertikalen Zweig wird aus der Speiseleitung aus der IC ein Signal zugeführt.
  • Die PCB weist allgemein mehrere Schichten auf. In einigen Aspekten der vorliegenden Offenbarung kann der horizontale Zweig 42707 durch Entfernen von Metall aus allen Schichten in dem Gebiet um den Dipol außer dem Metall, das den horizontalen Zweig 42707 bildet, gebildet werden, was den horizontalen Zweig 42707 als einen Zweig des Dipols zurücklässt, wobei der vertikale Zweig 42705, 42705A einen zweiten Zweig des Dipols bildet. Die SMD 42709 kann an der PCB durch die Lötstelle 42711 befestigt sein. Obwohl die Lötstelle 42711 eine Diskontinuität in dem vertikalen Zweig repräsentiert, war zu sehen, dass die Lötstelle den beabsichtigten Betrieb auf keine wesentliche Weise behindert. 427B stellt eine perspektivische Ansicht des L-förmigen SMD-Dipols 42702 dar und stellt deutlicher dar, dass der Zweig 42705, 42705A teilweise innerhalb der SMD 42709 ist und dass der Zweig 42705, 42705A nach oben in Bezug auf den horizontalen Zweig 42707 gefaltet ist.
  • Symmetrische Gruppe der L-förmigen SMD-Dipole
  • In einigen Aspekten der vorliegenden Offenbarung kann eine L-förmige Dipolgruppe konfiguriert sein, einen hohen Gewinn für die Längsstrahlungsrichtung mit Polarisations-Diversity bereitzustellen. 428 stellt eine perspektivische Ansicht einer Gruppe aus vier dieser L-förmigen SMD-Dipole gemäß einem Aspekt dar. Obwohl die Gruppe so beschrieben ist, dass sie vier L-förmige Dipole aufweist, ist eine solche Gruppe nicht auf vier L-förmige Dipole beschränkt, sondern könnte irgendeine geeignete Anzahl L-förmiger Dipole sein. Die Gruppe ist allgemein bei 42800 zu sehen. Jedes einzelne L-förmige Dipolelement 42801, 42803, 42805 und 42807 kann von dem vorstehend mit Bezug auf die 427A und 427B diskutierten Typ sein. Jeder solche L-förmige Dipol weist einen horizontalen Zweig 42707 und einen vertikalen Zweig 42705, 42705A im Inneren der SMD auf, wie mit Bezug auf die 427A und 427B diskutiert ist. Jeder ist in Bezug auf die Abschirmungswand 42802 angeordnet, ebenfalls wie mit Bezug auf die 427A und 427B diskutiert ist.
  • Das X-, Y-, Z-Koordinatensystem für die Gruppe ist in 428 dargestellt. Die Abschirmung 42802 weist eine Abschirmungsausdehnung auf, die später in diesem Patent diskutiert ist. Jedes Dipolelement der Gruppe weist in einigen Aspekten der vorliegenden Offenbarung einen RF-Ketteneingang auf, wobei der Dipol 42801 die RF-Kette 1 als einen Eingang aufweist, der Dipol 42803 die RF-Kette 2 als einen Eingang aufweist, der Dipol 42805 die RF-Kette 3 als einen Eingang aufweist, und der Dipol 42807 die RF-Kette 4 als einen Eingang aufweist. Die Abschirmung 42802 ist, wie die anderen Abschirmungen, die hier in verschiedenen Figuren dargestellt sind, aufgrund von Überlegungen zu der Zeichnungsfläche nur teilweise dargestellt. In der Praxis würde sich die Abschirmung erstrecken, um eine IC abzudecken, in diesem Fall eine IC, die die RF-Kette 1, die RF-Kette 2, die RF-Kette 3 und die RF-Kette 4 bereitstellt. Die vier L-förmigen Dipolelemente bilden eine lineare Gruppe in dem beschriebenen Aspekt.
  • In einigen Aspekten der vorliegenden Offenbarung ist die Richtung des horizontalen Zweigs der L-förmigen Dipole absichtlich so angeordnet, dass sie gegenüber benachbarten Paaren der L-förmigen Dipolantennenelemente ist, um eine spezielle Feldauslöschung/addition zwischen den Elementen für eine gegebene Eingabephase der jeweiligen RF-Ketten zu erreichen. In den Figuren, die in der Beschreibung dieses Aspekts folgen, werden die diskutierten Dipolgruppenelemente die Dipolelemente 42801, 42803, 42805 und 42807 von 428 sein, und ihre jeweiligen Zweige werden als horizontale Zweige 1, 2, 3 bzw. 4 der 429A und 429B bezeichnet.
  • 429A stellt die Gruppe von 428 für vertikale Polarisation, wobei die horizontal polarisierten Felder ausgelöscht werden, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 429A sind für die horizontalen Zweige 1, 2, 3 und 4 die Felder, die von den RF-Ketten 1, 2, 3 bzw. 4 herrühren, ausgelöscht. Das liegt daran, dass für die horizontalen Zweige 1, 2, 3 und 4, da sie in jeweils gegenüberliegenden (links/rechts) Richtungen angeordnet sind, wie durch die Pfeile angegeben ist, der Strom in entgegengesetzte Richtungen fließt, und somit die erzeugten abgestrahlten Felder einander auslöschen.
  • 429B stellt die Gruppe von 428 für vertikale Polarisation dar, wobei die vertikal polarisierten Felder aufaddiert sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 429B fließt für die vertikalen Zweige der Dipolantennenelemente 42801, 42803, 42805 und 42807 (dargestellt in vertikaler verdeckter Linie), da sie in den gleichen Richtungen (nach oben, wie durch die vertikalen Pfeile angegeben ist) angeordnet sind, der Strom in der gleichen Richtung, und somit addieren sich die erzeugten abgestrahlten Felder. Folglich ist vertikale Polarisation erreicht. Anders ausgedrückt wird, um vertikale Polarisation zu erreichen, die vertikale Mode (0°, 0°, 0°, 0°) verwendet, in der die Felder, die durch die horizontalen Zweige abgestrahlt werden, einander auslöschen und sich die Felder, die durch die vertikalen Zweige abgestrahlt werden, addieren.
  • 430A stellt die Gruppe von 428 für horizontale Polarisation, wobei sich die horizontalen polarisierten Felder addieren, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Für die horizontalen Zweige 1, 2, 3 und 4, obwohl sie in entgegengesetzten Richtungen (links/rechts) angeordnet sind, bewirken die entgegengesetzten Phasen der Signale aus den jeweiligen RF-Ketten 1, 2, 3 und 4, dass die Ströme in derselben Richtung fließen, und somit addieren sich die abgestrahlten Felder.
  • 430B stellt die Gruppe von 428 für horizontale Polarisation, wobei sich die horizontal polarisierten Felder auslöschen, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Für die vertikalen Zweige der Dipolantennenelemente 42801, 42803, 42805 und 42807, obwohl sie in derselben Richtung (nach oben, wie durch die vertikalen Pfeile angegeben ist) angeordnet sind, bewirken die entgegengesetzten Phasen aus den jeweiligen RF-Ketten 1, 2, 3 und 4, dass die Ströme in der entgegengesetzten Richtung fließen, und somit löschen sich die vertikal polarisierten abgestrahlten Felder aus. Folglich ist horizontale Polarisation erreicht. Anders ausgedrückt wird für die horizontale Polarisation die horizontale Mode (0°, 180°, 0°, 180°) verwendet, wobei sich die abgestrahlten Felder aus den vertikalen Zweigen auslöschen und die abgestrahlten Felder aus den horizontalen Zweigen addieren.
  • 431 stellt ein dreidimensionales Strahlungsmuster für vertikale (Theta-) Polarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das dargestellte dreidimensionale Strahlungsmuster der Energie, die durch die Gruppe L-förmiger Dipole abgestrahlt wird, wenn die vertikale Polarisationsmode (die Phasen sind 0o, 0o, 0o und 0o). Der realisierte Gewinn für die vertikale Komponente des elektrischen Felds (E-theta) ist simuliert worden, mit einem Maximum von 7,43 dB.
  • 432 stellt ein Strahlungsmuster für horizontale (Phi-) Polarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar. Das dargestellte dreidimensionale Muster der Energie, die durch die Gruppe L-förmiger Dipole abgestrahlt wird, wenn sie in der vertikalen Polarisationsmode ist (die Phasen sind 0o, 180o, 0o und 180o). Der realisierte Gewinn für die horizontale Komponente des elektrischen Felds (E-phi) ist simuliert worden, mit einem Maximum von 7,14 dB.
  • Der Aspekt der Offenbarung in 428 nutzt nicht nur den begrenzten Raum in einer mobilen Vorrichtung, sondern erweitert auch die Verwendung verfügbarer RF-Ketten. Falls beispielsweise nur vier RF-Ketten verfügbar sind (wie dargestellt) und das System idealerweise acht verfügbare RF-Ketten verwenden würde, so dass das System vier vertikale Polarisations-RF-Ketten und vier horizontale RF-Ketten senden könnte, ist eine Auflösung wünschenswert. Durch Verwenden des beschriebenen L-förmigen Dipols wird eine RF-Kette effektiv in zwei RF-Ketten umgesetzt. Folglich, falls ein begrenzter Raum nur eine Vier-Antennen-Gruppe ermöglicht und auch die verfügbaren Ketten aus der Schaltung nur vier RF-Ketten sind, stellt die Gruppe aus vier L-förmigen Dipolantennenelementen vier vertikal polarisierte Strahlungselemente und vier horizontal polarisierte Strahlungselement bereit und ergibt somit die gewünschten acht Elemente. Eine Vier-Antennen-Gruppe ist als ein Beispiel verwendet, und normale Fachleute werden erkennen, dass eine zusätzliche Anzahl von Antennenelemente in Antennengruppen verwendet werden können, wie es für einen gegebenen Aspekt passend sein kann.
  • Darüber hinaus könnte, falls ein Vielfaches von vier RF-Ketten von der Schaltung, die durch die IC-Abschirmung bedeckt ist, verfügbar ist, das Verdoppeln der Anzahl insgesamt effektiver RF-Ketten erreicht werden. Beispielsweise könnte, falls das Vielfache von vier RF-Ketten in einem rechteckigen oder quadratischen Teilsystem verfügbar wäre, eine Anzahl von Gruppen L-förmiger Dipole um das Schaltungsteilsystem, oben auf das Teilsystem und, falls erwünscht, am Boden des Teilsystems zum Zuleiten der individuellen RF-Ketten zu den entsprechenden Antennen platziert werden. Somit könnte das Verdoppeln des Vielfachen von vier abstrahlenden Elementen erreicht werden.
  • In einigen Aspekten der vorliegenden Offenbarung kann ein SMD-Monopol selbst als eine Antenne verwendet werden, und somit wird eine vollständige (oder im Wesentlichen vollständige) vertikale (θ) mit einem einzelnen Element erreicht. Einige Bedingungen, die es ermöglichen, dass eine Monopolantenne vollständige vertikale Polarisation erreicht, sind, dass der Monopol vertikale Polarisation wegen seiner orthogonalen Position in Bezug auf die Oberfläche eines RFEM aufweist, wenn er in einer Benutzervorrichtung mit einem RFEM (oder einem Merkmal, das einem RFEM äquivalent ist) verwendet wird. Darüber hinaus ist die Platzierung der Zuleitung eines Monopols in Bezug auf eine IC-Abschirmung, die als ein Reflektor agiert, wichtig. Die Funktion der Abschirmung ist es, die abgestrahlte Energie in die gewünschte Richtung, in diesem Fall Längsstrahlung, zu reflektieren. Es ist nicht beabsichtigt, dass die Abschirmung eine Auswirkung auf die Polarisation der abgestrahlten Felder besitzt.
  • 433C stellt einzelne SMD-Monopolantenne 43303 und IC-Abschirmung 43301 gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die IC selbst ist unter Berücksichtigung des Platzes nicht dargestellt, wäre jedoch auf der linken Seite der der IC-Abschirmung 43301, die in Teilansicht dargestellt ist, und durch sie abgedeckt. Der SMD-Monopol 43300 kann zwei Teile aufweisen: (1) ein Via-Element 43307, das auf dem Rand der RFEM-Baugruppe 43305 eingebaut ist, und (2) ein Via-Element 43307A, das ein Kupfer-Via sein kann, das innerhalb der SMD-Komponente 43303 eingebaut ist. Das Via 43307 realisiert den unteren Teil des Monopols, und das Via-Element 43307A realisiert den oberen Teil des Monopols. Die SMD kann auf der RFEM-Baugruppe unter Verwendung von zwei Kontaktstellen gelötet sein: eine an dem Ort des Vias 43307 für das Signal und eine, eine Dummy-Kontaktstelle (nicht gezeigt), zur mechanischen Stabilität. Die Kupfer- (oder andere Metall-) Leiterbahn 43309 kann auf der oberen Schicht der SMD aufgedruckt sein, um die Gesamtlänge des Monopols bei Bedarf zu erweitern. Die vorstehende Beschreibung der Verwendung von zwei Kontaktstellen und die anderen hier vorgetragenen Einzelheiten sind lediglich als ein Beispiel verwendet, und normale Fachleute werden erkennen, dass diese Einzelheiten verändert werden können, wie es für einen speziellen Aspekt passend sein kann.
  • Beispielsweise kann die Leiterbahn 43309 zu Abstimmungszwecken verwendet werden, falls das Via 43307, 43307A nicht lang genug ist, unter anderem wegen Höhenbegrenzung in der Benutzervorrichtung, in der sich der Monopol befindet. Anders ausgedrückt wäre, falls die Höhe des Vias 43307, 43307A nicht ausreichend ist, um die Anforderung zum Abstimmen der Antenne auf eine gewünschte Sendefrequenz zu erfüllen, die Leiterbahn 43309 von einer geeigneten Länge, um die erforderliche Höhe zu dem Via 43307, 43307A hinzuzufügen, selbst wenn die Leiterbahn horizontal auf die Oberseite der SMD 43303 gefaltet ist. In einigen Aspekten der vorliegenden Offenbarung, wo das Via 43307, 43307A ausreichend hoch ist, kann die Leiterbahn 43309 nicht notwendig sein. In einigen Aspekten der vorliegenden Offenbarung kann der SMD-Monopol 43300 mit einer Streifenleitung oder einer anderen Übertragungsleitung 43311 aus der RFEM-Baugruppe gespeist werden.
  • 434 stellt ein dreidimensionales Strahlungsmuster gemäß einigen Aspekten der vorliegenden Offenbarung dar. 434 stellt das Strahlungsmuster des einzelnen Monopols bei 60 GHz dar. 435 stellt eine Impedanzgrafik eines einzelnen Monopols gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die Impedanzgrafik ist auf einem Smith-Diagramm repräsentiert, und bei 60 GHz ist die Grafik 43501 nahe dem Mittelpunkt, was bedeutet, dass die Antenne gut angepasst ist.
  • Nach dem Berechnen der Länge des Monopols basierend auf der Wellenlänge in dem dielektrischen Material an der interessierenden Frequenz und ähnlichem Berechnen der Abmessungen der Streifenleitung oder einer anderen Übertragungsleitungs-Speiseleitung werden iterative 3D-Simulationen, die sowohl Herstellungseinschränkungen als auch den begrenzten verfügbaren Platz und den Abstand der Abschirmung berücksichtigen, ausgeführt, um die Antennenimpedanzanpassung zu erreichen.
  • 436 stellt die Rückflussdämpfung eines einzelnen Monopols über der Frequenz gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die Grafik zeigt, dass die Antenne bei 60 GHz gut angepasst ist und dass sie eine Impedanzbandbreite von 56,56 GHz bis 66 GHz aufweist. 437 stellt realisierten vertikalen Polarisations-Gewinn (θ) in der X-Z-Ebene aus einem einzelnen Monopol gemäß einigen Aspekten der vorliegenden Offenbarung dar.
  • 437 ist eine zweidimensionale Grafik bei 60 GHz und zeigt, dass die vertikale Komponente des elektrischen Felds (E-theta) dominant ist. Der realisierte Gewinn in der Längsstrahlungsrichtung ist 3,33 dB. Die Kurven repräsentieren den realisierten Gewinn auf der X-Z-Ebene für eine andere Polarisation des E-Felds. Die Längsstrahlungsrichtung ist neunzig (90) Grad auf dieser Grafik (die die positive x-Achse des Koordinatensystems auf 433 repräsentiert).
  • 438 stellt den realisierten vertikalen polarisierten (θ) Gewinn über der Frequenz, bei 15o oberhalb der Längsstrahlung, aus einem einzelnen Monopol gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der realisierte Gewinn für die E-theta-Komponente des elektrischen Felds ist bei 43803 zu sehen.
  • Angesichts dessen, dass die Antennenpolarisation eines Sendesystems und einer Empfangsvorrichtung für eine gute Verbindung im Wesentlichen zusammenpassen können, ist es der Zweck einer dualen Polarisation, fähig zu sein, die Übertragung von einem Sender zu einer weiteren Vorrichtung (z. B. einem Dock, einem Peripheriegerät oder Smartphone und dergleichen) zu maximieren.
  • Die Benutzervorrichtung, wie z. B. ein Smartphone, mit einem RFEM ähnlich dem vorstehend beschriebenen kann sich bewegen und ihre Orientierung in Bezug auf den Sender ändern. Somit ist die Option für beide Polarisationen in dem Bestreben, eine gute Verbindung unabhängig von der relativen Position des Senders und des Empfängers bereitzustellen, verwendet.
  • In einigen Aspekten der vorliegenden Offenbarung kann die gesendete Polarität, und deshalb, welcher Antennentyp zu einer gegeben Zeit feuert, basierend auf einer Angabe der Polarität des Signals, das mit der größten Stärke empfangen wird, algorithmisch gesteuert werden. Diese Angabe kann kontinuierlich von der Benutzervorrichtung zu dem Sender zurückgemeldet werden. Diese Operation ist implementiert, um eine gesendete Polarisation zu erreichen, die mit der Polarisation an dem Empfänger zusammenpasst.
  • In einigen Aspekten der vorliegenden Offenbarung können andere Gruppenkombinationen implementiert sein, abhängig von der in einer Benutzervorrichtung verfügbaren Fläche. In einigen Aspekten der vorliegenden Offenbarung kann Polarisations-Diversity in der Längsstrahlungsrichtung unter Verwendung einer Gruppe aus zwei Monopolen für vertikale (θ-) Polarisation und einer Gruppe aus zwei Dipolen für horizontale (Φ-) Polarisation erreicht werden, mit insgesamt 4 Speiseleitungen wie z. B. aus vier RF-Ketten, wie in der vorstehend diskutierten 428 zu sehen ist. Jede Gruppe kann konfiguriert sein, zu einer gegebenen Zeit zu arbeiten. Parameter, die nachstehend in 439 beschrieben sind, wie z. B. zwei Monopole für vertikale Polarisation und zwei Dipole für horizontale Polarisation, sind nur als Beispiel angegeben, und normale Fachleute werden erkennen, dass eine andere Anzahl oder Pluralität solcher Antennen verwendet sein kann, wie es für eine spezielle Implementierung passend sein kann.
  • 439 stellt eine Zweielemente-Monopol- und eine Zweielemente-Dipol-Gruppe gemäß einigen Aspekten der vorliegenden Offenbarung dar. 439 stellt eine Draufsicht 43900 der zwei Gruppen dar. Wie vorstehend diskutiert ist eine IC-Abschirmung 43901, von der ein Teil der Oberseite in Draufsicht dargestellt ist, als ein Reflektor verwendet, um zusätzlichen Gewinn in der gewünschten Richtung bereitzustellen. Die IC selbst wäre durch die Abschirmung abgedeckt und wäre über den oberen Teil der Zeichnung von 439 hinaus angeordnet und durch die Abschirmung abgedeckt, ist jedoch in der Zeichnung aufgrund von Platzüberlegungen nicht dargestellt. Eine erste Gruppe umfasst den Monopol 43903 und den Monopol 43905. Die Monopole 43903 und 43905 können der gleiche Typ eines Monopols sein, der mit Bezug auf 433 diskutiert ist.
  • Weil die Gruppen in Draufsicht dargestellt sind, ist die Signalverbindung für den vertikalen Monopol-Zweig 43307, 43307A von 433 bei 43903A von 439 zu sehen, und die vorstehend mit Bezug auf 433 diskutierte Dummy-Kontaktstelle ist zur Unterstützung bei 43903B von 439 zu sehen. Normale Fachleute werden erkennen, dass die Unterstützung anderes als durch eine Dummy-Kontaktstelle, die wie dargestellt platziert ist, bereitgestellt werden. Die zwei Monopole werden durch die Speiseleitung 43907 bzw. die Speiseleitung 43909 gespeist.
  • In einigen Aspekten der vorliegenden Offenbarung sind die Dipole 43911 und 43913 auf den RFEM-Baugruppenschichten aufgedruckt. Die Ecken der Dipolzweige sind in einigen Aspekten der vorliegenden Offenbarung aufgefaltet, um ihre Länge zu erhöhen, jedoch Störung mit anderen Metallen in ihrer Umgebung zu vermeiden, einschließlich des koaxialen Verbindungselements 43915, das einen sehr begrenzten Raum für die Antennengruppen verursacht. Nur einer aus den vier nach oben gefalteten Dipolzweige ist benannt, als 43911A, jedoch das Falten nach oben ist typisch für alle vier Dipolzweige in dem diskutierten Aspekt. In einem Aspekt weist die Gruppe die auf 439 dargestellten Abmessungen auf. Die Masseebene (GND) ist eine der Schichten des Substrats 43902. Das Substrat 43902 ist in Teilansicht dargestellt, würde sich jedoch in der Praxis über die Grenzen von 43902, dargestellt in 439, hinaus erstrecken. Die Monopole sind in einem gewissen Abstand von der Abschirmung, und die Dipole müssen für einen verbesserten Betrieb in einem gewissen Abstand von der GND-Ebene sein. Außerdem ist der Abstand zwischen den Elementen der Gruppe (Dipol zu Dipol und Monopol zu Monopol) für verbessere Leistung angesichts der verfügbaren begrenzten Fläche konstruiert. Die vorstehend diskutierten Abmessungen können unter Verwendung einer Simulationsanwendung und Eingeben der Abmessungen, die in der Benutzervorrichtung verfügbar sind, in die in die Anwendung und Beurteilen der geeignete Abmessungen aus Simulationsergebnissen, um wünschenswerte Ergebnisse, die eine gewünschte Strahlungsrichtwirkung und andere Parameter sein können, zu erhalten.
  • 440 stellt ein dreidimensionales Strahlungsmuster einer Zwei-Dipol-Gruppe bei 60 GHz gemäß einigen Aspekten der vorliegenden Offenbarung dar. In diesem Aspekt wird der gesamte realisierte Gewinn mit einem maximalen Gewinn von ungefähr 4,16 dB gemessen. Die Richtung +Z für das Muster ist zur Unterseite der Platine, wie in 439 dargestellt ist.
  • 441 stellt den realisierten Gewinn der horizontalen Polarität (∅) über der Frequenz in der Längsstrahlungsrichtung aus der Zwei-Dipol-Gruppe von 439 gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der realisierte Gewinn für die E-phi-Komponente des elektrischen Felds ist bei 44101 gezeigt.
  • 442 stellt ein dreidimensionales Strahlungsmuster der Zwei-Monopol-Gruppe von 439 bei 60 GHz gemäß einigen Aspekten der vorliegenden Offenbarung dar. Wie bei 440 ist die Z+-Richtung zur Unterseite der Platine. 443 stellt die realisierte vertikale Polarität (θ) gemäß einigen Aspekten der vorliegenden Offenbarung dar. Der realisierte Gewinn für die E-theta-Komponente des elektrischen Felds ist bei 44301 gezeigt.
  • Aspekte mit mehreren SMD-Antennen
  • Einige allgemeine Informationen beziehen sich auf die 444-447, die nachstehend genauer diskutiert sind. Die Länge der diskutierten Patchantennen ist typischerweise λg/2, wobei λg die Wellenlänge in dem Dielektrikum ist. Für eine 60 GHz-Antenne auf den dielektrischen Materialien, die verwendet sind (beispielsweise mit einer Dielektrizitätskonstanten von ungefähr (~) 3), ist diese Länge ungefähr 1,2 mm. Die Breite der Patchantenne ist geringfügig größer als die Länge; für eine dual gespeiste / dual polarisierte Antenne sollten jedoch die Breite und Länge beide gleich sein (-1.2 mm).
  • Eine weitere wichtige Abmessung für die Patchantennen ist die Dicke des Dielektrikums zwischen dem Patch und der Referenzmasse, und die Dicke des Dielektrikums zwischen dem Haupt- und dem parasitären Patch (falls ein parasitärer Patch vorhanden ist). Das Folgende sind relevante Faktoren. Die Dicke des Dielektrikums (in Kombination mit den Materialeigenschaften) steht in direktem Bezug zu der Impedanzbandbreite der Antenne. Beispielsweise ist als ein Referenzpunkt für WiGig (60 GHz) eine Bandbreite von ~8 GHz wünschenswert. Für eine Lösung mit einem einzigen Patch (beispielsweise einem Haupt-Patch) sollte die Dicke des Dielektrikums ~λg/10 sein. Falls eine große Bandbreite wünschenswert ist, wie z. B. für WiGig, sollte die Dicke -300 um sein. Für eine Lösung mit einem parasitären Patch (für einen einzelnen + parasitären) sollte die Gesamtdicke ~λg/10 sein (mit anderen Worten Addieren der Dicke des Dielektrikums zwischen Masse und dem Haupt-Patch plus des Dielektrikums zwischen Haupt- und parasitärem Patch. Welches dicker ist, hängt von der die Dielektrizitätskonstanten jedes dielektrischen Materials ab. Das Konzept ist, dass der Haupt-Patch enger mit der Masse gekoppelt ist. In dem Fall von 445, der allgemein zusätzlich nachstehend diskutiert ist, ist, da die Abschirmung als eine Massereferenz agiert, das Dielektrikum zwischen Masse und dem Haupt-Patch nur Luft.
  • Das Folgende sind relevante Faktoren für den Abstand der Abschirmung von der SMD in den 444-447, die ebenfalls allgemein nachstehend genauer diskutiert sind. Für die Aspekte der Offenbarung in den 444 und 447 kann der Abstand der Abschirmung von der SMD so klein sein, wie es die Herstellung erlaubt. Für den Aspekt in 445 sollte der Abstand der Abschirmung von der SMD der vorstehend für die Dicke des Dielektrikums zwischen der Massereferenz und dem Haupt-Patch diskutierten Regel folgen. Für den Aspekt in 446 hängt dieser Abstand von der beabsichtigten Richtung der Strahlung ab. Der Abstand sollte für Querstrahlung so groß wie möglich und für Längsstrahlung so klein wie möglich sein. Im Allgemeinen wird der Abstand irgendwo zwischen diesen zwei Extremen sein. Für den Aspekt in 448 sollte der Abstand so groß wie möglich sein, angesichts des verfügbaren Raums (der verfügbare Raum ist ein begrenzender Faktor für im Wesentlichen alle hier beschriebenen Aspekte). Das gilt sowohl für Einzel- als auch für Dualpolarisation.
  • 444 stellt eine vertikale Einzel-Patch-Dualspeisung-Dualpolarisations-SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 444 ist bei 44401 eine PCB zu sehen. Die RFIC-Abschirmung 44405, die die RFIC 44403 abdeckt, kann konfiguriert sein, als ein Reflektor für die Patchantenne 44409 zu agieren.
  • In einigen Aspekten der vorliegenden Offenbarung ist die Patchantenne 44409 auf der Oberfläche der SMD 44407 neben dem Direktor 44417 geätzt oder auf andere Weise konfiguriert, wie dargestellt. Die Patchantenne 44409 kann gefaltet oder nicht gefaltet sein. Mit anderen Worten kann sich eine Patchantenne, wie z. B. bei 44409, von der Seite der DMC zu der Unterseite schlingen, was zusätzliche Länge bereitstellt, falls erforderlich, wie für vorstehende Aspekte erläutert ist. Die Masse, die geätzt ist oder sich auf andere Weise auf der SMD befindet, ist bei 44411 dargestellt. Anders ausgedrückt kann die Masse 44411 in einigen Aspekten der vorliegenden Offenbarung auf der Seite sein, wie dargestellt, und kann bei Bedarf umwickeln.
  • In einigen Aspekten der vorliegenden Offenbarung wird die Patchantenne 44409 durch die dualen Speiseleitungen 44413 auf der Platine und 44415 innerhalb der mehrschichtigen SMD-Komponente gespeist, um die passende Zuleitung aus der Platine mit der passenden SMD-Schicht zu verbinden. Ein Mikro-Via 44416 erstreckt sich von der oder nahe der Unterseite der SMD 44407 zu einer Zwischenhöhe innerhalb der SMD-Komponente und wird von einer Leitung in der Vorrichtung (d. h. der oberen Leitung 44415) gefolgt, die einen Ort in der Patchantenne speist und mit ihm verbindet und die (in Kombination mit der unteren Leitung 44415) dazu führt, dass die Antenne als eine dual polarisierte Antenne funktioniert.
  • In einigen Aspekten der vorliegenden Offenbarung ist der Direktor 44417 auf dem Gehäuse der Benutzervorrichtung, wie z. B. eines Telefons, eines Laptops und dergleichen, geätzt oder gebildet, um die Strahlung in die Richtung 44419 zu einem Empfänger zu lenken. 418, die vorstehend diskutiert ist, stellt Gehäuse mit der Platzierung von Direktoren dar. Weil die Antenne dual und orthogonal gespeist wird, stellt sie Dualpolarisation in zwei orthogonalen Richtungen bereit, wobei die Richtung davon abhängt, welche der dualen Zuleitungen ausgewählt ist.
  • In einigen Aspekten der vorliegenden Offenbarung kann die Auswahl dafür, welche Zuleitung zu einer gegebenen Zeit verwendet werden soll, durch eine Steuereinheit gesteuert werden, um zu ermöglichen, dass die Antenne eine oder die andere Polarisation je nach Bedarf bereitstellt, abhängig von der Stärke des empfangenen polarisierten Signals in dem Empfänger. In einigen Aspekten der vorliegenden Offenbarung wird die Stärke des empfangenen polarisierten Signals in der Benutzervorrichtung zur Auswahl der Zuleitung durch die Steuereinheit rückgemeldet. Das ermöglicht es, dass die Steuereinheit die Zuleitung auswählt, die die Polarisation bereitstellt, die zum Bereitstellen eines stärkeren empfangenen Signals fähig ist, und dadurch die Gesamtleistung verbessert.
  • 445 stellt eine vertikale gestapelte Patch-Einzelspeisung-Einfachpolarisations-SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 445 ist bei 44501 eine PCB zu sehen. Die RFIC-Abschirmung 44505, die die RFIC 44503 abdeckt, agiert als ein Reflektor und Massereferenz für die Patchantenne 44509. Die Patchantenne 44509 ist auf der dargestellten Oberfläche der SMD 44507 geätzt oder auf andere Weise konfiguriert. Die Patchantenne kann gefaltet oder nicht gefaltet sein. Die bei 44511 dargestellte Patchantenne ist ein parasitäres Element. Zusätzliche parasitäre Elemente können für 445 und für die zusätzlichen Figuren zur Darstellung der SMD, die nachstehend beschrieben sind, verwendet werden, wie es für andere Aspekte geeignet sein kann. Die Patchantenne 44509 wird durch eine einzelne Speiseleitung 44513 auf der Platine gespeist. Der Direktor 44515 ist auf dem Gehäuse der Benutzervorrichtung geätzt oder gebildet, um die Strahlung in Richtung 44517 zu lenken. Weil nur eine einzige Zuleitung vorhanden ist, gibt es nur eine einzige Polarisation.
  • 446 stellt eine horizontale SMD-Patchantenne gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 446 ist bei 44601 eine PCB zu sehen. Die RFIC-Abschirmung 44605, die die RFIC 44603 bedeckt, agiert als ein Reflektor für die Patchantenne, die die angesteuerte kapazitive Patchantenne 44609 und die parasitäre Patchantenne 44615 umfasst. Es ist außerdem eine Masseschicht 44611 innerhalb der PCB vorhanden, sie als eine Massereferenz für den primären kapazitiven Patch 44609 agiert. Die Masse 44611 ist nicht maßstabsgerecht gezeichnet. Die Masse ist viel größer als der Patch selbst. In einigen Aspekten der vorliegenden Offenbarung kann die Masse der gesamte Bereich der PCB sein.
  • In einigen Aspekten der vorliegenden Offenbarung ist die kapazitive Patchantenne 44609 auf der dargestellten Oberfläche der SMD 44607 geätzt oder auf andere Weise konfiguriert. Die Patchantenne kann gefaltet oder nicht gefaltet sein. Die Patchantenne 44609 wird durch duale Speiseleitungen 44613 auf der Platine gespeist. Weil zwei Zuleitungen vorhanden sind, kann Dualpolarisation, sowohl vertikale als auch horizontale Polarisation, vorhanden sein, die wie vorstehend diskutiert algorithmisch gesteuert sein kann.
  • 447 stellt eine vertikale SMD-Patchantenne 44708 unter Verwendung eines Schraffurmusters gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 447 ist bei 4701 eine PCB zu sehen. Die RFIC-Abschirmung 44705, die die RFIC 44703 abdeckt, agiert als ein Reflektor für die Patchantenne 44708. Die Massereferenz ist in einigen Aspekten der vorliegenden Offenbarung das Schraffurmuster 44710 auf der entgegengesetzten Seite der SMD 44707 und erstreckt sich nach unten zu der unteren Schicht der PCB. Die Patchantenne 44708 kann ein kapazitiver Patch sein, der unter Verwendung von hoch dichten schraffierten Kupferleiterbahnen und Mikro-Vias hergestellt ist. Ein solches Muster kann innerhalb des Körpers der SMD-Komponente 44707 und innerhalb der Haupt-Host-PCB 44701 implementiert sein. Die schraffierte SMD-Komponente kann unter Verwendung mehrerer Lötpunkte 44709A und 44709B verbunden sein. Die Patchantenne 44708 kann gefaltet oder nicht gefaltet sein. Die Patchantenne 44708 wird durch die dualen Speiseleitungen 44713 auf der Platine gespeist, die zwei orthogonale Zuleitungen für Dualpolarisation sein sollten. Der Direktor 44711 ist auf dem Gehäuse geätzt oder gebildet, um die Strahlung in Richtung 44719 zu lenken.
  • 448 stellt eine SMD-Spiralantenne mit Zirkularpolarisation gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 448 ist eine RFIC 44803 mit der PCB 44801 verbunden. Die RFIC-Abschirmung 44805, die die RFIC 44803 abdeckt, agiert als ein Reflektor und Massereferenz für die Spiralantenne 44809. Die Spiralantenne 44809 kann unter Verwendung von Vias und Leiterbahnen auf der oberen und der unteren Schicht der SMD 44807 hergestellt sein. Falls die SMD 44807 mehrschichtig ist, dann könnte die Spiralantenne unter Verwendung von Vias und Leiterbahnen in den inneren Schichten der SMD 44807 implementiert sein. Die Spiralantenne 44809 wird durch eine einzelne Speiseleitung 44813 auf der Platine gespeist. Der Direktor 44813 ist auf dem Gehäuse geätzt oder gebildet, um die Strahlung in Richtung 44815 zu lenken.
  • 449 stellt eine Implementierung einer Spiralantenne innerhalb einer SMD gemäß einigen Aspekten der vorliegenden Offenbarung dar. Eine RFIC ist bei 44903 zu sehen, oder in einigen Aspekten der vorliegenden Offenbarung kann 44903 eine PCB bezeichnen, die die RFIC hält. Die Leiterbahnen 44907 können auf die Oberseite und die Unterseite der SMD 44905 gedruckt sein. Außerdem können die Vias 44909 zwischen der Oberseite und der Unterseite der SMD platziert sein, um die Leiterbahnen wie dargestellt zu verbinden. Obwohl sie nicht rund ist, wie Spiralen häufig dargestellt sind, kann die dargestellte Leiterbahn-Vias-Kombination als eine runde oder ovale Schleife einer Spirale agieren. Mehrere solcher Schleifen können miteinander verbunden sein, um als runde Schleifen zu funktionieren. Es wird darauf hingewiesen, dass die untere Leiterbahn 44907 offen gelassen (nicht mit dem Via 44910 verbunden) ist und mit einer zweiten Schleife verbunden sein kann, die ähnlich mit einer dritten verbunden sein kann, und so weiter, um eine Spirale zu bilden. Beispielsweise können, falls die SMD-Komponente mehrschichtig ist, dann die Leiterbahnschleifen und verbindenden Vias auf inneren Schichten konstruiert sein, was mehr Windungen der Spirale ermöglicht. Das Via 44911 ist mit einer einzelnen Streifenleitungszuleitung 44915 innerhalb der RFIC 44903 verbunden. Die Vias 44909 sind Masse-Vias, um die GND-Schichten anzuheften, die die Streifenleitungszuleitung 44915 referenzieren.
  • 450 stellt das Koppeln von Strahlung aus einer RFIC zu mehreren Direktoren auf einem Gehäuse gemäß einigen Aspekten der vorliegenden Offenbarung dar. Es ist die PCB 45001 mit daran angebrachter RFIC 45003 dargestellt. Vier SMD-Komponenten 45005 weisen jeweils ein Antennenelement auf, wie z. B. die in den 444-447 dargestellten, sind in einem adäquaten Abstand voneinander für Gewinn versus Größe beabstandet und werden durch die Speisemechanismen 45007 aus der RFIC 45003 gespeist. Der Speisemechanismus 45007 kann ein Speisemechanismus mit einzelner Zuleitung und Einzelpolarisation oder eine Speisemechanismus mit dualer Zuleitung und Dualpolarisation sein, jeder wie jeweils vorstehend diskutiert. Wie vorstehend in diesem Patent ebenfalls diskutiert sind der Abstand und andere Parameter eine Funktion des verfügbaren Raums in der Vorrichtung, in der die Antenne Anwendung findet. Abstände und andere Parameter können dann in vielen Fällen durch Simulation bestimmt werden, durch Eingeben der verfügbaren Abstände oder Abstandsbereiche, Winkel und anderer Parameter in eine Simulations-Software und Bestimmen, welche Menge aus Abständen, Winkeln, Gewinn, Strahlungsmustern und anderen Parametern wünschenswerte Ergebnisse bereitstellen, und es sind auch vier Zielmerkmale 45011, wie z. B. Direktoren, auf dem Vorrichtungsgehäuse 45009 dargestellt. Die SMD-Komponenten 45005 können eine 28 GHz-Antennengruppe repräsentieren, wobei jedes Antennenelement durch RF-Signale derselben Polarisation gespeist wird. Durch Wellenlinien bei 45013 ist eine Angabe der Strahlung zwischen den SMD-Komponenten und dem Zielmerkmal dargestellt. Der Abstand zwischen den SMD-Komponenten und den Gehäusemerkmalen wäre bei 28 GHz in der Größenordnung von 0,5 mm bis 1,0 mm. In diesem Aspekt sind die Antennenelemente SMD-Komponenten, sie könnten jedoch auch auf der PCB realisiert sein.
  • Wie vorstehend diskutiert verwenden RF-Teilsysteme wie z. B. RFEMs, RFICs und dergleichen eine Abschirmung, um vor Hochfrequenzstörung (RFI) und elektromagnetischer Störung (EMI) zu schützen. Die Abschirmungen sind metallisiert und bilden normalerweise ein Behältnis, um den darin platzierten aktiven Die zu bedecken. Nachstehend sind Ausschnitte aus der Abschirmung in verschiedenen Formen und Mustern beschrieben, die Antennenstrukturen entweder als Schlitzleitungen oder als aktive Metallleitungsantennen erzeugen, die mit der RFIC innerhalb der Abschirmung entweder über eine metallisierte Leiterbahn oder über einen anderen geeigneten Typ eines Kopplungsmechanismus verbunden wären.
  • 451A ist eine perspektivische Ansicht eines IC-Abschirmungswandausschnitts, der eine Antenne bildet, gemäß einigen Aspekten der vorliegenden Offenbarung. Die IC-Abschirmung 45100 ist in einer perspektivischen Ansicht mit einer abschirmenden Abdeckung, die nicht gezeigt ist, dargestellt. Die Oberseite der PCB, an der die IC-Abschirmung befestigt ist, ist bei 45113 dargestellt. In 451A erscheint das Element 45113 entfernt von der Oberseite der PCB. Das liegt jedoch lediglich an einem Mangel an Zeichenfläche. Das Element 45113 ist die Oberseite der PCB, auf der sich der RFIC-Die befindet. Die Abschirmung kann durch Löten an der PCB befestigt sein. Der Punkt 45115 stellt einen Spalt oder eine Öffnung dar. Es sind typisch um die vier Ecken der Oberseite der PCB in einigen Aspekten der vorliegenden Offenbarung. Der RFIC-Die 45101 ist ebenfalls an der PCB 45113 befestigt, was in einigen Aspekten der vorliegenden Offenbarung durch Löten sein kann, wie durch die Lotperlen an der Unterseite des RFIC-Dies 45101 angegeben ist. Zwei der Abschirmungswände sind sichtbar, jede als „ABSCHIRMUNGSWAND“ gekennzeichnet, und eine dritte Abschirmungswand ist gestrichelt sichtbar. Folglich ist das Innere der IC-Abschirmung sichtbar. Ein Ausschnitt in der Abschirmungswand 45103 ist bei 45105 sichtbar und setzt sich zu der Unterseite der Abschirmungswand an der PCB fort und funktioniert als eine Antenne. Dieser Ausschnitt bildet das Wandelement 45107 als eine Antenne, die in diesem Aspekt eine planare invertierte F-Antenne (PIFA) ist. Wie nachstehend angegeben ist eine PIFA lediglich ein Beispiel für die Antennen, die aus der Abschirmung ausgeschnitten sein können, und die Aspekte sind nicht auf das Verwenden einer PIFA beschränkt. In dem diskutierten Aspekt ist die PIFA-Antenne der schraffierte Abschnitt 45107 in 451A. Sie ist metallisiert. Der Ausschnitt ist um die PIFA 45107 ist 45105, in zwei Abschnitten, die nicht schraffiert sind. Somit ist die Metallisierung, die die PIFA 45107 bildet, in einem diagonal linierten Abschnitt in der Zeichnung gezeigt.
  • In 451B ist zur Verdeutlichung der Darstellung kein umgebendes Metall um die PIFA-Antenne 45107 oberhalb der GND-Ebenen-Randlinie dargestellt. In 451A ist jedoch die PIFA 45107 wie sie erscheint innerhalb der Seite der Abschirmung innerhalb eines Ausschnitts 45105 dargestellt. In einigen Aspekten der vorliegenden Offenbarung kann das Wandelement 45107 an der PCB an der Speiseübertragungsleitung 45111 enden, die die geeignete Sendeempfängerschaltung des RFIC-Dies 45101 verbindet und die Antenne, die durch den Ausschnitt 45105 gebildet ist, speist. Verschiedene Typen von Speisemechanismen können verwendet sein, wie z. B. der gezeigte komplanare Wellenleiter, oder Mikrostreifen und dergleichen. Die Übertragungsleitung 45111 kann auf dem Boden 45113 der PCB gebildet sein durch Entfernen von Metall, um die Segmente 45112 freizulegen, die die Übertragungsleitung 45111 von der Masse GND isolieren. Wie in 451A dargestellt ist, ist die Speiseleitung 45111 teilweise auf der PCB und teilweise auf der Metallisierung der Abschirmungswand 45103, die zu der PIFA 45107 führt.
  • In 451A ist der weitaus größte Teil der Übertragungsleitung 45111 auf der PCB, auf der sich der RFIC-Die befindet, in einigen Aspekten der vorliegenden Offenbarung. Der durch Schraffur gefüllte Bereich 45111 ist die metallisierte Übertragungsleitung auf der PCB, während sich nur ein kleiner Abschnitt der Übertragungsleitung auf der Abschirmungswand befindet. Mit anderen Worten sind die mit Schraffur gefüllten Bereiche 45107 und der Rest der Abschirmungswand 45103 (der nicht 45105 ist) Metallisierung auf dem Abschirmungsmetall. Die PIFA-Antenne 45107 ist in diesem Beispiel innerhalb des metallisierungsfreien Ausschnitts 45105 in der Abschirmungswand gebildet. Auf jeder Seite der Übertragungsleitung 45111 sind die Bereiche 45112 Bereiche, in denen die PCB-Metallisierung auf jeder Seite 45112 der Speiseleitung 45111 entfernt (delaminiert) wurde, um 45111 zu einer Übertragungsleitung zu machen, die die PIFA speist. Diese Delaminierung wird normalerweise durch Ätzen auf der PCB vorgenommen. Anstelle von Ätzen ist Abschaben möglich, wird jedoch nicht als genau betrachtet. Die Delaminierung kann auch durch mechanisches Bearbeiten oder andere mechanische Ausschneidemechanismen auf dem Abschirmungsmetall erreicht werden. Die Speiseleitung kann unter Verwendung mehrerer Technologien und nicht auf eine Technologie beschränkt (z. B. auf einer PCB) implementiert sein.
  • Ein Element 45109 der Wand, das neben dem Ausschnitt 45105 ist, verbindet mit der Masse GND der PCB und funktioniert als eine Kurzschlussleitung zu Masse für die Ausschnitt-Antenne 45107. In einigen Aspekten der vorliegenden Offenbarung funktioniert GND als eine Masseebene für die durch die Ausschnitte gebildete Antenne. 451B ist eine Seitenansicht eines Wandausschnitts, der die in 451A dargestellte Antenne umfasst, gemäß einigen Aspekten der vorliegenden Offenbarung. 451B zeigt eine planare invertierte F-Antenne (PIFA), wobei sowohl die Elemente 45105 als auch die Wandelemente 45107, 45109 und Speiseübertragungsleitung 45111 gleich den gleich nummerierten Elementen in 451A sind. Eine PIFA ist in diesem Aspekt primär verwendet, weil sie eine relativ einfache Art präsentiert, eine Antenne mit der Masse GND mit Hilfe des Wandelements 45109 zu verbinden, und auch wegen ihrer bekannten Resonanz an einer Viertelwellenlänge, was den erforderlichen Raum reduziert, der in der Benutzervorrichtung benötigt wird, und auch weil sie gute Signalabsorptionsrateneigenschaften aufweist. Im Betrieb ist die Speiseübertragungsleitung 45111 konfiguriert, das Antennenelement zu speisen, das sich in dem Ausschnitt 45105 befindet, der als die Antenne funktioniert und das RF-Energie nach außen von der Abschirmungswand 45103 abstrahlt. In einigen Aspekten der vorliegenden Offenbarung, wie z. B. in der bei 45107 dargestellten PIFA, kann die Strahlung im Wesentlichen ungerichtet sein. Der Ausschnitt und das Antennenelement können in der Form anderer Konfigurationen sein, wie z. B. eine Kerbe oder ein Schlitz, oder ein Patch mit geeigneter Erdung.
  • 451C ist eine perspektivische Ansicht einer IC-Abschirmung mit einem Wandausschnitt und einem oberen Ausschnitt, die Antennenelemente einer Antennengruppe umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung. In 451C sind die Wände typischerweise bei 45103 zu sehen, und die Oberseite ist bei 45106 zu sehen. Folglich stellt die perspektivische Ansicht von 451C die IC-Abschirmung dar, die die RFIC 45101 bedeckt, die verdeckt als unter der Abdeckung 45106 der IC-Abschirmung gezeigt ist. Der Ausschnitt auf der Oberseite 45106 ist bei 45105A zusehen, wobei das Wandelement 45109A einen Pfad zu Masse mit Hilfe der PCB bereitstellt. Der Ausschnitt 45105A in 451C funktioniert als eine Antenne und ist im Wesentlichen der gleiche Antennentyp wie der Ausschnitt 45105 von 451A, der in 451C verdeckt gezeigt ist. Die Speiseübertragungsleitung 45111A, die verdeckt gezeigt ist, speist die Antenne 45109A aus der RFIC 45101 und ist gleich der oder einfacher als die Speiseübertragungsleitung 45111 in verdeckter Ansicht.
  • In einigen Aspekten der vorliegenden Offenbarung können zwei oder mehr Antennen orthogonal zueinander orientiert sein. Beispielsweise unterstützen zwei Antennen 45105 und 45105A, die im Wesentlichen physikalisch orthogonal zueinander orientiert sind, zwei unterschiedliche Polarisationen und/oder räumliche Abdeckungen. Jede Antenne kann mit entweder dem gleichen Signal, um eine neue Vektorsummierung zu erzeugen, oder mit zwei unterschiedlichen Signalen oder räumlichen Strömen, um Mehr-Ein-Mehr-Aus- (MIMO-) Betriebsarten zu ermöglichen, gespeist werden. Wenn sie zu unterschiedlichen Zeiten gespeist werden, kann Strahlung an zwei unterschiedlichen Polarisationen zu unterschiedlichen Zeiten verursacht werden, abhängig von der Steuerkonfiguration, wie vorstehend diskutiert.
  • In einigen Aspekten der vorliegenden Offenbarung kann die Auswahl dafür, welche Zuleitung zu einer gegebenen Zeit verwendet werden soll, durch eine Steuereinheit gesteuert werden, um zu ermöglichen, dass die Antenne eine oder die andere Polarisation je nach Bedarf bereitstellt, abhängig von der Stärke des empfangenen polarisierten Signals in einem Empfänger, zu dem das Signal gesendet wird. In einigen Aspekten der vorliegenden Offenbarung wird die Stärke des empfangenen polarisierten Signals in dem Empfänger rückgemeldet zur Auswahl der Zuleitung durch die Steuereinheit, um die Zuleitung auszuwählen, die die Polarisation, vertikal oder horizontal, bereitstellt, die zu einer gegebenen Zeit das stärkere empfangene Signal bereitstellt. Die Rückmeldung kann kontinuierlich bereitgestellt sein, und dadurch wird die geeignete Polarisation kontinuierlich bereitgestellt und die Gesamtleistung verbessert. In einigen Aspekten der vorliegenden Offenbarung werden beide Zuleitungen verwendet, um MIMO-Signale, die räumliche Orthogonalität aufweisen, zu entschlüsseln.
  • 451D ist eine perspektivische Ansicht einer IC-Abschirmung mit einem ersten Wandausschnitt und einem zweiten Wandausschnitt, die Antennenelemente einer Antennengruppe umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung. Die IC-Abschirmung 45106 in 451D ist gleich der bei 45100 in 451A dargestellten. Die Abschirmung weist jedoch einen zweiten Ausschnitt 45105A auf, der die Speiseübertragungsleitung 45111A, die in Bezug auf de Die auf die gleiche Weise wie der Ausschnitt 45105 angeordnet ist, und die Speiseübertragungsleitung 45111 aufweist. Weil die Abschirmung rechteckig ist, sind die zwei Ausschnitte 45105 und 45105A orthogonal zueinander und arbeiten auf die gleiche Weise wie mit Bezug auf 451C diskutiert ist. Andere Implementierungen wie z. B. zwei orthogonale Ausschnitt-Antennen oben auf der Abschirmung mit ähnlichen Speisemechanismen wie die beschriebenen und andere Implementierungen sind möglich.
  • In einem RF-System ist die Antenne mit einem Sende/Empfangs-(T/R-) Schalter verbunden und dann mit dem Leistungsverstärker (PA) und dem rauscharmen Verstärker (LNA) in der TX- bzw. RX-Kette verbunden. An mmWellen-Frequenzen ist der Verlust, der einem solchen T/R-Schalter zugeordnet ist, hoch und aus Sicht der RF-Leistungsfähigkeit unangenehm. RF-Einpegeln und Antennenspeisenetz (für sowohl Einzel- als auch Dualpolarisation) sind für eine quadratische Patchantenne in den 452A und 452B gezeigt. Das kann jedoch auf andere Typen und Formen von Antennenimplementierungen anwendbar sein.
  • 452A stellt eine Patchantenne und eine RF-Speiseleitungsverbindung, die einen Sende/Empfangs- (TR-) Schalter für eine Einfachpolarisationskonstruktion aufweist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 452A weist die Patchantenne 45201 eine Speiseleitung 45203 auf, die mit einem Anpassungspunkt 45205 verbunden ist, wie nachstehend genauer diskutiert ist. Die Antenne 45207 ist gleich der Patchantenne 45201, die Speiseleitung 45203 in einem Sendeempfänger ist an den T/R-Schalter 45209 angeschlossen. Der PA 45211 und der LNA 45213 sind jeweils mit dem T/R-Schalter 45209 verbunden wie dargestellt, und der T/R-Schalter wird für Sende- und Empfangsbetriebsart geschaltet.
  • 452B stellt eine Patchantenne und eine RF-Speiseleitungsverbindung, die einen Sende/Empfangs- (TR-) Schalter für eine Dualpolarisationskonstruktion aufweist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 452B weist die Patchantenne 45215 die Speiseleitung für horizontale Polarisation 45217 auf, die an einem Anpassungspunkt 45219 verbunden ist. Die Speiseleitung für vertikale Polarisation 45224 ist an dem Anpassungspunkt 45223 verbunden. Die Antenne 45225 ist gleich der Patchantenne 45215. Für horizontale Polarisation ist die Speiseleitung 45217 für horizontale Polarisation in einem Sendeempfänger an dem T/R-Schalter 45227 angeschlossen. Der PA 45229 und der LNA 45231 sind jeweils mit dem T/R-Schalter 45227 verbunden, wie dargestellt, und der T/R-Schalter wird für Sende- und Empfangsbetriebsart für horizontal polarisierte Signale geschaltet. Für vertikale Polarisation ist die Speiseleitung 45224 für vertikale Polarisation in einem Sendeempfänger an dem T/R-Schalter 45235 angeschlossen. Der PA 45237 und der LNA 45239 sind jeweils mit dem T/R-Schalter 45235 verbunden, wie dargestellt, und der T/R-Schalter wird für Sende- und Empfangsbetriebsart für vertikal polarisierte Signale geschaltet.
  • Der T/R-Schalter kann jedoch in einigen Aspekten der vorliegenden Offenbarung wegen der Speiseleitungseigenschaften von Patchantennen entfernt werden.
  • Mit Patchantennen kann ein Antennenspeiseleitungs-Anpassungspunkt vorhanden sein, der im Vergleich zu einem zweiten Antennenspeiseleitungs-Anpassungspunkt geringfügig versetzt ist. Das ist in 452C zu sehen. 452C stellt eine Patchantenne 45204 in einer Einfachpolarisationskonstruktion, wobei die Antennenspeiseleitung für den RX-Speiseleitungsanpassungspunkt leicht zu einer Seite versetzt ist im Vergleich zu dem TX-Speiseleitungsanpassungspunkt, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Mit anderen Worten ist in 452C der RXangepasste Speisepunkt näher am Rand der Antenne als der TX-Speisepunkt. Der Grund dafür ist, dass die Impedanz eines Verbindungspunkts einer Speiseleitung durch den Punkt auf der Patchantenne bestimmt wird, wo die Verbindung hergestellt ist, mit einer geringeren Verbindungsimpedanz näher an der Mitte der Patchantenne und einer höheren Verbindungsimpedanz näher am Rand der Patchantenne. Für Sende- und Empfangsbetrieb sind sowohl eine TX-Speiseleitung als auch eine RX-Speiseleitung an der Patchantenne angeschlossen.
  • In einigen Aspekten der vorliegenden Offenbarung ist ein PA an der Senderseite der TX-Speiseleitung angeschlossen. Ein PA arbeitet an einer sehr geringen Impedanz, somit wird der TX-Speiseleitungsanpassungspunkt relativ nahe an der Mitte der Patchantenne sein, wie in 452C zu sehen ist, um die Anpassungsanforderungen für eine niedrige Impedanz des PA zu erfüllen. Ein LNA ist an der RX-Seite der RX-Speiseleitung angeschlossen. Ein LNA arbeitet an einer hohen Impedanz, somit wird der TX-Speiseleitungsanpassungspunkt relativ nahe an dem Rand der Patchantenne sein, ebenfalls wie in 452C zu sehen ist. Diese beiden Anpassungspunkte, einer nahe der Mitte der Patchantenne und einer nahe dem Rand der Patchantenne, führen zu dem Versatz zwischen den beiden Anpassungspunkten.
  • Dieser Versatz der Anpassungspunkte ist auch für die Dualpolarisationskonstruktion gezeigt, wie sie in 452D zu sehen ist. 452D stellt eine Patchantenne 45206 in einer Dualpolarisationskonstruktion dar, wobei die Antennenspeiseleitungen für den RX-Speiseleitungsanpassungspunkt für beide Polarisationen leicht zu einer Seite versetzt sind im Vergleich zu dem TX-Speiseleitungsanpassungspunkt, gemäß einigen Aspekten der vorliegenden dar. Mit anderen Worten sind in 452D zwei Gruppen von versetzten Anpassungspunkten vorhanden, eine für Betrieb mit horizontaler Polarisation und einer für Betriebs mit vertikaler Polarisation.
  • Die vorstehende Eigenschaft des Speiseleitungsanpassungspunkts für eine Patchantenne ermöglicht es, dass die TX-Kette direkt mit dem TX-Speiseleitungsanpassungspunkt der Patchantenne verbunden ist und die RX-Kette direkt mit dem RX-Speiseleitungsanpassungspunkt der Patchantenne verbunden ist. Somit ist der Nutzen für einen T/R-Schalter und den zugeordneten Einfügungsverlust, der in dem RF-Einpegeln enthalten sein soll, reduziert. Das kann wiederum die RF-Leistung aus Sicht der TX-Ausgabeleistung/effizienz und des RX-Rauschfaktors (NF) signifikant verbessern. Das Vorstehende ist in den 453A und 453B zu sehen. Die 180-Grad-Phasenumkehr zwischen TX und RX, die den Speiseleitungen, die aus entgegengesetzten Richtungen kommen, zugeordnet ist, kann auf Systemebene überwunden werden.
  • 453A stellt eine Einfachpolarisationsimplementierung einer TX-Speiseleitung und einer RX-Speiseleitung, die direkt mit den Patchantennen-Speiseleitungsanpassungspunkten verbunden sind, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 453A weist die Patchantenne 45301 die RX-Speiseleitung 45307, die mit dem RX-Speiseleitungsanpassungspunkt 45309 verbunden ist, und die TX-Speiseleitung 45303, die direkt mit dem TX-Speiseleitungsanpassungspunkt 45305 verbunden ist, auf. Die Patchantenne 45311, die gleich der oder ähnlich der Patchantenne 45301 ist, ist direkt mit dem PA 45313 über die TX-Speiseleitung 45303 und direkt mit dem LNA 45315 über die RX-Speiseleitung 45307 verbunden, ohne dass ein T/R-Schalter notwendig ist.
  • 453B stellt eine Dualpolarisationsimplementierung mit Hilfe einer TX-Speiseleitung mit horizontalter Polarisation und einer horizontalen RX-Speiseleitung und einer TX-Speiseleitung mit vertikaler Polarisation und einer vertikalen RX-Speiseleitung, die direkt mit Patchantennen-Speiseleitungsanpassungspunkten ohne einen T/R-Schalter verbunden sind, gemäß einigen Aspekten vorliegenden Offenbarung dar. 453B ist ähnlich 453A, außer dass sowohl eine TX-Speiseleitung 45327 mit horizontaler Polarisation und eine RX-Speiseleitung 45331 mit horizontaler Polarisation als auch eine TX-Speiseleitung 45319 mit vertikaler Polarisation und eine RX-Speiseleitung 45323 mit vertikaler Polarisation vorhanden sind, die mit ihren jeweiligen Speiseleitungsanpassungspunkten 45329, 45333 und 45321, 45325 verbunden sind. In diesem Aspekt ist die Patchantenne 45335 mit Hilfe der TX-Speiseleitung 45327 mit horizontaler Polarisation direkt mit dem PA 45337 verbunden und mit Hilfe der RX-Speiseleitung 45331 mit horizontaler Polarisation direkt mit dem LNA 45339 verbunden, ohne einen T/R-Schalter. Ähnlich kann die Patchantenne 45335 mit Hilfe der TX-Speiseleitung 45319 mit vertikaler Polarisation direkt mit dem PA 45343 verbunden sein und mit Hilfe der RX-Speiseleitung 45323 mit vertikaler Polarisation direkt mit dem LNA 45345 verbunden sein, ohne einen T/R-Schalter.
  • Die in den 453A und 453B dargestellten direkten Verbindungen ermöglichen den Betrieb in einer Halbduplex-Betriebsart, ohne T/R-Schalter, wobei der TX und der RX zu unterschiedlichen Zeiten arbeiten.
  • 454A stellt eine IC-Abschirmung gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die IC-Abschirmung 45400 umfasst zwei Metallteile, die sogenannten „Umrandung“ 45401, die an eine PCB gelötet oder auf andere Weise daran befestigt ist, und innerhalb derer sich integrierte und diskrete Schaltung befinden kann, beispielsweise innerhalb der dargestellten Ausschnitte in der Umrandung 45401; und den Deckel 45403, der an der Umrandung angebracht ist, in einigen Aspekten der vorliegenden Offenbarung dadurch, dass er oben auf die Umrandung 45401 gedrückt wird. Die zweiteilige IC-Abschirmungstechnik ermöglicht die Option, den Antennengewinn dadurch zu verbessern, dass sie als ein Reflektor für eine Antenne oder eine Gruppe von Antennen dient, die sich neben der Abschirmung befindet, wie nachstehend diskutiert.
  • In einigen Aspekten der vorliegenden Offenbarung kann der Gewinn durch Ermöglichen, dass sich ein Teil der Umrandung 45401 nach außen durch einen Raum in dem Deckel 45403 wölbt oder erstreckt, weiter verbessert werden. 454B stellt eine IC-Abschirmung mit einer Wölbung oder Erweiterung der Umrandung bei 45405, durch den dargestellten Raum in dem Deckel 45403, um den Antennengewinn und die Richtwirkung zu verbessern, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In einigen Aspekten der vorliegenden Offenbarung könnte der Deckel selbst als eine Erweiterung hergestellt sein, obwohl dann, wenn ein nicht gelöteter Deckel verwendet wird, er sich verformen könnte, beispielsweise durch den Aspekt, dass er fällt und auf den Boden auftritt oder wenn er per Hand gehandhabt wird.
  • Zurück zu der Diskussion von 454B kann die Wölbung gefaltet oder nicht gefaltet sein. Die Faltung in der Wölbung oder Erweiterung dient primär zum Bereitstellen mechanischer Stabilität. 454B zeigt einen Teil des Grundrisses einer Benutzervorrichtung, die das koaxiale Verbindungselement 43915, ursprünglich in 439 zu sehen, enthält, das viel des begrenzten Raums für Antennengruppen einnimmt, wie vorstehend diskutiert. Neben der und sehr nahe an der Abschirmung in 454B ist eine Antennengruppe, die gestapelte Patchantennen 45407A und 45409A und Dipolantennenelemente 45407B und 45409B aufweist und die Direktoren 45407C, 45409C und 45407D, 45409D aufweisen kann.
  • In einigen Aspekten der vorliegenden Offenbarung ist der Abstand zwischen dem Dipol 45407B und dem Direktor 45407C 340 Mikrometer. Der Abstand von dem Dipol 45409B zu dem Rand der Kupferschichten 45410 kann 780 Mikrometer sein. Der Abstand von dem Dipol zu dem Deckel 45403 kann 2 Millimeter sein. In einigen Aspekten der vorliegenden Offenbarung ist der Abstand zwischen den Direktoren 45407C und 45407D ähnlich 340 Mikrometer. Die Gruppe kann wie vorstehend für Patch- und Dipol-Aspekte diskutiert gespeist werden. Der Gewinn in der Längsstrahlungsrichtung (normal zu den Direktoren), der auf die Wölbung zurückzuführen ist, ist bei ungefähr 1 dBi gemessen worden.
  • 454C stellt die Verwendung einer gefalteten Erweiterung 45405 der Umrandung durch die IC-Abschirmungsabdeckung 45403 zum Verbessern des Gewinns einer Gruppe von Dipolantennenelementen 45411, 45413, 45415, 45417 gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die dargestellte Gruppe ist eine 1x4-Dipol-Gruppe, die innerhalb der PCB konstruiert ist, wie vorstehend diskutiert. In einigen Aspekten der vorliegenden Offenbarung kann die PCB aus Bismaleimidtriazin- (BT-) Expoxid hergestellt sein. In dem Aspekt von 454C ist der Gewinn in der Längsstrahlungsrichtung (normal zu den Dipolzweigen) aufgrund der Wölbung bei ungefähr 0,5 dBi gemessen worden.
  • 454D stellt ein Loch 45419, das in der Abschirmungsstruktur wegen der Wölbung gebildet ist, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Es ist außerdem ein Teil der Umrandung 45421 innerhalb des Deckels 45403 zu sehen. In einigen Aspekten der vorliegenden Offenbarung ist die Wölbung nicht hermetisch abgeschlossen. Deshalb kann ein Loch wie z. B. das bei 45419 in der Struktur 45406 gebildet sein, und deshalb kann ein RF-Leckverlust vorhanden sein. Folglich kann beim Implementieren der Wölbung 45405 darauf geachtet werden, das Metall zu falten oder auf andere Weise anzuordnen, um das Loch 45419 so klein wie möglich zu machen, um einen solchen Leckverlust zu minimieren.
  • 454E ist eine perspektivische Nahansicht der Wölbung und des Lochs von 454D gemäß einigen Aspekten der vorliegenden Offenbarung. Die Kombination 45408 aus dem Deckel 45403 und der Umrandung 45421 stellt die Wölbung 5405 und das Loch 45419 deutlicher dar.
  • 455 ist eine Draufsicht einer kombinierten Patchantennen- und Dipolantennen-Gruppe mit einem Abschirmungsreflektor gemäß einigen Aspekten der vorliegenden Offenbarung. Bei 45500 ist eine Gruppe dargestellt, die die Patchantennen 45503, 45505, 45507 und die Dipolantennen 45509 und 45511 aufweist, die Dualpolarisations-Diversity zu der Längsstrahlungsrichtung (normal zu den Dipolzweigen) unterstützt.
  • In einigen Aspekten der vorliegenden Offenbarung können die Patchantennen 45503, 45505, 45507 Dual-Patches sein, wie nachstehend mit Bezug auf 456 diskutiert ist. Der IC-Abschirmungsdeckel 45501 und die IC-Abschirmungsumrandungswölbung 45501A stellen einen Reflektor und Masse für die Antennengruppe bereit. Mehrere Löcher, die bei 45513 in 455 dargestellt sind, sind zwischen den Patches platziert und sind typischerweise auf jeder Seite beider Dipolantennen der Gruppe.
  • In einigen Aspekten der vorliegenden Offenbarung geben die Löcher die Kopplung zwischen der Masse, die durch die Abschirmung 45501 bereitgestellt ist, und den Dipolen 45509, 45511 insoweit frei als, falls die Masse sehr nahe an dem Dipol ist, die Impedanzanpassung herabgesetzt wird und eine negative Auswirkung auf die Effektivität des Dipols haben wird. Die Dipolstrahlungseffizienz kann herabgesetzt sein und die Strahlung nicht auf geeignete Weise reflektieren, falls ein Metall nahe an der Antenne ist. Um Reflexion ohne wesentlich herabgesetzte Antennenleistung zu erreichen, sollte das Metall von dem Strahler entfernt sein, in einigen Aspekten der vorliegenden Offenbarung um ungefähr eine Viertelwellenlänge. Die Patch-Moden sind zwischen dem Patch und der Masse unterhalb des Patch und können keine große Masse erfordern, um effektiv zu sein. Da die Masse endlich ist, ist Beugung vorhanden, die Verluste sind jedoch unbedeutend. Der Massenzwischenraum für die Dipole zu der Masse 45513 ist so, dass die Löcher es ermöglichen, dass der Dipol näher an den Patches ist, was die Struktur kompakter macht. Bei Blick in der Längsstrahlungsrichtung weist der Dipol horizontale Polarisation auf, und die Patchantennen weisen vertikale Polarisation auf, wobei jede Patchantenne als ein monopolares Element funktioniert.
  • 456 ist eine Seitenansicht der Antennengruppe von 455 gemäß einigen Aspekten der vorliegenden Offenbarung. Das Koordinatensystem für die Gruppe ist neben der Abschirmung 45501 zu sehen, wobei die Y-Koordinate tatsächlich aus der Seite heraus verläuft. Die Patches und Dipole sind in der PCB wie angegeben. Eine der drei Patchantennen 45503, 45503A ist in Seitenansicht dargestellt, die anderen sind typisch, und umfasst eine Dual-Patchantenne, wobei der Patch 45503A eine parasitäre Antenne ist und der Patch 45503B ein angesteuerter Patch ist, der über die Speiseleitung 45601 unter Verwendung, in einem Aspekt, eines Via-Loches mit Energie versorgt wird. Die Dipolantenne 45509 ist in Seitenansicht zu sehen, gespeist durch die Speiseleitung 45603, die eine Masseschicht und auch Teil des Dipols sein kann. Der Dipol ist aus zwei Schichten konstruiert, ein Zweig ist Teil der Masse 45603, und der zweite ist aus der Schicht 45509, die aus dieser Schicht erregt wird. Normale Fachleute werden erkennen, dass die Anzahl jedes Typs von Antennen nur als Beispiel beschrieben worden ist, und dass eine andere Anzahl oder Pluralitäten solcher Antennen für zusätzliche Aspekte geeignet sein können. Ähnlich können andere Abmessungen als die beschriebenen Abmessungen in anderen Aspekten Anwendung finden, abhängig von dem verfügbaren Raum in der Vorrichtung, in der die Antennen Anwendung finden, wie durch Simulation oder andere Verfahren gezeigt werden kann.
  • 457 ist eine perspektivische Ansicht eines Interposers, der mit einer Patchgruppe verwendet wird, um große Hindernisse in einer Benutzervorrichtung zu umgehen, gemäß einigen Aspekten der vorliegenden Offenbarung. Das Material, das den Interposer umfasst, kann ein PCB-Laminat oder ein anderes isolierendes Material sein. Insoweit als die Patches bereits Masse in dem RF-Teilsystem aufweisen, besitzt das Interposer-Material keinen großen Effekt auf die Antenne. Der Interposer kann an der PCB durch Löten mit Kontaktstellen wie z. B. LGA-Kontaktstellen befestigt sein. In einigen Aspekten der vorliegenden Offenbarung würde die IF von der Hauptplatine zu dem RF-Teilsystem zum Verarbeiten und schließlich Zuleiten zu der Patchantennengruppe zum Senden gelenkt.
  • In 457 kann ein Teilgrundriss 54700 einer Benutzervorrichtung eine PCB-Hauptplatine aufweisen, die in einigen Aspekten der vorliegenden Offenbarung eine Niedertemperatur-Einbrand-Keramik (LTCC) sein kann. Das Element 45703 kann Teil eines Laptop oder eines anderen Vorrichtungsgehäuses sein und kann in einigen Aspekten der vorliegenden Offenbarung aus Magnesium hergestellt sein. Das USB-Verbindungselement ist bei 45705 zu sehen und behindert effektiven Antennenbetrieb.
  • Um das Hindernis zu umgehen, kann ein Interposer 45707 mit einer Patchantennengruppe 45709 mit einer Reflektorabschirmung 45710, die sich oben auf dem Interposer befindet, verwendet sein. Die Reflektorabschirmung kann Teil der IC-Abschirmung sein, wie z. B. die in diesem Patent vorstehend beschriebenen. Die gesamte IC-Abschirmung und die IC sind aufgrund ein Platzüberlegungen nicht gezeigt, wären jedoch wie vorstehend diskutiert oder wie nachstehend mit Bezug auf 461A diskutiert angeordnet. Der Interposer 45707 zum vorgesehen, um Höhe bereitzustellen und das gesamte RFEM anzuheben, so dass es GND-Vias und außerdem IF-Signal-Vias zur Verbindung mit Masse und zum Speisen der Antennengruppe bei Bedarf beinhaltet.
  • 458A ist eine perspektivische Ansicht eines Interposers, die einen IC-Abschirmungsdeckel 45801 darstellt, gemäß einigen Aspekten der vorliegenden Offenbarung. In 458A befinden sich eine Gruppe von Dipolantennen 45809 und ein Reflektor 45810 auf einem Interposer, ähnlich der Patchantennengruppe 45709 und dem Reflektor 45710 in 457. Das Element 45809A kann in einigen Aspekten der vorliegenden Offenbarung eine Patchantennengruppe mit Reflektoren 45812 sein. Die Längsstrahlungsrichtung der Gruppe und des Reflektors ist dargestellt.
  • 458B ist eine vertikale Ansicht des Strahlungsmusters für die Dipolantennengruppe von 458A, wobei die Längsstrahlerrichtung bei minus neunzig (-90) Grad dargestellt ist, gemäß einigen Aspekten der vorliegenden Offenbarung. Die Querstrahlungsrichtung ist bei null (0) Grad angegeben. Wie zu sehen ist, ist die Abdeckung in der Querstrahlungsrichtung in 458B stark. Der Interposer hat jedoch eine gewisse Menge von Dipolgruppenstrahlung in der in 458B dargestellten Längsstrahlungsrichtung ermöglicht. In 458B sind mehrere Muster dargestellt, jedes Muster für eine unterschiedliche Höhe des Interposers. Wie aus 458B zu sehen ist, ist, wenn eine Dipol-Gruppe auf dem Interposer platziert ist, die Strahlung zu dem Längsstrahler herabgesetzt, mit geringem Gewinn und kleiner Bandbreite in allen darstellten Interposer-Höhen. Aus diesem Grund wäre das Platzieren einer Patch-Gruppe auf dem Interposer vorzuziehen.
  • 459 stellt den realisierten Gewinn der Patchantenne von 458A als eine Funktion der Höhe des Interposers in verschiedenen Richtungen gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die drei Kurven 45901, 45903 und 45905 stellen den realisierten Gewinn als eine Funktion der Höhe des Interposers in der Längsstrahlungsrichtung, 5 Grad oberhalb der Längsstrahlung bzw. 10 Grad oberhalb der Längsstrahlung dar.
  • 460A stellt eine kombinierte Patch- und Schlitzantenne für Dualband-Dualpolarisationsbetrieb gemäß einigen Aspekten der vorliegenden Offenbarung dar. In 460A stellt die Antenne 46000 die dualen Patchantennen 46001, 46002, die eine erste Antenne bilden, und die rechteckige Schlitzantenne 46003, die eine zweite Antenne bildet, dar. Jede Antenne wird durch zwei Speisemechanismen gespeist, von denen jeder orthogonal zu dem anderen für Dualpolarisation orthogonal ist.
  • Beispielsweise wird die Schlitzantenne 46003 durch die Speiseleitungen 46005 und 46007 gespeist, die jeweils orthogonal zueinander sind. Die Elemente 46005A und 46005B sind Masse-Vias, um die Speiseleitung 46005 zu referenzieren, mit ähnlichen Masse-Vias, um die Speiseleitung 46007 zu referenzieren. Die Patchantennen 46001, 46002 weisen ein parasitäres Antennenelement 46001 und ein angesteuertes Antennenelement 46002 auf. Die angesteuerte Antenne 46002 wird in dem dargestellten Aspekt durch ein Via, wie z. B. bei 46013, 46015 von 460B, gespeist. Das Via 46013 kann mit einer Speiseleitung wie z. B. 46011 gekoppelt sein, in 460B ebenfalls gezeigt. Die Leitung 46011 kann durch eine integrierte Schaltung (IC) einer Benutzervorrichtung gespeist werden (die IC ist nicht gezeigt). Das Via 46015 kann auf ähnliche Weise mit einer Speiseleitung gekoppelt sein, die zu der Speiseleitung 46011 orthogonal sein kann und gleichfalls durch die IC gespeist wird. Die Schlitzantenne 46003 kann in dem dargestellten Aspekt durch Nahfeldkopplung oder durch irgendeinen geeigneten Speisemechanismus wie z. B. durch Mikrostreifenleitungen gespeist werden.
  • Nahfeldkopplung ist in 460B dargestellt und nachstehend beschrieben. 460B ist eine Seitenansicht der kombinierten Patchantenne und Schlitzantenne von 460A gemäß einigen Aspekten der vorliegenden Offenbarung. Aus einer Seitenansicht stellt 460B die Schlitzantenne 46003 und einen der zwei Speisemechanismen der Schlitzantenne 46003 dar, wie z. B. die Speiseleitung 46007, die in einigen Aspekten der vorliegenden Offenbarung eine Mikrostreifen-Speiseleitung, Metallleiterbahnen oder andere Typen von Übertragungsleitungen sein kann. Die Mikrostreifen-Speiseleitung 46007 ist so dargestellt, dass sie innerhalb der PCB und in einem speziellen Abstand von der Unterseite der Schlitzantenne 46003 ist und die Schlitzantenne 46003 durch Nahfeldkopplung ansteuert, was es ermöglicht, dass Energie aus den Speiseleitungen 46005, 46007 zu der Schlitzantenne 46003 gekoppelt wird. Die Leitungen 46005, 46007 können für Zwecke des Speisesignals mit der integrierten Schaltung der Benutzervorrichtung (nicht gezeigt) zu der Schlitzantenne 46003 gekoppelt sein. Die Mikrostreifen-Speiseleitung 46005 ist orthogonal zu der Speiseleitung 46007 angeordnet. Obwohl die Speiseleitung als ein Mikrostreifen beschrieben worden ist, könnte sie irgendeine geeignete Übertragungsleitung sein, wie z. B. eine Streifenleitung, Leiterbahnen und dergleichen.
  • In einigen Aspekten der vorliegenden Offenbarung enthalten die Speiseleitungen Dualband-Speiseleitungen, wie z. die Speiseleitung 46005 in einem Band, das 30 GHz enthält, und die Speiseleitung 46007 in einem Band, das 60 GHz enthält. Die Speiseleitungen können auch in dem 39 GHz-Band oder dem 73 GHz-Band oder einem anderen geeigneten Band sein, wobei die Speiseleitung 46005 an einer Frequenz innerhalb des Band ist und die Speiseleitung 46007 am Dualen dieser Frequenz ist.
  • In einigen Aspekten der vorliegenden Offenbarung arbeitet die Patchantenne 46001, 46002 an einer Frequenz, und die Schlitzantenne 46003 arbeitet an einer zweiten Frequenz, wobei die Frequenz jeder Antenne von der Größe der Antenne abhängt. Mit anderen Worten können die Patchantenne und die Schlitzantenne so hergestellt sein, dass sie an unterschiedlichen Frequenzen arbeiten, durch Konstruieren der Antennenabmessungen, so dass sie an der gewünschten Frequenz arbeitet. In einigen Aspekten der vorliegenden Offenbarung arbeitet jede Antenne zu einer anderen Zeit, so dass Signale der geeigneten Polarisation zu einer geeigneten Zeit gesendet werden können, abhängig von der Rückmeldung von der Empfangsvorrichtung, die angibt, welchen Polarisation zu einer gegebenen Zeit die bessere Polarisation ist.
  • Wie vorstehend erwähnt ist in einigen Aspekten der vorliegenden Offenbarung die Patchantenne 46001 ein parasitäres Antennenelement, und 46002 ist ein angesteuertes Antennenelement. Wie in 460B zu sehen ist, ist die Masse der angesteuerten Antenne 46002 insofern „schwebend“, als sie mit Hilfe von Via-Löchern 46013 und 46015 (nur das Via 46015 ist in 460A sichtbar) gespeist wird, wobei jedes Via in einem vorstehend diskutierten gegebenen Band ist und jede einer Speiseleitung 46007 bzw. 46005 zur Nahfeldkopplung zum Speisen des angesteuerten Elements 46002 zugeordnet ist. Eine solche Speiseleitung kann ein Via aufweisen, die mit dem angesteuerten Element verbunden ist. Der innere Teil des Schlitzelements ist rechteckiges Metall, das als Masse GND für das Patchelement agieren kann, vorausgesetzt, dass es für diesen Zweck groß genug hergestellt werden kann und die Antennen zu unterschiedlichen Zeiten arbeiten.
  • Dass die Speisung der Patchantennen und der Schlitzantennen orthogonal sind unterstützt die Polarisation mit räumlicher Diversity. Beispielsweise wäre für Strahlung in der X-Richtung die Erregung mit Hilfe einer ersten Speiseleitung, und für Strahlung in der Y-Richtung wäre die Erregung mit Hilfe einer zweiten Speiseleitung, die zu der ersten Speiseleitung orthogonal ist. Für Querstrahlung kann jede Antenne mit Dualpolarisation in der Querstrahlungsrichtung (Z in 460B) arbeiten. Jede Antenne kann algorithmisch gesteuert werden, um zu einer gegebenen Zeit und mit einer gegebenen Polarisation zu arbeiten, wobei die Polarisation davon abhängt, welche Speiseleitung zu dieser gegebenen Zeit aktiviert ist, und diese Aktivierung ist von der Orientierung der Empfangsvorrichtung abhängig, die Informationen zu dem Sender zurückmeldet, die bezeichnen, welche Polarisation zu dieser gegebenen Zeit den besseren Empfang bereitstellt. Für Längsstrahlung kann jede Antenne mit nur einer Polarisation arbeiten, wobei die Polarisation davon abhängig ist, ob die Erregung aus der X-Richtung (46013 in 460B) oder der Y-Richtung (46015 in 460B) ist, allgemein mit geringerem Gewinn als für die Querstrahlung. Zusätzlich kann für Längsstrahlung jede Antenne auch mit einer Dualpolarisation arbeiten, falls jedes Antennenelement mit zwei orthogonalen Speisungen erregt wird, jedoch mit viel geringerem Gewinn als für Betrieb mit Einfachpolarisation. Obwohl ein einzelnes parasitäres Element beschrieben worden ist, werden normale Fachleute erkennen, dass mehrere solche parasitären Elemente oder in einigen Aspekten der vorliegenden Offenbarung ein oder mehrere Direktoren verwendet sein können, wie es für einen gegebene Aspekt geeignet ist. Ähnlich können auch, obwohl eine quadratische Schlitzantenne beschrieben worden ist, andere Konfigurationen von Schlitzantennen in verschiedenen Aspekten verwendet sein.
  • Eine Antenne, oder eine Gruppe von Antennen, kann in der Silizium-Schaltung oder dem Chip in einer Schicht einer Leiterplatte, die in einigen Aspekten der vorliegenden Offenbarung ein ultradickes Metall (UTM) ist, extrahiert sein. Es ist bekannt, das UTM einen der geringsten Verluste für Leiterplattenmaterial aufweist. 461A ist eine Explosionsansicht der Antenne-auf-einem Chip (AOC) gemäß einigen Aspekten der vorliegenden Offenbarung. Die AOC 46100 umfasst die PCB 46111, die auch eine BT-Laminat-Platine sein kann, und eine Silizium-Schaltung 46103, die einen Sendeempfänger zum Bereitstellen von Hochfrequenz- (RF-) Signalen aufweisen kann. Die AOC weist die Antennen 46105 auf, die eine 2x2-Patchantennengruppe ein einigen Aspekten der vorliegenden Offenbarung umfassen kann (wobei eine der Patchantennen als 46105 gekennzeichnet ist, jedoch die anderen drei typisch wie dargestellt sind), und kann eine IC-Metallabschirmung 46101 aufweisen. Obwohl der diskutierte Aspekt eine Gruppe enthält, die vier Patchantennenelemente umfasst, sind Aspekte nicht auf Patchantennen beschränkt. Normale Fachleute werden erkennen, dass andere Antennenelemente wie z. B. Schlitzantennen oder Kerbenantennen, die Arbeitsfrequenz der Antennengruppe in den mmWellen-Bändern und in Frequenzbereichen sein können, die einige der oder alle WiGig-Frequenzbänder unterstützen würden. Die PCB-Platine 46111 weist unterhalb der Antennengruppe einen metallfreien Raum 46113 auf. Der freie Raum 46113 verhindert das Kurzschließen aus der Antennengruppe. Weil die Antennenstrahlung durch oder über die Schaltungsplatinen ist, funktioniert der freie Raum 46113 auch, um zu ermöglichen, dass die Antennengruppenstrahlung außerhalb der Platine gesendet wird.
  • 461B ist eine Unteransicht der Antennen 46105, die die AOC von 461A umfassen, gemäß einigen Aspekten der vorliegenden Offenbarung. Die Transformatoren 46107 umfassen Transformatoren, die in der Silizium-Schaltung verwendet werden, z. B. zum konjugierten Anpassen und anderen elektronischen Funktionen. Leiterbahnen können bei 46109 platziert sein und können zum Lenken zwischen und unter den Patches 46105 verwendet werden, weisen Zuleitungen für die Patches auf, wobei die Zuleitungen mit einem Sendeempfänger innerhalb der Silizium-Schaltung gekoppelt sein können und kleine Mikrostreifenleitungen aufweisen können, die mit einem Leistungsverstärker (PA) und einem rauscharmen Verstärker- (LNA-) Schalter gekoppelt sind.
  • 461C ist eine Seitenansicht der AOC von 461A und stellt die IC-Abschirmung 46101, die Silizium-Schaltung 46103 und die PCB-Platine 46111 dar. Die Patches können an der Unterseite des Siliziums 46103 implementiert sein, und die IC-Abschirmung 46101 kann als Masse verwendet sein. 462 stellt die Abmessungen der Patchgruppe dar, die vier Patchantennen umfasst, von denen eine in 462 als 46105 eingezeichnet ist. Die Patches selbst können 1 Millimeter im Quadrat sein. 463 ist ein simuliertes Strahlungsmuster für die AOC der 461A-461C und 462 gemäß einigen Aspekten der vorliegenden Offenbarung. Das Muster 46301 stellt die E-Ebene dar, und das Muster 46303 repräsentiert die H-Ebene.
  • 464A stellt eine weitere Seitenansicht einer AOC für einen eingebetteten Die in einer Package-auf-Package-Implementierung gemäß einigen Aspekten der vorliegenden Offenbarung dar. Die PCB 46401 enthält Silizium 46405 und Masse 46403. Wenn die Masse 46403 bereitgestellt ist, ist keine IC-Abschirmung wie z. B. 46103 in 461C, die als Masse verwendet werden soll, notwendig. Die Verbindung zwischen den Antennen in dem Silizium 46405 und Masse 46403 ist durch eine 50-Ohm-Verbindung 46407 hergestellt manchmal als ein Höcker bezeichnet. Die Verbindung 46407 kann ein Via umfassen, die von Silizium zu GND geht, und sie ist hier als Teil des Speisemechanismus verwendet. In der Praxis können viele Vias vorhanden sein, die die IC mit der GND verbinden.
  • 464B ist eine Darstellung der Strahlungseffizienz als eine Funktion der Höhe des Siliziums dividiert durch die Höhe der Patches gemäß einigen Aspekten der vorliegenden Offenbarung. Anders ausgedrückt ist die Höhe der IC die Siliziumdicke, und die Patchhöhe ist die Antennengrößendicke von GND zu dem bestrahlten Patch. Für einen Aspekt, wenn die Masse 60 Mikrometer oberhalb des Dies in 464A ist, war der realisierte Gewinn 0,46 dBi. 464C ist eine Darstellung des realisierten Gewinns in dBi als eine Funktion der Höhe der Siliziums dividiert durch die Höhe der Patches gemäß einigen Aspekten der vorliegenden Offenbarung.
  • 465 ist eine weitere Darstellung der AOC, die symbolisch eine Chip-Übersicht zeigt und die Beziehung der Antennen und der Schaltung auf dem Chip enthält, gemäß einigen Aspekten der vorliegenden Offenbarung. Die Chip-Übersicht 46500 stellt einen Silizium-Chip mit vier AOC-Elementen dar, von denen eines als 46501 angegeben ist. Schaltung auf dem Chip ist durch eine Reihe von Dreiecken angegeben, von denen eines als 46503 angegeben ist. Diese Schaltung kann ein RFEM (oder eine RFIC) aufweisen, das normale Funkschaltung umfasst, die einen Sendeempfänger umfasst, der, ohne darauf beschränkt zu sein, Leistungsverstärker und rauscharme Verstärker enthält. Chipinterne Verbindungselemente sind durch gerade Linien dargestellt, wie z. B. 46505, und können normale Schaltungsverbindungen und Verbindungen mit der AOC enthalten.
  • Die AOC stellt wesentliche Kosteneinsparungen dar, weil eine einfache Platine zur Implementierung verwendet werden kann. In einem Aspekt kann das Produkt, das die AOC aufweist, als die abschirmte Silizium-Schaltung, das die AOC aufweist, verkauft werden, ohne eine Platine, und ein OEM, der das Produkt einkauft, kann das Produkt direkt auf die Hauptplatine löten. Alternativ kann die AOC mit ihrer eigenen PCB zusammen mit einem IF- oder RF-Kabel installiert sein, so dass es irgendwo in der Plattform platziert sein könnte. In diesem Fall ist der Nutzen der AOC, dass sie die PCB im Vergleich zu der normalen Antenne auf der Platine vereinfachen wird. Alternativ kann das AOC-Produkt bereits auf der Hauptplatine installiert vermarktet werden. Das ist kosteneffektiv, weil insofern kein Package benötigt wird, als der Ort des AOC auf den Bereich der Hauptplatine beschränkt ist. Mit anderen Worten wäre kein Package vorhanden, was eine wesentliche Einsparung wäre.
  • Die AOC stellt insofern eine verbesserte geleitete Leistung und Rauschfaktor bereit, als keine Anforderung für Platinenlenkung und Lotperlenübergangsverschlechterung vorhanden ist. Allgemein kann eine Patchgröße um 50 Prozent (50 %) im Vergleich zu Platinen-Patches reduziert sein. Die AOC unterstützt Breitbandanpassung, vielleicht so viel wie eine 304 GHz-Bandbreite, was Unterstützen von mehr als vier Kanälen ermöglichen kann. Die beschriebene AOC kann mit Lösungen mit eingebettetem Die / Package-auf-Package (POP) implementiert sein. POP ist eine Technik, die zwei PCBs kombiniert. Eine Haupt-PCB, die den Die beinhaltet, (manchmal als eine „einfache PCB“ bezeichnet) und eine weitere PCB mit einem Hohlraum, der mit Metall gefüllt ist, das sich als eine Abschirmung verhält und auch Signale und dass die Antennengruppe oben auf der Abschirmung platziert ist ermöglicht. Ferner werden, weil die AOC keine Leiterbahnen zu einer externen Antenne erfordert, die Antennen keine oder sehr wenige Verluste aufgrund solcher Leiterbahnen aufweisen.
  • 466 stellt ein Blockdiagramm einer Beispielmaschine 46600, auf die irgendeine oder mehrere der Techniken oder Methodiken, die hier diskutiert sind, ausgeführt werden können, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In alternativen Aspekten kann die Maschine 46600 als eine eigenständige Vorrichtung arbeiten oder kann mit anderen Maschinen verbunden (z. B. vernetzt) sein. In einer vernetzten Verteilung kann die Maschine 46600 in der Kapazität einer Server-Maschine, einer Client-Maschine oder beidem in Server-Client-Netzumgebungen arbeiten. In einem Beispiel kann die Maschine 46600 als eine Peer-Maschine in einer Peer-to-Peer-(P2P-) (oder anderen verteilten) Netzumgebung agieren. Die Maschine 46600 kann ein UE, ein eNodeB, eine STA, ein Personalcomputer (PC), ein Tablet-PC, eine Set-Top-Box (STB), ein persönlicher digitaler Assistent (PDA), ein Mobiltelefon, ein Smartphone, eine Webanwendung, ein Netzrouter, Switch oder Bridge oder irgendeine Maschine sein, die zum Ausführen von Anweisungen (sequenziell oder auf andere Weise) fähig ist, die Aktionen, die durch diese Maschine ausgeführt werden sollen, spezifizieren. Ferner soll, obwohl nur eine einzige Maschine dargestellt ist, der Begriff „Maschine“ auch verwendet werden, um irgendeine Kollektion von Maschinen, die einzeln oder gemeinsam eine Menge (oder mehrere Mengen) von Anweisungen ausführen, um eine oder mehrere der hier diskutierten Methodologien auszuführen, wie z. B. Cloud-Computing, Software als Dienst (SaaS) oder andere Computer-Cluster-Konfigurationen zu enthalten.
  • Hier beschriebene Beispiele können Logik oder eine Anzahl von Komponenten, Teilsystemen oder Mechanismen enthalten oder darauf arbeiten. Teilsysteme sind greifbare Entitäten (z. B. Hardware), die zum Ausführen spezifizierter Operationen fähig sind und die auf eine spezielle Weise konfiguriert oder angeordnet sein können. In einem Beispiel können Schaltungen auf eine spezielle Weise als ein Teilsystem angeordnet sein (z. B. intern oder in Bezug auf spezielle externe Entitäten wie z. B. andere Schaltungen). In einem Beispiel können das gesamte oder ein Teil eines oder mehrerer Computersysteme (z. B. ein eigenständiges, Client- oder Server-Computersystem) oder ein oder mehrere Hardware-Prozessoren durch Firmware oder Software (z. B. Anweisungen, einen Anwendungsabschnitt oder eine Anwendung) als ein Teilsystem, das arbeitet, um die spezifizierten Operationen auszuführen, konfiguriert sein. In einem Beispiel kann die Software auf einem maschinenlesbaren Medium gespeichert sein. In einem Beispiel bewirkt die Software, wenn sie durch die zugrundeliegende Hardware des Teilsystems ausgeführt wird, dass die Hardware die spezifizierten Operationen ausführt.
  • Dementsprechend ist der Begriff „Teilsystem“ so zu verstehen, dass er eine greifbare Entität einschließt, sei das eine Entität, die physikalisch konstruiert ist, insbesondere konfiguriert (z. B. fest verdrahtet) oder temporär (z. B. transitorisch) konfiguriert (z. B. programmiert) ist, um auf eine spezifizierte Weise zu arbeiten oder einen Teil der oder alle hier beschriebenen Operationen auszuführen. Bei Betrachtung von Beispielen, in denen Teilsysteme temporär konfiguriert sind, muss nicht jedes Teilsystem zu jedem Zeitpunkt instanziiert sein. Beispielsweise wenn die Teilsysteme einen Allzweck-Hardware-Prozessor umfassen, der unter Verwendung von Software konfiguriert ist, kann der Allzweck-Hardware-Prozessor als jeweils unterschiedliche Teilsysteme zu unterschiedlichen Zeiten konfiguriert sein. Software kann dementsprechend einen Hardware-Prozessor konfigurieren, beispielsweise ein spezielles Teilsystem zu einem Zeitpunkt zu bilden und ein anderes Teilsystem zu einem anderen Zeitpunkt zu bilden.
  • Die Maschine (z. B. das Computersystem) kann einen Hardware-Prozessor 46602 (z. B. eine zentrale Verarbeitungseinheit (CPU), eine Grafikverarbeitungseinheit (GPU), einen Hardware-Prozessorkern oder irgendeine Kombination daraus), einen Hauptspeicher 46604 und einen statischen Speicher 46606 aufweisen, von denen einige oder alle miteinander über eine Verbindung (z. B. einen Bus) 46608 kommunizieren können. Die Maschine 46600 kann ferner eine Anzeigeeinheit 46610, eine alphanumerische Eingabevorrichtung 46612 (z. B. eine Tastatur) und eine Benutzerschnittstellen-(UI-) Navigationsvorrichtung 46614 (z. B. eine Maus) aufweisen. In einem Beispiel können die Anzeigeeinheit 46610, die Eingabevorrichtung 46612 und die UI-Navigationsvorrichtung 46614 eine Anzeigevorrichtung mit berührungssensitivem Bildschirm sein. Die Maschine 46600 kann zusätzlich eine Speichervorrichtung (z. B. eine Laufwerkseinheit) 46616, eine Signalerzeugungsvorrichtung 46618 (z. B. einen Lautsprecher), eine Netzschnittstellenvorrichtung 46620 und einen oder mehrere Sensoren wie z. B. einen Sensor eines globalen Positionsbestimmungssystems (GPS-Sensor), einen Kompass, einen Beschleunigungsmesser oder einen anderen Sensor aufweisen. Die Maschine 46600 kann eine Ausgabesteuereinheit 46628 wie z. B. eine serielle (z. B. universellen seriellen Bus (USB), parallele oder andere drahtgebundene oder drahtlose (z. B. Infrarot- (IR-), Nahbereichskommunikation- (NFC-) und dergleichen) Verbindung aufweisen, um mit einer oder mehrere peripheren Vorrichtungen (z. B. einem Drucker einem Kartenlesen und dergleichen) zu kommunizieren oder sie zu steuern.
  • Die Speichervorrichtung 46616 kann ein maschinenlesbares Medium 46622 aufweisen, auf dem eine oder mehrere Mengen von Datenstrukturen oder Anweisungen 46624 (z. B. Software) gespeichert sind, die irgendeine oder mehrere der Techniken oder Funktionen, die hier beschrieben sind, verwirklichen oder durch sie benutzt werden. Die Anweisungen 46624 können auch vollständig oder wenigstens teilweise innerhalb des Hauptspeichers 46604, innerhalb des statischen Speichers 46606 oder innerhalb des Hardware-Prozessors 46602 während ihrer Ausführung durch die Maschine residieren. In einem Beispiel kann eines oder irgendeine Kombination aus dem Hardware-Prozessor 46602, dem Hauptspeicher 46604, dem statischen Speicher 46606 oder der Speichervorrichtung 46616 maschinenlesbare Medien bilden.
  • Obwohl das maschinenlesbare Medium 46622 als ein einzelnes Medium dargestellt ist, kann der Begriff „maschinenlesbares Medium“ ein einzelnes Medium oder mehrere Medien (z. B. eine zentralisierte oder verteilte Datenbank und/oder zugeordnete Caches und Server) konfiguriert sein, die eine oder mehreren Anweisungen 46624 zu speichern.
  • Der Begriff „maschinenlesbares Medium“ kann irgendein Medium enthalten, das zum Speichern, Codieren oder Übertragen von Anweisungen zur Ausführung durch eine Maschine und die bewirken, dass die Maschine irgendeine oder mehrere der Techniken der vorliegenden Offenbarung ausführt, fähig ist, oder das zum Speichern, Codieren oder Übertragen von Datenstrukturen, die durch solche Anweisungen verwendet oder ihnen zugeordnet sind, fähig ist. Nicht einschränkende Beispiele für ein maschinenlesbares Medium können Festkörperspeicher und optische und magnetische Medien sein. Spezifische Beispiele für maschinenlesbare Medien können enthalten: nichtflüchtigen Speicher, wie z. B. Halbleiterspeichervorrichtungen (z. B. elektrisch programmierbarer Festwertspeicher (EPROM), elektrisch löschbarer programmierbarer Festwertspeicher (EEPROM)) und Flash-Speichervorrichtungen; Magnetplatten wie z. B. interne Festplatten und herausnehmbare Platten; magneto-optische Platten; Direktzugriffsspeicher (RAM); und CD-ROM und DVD-ROM-Platten. In einigen Beispielen können maschinenlesbare Medien nicht-transitorische maschinenlesbare Medien enthalten. In einigen Beispielen können maschinenlesbare Medien maschinenlesbare Medien enthalten, die kein transitorisches sich ausbreitendes Signal sind.
  • Die Anweisungen 46624 können ferner über ein Kommunikationsnetz 46626 unter Verwendung eines Sendemediums über die Netzschnittstellenvorrichtung 46620 unter Nutzung irgendeines aus einer Anzahl von Übertragungsprotokollen (z. B. Frame-Relay, Internetprotokoll (IP), Übertragungssteuerungsprotokoll (TCP), Anwenderdatagrammprotokoll (UDP), Hypertextübertragungsprotokoll (HTTP) und dergleichen) gesendet oder empfangen werden. Beispiele für Kommunikationsnetze können unter anderen ein lokales Netz (LAN), ein Weitbereichsnetz (WAN), ein Paketdatennetz (z. B. das Internet), Mobiltelefonnetze (z. B. zellulare Netze), herkömmliche Telefonnetze (POTS-Netze) und drahtlose Datennetze (z. B. die Standard-Familie des Institute of Electrical and Electronics Engineers (IEEE) 802.11, auch als Wi-Fi® bekannt, die IEEE 802.16-Standard-Familie, als WiMax® bekannt), die IEEE 802.15.4-Standard-Familie, eine Langzeitentwicklungs- (LTE-) Standard-Familie, eine Standard-Familie des universellen Mobiltelekommunikationssystems (UMTS), Peer-to-Peer- (P2P-) Netze enthalten. In einem Beispiel kann die Netzschnittstellenvorrichtung 46620 eine oder mehrere physikalische Buchsen (z. B. Ethernet-, Koaxial- oder Telefon-Buchsen) oder eine oder mehrere Antennen aufweisen, um mit dem Kommunikationsnetz 46626 zu verbinden. In einem Beispiel kann die Netzschnittstellenvorrichtung 46620 mehrere Antennen aufweisen, um unter Verwendung einer aus einer Ein-Eingang-Mehr-Ausgang- (SIMO-), Mehr-Eingang-Mehr-Ausgang- (MIMO-) oder Mehr-Eingang-Ein-Ausgang- (MISO-) Techniken drahtlos zu kommunizieren. In einigen Beispielen kann die Netzschnittstellenvorrichtung 46620 unter Verwendung von Mehrbenutzter-MIMO-Techniken drahtlos kommunizieren. Der Begriff „Übertragungsmedium“ soll so verstanden werden, dass er irgendein nicht greifbares Medium enthält, das zum Speichern, Codieren oder Übertragen von Anweisungen zur Ausführung durch die Maschine aufweist, und enthält digitale und analoge Kommunikationssignale oder ein anderes nicht greifbares Medium, um die Kommunikation einer solchen Software zu unterstützen.
  • 467 stellt Protokollfunktionen, die in einer drahtlosen Kommunikationsvorrichtung implementiert sein können, gemäß einigen Aspekten der vorliegenden Offenbarung dar. In einigen Aspekten können Protokollschichten eine oder mehrere aus der Bitübertragungsschicht (PHY) 46710, der Medienzugangssteuerungssschicht (MAC) 46720, der Funkstreckensteuerungsschicht (RLC) 46730, der Paketdatenkonverganzprotokollschicht (PDCP) 46740, der Dienstdatenanpassungsprotokoll- (SDAP-) Schicht 46747, der Funkbetriebsmittelsteuerungsschicht (RRC) 46755 und der Nichtzugangsschicht-(NAS-) Schicht 46757 aufweisen, zusätzlich zu anderen nicht dargestellten Funktionen höherer Schichten.
  • Gemäß einigen Aspekten können die Protokollschichten einen oder mehrere Dienstzugangspunkte aufweisen, die Kommunikation zwischen zwei oder mehr Protokollschichten bereitstellen können.
  • Gemäß einigen Aspekten kann die PHY 46710 Bitübertragungsschichtsignale 46705 der Bitübertragungsschicht senden und empfangen, die jeweils durch eine oder mehrere andere Kommunikationsvorrichtungen empfangen oder gesendet werden können. Gemäß einigen Aspekten können die Bitübertragungsschichtsignale 46705 einen oder mehrere physikalische Kanäle umfassen.
  • Gemäß einigen Aspekten kann eine Instanz der PHY 46710 Anforderung von einer Instanz der MAC 46720 verarbeiten und Angaben für sie über einen oder mehrere Bitübertragungsschichtdienstzugangspunkte (PHY-SAP) 46715 bereitstellen. Gemäß einigen Aspekten können Anforderungen und Angaben, die über den PHY-SAP 46715 kommuniziert werden, einen oder mehrere Transportkanäle umfassen.
  • Gemäß einigen Aspekten kann eine Instanz der MAC 46710 Anforderungen von einer Instanz der RLC 46730 verarbeiten und Angaben für sie über einen oder mehrere Medienzugangssteuerungsdienstzugangspunkte (MAC-SAP) 46725 bereitstellen. Gemäß einigen Aspekten können Anforderungen und Angaben, die über den MAC-SAP 46725 kommuniziert werden, einen oder mehrere logische Kanäle umfassen.
  • Gemäß einigen Aspekten kann eine Instanz der RLC 46730 Anforderungen von einer Instanz der PDCP 46740 verarbeiten und Angaben für sie über einen oder mehrere Funkstreckensteuerungsdienstzugangspunkte (RLC-SAP) 46735 bereitstellen. Gemäß einigen Aspekten können Anforderungen und Angaben, die über den RLC-SAP 46735 kommuniziert werden, einen oder mehrere RLC-Kanäle umfassen.
  • Gemäß einigen Aspekten kann eine Instanz der PDCP 46740 Anforderungen von einer oder mehreren einer Instanz der RRC 46755 und einer oder mehreren Instanzen der SDAP 46747 verarbeiten oder Angaben für sie über einen oder mehrere Paketdatenkonvergenzprotokolldienstzugangspunkte (PDCP-SAP) 46745 bereitstellen. Gemäß einigen Aspekten können Anforderungen und Angaben, die über den PDCP-SAP 46745 kommuniziert werden, einen oder mehrere Funkträger umfassen.
  • Gemäß einigen Aspekten kann eine Instanz der SDAP 46747 Anforderungen von einer oder mehreren Protokollentitäten einer höheren Schicht verarbeiten und Angaben für sie über einen oder mehrere Dienstdatenanpassungsprotokolldienstzugangspunkte (SDAP-SAP) 46749 bereitstellen. Gemäß einigen Aspekten können Anforderungen und Angaben, die über den SDAP-SAP 46749 kommuniziert werden, einen oder mehrere Dienstgüte- (QoS-) Flüsse umfassen.
  • Gemäß einigen Aspekten kann die RRC-Entität 46755 über einen oder mehrere Managementdienstzugangspunkte (M-SAP) Aspekte einer oder mehrerer Protokollschichten konfigurieren, die eine oder mehrere Instanzen der PHY 46710, MAC 46720, RLC 46730, PDCP 46740 und SDAP 46747 enthalten können. Gemäß einigen Aspekten kann eine Instanz der RRC 46755 Anforderungen von einer oder mehreren NAS-Entitäten verarbeiten und Angaben für sie über einen oder mehrere RRC-Dienstzugangspunkte (RRC-SAP) 46756 bereitstellen.
  • 468 stellt verschiedene Protokollentitäten, die in Verbindung mit einer drahtlose Kommunikationsvorrichtung oder einem drahtlosen Kommunikationssystem implementiert sei können, gemäß einigen Aspekten der vorliegenden Offenbarung dar. Insbesondere ist 468 eine Darstellung von Protokollentitäten, die in drahtlosen Kommunikationsvorrichtungen implementiert sein können, die eines oder mehrere aus einem Benutzer-Equipment (UE) 46860, einer Basisstation, wie als ein entwickelter Node B (eNB) oder als ein neuer Funkknoten B (gNB) 46880 bezeichnet sein kann, und einer Netzfunktion, die als eine Mobilitätsmanagemententität (MME) oder eine Zugangs- und Mobilitätsmanagementfunktion (AMF) 46894 bezeichnet sein kann, enthalten, gemäß einigen Aspekten.
  • Gemäß einigen Aspekten kann der gNB 46880 als eines oder mehrere aus einer dedizierten physikalischen Vorrichtung wie z. B. einer Makrozelle, einer Femtozelle oder einer anderen geeigneten Vorrichtung implementiert sein, oder kann in einem alternativen Aspekte als eine oder mehrere Software-Entitäten implementiert sein, die auf Server-Computern als Teil eines virtuellen Netzes, das als ein Cloud-Funkzugangsnetz (CRAN) bezeichnet ist, ablaufen.
  • Gemäß einigen Aspekten können eine oder mehrere Protokollentitäten, die in einem oder mehreren aus UE 46860, gNB 46880 und AMF 46894 implementiert sein können, so beschrieben sein, dass sie den gesamten oder einen Teil eines Protokollstack implementieren, in dem die Schichten als von der tiefsten zur höchsten in der Reihenfolge PHY, MAC, RLC, PDCP, RRC und NAS geordnet betrachtet werden. Gemäß einigen Aspekten können eine oder mehrere Protokollentitäten, die in einem oder mehreren aus UE 46860, gNB 46880 und AMF 46894 implementiert sein können, mit einer jeweiligen Partner-Protokollentität, die auf einer weiteren Vorrichtung implementiert sein kann, unter Verwendung der Dienste entsprechender Protokollentitäten tieferer Schichten zum Ausführen einer solchen Kommunikation kommunizieren.
  • Gemäß einigen Aspekten können die UE-PHY 46872 und die Partner-Entität gNB-PHY 46890 unter Verwendung von Signalen kommunizieren, die über ein drahtloses Medium gesendet und empfangen werden. Gemäß einigen Aspekten können die UE-MAC 46870 und die Partner-Entität gNB-MAC 46888 unter Verwendung der Dienste kommunizieren, die durch die UE-PHY 46872 bzw. die gNB-PHY 46890 bereitgestellt werden. Gemäß einigen Aspekten können die UE-RLC 46868 und die Partner-Entität gNB-RLC 46886 unter Verwendung der Dienste kommunizieren, die durch die UE-MAC 46870 bzw. die gNB-MAC 46888 bereitgestellt werden. Gemäß einigen Aspekten können das UE-PDCP 46866 und das Partner-Entität gNB-PDCP 46884 unter Verwendung der Dienste kommunizieren, die durch die UE-RLC 46868 bzw. die 5GNB-RLC 46886 bereitgestellt werden. Gemäß einigen Aspekten können die UE-RRC 46864 und die gNB-RRC 46882 unter Verwendung der Dienste kommunizieren, die durch das UE-PDCP 46866 bzw. das gNB-PDCP 46884 bereitgestellt werden. Gemäß einigen Aspekten können die UE-NAS 46862 und die AMF-NAS 46892 unter Verwendung der Dienste kommunizieren, die durch die UE-RRC 46864 bzw. gNB-RRC 46882 bereitgestellt werden.
  • Eine MAC-Entität 46900, die verwendet sein kann, um Medienzugangssteuerungsschichtfunktionen gemäß einem Aspekt zu implementieren, ist in 469 dargestellt.
  • Gemäß einigen Aspekten kann die MAC-Entität 46900 eines oder mehrere aus einer Steuereinheit 46905, einer Priorisierungseinheit 46910 für logische Kanäle, einem Kanal-Multiplexer und -Demultiplexer 46915, einer PDU-Filtereinheit 46915, einer Direktzugriffsprotokollentität 46920, einer Daten-Protokollentität für hybridautomatische Wiederholungsanforderung (HARQ-Daten-Protokollentität) 46925 und einer Rundsende-HARQ-Entität 46930 aufweisen.
  • Gemäß einigen Aspekten kann eine höhere Schicht Steuer- und Statusnachrichten 46935 mit der Steuereinheit 46905 über einen Managementdienstzugangspunkt 46940 austauschen. Gemäß einigen Aspekten können MAC-Dienstdateneinheiten (MAC-SDU), die einem oder mehreren logischen Kanälen 46945, 46955, 46965 und 46975 entsprechen, mit der MAC-Entität 46900 über einen oder mehrere Dienstzugangspunkte (SAP) 46950, 46960, 46970 und 46980 ausgetauscht werden. Gemäß einigen Aspekten können PHY-Dienstdateneinheiten (PHY-SDU), die einem oder mehreren Transportkanälen 46985, 46995, 469105 und 469115 entsprechen, mit der einer Bitübertragungsschicht-Entität über einen oder mehrere Dienstzugangspunkte (SAP) 46990, 469100, 469110 und 469120 ausgetauscht werden.
  • Gemäß einigen Aspekten kann die Priorisierungseinheit 46910 für logische Kanäle Priorisierung unter einem oder mehreren logischen Kanälen 46945 und 46955 ausführen, was Speichern von Parametern und Zustandsinformationen, die jedem aus dem einen oder den mehreren logischen Kanälen entsprechen, die initialisiert werden können, wenn ein logischer Kanal aufgebaut wird, enthalten kann. Gemäß einigen Aspekten kann die Priorisierungseinheit 46910 für logische Kanäle mit einer Menge von Parametern für jeden aus einem oder mehreren logischen Kanälen 46945 und 46955 konfiguriert sein, wobei jede Menge Parameter enthält, die eines oder mehrere aus einer priorisierten Bitrate (PBR) und einer „Bucket Size Duration“ (BSD) enthalten kann.
  • Gemäß einigen Aspekten können der Multiplexer und Demultiplexer 46915 MAC-PDUs erzeugen, die eines oder mehrere aus MAD-SDUs oder Teil-MAC-SDUs, die einem oder mehreren logischen Kanälen entsprechen, einen MAC-Header, der eine oder mehrere MAC-Subheader enthalten kann, ein oder mehrere MAC-Steuerelemente und Füll-Daten enthalten können. Gemäß einigen Aspekten kann der Multiplexer und Demultiplexer 46915 eine oder mehrere MAC-SDUs oder Teil-MAC-SDUs, die in einer empfangenen MAC-PDU enthalten sind, die einem oder mehreren logischen Kanälen 46945 und 46955 entsprechen, separieren und kann die eine oder die mehreren MAC-SDUs oder Teil-MAC-SDUs für eine höhere Schicht über einen oder mehrere Dienstzugangspunkte 46950 und 46960 angeben.
  • Gemäß einigen Aspekten können die HARQ-Entität 46925 und die Rundsende-HARQ-Entität 46930 einen oder mehrere parallele HARQ-Prozesse enthalten, von denen jeder einem HARQ-Bezeichner zugeordnet sein kann und der ein Empfangs- oder Sende-HARQ-Prozess sein kann.
  • Gemäß einigen Aspekten kann ein Sende-HARQ-Prozess einen Transportblock (TB), der durch die PHY gemäß einer spezifizierten Redundanzversion (RV) codiert werden kann, durch Auswählen einer MAC-PDU zum Senden erzeugen. Gemäß einigen Aspekten kann ein Sende-HARQ-Prozess, der in einer Rundsende-HARQ-Entität 46930 enthalten ist, denselben TB in aufeinanderfolgenden Sendeintervallen mit einer vorbestimmten Anzahl von Wiederholungen erneut senden. Gemäß einigen Aspekten kann ein Sende-HARQ-Prozess, der in einer HARQ-Entität 46925 enthalten ist, bestimmen, ob ein früher gesendeter TB neu gesendet werden soll, oder ob ein neuer TB zu einer Sendezeit gesendet werden soll, basierend darauf, ob eine positive Quittung oder eine negative Quittung für ein früheres Senden empfangen wurde.
  • Gemäß einigen Aspekten kann ein Empfangs-HARQ-Prozess mit codierten Daten versorgt werden, die einem oder mehreren empfangenen TBs entsprechen und die einem oder mehreren aus einer Angabe neuer Daten (NDI) und einer Redundanzversion (RV) zugeordnet sein können, und der Empfangs-HARQ-Prozess kann bestimmen, ob jeder so empfangene codierte Datenblock einem erneuten Senden eines früher empfangenen TB oder einem nicht früher empfangenen TB entspricht. Gemäß einigen Aspekten kann ein Empfangs-HARQ-Prozess einen Puffer enthalten, der als ein Speicher oder eine andere geeignete Speichervorrichtung implementiert sein kann und verwendet werden kann, um Daten basierend auf früher empfangenen Daten für einen TB zu speichern. Gemäß einigen Aspekten kann ein Empfangs-HARQ-Prozess versuchen, einen TB zu decodieren, wobei das Decodieren auf empfangenen Daten für den TB basiert, und das zusätzlich auf den gespeicherten Daten basierend auf früher empfangenen Daten für den TB basieren kann.
  • Die Formate der PDUs, die durch die MAC-Entität 46900 gemäß einigen Aspekten codiert und decodiert werden können, sind in 470A dargestellt.
  • Gemäß einigen Aspekten kann eine MAC-PDU 47000 aus einem MAC-Header 47005 und MAC-Nutzdaten 47010 bestehen, wobei die MAC-Nutzdaten aus null oder mehr MAC-Steuerelementen 47030, null oder mehr MAC-SDU-Abschnitten 47035 und null oder einem Füllabschnitt 47040 besteht. Gemäß einigen Aspekten kann der MAC-Header aus einem oder mehreren MAC-Sub-Headern bestehen, von denen jeder einem MAC-Nutzdatenabschnitt entsprechen und in entsprechender Reihenfolge auftreten kann. Gemäß einigen Aspekten kann jedes aus den null oder mehr MAC-Steuerelementen 47030, die in den MAC-Nutzdaten 47010 enthalten sind, einem Sub-Header 47015 fester Länge, der in dem MAC-Header 47005 enthalten ist, entsprechen. Gemäß einigen Aspekten kann jeder aus den null oder mehr MAC-SDU-Abschnitten 47035, die in den MAC-Nutzdaten 47010 enthalten sind, einem Sub-Header 47020 variabler Länge, der in dem MAC-Header 47005 enthalten ist, entsprechen. Gemäß einigen Aspekten kann der Füllabschnitt 47040, der in den MAC-Nutzdaten 47010 enthalten ist, einem Füll-Sub-Header 47025, der in dem MAC-Header 47005 enthalten ist, entsprechen.
  • Die Formate der PDUs, die durch die MAC-Entität 469100 gemäß einigen alternativen Aspekten codiert und decodiert werden können, sind in 470B dargestellt.
  • Gemäß einigen Aspekten kann eine MAC-PDU 47000 aus einer oder mehreren verketteten MaC-Sub-PDUs 47045 bestehen, denen Auffüllen 47040 folgen kann. Gemäß einigen Aspekten kann jede MAC-Sub-PDU 47045 einen Sub-Header und eines aus einem Steuerelement fester Länge, einem Steuerelement variabler Länge und einer MAC-SDU enthalten. Gemäß einigen Aspekten kann eine MAC-Sub-PDU 47045, die ein Steuerelement fester Länge enthält, auch einen Sub-Header 47015 fester Länge enthalten. Gemäß einigen Aspekten kann eine MAC-Sub-PDU 47045, die ein Steuerelement variabler Länge enthält, auch einen Sub-Header 47020 variabler Länge enthalten. Gemäß einigen Aspekten kann eine MAC-Sub-PDU 47045, die eine MAC SDU enthält, auch einen Sub-Header 47020 variabler Länge enthalten.
  • Aspekte eines MAC-Sub-Headers 47015 fester Länge, der in dem MAC-Header 47005 enthalten sein kann, sind in 470C dargestellt.
  • Aspekte eines MAC-Sub-Headers 47020 variabler Länge, der in dem MAC-Header 47005 enthalten sein kann, sind in 470D dargestellt.
  • Aspekte eines Füll-Sub-Headers 47025, der in dem MAC-Header 47005 enthalten sein kann, sind in 470E dargestellt.
  • Gemäß einigen Aspekten kann ein Sub-Header 47015 fester Länge ein oder mehrere reservierte Bits 47065, ein Erweiterungs-Bit 47070 und ein Feld für einen logischen Kanalbezeichner (LCID-Feld) 47075 enthalten.
  • Gemäß einigen Aspekten kann ein Sub-Header 47020 variabler Länge ein oder mehrere reservierte Bits 47065, ein Erweiterungs-Bit 47070, ein LCID-Feld 47075, ein Formatfeld 47085 und ein Längenfeld 47090 enthalten.
  • Gemäß einigen Aspekten kann ein Füll-Sub-Header 47025 ein oder mehrere reservierte Bits 47065, ein Erweiterungs-Bit 47070 und ein Feld für einen logischen Kanalbezeichner (LCID-Feld) 47075 enthalten.
  • Gemäß einigen Aspekten können reservierte Bits 47065 auf null eingestellt sein. Gemäß einigen Aspekten kann das Erweiterungs-Bit 47070 auf einen Wert eingestellt sein, der angibt, ob dem MAC-Sub-Header ein oder mehrere zusätzliche MAC-Sub-Header folgen. Gemäß einigen Aspekten kann der LCID 47075 einen Wert enthalten, der eines aus einem Typ eines entsprechenden MAC-Steuerelements 47030, einem Bezeichner eines logischen Kanals eines entsprechenden MAC-SDU-Abschnitts 47035 oder einem Fülltyp angibt. Gemäß einigen Aspekten kann ein Formatfeld 47085 eine Anzahl von Bits eines Längenfelds 47090 angeben. Gemäß einigen Aspekten kann das Längenfeld 47090 einen Wert enthalten, der eine Länge eines entsprechenden MAC-SDU-Abschnitts 47035 angibt.
  • Aspekte von Funktionen, die in einer Funkstreckensteuerungs-(RLC-) Schicht-Entität 47100 enthalten sind, sind in 471 dargestellt.
  • Gemäß einigen Aspekten kann die RLC-Schicht-Entität 47100 null oder mehr von jedem aus einer Sende-Entität 47110 für transparente Betriebsart (TM), einer TM-Empfangs-Entität 47115, einer Sende-Entität 47120 für nicht quittierte Betriebsart (UM), eine UM-Empfangs-Entität 47125 und eine Entität 47130 für quittierte Betriebsart (AM) enthalten.
  • Gemäß einigen Aspekten kann eine Entität einer höheren Schicht Steuer-, Status- und Daten-Nachrichten 47162, 47164, 47168, 47172 und 47174 mit der RLC-Schicht-Entität 47100 über einen oder mehrere Dienstzugangspunkte 47140, 47142, 47144, 47146, 47148 und 47150 austauschen. Gemäß einigen Aspekten kann die RLC-Schicht-Entität 47100 Steuer-, Status- und Daten-Nachrichten 47178, 47180, 47182, 47184 und 47186 mit einer Protokoll-Entität einer tieferen Schicht über die Datenzugangspunkte 47152, 47154, 47156, 47158 und 47160 austauschen.
  • Transparente Betriebsart
  • Gemäß einigen Aspekten können null oder mehr von jeder aus der TM-Sende-Entität 47110 und der TM-Empfangs-Entität 47115 jeweils einem Bezeichner eines unterscheidbaren logischen Kanals (LCID) entsprechen und können gemäß Anforderungen von einer Steuerungsentität einer höheren Schicht, die eine Funkbetriebsmittelsteuerungs- (RRC-) Entität sein kann, dynamisch erzeugt, konfiguriert und verworfen werden.
  • Gemäß einigen Aspekten kann die TM-Sende-Entität 47110 PDUs für Daten in transparenter Betriebsart (TMD-PDUs) aus RLC-SDUs, die über TM-SAP 47140 empfangen werden, ohne Segmentieren oder Verketten der SDUs oder Aufnehmen irgendwelcher Header-Daten erzeugen und kann die TMD-PDUs über SAP 47152 zu einer tieferen Schicht weiterleiten.
  • Gemäß einigen Aspekten kann die TM-Empfangs-Entität TMD-PDUs aus einer tiefere Schicht über SAP 47154 annehmen und kann die TMD PDUs als RLC-SDUs zu einer höheren Schicht ohne irgendeine Modifikation über SAP 47142 liefern.
  • Nicht quittierte Betriebsart
  • Gemäß einigen Aspekten können null oder mehr von jeder aus der UM-Sende-Entität 47120 und der UM-Empfangs-Entität 47125 jeweils einem Bezeichner eines unterscheidbaren logischen Kanals (LCID) entsprechen und können gemäß Anforderungen von einer Steuerungsentität einer höheren Schicht, die eine Funkbetriebsmittelsteuerungs- (RRC-) Entität sein kann, dynamisch erzeugt, konfiguriert und verworfen werden.
  • Gemäß einigen Aspekten kann die UM-Sende-Entität 47120 PDUs für Daten in nicht quittierter Betriebsart (UMD-PDUs) aus RLC-SDUs durch Hinzufügen eines RLC-Headers zu jeder RLC-SDU erzeugen und kann UMD-PDU-Segmente durch Teilen einer RLC-SDU in Segmente und Hinzufügen eines RLC-Headers zu jedem Segment erzeugen. Gemäß einigen Aspekten kann die UM-Sende-Entität 47120 die UMD-PDUs und UMD-PDU-Segmente zu einer tieferen Schicht über SAP 47156 weiterleiten.
  • Gemäß einigen Aspekten kann die UM-Empfangs-Entität 47125 über SAP 47158 empfangene UMD-PDUs verarbeiten. Gemäß einigen Aspekten kann das Verarbeiten empfangener UMD-PDUs durch die UM-Empfangs-Entität 47125 einen oder mehrere der folgenden Schritte enthalten: Detektieren und Verwerfen von UMD-PDUs, die dual empfangen wurden, Umordnen empfangener UMD-PDUs und UMD-PDU-Segmente gemäß Folgenummern, die in UMD-PDU und UMD-PDU-Segmenten enthalten sind, erneutes Zusammensetzen von RLC-SDUs aus empfangenen UMD-PDU-Segmenten und Liefern der RLC-SDUs zu höheren Schichten über SAP 47146 in aufsteigender numerischer Reihenfolge.
  • Quittierte Betriebsart
  • Gemäß einigen Aspekten können null oder mehr jeder aus der AM-Entität 47130 jeweils einem Bezeichner eines unterscheidbaren logischen Kanals (LCID) entsprechen und können gemäß Anforderungen von einer Steuerungsentität einer höheren Schicht, die eine Funkbetriebsmittelsteuerungs-(RRC-) Entität sein kann, dynamisch erzeugt, konfiguriert und verworfen werden.
  • Gemäß einigen Aspekten kann die AM-Entität 47130 PDUs für Daten in quittierter Betriebsart (AMD-PDUs) aus RLC-SDUs durch Hinzufügen eines RLC-Headers zu jeder RLC-SDU erzeugen und kann AMD-PDU-Segmente durch Teilen einer RLC-SDU in Segmente und Hinzufügen eines RLC-Headers zu jedem Segment erzeugen. Gemäß einigen Aspekten kann die AM-Entität 47130 die AMD-PDUs und AMD-PDU-Segmente zu einer tieferen Schicht über SAP 47160 weiterleiten.
  • Gemäß einigen Aspekten kann die AM-Entität 47130 in einem Header einer AMD-PDU ein Abfrage-Bit enthalten, das angibt, dass die Partner-AM-Entität, die die PDU empfängt, aufgefordert wird, mit einer AM-STATUS-PDU zu antworten, die Informationen darüber enthalten kann, welche AMD-PDUs und AMD-PDU-Segmente korrekt empfangen worden sind.
  • Gemäß einigen Aspekten kann die AM-Entität 47130 eine oder mehrere gesendete AMD-PDUs und AMD-PDU-Segmente in einem Neusendepuffer speichern und kann eine oder mehrere solcher PDUs erneut senden, falls bestimmt wird, dass sie durch die Partner-Empfangs-AM-Entität nicht korrekt empfangen worden sind.
  • Gemäß einigen Aspekten kann die AM-Entität beim erneuten Senden einer AMD-PDU oder eines AMD-PDU-Segments die PDU in zwei oder mehr kleinere Segmente neu segmentieren, falls bestimmt wird, dass eine Anzahl von Bytes der zum Senden verfügbaren Kapazität in einem Zeitintervall nicht ausreichend ist, um die vollständige PDU erneut zu senden.
  • Eine TMD-PDU 47200, die gemäß einigen Aspekten durch eine TM-Sende-Entität 47110 gesendet und durch eine TM-Empfangs-Entität 47115 empfangen werden kann, ist in 472A dargestellt.
  • Gemäß einigen Aspekten kann eine TMD-PDU 47200 ein oder mehrere Bytes eines Datenfelds 47205 enthalten.
  • Eine UMD-PDU 47220, die gemäß einigen Aspekten durch eine UM-Sende-Entität 47120 gesendet und durch eine UM-Empfangs-Entität 47125 empfangen werden kann, ist in 472B dargestellt.
  • Gemäß einigen Aspekten kann eine UMD-PDU 47220 aus einem UMD-PDU-Header und einem Datenfeld 47205 bestehen.
  • Gemäß einigen Aspekten kann eine UMD-PDU 47220 ein oder mehrere reservierte 1- (R1-) Bits 47225, ein Segmentierungs-Flag- (SF-) Bit 47230, ein Bit für das Flag des letzten Segments (LSF-Bit) 47235, ein Folgenummern- (SN-) Feld 47240 und ein oder mehrere Daten-Bytes 47205 enthalten.
  • Ein UMD-PDU-Segment 47250, das gemäß einigen Aspekten durch eine UM-Sende-Entität 47120 gesendet und durch eine UM-Empfangs-Entität 47125 empfangen werden kann, ist in 472C dargestellt.
  • Gemäß einigen Aspekten kann ein UMD-PDU-Segment 47250 ein oder mehrere von jedem aus reservierten 1- (R1-) Bits 47225, Segmentierungs-Flag- (SF-) Bit 47230, Bit für das Flag des letzten Segments (LSF-Bit) 47235, Folgenummern- (SN-) Feld 47240, Segmentversatz- (SO-) Feld 47245 und einem oder mehreren Daten-Bytes 47205 enthalten.
  • Eine AMD-PDU 47260, die gemäß einigen Aspekten durch eine AM-Entität 47130 gesendet und empfangen werden kann, ist in 472D dargestellt.
  • Gemäß einigen Aspekten kann eine AMD-PDU 47260 aus einem AMD-PDU-Header und einem Datenfeld 47205 bestehen.
  • Gemäß einigen Aspekten kann eine AMD-PDU 47260 eines oder mehrere von jedem aus einem Daten/Steuer- (D/C-) Bit 47265, Segmentierungs-Flag- (SF-) Bit 47230, Paritäts- (P-) Bit 47270, reservierten 1- (R1-) Bits 47225, Bit für das Flag des letzten Segments (LSF-Bit) 47235, Folgenummern- (SN-) Feld 47240 und ein oder mehrere Daten-Bytes 47205 enthalten.
  • Ein AMD-PDU-Segment 47280, das gemäß einigen Aspekten durch eine AM-Entität 47130 gesendet und empfangen werden kann, ist in 472E dargestellt.
  • Gemäß einigen Aspekten kann ein AMD-PDU-Segment 47280 eines oder mehrere von jedem aus einem Daten/Steuer- (D/C-) Bit 47265, Segmentierungs-Flag- (SF-) Bit 47230, Abfrage- (P-) Bit 47270, reservierten 1-(R1-) Bits 47225, Bit für das Flag des letzten Segments (LSF-Bit) 47235, Folgenummern- (SN-) Feld 47240, Segmentversatz- (SO-) Feld 47245 und ein oder mehrere Daten-Bytes 47205 enthalten.
  • Gemäß einigen Aspekten kann der Wert eines SF-Bits 47230, das in einer UMD-PDU 47220, einem UMD-PDU-Segment 47250, einer AMD-PDU 47260 oder einem AMD-PDU-Segment 47280 enthalten ist, angeben, ob die PDU eine aus einer UMD-PDU oder einer AMD-PDU oder eines aus einem UMD-PDU-Segment oder einem AMD-PDU-Segment ist, wobei ein Wert von 0 angeben kann, dass die PDU eine aus einer UMD-PDU oder einer AMD-PDU ist, und ein Wert von 1 angeben kann, dass die PDU eines aus einem UMD-PDU-Segment oder einem AMD-PDU-Segment ist.
  • Gemäß einigen Aspekten kann das P-Bit, das in einer AMD-PDU 47260 oder einem AMD-PDU-Segment 47280 enthalten ist, auf einen Wert eingestellt sein, der angibt, ob eine sendende AMD-PDU-Entität 47230 anfordert, dass eine empfangende Partner-AMD-PDU-Entität 47230 durch Senden einer STATUS-PDU 47290 antwortet.
  • Gemäß einigen Aspekten kann der Wert eines LSF-Bit 47235, das in einem UMD-PDU-Segment 47250 oder einem AMD-PDU-Segment 47280 enthalten ist, auf einen Wert eingestellt sein, um anzugeben, ob das UMD-PDU-Segment bzw. das AMD-PDU-Segment das letzte Segment einer UMD-PDU bzw. einer AMD-PDU enthält.
  • Gemäß einigen Aspekten kann der Wert eines SN-Felds 47240, das in einer UMD-PDU 47220 oder einer AMD-PDU 47260 enthalten ist, eine Folgenummer der PDU angeben. Gemäß einigen Aspekten kann der Wert eines SN-Felds, das in einem UMD-PDU-Segment 47250 oder einem AMD-PDU-Segment 47280 enthalten ist, eine Folgenummer einer UMD-PDU, von der das UMD-PDU-Segment oder das AMD-PDU-Segment ein Segment ist, angeben.
  • Eine STATUS-PDU 47290, die gemäß einigen Aspekten durch eine AM-Entität 47130 gesendet und empfangen werden kann, ist in 472F dargestellt.
  • Gemäß einigen Aspekten kann eine STATUS-PDU 47290 eines von jedem aus einem D/C-Bit 47265 und einem Steuerprotokolltyp- (CPT-) Feld enthalten. Gemäß einigen Aspekten kann ein CPT-Feld, das in einer STATUS-PDU 47290 enthalten ist, auf einen Wert eingestellt sein, der angibt, dass die PDU eine STATUS-PDU ist.
  • Gemäß einigen Aspekten kann eine STATUS-PDU 47290 eine Quittungsfeldgruppe und null oder mehrere Negativquittungsfeldgruppen enthalten.
  • Gemäß einigen Aspekten kann eine Quittungsfeldgruppe ein Quittungsfolgenummer- (ACK_SN-) Feld, das 18 Bits lang sein kann, und ein Erweiterung 1- (E1-) Bit, das auf einen Wert eingestellt sein kann, der angibt, ob der Quittungsfeldgruppe eine oder mehrere Negativquittungsfeldgruppen folgen, enthalten.
  • Gemäß einigen Aspekten kann eine Negativquittungsfeldgruppe ein Negativquittungsfolgenummer- (NACK_SN-) Feld, das 18 Bits lang sein kann, gefolgt von einem E1-Bit, einem Erweiterung 2- (E2-) Bit, einem Erweiterung 3- (E3-) Bit und null, einem oder zwei optionalen Feldern enthalten, wobei das E1-Bit auf einen Wert eingestellt ist, der angibt, ob der Negativquittungsfeldgruppe eine zusätzliche Negativquittungsfeldgruppe folgt, das E2-Bit auf einen Wert eingestellt ist, der angibt, ob die optionalen Felder ein Segmentversatzstart- (SOstart-) Feld enthalten, und das E3-Bit auf einen Wert eingestellt ist, der angibt, ob die optionalen Felder ein Segmentversatzende-(SOend-) Feld enthalten.
  • Aspekte von Funktionen, die in einer Paketdatenkonvergenzprotokoll- (PDCP-) Schicht-Entität 47300 enthalten sein können, sind in 473 dargestellt.
  • Gemäß einigen Aspekten kann die PDCP-Schicht-Entität 47300 eines oder mehrere aus einer Folgenummerierungs-, Duplikatdetektions- und Umordnungs-Schaltung 47325, Header-Komprimierungs- und Dekomprimierungs-Schaltung 47330, Integritätsschutz- und Verifikations-Schaltung 47335, Verschlüsselungs- und Entschlüsselungs-Schaltung 47340 und Einkapselungs- und Entkapselungs-Schaltung 47345 enthalten.
  • Gemäß einigen Aspekten kann eine Entität einer höheren Schicht PDCP-Dienstdateneinheiten (PDCP-SDU) 47305 mit der PDCP-Schicht-Entität 47300 über SAP 47310 austauschen. Gemäß einigen Aspekten kann die PDCP-Schicht-Entität 47300 PDCP-Protokolldateneinheiten (PDCP-PDU) 47315 mit einer Protokoll-Entität einer tieferen Schicht über SAP 47320 austauschen.
  • Gemäß einigen Aspekten kann die PDCP-Schicht-Entität 47300 eine Steuereinheit 47350 enthalten, die Konfigurations- und Steuereingaben für eine oder mehrere aus der Folgenummerierungs-, Duplikatdetektions- und Umordnungs-Schaltung 47325, der Header-Komprimierungs- und Dekomprimierungs-Schaltung 47330, der Integritätsschutz- und Verifikations-Schaltung 47335, der Verschlüsselungs- und Entschlüsselungs-Schaltung 47340 und der Einkapselungs- und Entkapselungs-Schaltung 47345 bereitstellen und Statusinformationen von ihnen empfangen kann. Gemäß einigen Aspekten kann die PDCP-Schicht-Entität 47300 einen Speicher 47355 enthalten, der verwendet werden kann, um eines oder mehrere aus Konfigurationsparametern und Zustandsinformationen zu speichern.
  • Gemäß einigen Aspekten kann eine Entität einer höheren Schicht Steuer- und Statusnachrichten 47360 mit der Steuereinheit 47350 über eine Schnittstelle 47365 austauschen.
  • Eine PDCP-PDU 47400, die gemäß einigen Aspekten durch eine PDCP-Entität 47300 gesendet und empfangen werden kann, ist in 474 dargestellt.
  • Gemäß einigen Aspekten kann eine PDCP-PDU 47400 eines oder mehrere von jedem aus einem reservierten (R-) Bit 47405, einem PDCP-Folgenummer- (SN-) Feld 47410, einem oder mehreren Daten-Bytes 47420 und einem Vier-Byte-Nachrichtenauthentifizierungscode für das Datenintegrität-(MAC-I-) Feld 47420 enthalten.
  • Aspekte der Kommunikation zwischen Instanzen der Funkbetriebsmittelsteuerungs- (RRC-) Schicht 47500 sind in 475 dargestellt. Gemäß einem Aspekt kann eine Instanz der RRC 47500, die in einem Benutzer-Equipment (UE) 47505 enthalten ist, Nachrichten codieren und decodieren, die zu einer Partner-RRC-Instanz 47500, die in einer Basisstation 47510 enthalten ist, die ein weiterentwickelter Node B (eNodeB), gNodeB oder eine andere Basisstationsinstanz sein kann, gesendet bzw. von ihr empfangen werden.
  • Gemäß einem Aspekt kann eine Instanz der RRC 47500 Rundesendenachrichten codieren oder decodieren, die eines oder mehrere aus Systeminformationen, Zellenauswahl- und -neuauswahlparameter, Nachbarzelleninformationen, Konfigurationsparameter für einen gemeinsamen Kanal und andere Rundsendemanagementinformationen enthalten können.
  • Gemäß einem Aspekt kann eine Instanz einer RRC 47500 RRC-Verbindungssteuernachrichten codieren oder decodieren, die eines oder mehrere von Paging-Informationen, Nachrichten zum Aufbauen, Modifizieren, Unterbrechen, Wiederaufnehmen oder Freigeben einer RRC-Verbindung, Nachrichten zum Zuweisen oder Modifizieren der UE-Identität, die einen temporären Zellen-Bezeichner eines Funknetzes (C-RNTI) enthalten kann, Nachrichten zum Aufbauen, Modifizieren oder Freigeben eines Signalisierungsfunkträgers (SRB), Datenfunkträgers (DRB) oder QoS-Flusses, Nachrichten zum Aufbauen, Modifizieren oder Freigeben von Sicherheitszuordnungen, die Integritätsschutz und Verschlüsselungsinformationen enthalten, Nachrichten zum Steuern von frequenzübergreifender, frequenzinterner und funkzugangstechnologie- (RAT-) übergreifender Übergabe, Nachrichten zur Wiederherstellung nach einem Funkstreckenausfall, Nachrichten zum Konfigurieren und Berichten von Messungsinformationen und andere Managementsteuerungs- und Informations-Funktionen enthalten können.
  • Zustände einer RRC 47500, die in einem Benutzer-Equipment (UE) in einigen Aspekten implementiert sein können, sind in 476 dargestellt.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 in einem der Zustände NR-RRC-Verbunden 47605, NR-RRC-Inaktiv 47628 oder NR-RRC-Leerlauf 47625 sein, wenn sie mit einer Zelle, die zu einem neuen 5G-Funknetz (5G-NR-Netz) gehört, verbunden ist oder sich in ihr aufhält.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 in einem der Zustände E-UTRA-RRC-Verbunden 47610 oder E-UTRA-RRC-Leerlauf 47630 sein, wenn sie mit einer Zelle, die zu einem Langzeitentwicklungs-(LTE-) Netz gehört, verbunden ist oder sich in ihr aufhält.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 in einem der Zustände CELL DCH 47615, CELL FACH 47645, CELL_PCH/URA_PCH 47645 oder UTRA Idle 47635 sein, wenn sie mit einer Zelle, die zu einem Netz des universellen Mobiltelekommunikationssystems (UMTS) gehört, verbunden ist oder sich in ihr aufhält.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 in einem der Zustände GSM_Connected/GPRS_Packet_Transfer_Mode 47620 oder GSM_Idle/GPRS_Packet_Idle 47640 sein, wenn sie mit einer Zelle, die zu einem Netz des globalen Systems für Mobiltelekommunikation (GSM) gehört, verbunden ist oder sich in ihr aufhält.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 von einem der Zustände in der Gruppe, die aus NR-RRC-Verbunden 47605, E-UTRA-RRC-Verbunden 47610, CELL DCH 47615, CELL FACH 47645 und GSM_Connected/GPRS_Packet_Transfer_Mode 47640 besteht, die als verbundene Zustände bezeichnet sein können, in einen anderen Zustand in der gleichen Gruppe über einen Übergabeübergang 47660 übergehen.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 von einem der Zustände in der Gruppe, die aus NR-RRC-Leerlauf 47625, E-UTRA RRC-Leerlauf 47630, UTRA Idle 47635 und GSM_Idle/GPRS_Packet_Idle 47640 besteht, die als Leerlaufzustände bezeichnet sein können, in einen anderen Zustand in der gleichen Gruppe über einen Zellenneuauswahlübergang 47680 übergehen.
  • Gemäß einigen Aspekten kann eine RRC-Entität 47500 zwischen den Zuständen NR-RRC-Verbunden 47605 und NR-RRC-Leerlauf 47625 über einen RRC-Verbinden/Trennen-Übergang 47670 übergehen. Gemäß einigen Aspekten kann eine RRC-Entität 47500 zwischen den Zuständen E-UTRA-RRC-Verbunden 47610 und E-UTRA-Leerlauf 47630 über einen RRC-Verbinden/Trennen-Übergang 47670 übergehen. Gemäß einigen Aspekten kann eine RRC-Entität 47500 zwischen den Zuständen CELL_PCH/URA_PCH 47645 und UTRA_Idle 47635 über einen RRC-Verbinden/Trennen-Übergang 47670 übergehen. Gemäß einigen Aspekten kann eine RRC-Entität 47500 zwischen den Zuständen GSM_Connected/GPRS_Packet_Transfer_Mode 47620 und GSM_Idle/GPRS_Packet_Idle 47640 über einen RRC-Verbinden/Trennen-Übergang 47670 übergehen.
  • Die vorstehende genaue Beschreibung enthält Referenzen auf die begleitenden Zeichnungen, die einen Teil der genauen Beschreibung bilden. Die Zeichnungen zeigen durch Darstellung spezifische Aspekte, in denen die Aspekte der Offenbarung praktiziert werden können. Diese Aspekte sind hier auch als „Beispiele“ bezeichnet. Im Fall inkonsistenter Verwendungen zwischen diesem Dokument und jenen Dokumenten, wie sie durch Bezugnahme mit aufgenommen sind, sollte die Verwendung in den aufgenommenen Referenz(en) als dieses Dokument ergänzend betrachtet werden; für unvereinbare Inkonsistenzen hat die Verwendung in diesem Dokument Vorrang.
  • In diesem Dokumente ist der Begriff „ein“ so verwendet, wie es in Patentdokumenten üblich ist, dass er eines oder mehr als eines enthält, unabhängig von irgendwelchen anderen Fällen oder Verwendungen von „wenigstens eines“ oder „eines oder mehrere“. In diesem Dokument ist der Begriff „oder“ verwendet, so dass er sich auf ein nicht ausschließendes Oder bezieht, so dass „A oder B“ „A aber nicht B“, „B aber nicht A“ und „A und B“ enthält, sofern nicht anders angegeben. In den beigefügten Ansprüchen sind die Begriffe „aufweisen“ und „in dem“ als die Äquivalente in einfachem Deutsch der entsprechenden Begriffe „umfassen“ und „wobei“ verwendet. Außerdem sind in den folgenden Ansprüchen die Begriffe „aufweisen“ und „umfassen“ offen, das heißt, ein System, eine Vorrichtung, ein Gegenstand oder Prozess, das/die/der Elemente zusätzlich zu den nach einem solchen Begriff in einem Anspruch aufgelisteten enthält, wird immer noch als in den Schutzbereich dieses Anspruchs fallend erachtet. Außerdem sind in den folgenden Ansprüchen die Begriffe „erster“, „zweiter“ und „dritter“ usw. lediglich als Kennzeichen verwendet und sind nicht vorgesehen, numerische Anforderungen für ihre Objekte einzuführen.
  • Die vorstehende Beschreibung ist als erläuternd und nicht als einschränkend vorgesehen. Beispielsweise können die vorstehend beschriebenen Beispiele (oder ein oder mehrere Aspekte davon) in Kombination miteinander verwendet werden. Andere Aspekte können verwendet werden, wie z. B. durch einen normalen Fachmann nach Durchsehen der vorstehenden Beschreibung. Außerdem können in der vorstehenden genauen Beschreibung verschiedene Merkmale zusammen gruppiert sein, um die Offenbarung zu straffen. Das sollte nicht so interpretiert werden, dass beabsichtigt ist, dass ein nicht beanspruchtes offenbartes Merkmal für irgendeinen Anspruch wesentlich ist. Vielmehr kann der erfindungsgemäße Gegenstand in weniger als allen Merkmalen eines speziellen offenbarten Aspekts liegen. Somit sind die folgenden Ansprüche hiermit in die genaue Beschreibung aufgenommen, wobei jeder Anspruch für sich selbst als ein separater Aspekt steht. Der Umfang verschiedener Aspekte der Offenbarung kann mit Bezug auf die beigefügten Ansprüche bestimmt werden, zusammen mit dem vollständigen Umfang von Äquivalenten, für den solche Ansprüche berechtigt sind.
  • Die Zusammenfassung mit in Übereinstimmung mit 37 C.F.R. Abschnitt 1.72(b) bereitgestellt, der eine Zusammenfassung erfordert, die es dem Leser erlaubt, die Beschaffenheit und die Kernaussage der technischen Offenbarung zu erfassen. Sie wird mit dem Verständnis eingereicht, dass sie nicht verwendet wird, um den Schutzbereich oder die Bedeutung der Ansprüche einzuschränken oder zu interpretieren. Die folgenden Ansprüche sind hiermit in die genaue Beschreibung aufgenommen, wobei jeder Anspruch für sich selbst als ein separater Aspekt steht.
  • BEISPIELE
  • Beispiel 1 ist eine Einrichtung, die Folgendes aufweist: ein Leiterplatten- (PCB-) Substrat; einen Halbleiter-Die, wobei der Halbleiter-Die eine Mehrzahl an metallischen Säulen aufweist, die an einer Oberfläche des Dies angebracht sind und den Die mit dem PCB-Substrat koppeln, wobei jede der Mehrzahl an metallischen Säulen eine Mehrzahl an überlagerten metallische Schichten aufweist; und eine induktive Struktur, wobei die induktive Struktur eine metallische Verbindung zwischen wenigstens zwei der Mehrzahl an metallischen Säulen aufweist, wobei die metallische Verbindung eine der Mehrzahl an metallischen Schichten ist.
  • In Beispiel 2 weist der Gegenstand von Beispiel 1 optional auf, dass die metallischen Säulen Kupfersäulen sind und dass die Mehrzahl an überlagerten metallischen Schichten eine Mehrzahl an überlagerten Kupferschichten aufweisen.
  • In Beispiel 3 weist der Gegenstand eines oder mehrerer der Beispiele 1-2 optional eine erste Mehrzahl an Metallkontaktstellen, die zwischen der Mehrzahl an metallischen Säulen und dem Halbleiter-Dieangeordnet sind; und eine zweite Mehrzahl an Metallkontaktstellen, die zwischen dem PCB-Substrat und der Mehrzahl an metallischen Säulen angeordnet sind, auf.
  • In Beispiel 4 weist der Gegenstand eines oder mehrerer der Beispiele 1-3 optional auf, dass die induktive Struktur eine inhärente Eigeninduktivität und on-die induktive Komponenten umfasst, um eine gewünschte Induktivität zu erreichen.
  • Wie er hier verwendet ist, kann der Begriff „inhärente Eigeninduktivität“ einer Schaltung als das Verhältnis einer elektromotorischen Kraft, die in der Schaltung durch Eigeninduktion produziert wird, zu der Änderungsrate eines Stroms, der die elektromotorische Kraft produziert, ausgedrückt sein. Die Eigeninduktivität kann in Einheiten von Henry (H) ausgedrückt sein.
  • In Beispiel 5 weist der Gegenstand eines oder mehrerer der Beispiele 1-4 optional auf, dass die induktive Struktur einen hohen Qualitätsfaktor (Q-Faktor) aufweist, wobei der Q-Faktor ein Verhältnis der Induktivität der induktiven Struktur zu dem Widerstand der induktiven Struktur ist.
  • In Beispiel 6 weist der Gegenstand eines oder mehrerer der Beispiele 1-5 optional auf, dass die metallische Verbindung Teil einer oberen Schicht der Mehrzahl an metallischen Schichten ist, wobei die obere Schicht in Kontakt mit dem PCB-Substrat ist.
  • In Beispiel 7 weist der Gegenstand eines oder mehrerer der Beispiele 1-6 optional auf, dass die induktive Struktur von dem PCB-Substrat unabhängig ist.
  • In Beispiel 8 weist der Gegenstand eines oder mehrerer der Beispiele 1-7 optional eine zweite induktive Struktur auf, wobei die zweite induktive Struktur durch eine zweite metallische Verbindung zwischen wenigstens zwei weiteren der Mehrzahl an metallischen Säulen ist, wobei die zweite metallische Verbindung Teil einer zweiten der Mehrzahl an metallischen Schichten ist und von der metallischen Verbindung galvanisch getrennt ist.
  • In Beispiel 9 weist der Gegenstand von Beispiel 8 optional auf, dass die zweite metallische Verbindung wenigstens teilweise die metallische Verbindung überlappt, um eine Kopplungszone zwischen der zweiten metallischen Verbindung und der metallischen Verbindung zu bilden.
  • In Beispiel 10 weist der Gegenstand von Beispiel 9 optional auf, dass die zweite metallische Verbindung und die metallische Verbindung in einer sich kreuzenden Konfiguration angeordnet sind.
  • In Beispiel 11 weist der Gegenstand eines oder mehrerer der Beispiele 9-10 optional auf, dass die zweite metallische Verbindung und die metallische Verbindung in einer parallelen Konfiguration angeordnet sind.
  • In Beispiel 12 weist der Gegenstand eines oder mehrerer der Beispiele 8-11 optional auf, dass die induktive Struktur und die zweite induktive Struktur einen Transformator bilden.
  • In Beispiel 13 weist der Gegenstand von Beispiel 12 optional auf, dass die induktive Struktur eine Primärwicklung des Transformators bildet und die zweite induktive Struktur eine Sekundärwicklung des Transformators bildet.
  • In Beispiel 14 weist der Gegenstand eines oder mehrerer der Beispiele 1-13 optional auf, dass die Mehrzahl an metallischen Schichten einer entsprechenden Mehrzahl an Ätzprozessen zugeordnet sind.
  • Beispiel 15 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: einen drahtlosen Sendeempfänger; ein PCB-Substrat; einen Halbleiter-Die, wobei der Halbleiter-Die mit dem PCB-Substrat über eine Mehrzahl an metallischen Säulen gekoppelt ist, wobei jede der Mehrzahl an metallischen Säulen eine Mehrzahl an überlagerten metallische Schichten aufweist; und eine metallische Verbindung, die zwischen wenigstens zwei aus der Mehrzahl an metallischen Säulen gebildet ist, wobei die metallische Verbindung Teil einer der Mehrzahl an metallischen Schichten ist und eine inhärente Eigeninduktivität umfasst.
  • In Beispiel 16 weist der Gegenstand von Beispiel 15 optional einen auf dem PCB-Substrat gebildeten, spannungsgesteuerten Oszillator (VCO) auf, der eingerichtet ist, Lokaloszillatorsignale unter Verwendung der metallischen Verbindung zu erzeugen, wobei der VCO mit dem drahtlosen Sendeempfänger gekoppelt ist.
  • In Beispiel 17 weist der Gegenstand von Beispiel 16 optional auf, dass die metallische Verbindung eine Komponente des spannungsgesteuerten Oszillators ist.
  • Beispiel 18 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: einen drahtlosen Sendeempfänger, der eingerichtet ist, Daten, die über einen Bus kommuniziert werden, drahtlos zu senden oder zu empfangen; und ein Halbleiter-Package, das dem drahtlosen Sendeempfänger zugeordnet ist, wobei das Halbleiter-Package Folgendes aufweist: ein PCB-Substrat; einen spannungsgesteuerten Oszillator (VCO), der auf dem PCB-Substrat gebildet ist; einen Halbleiter-Die, wobei der Halbleiter-Die mit dem PCB-Substrat über eine Mehrzahl an metallischen Säulen gekoppelt ist, wobei jede der Mehrzahl an metallischen Säulen eine gleiche Mehrzahl an überlagerten metallischen Schichten aufweist; und eine metallische Verbindung, die zwischen wenigstens zwei der Mehrzahl an metallischen Säulen gebildet ist, wobei die metallische Verbindung Teil einer der Mehrzahl an metallischen Schichten, die den wenigstens zwei Säulen zugeordnet sind, ist und eine inhärente Eigeninduktivität umfasst.
  • In Beispiel 19 weist der Gegenstand von Beispiel 18 optional auf, dass die metallische Verbindung eine Komponente des VCO ist.
  • In Beispiel 20 weist der Gegenstand eines oder mehrerer der Beispiele 18-19 optional auf, dass das Halbleiter-Package ferner Folgendes aufweist: eine zweite metallische Verbindung zwischen wenigstens zwei weiteren der Mehrzahl an metallischen Säulen, wobei die zweite metallische Verbindung Teil einer zweiten der Mehrzahl an metallischen Schichten ist und von der metallischen Verbindung galvanisch getrennt ist.
  • Beispiel 21 ist eine Antennenstruktur, die Folgendes aufweist: eine Laminat-Leiterplatte, die eine Mehrzahl an parallelen Schichten aufweist; einen Hohlraum innerhalb der Laminat-Leiterplatte; eine integrierte Hochfrequenzschaltung (RFIC), die innerhalb des Hohlraums angeordnet ist; eine Abschirmung für die RFIC, wobei die Abschirmung wenigstens eine metallisierte Schicht des Hohlraums aufweist;und eine Mehrzahl an Antennenelementen, die in wenigstens einer Schicht der Leiterplatte außerhalb des Hohlraums angeordnet und mit der RFIC gekoppelt sind, um RF-Signale von dem RFIC abzustrahlen.
  • In Beispiel 22 weist der Gegenstand von Beispiel 21 optional auf, dass der Hohlraum einen Boden aufweist, auf dem die RFIC angeordnet ist, und wobei die Abschirmung metallisierte Schichten der Laminat-Leiterplatte und Vias, die den Boden mit wenigstens einigen der metallisierten Schichten verbinden, aufweist.
  • In Beispiel 23 weist der Gegenstand eines oder mehrerer der Beispiele 21-22 optional auf, dass die Abschirmung einen Faraday-Käfig aufweist.
  • In Beispiel 24 weist der Gegenstand eines oder mehrerer der Beispiele 21-23 optional auf, dass eine erste Gruppe der Mehrzahl an Antennenelementen auf einer ersten Schicht der Leiterplatte außerhalb der Abschirmung angeordnet ist und dass eine zweite Gruppe der Mehrzahl an Antennenelementen auf einer zweiten Schicht der Leiterplatte außerhalb der Abschirmung angeordnet ist.
  • In Beispiel 25 weist der Gegenstand eines oder mehrerer der Beispiele 23-24 optional auf, dass wenigstens einige der ersten Gruppe und wenigstens einige der zweiten Gruppe RF-Signale in entgegengesetzten Richtungen unter einem Winkel von im Wesentlichen 180 Grad zueinander abstrahlen.
  • In Beispiel 26 weist der Gegenstand eines oder mehrerer der Beispiele 23-25 optional auf, dass eine dritte Gruppe der Mehrzahl an Antennenelementen an einem Rand der Leiterplatte außerhalb der Abschirmung für Querstrahlungsbetrieb oder Längsstrahlungsbetrieb angeordnet ist.
  • In Beispiel 27 weist der Gegenstand eines oder mehrerer der Beispiele 24-26 optional auf, dass wenigstens einige der ersten Gruppe von Antennenelementen, wenigstens einige der zweiten Gruppe von Antennenelementen und wenigstens einige der dritten Gruppe von Antennenelementen phasengesteuerte Gruppen von Antennenelementen aufweisen.
  • In Beispiel 28 weist der Gegenstand eines oder mehrerer der Beispiele 21-27 optional auf, dass wenigstens einige der Mehrzahl an Antennenelementen durch Speiseleitungen, die von der RFIC innerhalb der Abschirmung lateral durch eine oder mehrere Schichten der Leiterplatte verlaufen, gespeist werden.
  • In Beispiel 29 weist der Gegenstand eines oder mehrerer der Beispiele 21-28 optional auf, dass wenigstens einige der Antennenelemente durch Speiseleitungen, die von der RFIC innerhalb der Abschirmung lateral oder senkrecht zu lateral verlaufen und danach durch eine Öffnung in einer Masseschicht oder in der Abschirmung hindurch treten, um wenigstens eines der Antennenelemente zu erreichen, gespeist werden.
  • In Beispiel 30 weist der Gegenstand eines oder mehrerer der Beispiele 21-29 optional auf, dass Schichten der Laminat-Leiterplatte oberhalb des Hohlraumbodens hinsichtlich Größe und Fläche kleiner sind als Schichten unterhalb des Hohlraumbodens, wobei ein Sockel in Bezug auf den Hohlraum gebildet ist.
  • In Beispiel 31 weist der Gegenstand eines oder mehrerer der Beispiele 28-30 optional auf, dass der Sockel eine Oberfläche aufweist, die elektrische Kontakte aufweist, die mit einem Sockel der elektrischen Vorrichtung verbinden, und dass die elektrischen Kontakte ferner einen wärmeleitfähigen Pfad aufweisen, um Wärme von der Laminat-Leiterplatte zu der elektrischen Vorrichtung zu übertragen.
  • Beispiel 32 ist eine mobile Vorrichtung, die Folgendes aufweist: eine Laminat-Leiterplatte, die eine Mehrzahl an parallelen Schichten aufweist; einen Hohlraum innerhalb der Laminat-Leiterplatte; eine integrierte Hochfrequenzschaltung (RFIC), die innerhalb des Hohlraums angeordnet ist; eine Abschirmung für die RFIC, wobei die Abschirmung wenigstens eine metallisierte Schicht des Hohlraums aufweist; und eine Mehrzahl an Antennenelementen, die in wenigstens einer Schicht der Leiterplatte außerhalb des Hohlraums angeordnet und mit der RFIC gekoppelt sind, um RF-Signale von der RFIC abzustrahlen.
  • In Beispiel 33 weist der Gegenstand von Beispiel 32 optional auf, dass der Hohlraum einen Boden aufweist, auf dem die RFIC angeordnet ist, und die Abschirmung wenigstens eine metallisierte Schicht der Laminat-Leiterplatte und Vias, die den Boden mit der wenigstens einen metallisierten Schicht verbinden, aufweist.
  • In Beispiel 34 weist der Gegenstand eines oder mehrerer der Beispiele 32-33 optional auf, dass die Abschirmung einen Faraday-Käfig aufweist.
  • In Beispiel 35 weist der Gegenstand eines oder mehrerer der Beispiele 32-34 optional auf, dass eine erste Gruppe der Mehrzahl an Antennenelementen auf einer ersten Schicht der Leiterplatte außerhalb der Abschirmung angeordnet ist und dass eine zweite Gruppe der Mehrzahl an Antennenelementen auf einer zweiten Schicht der Leiterplatte außerhalb der Abschirmung angeordnet ist.
  • In Beispiel 36 weist der Gegenstand eines oder mehrerer der Beispiele 33-35 optional auf, dass wenigstens einige der ersten Gruppe und wenigstens einige der zweiten Gruppe RF-Signale in entgegengesetzten Richtungen unter einem Winkel von im Wesentlichen 180 Grad zueinander abstrahlen.
  • In Beispiel 37 weist der Gegenstand eines oder mehrerer der Beispiele 33-36 optional auf, dass eine dritte Gruppe der Mehrzahl an Antennenelementen an einem Rand der Leiterplatte außerhalb der Abschirmung für Querstrahlungsbetrieb oder Längsstrahlungsbetrieb angeordnet ist.
  • In Beispiel 38 weist der Gegenstand eines oder mehrerer der Beispiele 35-37 optional auf, dass wenigstens einige der ersten Gruppe von Antennenelementen, wenigstens einige der zweiten Gruppe von Antennenelementen und wenigstens einige der dritten Gruppe von Antennenelementen phasengesteuerte Gruppen von Antennenelementen aufweisen.
  • In Beispiel 39 weist der Gegenstand eines oder mehrerer der Beispiele 32-38 optional auf, dass wenigstens einige der Mehrzahl an Antennenelementen durch Speiseleitungen, die von der RFIC innerhalb der Abschirmung lateral durch eine oder mehrere Schichten der Leiterplatte verlaufen, gespeist werden.
  • In Beispiel 40 weist der Gegenstand eines oder mehrerer der Beispiele 32-39 optional auf, dass wenigstens einige der Antennenelemente durch Speiseleitungen, die von der RFIC innerhalb der Abschirmung lateral oder senkrecht zu laterial verlaufen und danach durch eine Öffnung in der Abschirmung hindurch treten, um wenigstens einige der Antennenelemente zu erreichen, gespeist werden.
  • In Beispiel 41 weist der Gegenstand eines oder mehrerer der Beispiele 32-40 optional auf, dass Schichten der Laminat-Leiterplatte oberhalb des Hohlraumbodens hinsichtlich Größe und Fläche kleiner sind als Schichten unterhalb des Hohlraumbodens, wobei ein Sockel gebildet ist.
  • In Beispiel 42 weist der Gegenstand von Beispiel 41 optional auf, dass der Sockel eine Oberfläche aufweist, die elektrische Kontakte aufweist, die mit einem Sockel der elektrischen Vorrichtung verbinden, und dass die elektrischen Kontakte ferner einen wärmeleitfähigen Pfad aufweisen, um Wärme von der Laminat-Leiterplatte zu der elektrischen Vorrichtung zu übertragen.
  • In Beispiel 43 kann der Gegenstand aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten irgendeines oder mehrerer der Beispiele 21 bis 42 kombiniert sein, um einen Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 21 bis 42, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 21 bis 42 ausführt.
  • Beispiel 44 ist ein RF-Frontend-Modul (RFEM), das Folgendes aufweist: eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; ein RF-Empfänger-Teilsystem, das ausgelegt ist, RF-Signale, die über die phasengesteuerte Antennengruppe empfangen werden, zu verarbeiten; und ein RF-Sender-Teilsystem, das ausgelegt ist, RF-Signale unter Verwendung von Zwischenfrequenz- (IF-) Signalen zu erzeugen, wobei die erzeugten RF-Signale zum Senden über die phasengesteuerte Antennengruppe dienen, wobei eine erste Antennenuntergruppe der Mehrzahl an Antennen auf einer gedruckten Seite eines PCB-Substrats angeordnet ist und eine zweite Antennengruppe der Mehrzahl an Antennen auf einer Komponentenseite des PCB-Substrats angeordnet ist und wobei sich die erste Antennenuntergruppe am gleichen Ort mit einer Nahbereichskommunikations- (NFC-) Antenne auf der gedruckten Seite des PCB-Substrats befindet.
  • In Beispiel 45 weist der Gegenstand von Beispiel 44 optional auf, dass sich das RF-Empfänger-Teilsystem und das RF-Sender-Teilsystem am gleichen Ort mit der zweiten Antennenuntergruppe auf der Komponentenseite des PCB-Substrats befinden. In einem weiteren Beispiel befindet sich die zweite Antennenuntergruppe am gleichen Ort mit der NFC-Antenne auf der Komponentenseite des PCB-Substrats.
  • In Beispiel 46 weist der Gegenstand eines oder mehrerer der Beispiele 44-45 optional auf, dass die phasengesteuerte Antennengruppe ausgelegt ist, Signale in einem oder mehreren mmWellen-Bändern zu empfangen und zu senden.
  • In Beispiel 47 weist der Gegenstand eines oder mehrerer der Beispiele 44-46 optional auf, dass die Mehrzahl an Antennen Patchantennen aufweisen.
  • In Beispiel 48 weist der Gegenstand eines oder mehrerer der Beispiele 44-47 optional auf, dass die Mehrzahl an Antennen Dipolantennen aufweisen.
  • In Beispiel 49 weist der Gegenstand eines oder mehrerer der Beispiele 44-48 optional auf, dass die Mehrzahl an Antennen in der zweiten Antennenuntergruppe um einen Umfang des PCB-Substrats angeordnet sind.
  • In Beispiel 50 weist der Gegenstand eines oder mehrerer der Beispiele 44-49 optional auf, dass die Mehrzahl an Antennen in der phasengesteuerten Gruppe für vertikale Polarisation und horizontale Polarisation ausgelegt sind.
  • In Beispiel 51 weist der Gegenstand eines oder mehrerer der Beispiele 44-50 optional auf, dass die NFC-Antenne eine Induktivität aufweist, die um die erste Antennenuntergruppe angeordnet ist.
  • In Beispiel 52 weist der Gegenstand eines oder mehrerer der Beispiele 44-51 optional auf, dass die NFC-Antenne eine mehrschichtige Induktivität aufweist, wobei jede Schicht der mehrschichtigen Induktivität um die erste Antennenuntergruppe angeordnet ist.
  • In Beispiel 53 weist der Gegenstand eines oder mehrerer der Beispiele 44-52 optional eine Masseschicht der Antenne der phasengesteuerten Gruppe auf, wobei die NFC-Antenne Teil der Masseschicht ist.
  • In Beispiel 54 weist der Gegenstand eines oder mehrerer der Beispiele 44-53 optional eine Abschirmungsstruktur auf, wobei die NFC-Antenne Teil der Abschirmungsstruktur ist.
  • In Beispiel 55 weist der Gegenstand eines oder mehrerer der Beispiele 44-54 optional auf, dass die NFC-Antenne mit einem NFC-Verarbeitungsteilsystem gekoppelt ist, das ausgelegt ist, NFC-Signale, die über die NFC-Antenne empfangen werden, zu verarbeiten.
  • In Beispiel 56 weist der Gegenstand eines oder mehrerer der Beispiele 53-55 optional einen Generator eines Lokaloszillator (LO)-Generator auf, der ausgelegt ist, Folgendes zu erzeugen: ein RF-LO-Signal zum Abwärtsumsetzen der empfangenen RF-Signale oder Aufwärtsumsetzen der IF-Signale; und ein NFC-LO-Signal für das NFC-Verarbeitungsteilsystem, wobei das NFC-LO-Signal zum Abwärtsumsetzen eines Signals, das durch das NFC-Verarbeitungsteilsystem über die NFC-Antenne empfangen wird, oder zum Aufwärtsumsetzen eines Signals, das durch das NFC-Verarbeitungsteilsystem erzeugt wird, zum Senden über die NFC-Antenne dient.
  • Beispiel 57 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: einen Speicher; einen Prozessor, der mit dem Speicher über einen Kommunikationsbus gekoppelt ist; ein RF-Frontend-Modul (RFEM), das ausgelegt ist, RF-Daten, die über den Bus kommuniziert werden, drahtlos zu senden oder zu empfangen; ein Nahbereichskommunikations- (NFC-) Teilsystem (NFCS), das ausgelegt ist, NFC-Daten, die über den Bus kommuniziert werden, drahtlos unter Verwendung einer NFC-Antenne zu senden oder zu empfangen; und ein Halbleiter-Package, das dem RFEM und dem NFCS zugeordnet ist, wobei das Halbleiter-Package Folgendes aufweist: ein PCB-Substrat; einen Halbleiter-Die, der mit dem Substrat verbunden ist, und eine phasengesteuerte Antennengruppe auf dem Substrat außerhalb des Dies, die mit dem RFEM gekoppelt ist und ausgelegt ist, die RF-Daten drahtlos zu senden oder zu empfangen; und eine NFC-Antenne, die mit dem NFC-Teilsystem gekoppelt ist, wobei die NFC-Antenne einen Induktor aufweist, der um die phasengesteuerte Antennengruppe gebildet ist.
  • In Beispiel 58 weist der Gegenstand von Beispiel 57 optional einen Lokaloszillator (LO)-Generator auf, der ausgelegt ist, ein RF-LO-Signal zum Abwärtsumsetzen der RF-Daten zu erzeugen, wobei der LO-Generator von dem NFCS und dem RFEM gemeinsam verwendet wird.
  • In Beispiel 59 weist der Gegenstand von Beispiel 58 optional auf, dass der LO-Generator ferner ausgelegt ist zum: Erzeugen eines NFC-LO-Signals für das NFCS, wobei das NFC-LO-Signal zum Abwärtsumsetzen oder Aufwärtsumsetzen der NFC-Daten dient.
  • In Beispiel 60 weist der Gegenstand eines oder mehrerer der Beispiele 57-59 optional auf, dass der Prozessor zum Erzeugen eines oder mehrerer Steuersignale, die von dem NFCS und dem RFEM gemeinsam verwendet werden, ausgelegt ist, wobei die Steuersignale zum Steuern des drahtlosen Sendens oder Empfangs der RF-Daten oder der NFC-Daten dienen.
  • In Beispiel 61 weist der Gegenstand eines oder mehrerer der Beispiele 57-60 optional eine Leistungsmanagementeinheit (PMU) auf, wobei die PMU von dem NFCS und dem RFEM gemeinsam verwendet wird.
  • In Beispiel 62 weist der Gegenstand eines oder mehrerer der Beispiele 57-61 optional auf, dass die phasengesteuerte Antennengruppe mehrere mmWellen-Patchantennen aufweist, die sich am selben Ort wie die NFC-Antenne auf dem PCB-Substrat befinden.
  • Beispiel 63 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: eine phasengesteuerte Antennengruppe; ein RF-Frontend-Modul (RFEM), wobei das RFEM Folgendes aufweist: ein RF-Empfänger-Teilsystem, das ausgelegt ist, RF-Signale, die über die phasengesteuerte Antennengruppe empfangen werden, zu verarbeiten; ein RF-Sender-Teilsystem, das ausgelegt ist, RF-Signale unter Verwendung von Zwischenfrequenz- (IF-) Signalen zu erzeugen, wobei die erzeugten RF-Signale zum Senden über die phasengesteuerte Antennengruppe dienen; ein Basisbandteilsystem (BBS), wobei das BBS ausgelegt ist, die IF-Signale oder ein Basisbandsignal unter Verwendung der empfangenen RF-Signale zu erzeugen; und ein Nahbereichskommunikations- (NFC-) Teilsystem (NFCS), das ausgelegt ist NFC-Daten, die über den Bus kommuniziert werden, drahtlos unter Verwendung einer NFC-Antenne zu senden oder zu empfangen, wobei sich die NFC-Antenne und eine Mehrzahl von Antennen, die eine Teilmenge der phasengesteuerten Antennengruppe aufweisen, am gleichen Ort auf derselben Oberfläche eines PCB-Substrats befinden.
  • Beispiel 64 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein PCB-Substrat, das die phasengesteuerte Antennengruppe aufweist; und einen Halbleiter-Die, der mit dem PCB-Substrat gekoppelt ist, wobei der Halbleiter-Die eine Mehrzahl identischer Sendeempfängerzellen aufweist, die in einer Sendeempfängergruppe angeordnet sind, wobei eine Sendeempfängerzelle der Mehrzahl an Sendeempfängerzellen Folgendes aufweist: eine Empfängerschaltung, die ausgelegt ist, ein drahtloses Signal über die phasengesteuerte Antennengruppe zu empfangen; eine Lokaloszillatorschaltung, die ausgelegt ist, ein Lokaloszillator (LO)-Signal zu erzeugen; eine Senderschaltung, die ausgelegt ist, ein Basisbandsignal unter Verwendung des LO-Signals aufwärtsumzusetzen und das aufwärtsumgesetzte Signal über die phasengesteuerte Antennengruppe drahtlos zu senden; und eine Phasenanpassungsschaltung, die ausgelegt ist, die Phase des empfangenen drahtlosen Signals oder des aufwärtsumgesetzten Signals unter Verwendung eines Phasenanpassungssignals anzupassen, wobei das Phasenanpassungssignal einem gewünschten Antennengewinn der phasengesteuerten Antennengruppe zugeordnet ist.
  • In Beispiel 65 weist der Gegenstand von Beispiel 64 optional auf, dass die Sendeempfängergruppe einen einzelnen ungeschnittenen Abschnitt des Halbleiter-Dies aufweist.
  • In Beispiel 66 weist der Gegenstand eines oder mehrerer der Beispiele 64-65 optional auf, dass die Sendeempfängergruppe ferner einen Kommunikationsbus aufweist, der die mehreren Sendeempfängerzellen koppelt, wobei der Kommunikationsbus wenigstens einen analogen Bus parallel zu einem digitalen Bus aufweist.
  • In Beispiel 67 weist der Gegenstand von Beispiel 66 optional auf, dass die Sendeempfängerzelle ferner Folgendes aufweist: eine Pufferschaltung, die ausgelegt ist, ein Kristalloszillatorsignal zum Erzeugen des LO-Signals zu puffern, wobei das Kristalloszillatorsignal den mehreren Sendeempfängerzellen zugeführt und über den Kommunikationsbus empfangen wird.
  • In Beispiel 68 weist der Gegenstand eines oder mehrerer der Beispiele 64-67 optional auf, dass die Sendeempfängerzelle ferner eine digitale Schaltung aufweist, die ausgelegt ist, das Basisbandsignal unter Verwendung eines digitalen Eingangssignals zu erzeugen und Basisbandverarbeitung des empfangenen drahtlosen Signals auszuführen, um ein digitales Ausgabesignal zu erzeugen.
  • In Beispiel 69 weist der Gegenstand eines oder mehrerer der Beispiele 64-68 optional auf, dass ein Abstand der Mehrzahl an Antennen innerhalb der phasengesteuerten Antennengruppe gleich einem Abstand der Mehrzahl an Sendeempfängerzellen innerhalb der Sendeempfängergruppe ist.
  • In Beispiel 70 weist der Gegenstand eines oder mehrerer der Beispiele 64-69 optional auf, dass die Sendeempfängerzelle der Mehrzahl an Sendeempfängerzellen ferner einen Prozessor aufweist, wobei der Prozessor ausgelegt ist zum: Empfangen eines Einschaltsignals zum Aktivieren der Empfängerschaltung und/oder der Senderschaltung; und Detektieren einer oder mehrerer anderer Sendeempfängerzellen der Mehrzahl an Sendeempfängerzellen, wobei die eine oder mehreren anderen Sendeempfängerzellen Nachbarzellen entlang einem oder mehreren eines Nordrands, einen Südrands, eine Westrands und eines Ostrands der Sendeempfängerzelle innerhalb der Sendeempfängergruppe sind.
  • In Beispiel 71 weist der Gegenstand von Beispiel 70 optional auf, dass der Prozessor ausgelegt ist zum: Zuweisen einer Zellenidentifizierungsnummer zu der Sendeempfängerzelle basierend auf einem Ort der einen oder mehreren anderen Sendeempfängerzellen in Bezug auf den Nordrand, den Südrand, den Westrand und den Ostrand der Sendeempfängerzelle.
  • In Beispiel 72 weist der Gegenstand von Beispiel 71 optional auf, dass der Prozessor zum Empfangen eines Steuersignals basierend auf der zugewiesenen Zellenidentifizierungsnummer ausgelegt ist, wobei das Steuersignal zum Feuern der Empfängerschaltung oder der Senderschaltung dient.
  • In Beispiel 73 weist der Gegenstand eines oder mehrerer der Beispiele 71-72 optional auf, dass der Prozessor zum Zuweisen einer initialen Zellenidentifizierungsnummer zu der Sendeempfängerzelle, wenn keine benachbarten Sendeempfängerzellen entlang dem Nordrand und dem Westrand der Sendeempfängerzelle detektiert werden; und zum Kommunizieren der initialen Zellenidentifizierungsnummer zu einer benachbarten Sendeempfängerzelle entlang dem Ostrand des Sendeempfängers zum Zuweisen einer Zellenidentifizierungsnummer innerhalb der benachbarten Sendeempfängerzelle entlang dem Ostrand ausgelegt ist.
  • In Beispiel 74 weist der Gegenstand eines oder mehrerer der Beispiele 70-73 optional auf, dass der Prozessor zum Detektieren der einen oder mehreren anderen Sendeempfängerzellen entlang dem Westrand und dem Ostrand der Sendeempfängerzelle; und zum Empfangen einer Zellenidentifizierungsnummer von einer Sendeempfängerzelle der einen oder der mehreren Sendeempfängerzellen, die eine benachbarte Sendeempfängerzelle entlang dem Westrand des Sendeempfänger ist, ausgelegt ist.
  • In Beispiel 75 weist der Gegenstand von Beispiel 74 optional auf, dass der Prozessor zum Inkrementieren der Zellenidentifizierungsnummer der benachbarten Sendeempfängerzelle entlang dem Westrand; und zum Zuweisen der inkrementierten Zellenidentifizierungsnummer als eine Zellenidentifizierungsnummer zu der Sendeempfängerzelle ausgelegt ist.
  • In Beispiel 76 weist der Gegenstand von Beispiel 75 optional auf, dass der Prozessor zum Kommunizieren der zugewiesenen Zellenidentifizierungsnummer zu einer Sendeempfängerzelle der einen oder der mehreren Sendeempfängerzellen, die eine benachbarte Sendeempfängerzelle entlang dem Ostrand des Sendeempfängers ist, zum Zuweisen einer Zellenidentifizierungsnummer innerhalb der benachbarten Sendeempfängerzelle entlang dem Ostrand ausgelegt ist.
  • Beispiel 77 ist eine Funk-Sendeempfängervorrichtung einer phasengesteuerten Gruppe, wobei die Vorrichtung Folgendes aufweist: eine Mehrzahl an Sendeempfängerzellen, die in einer gekachelten Konfiguration auf einem einzigen Halbleiter-Die angeordnet sind, wobei jede Sendeempfängerzelle Folgendes aufweist: eine Empfängerschaltung, die ausgelegt ist, ein drahtloses Signal über eine phasengesteuerte Antennengruppe zu empfangen; eine Lokaloszillatorschaltung, die ausgelegt ist, ein Lokaloszillator (LO)-Signal zu erzeugen; eine Phasenanpassungsschaltung; und eine digitale Schaltung, die ausgelegt ist, Basisbandverarbeitung des empfangenen drahtlosen Signals auszuführen, um ein digitales Ausgabesignal zu erzeugen; einen Kommunikationsbus, der die Mehrzahl an Sendeempfängerzellen koppelt; und eine Steuerschaltung, die ausgelegt ist, ein Phasenanpassungssignal für jede der Mehrzahl an Sendeempfängerzellen zu erzeugen, wobei während eines LO-Strahlformungs-Betriebsmodus die Phasenanpassungsschaltung in der Mehrzahl an Sendeempfängerzellen eine Phase des LO-Signals unter Verwendung des Phasenanpassungssignals anpasst, und wobei die Empfängerschaltung der Mehrzahl an Sendeempfängerzellen das empfangene drahtlose Signal unter Verwendung des phasenangepassten LO-Signals abwärtsumsetzt, um eine Mehrzahl an abwärtsumgesetzten Signale, die der Mehrzahl an Sendeempfängerzellen entsprechen, zu erzeugen.
  • In Beispiel 78 weist der Gegenstand von Beispiel 77 optional auf, dass der Kommunikationsbus eine analoge Busleitung umfasst, die eine Schnittstelle zwischen der Mehrzahl an Sendeempfängerzellen bildet, um die Mehrzahl an abwärtsumgesetzten Signalen in ein kombiniertes abwärtsumgesetztes Signal zu kombinieren, wenn jedes der Mehrzahl an abwärtsumgesetzten Signalen zwischen benachbarten Sendeempfängerzellen weitergegeben wird.
  • In Beispiel 79 weist der Gegenstand von Beispiel 78 optional auf, dass der analoge Bus eine Pipeline-Busleitung mit einem Abtast- und Haltevektor aufweist.
  • In Beispiel 80 weist der Gegenstand eines oder mehrerer der Beispiele 78-79 optional auf, dass der analoge Bus einen analogen Integrator mit geschaltetem Kondensator aufweist, der ausgelegt ist, abwärtsumgesetzte Signale aus der Mehrzahl an abwärtsumgesetzten Signalen, die zwischen benachbarten Sendeempfängerzellen kommuniziert werden, zu summieren.
  • In Beispiel 81 weist der Gegenstand eines oder mehrerer der Beispiele 78-80 optional auf, dass eine der Mehrzahl an Sendeempfängerzellen ausgelegt ist, das kombinierte abwärtsumgesetzte Signal zu empfangen und das kombinierte abwärtsumgesetzte Signal in ein digitales Signal umzusetzen.
  • In Beispiel 82 weist der Gegenstand eines oder mehrerer der Beispiele 77-81 optional auf, dass die Mehrzahl an Sendeempfängerzellen eine Mehrzahl an nicht überlappenden Teilmengen von Sendeempfängerzellen aufweisen, die ausgelegt sind, eine entsprechende Mehrzahl an digitalen Signalen zu erzeugen.
  • In Beispiel 83 weist der Gegenstand von Beispiel 82 optional auf, dass eine Teilmenge der Mehrzahl an nicht überlappenden Teilmengen von Sendeempfängerzellen ausgelegt ist, ein kombiniertes abwärtsumgesetztes Signal basierend auf einem Abschnitt der Mehrzahl an abwärtsumgesetzten Signale, die der Teilmenge von Sendeempfängerzellen entsprechen, zu erzeugen.
  • In Beispiel 84 weist der Gegenstand von Beispiel 83 optional auf, dass eine einzelne Sendeempfängerzelle innerhalb der Teilmenge ausgelegt ist, das kombinierte abwärtsumgesetzte Signal in ein erstes digitales Signale der Mehrzahl an digitalen Signalen umzusetzen.
  • In Beispiel 85 weist der Gegenstand eines oder mehrerer der Beispiele 82-86 optional auf, dass während eines Hybridstrahlformungsbetriebsmodus die Steuerschaltung ferner ausgelegt ist zum: Erzeugen mehrerer Strahlformungsgewichtswerte für jedes der Mehrzahl an digitalen Signalen, wobei die mehreren Gewichtswerte einem gewünschten Ausgabesignalstrahl, der dem empfangenen drahtlosen Signal entspricht, zugeordnet sind; und Anwenden der mehreren Strahlformungswerte auf jedes der mehreren digitalen Signale, um mehrere gewichtete Signale zu erzeugen.
  • In Beispiel 86 weist der Gegenstand von Beispiel 85 optional einen Addierer auf, der ausgelegt ist, die Mehrzahl an gewichteten Signalen zu addieren, um den gewünschten Ausgabesignalstrahl zu erzeugen.
  • In Beispiel 87 weist der Gegenstand eines oder mehrerer der Beispiele 82-86 optional auf, dass jede Teilmenge der Mehrzahl an nicht überlappenden Teilmengen von Sendeempfängerzellen in einer Zeile der gekachelten Konfiguration angeordnet ist.
  • Beispiel 88 ist eine Funk-Sendeempfängervorrichtung einer phasengesteuerten Gruppe, wobei die Vorrichtung Folgendes aufweist: eine Mehrzahl an Sendeempfängerzellen, die in einer gekachelten Konfiguration auf einem einzigen Halbleiter-Die angeordnet sind, wobei jede Sendeempfängerzelle Folgendes aufweist: eine Empfängerschaltung, die ausgelegt ist, ein drahtloses Signal über eine phasengesteuerte Antennengruppe zu empfangen; eine Lokaloszillatorschaltung, die ausgelegt ist, ein Lokaloszillator (LO)-Signal zu erzeugen; und eine Phasenanpassungsschaltung; einen Kommunikationsbus, der die Mehrzahl an Sendeempfängerzellen koppelt; und eine Steuerschaltung, die ausgelegt ist, ein Phasenanpassungssignal für jede der Mehrzahl an Sendeempfängerzellen zu erzeugen, wobei während eines analogen Strahlformungs-Betriebsmodus die Phasenanpassungsschaltung in der Mehrzahl an Sendeempfängerzellen eine Phase des empfangenen drahtlosen Signals unter Verwendung des Phasenanpassungssignals anpasst und wobei die Empfängerschaltung der Mehrzahl an Sendeempfängerzellen das phasenangepasste empfangene drahtlose Signal abwärtsumsetzt, um eine Mehrzahl an abwärtsumgesetzten Signale, die den mehreren Sendeempfängerzellen entsprechen, zu erzeugen.
  • In Beispiel 89 weist der Gegenstand von Beispiel 88 optional auf, dass der Kommunikationsbus eine analoge Busleitung umfasst, die eine Schnittstelle zwischen der Mehrzahl an Sendeempfängerzellen bildet, um die Mehrzahl an abwärtsumgesetzten Signalen in ein kombiniertes abwärtsumgesetztes Signal zu kombinieren, wenn jedes der mehreren abwärtsumgesetzten Signale zwischen benachbarten Sendeempfängerzellen weitergegeben wird.
  • In Beispiel 90 weist der Gegenstand von Beispiel 89 optional auf, dass eine der Mehrzahl an Sendeempfängerzellen ausgelegt ist, das kombinierte abwärtsumgesetzte Signal zu empfangen und das kombinierte abwärtsumgesetzte Signal unter Verwendung eines Analog/Digital-Umsetzers in ein digitales Signal umzusetzen.
  • Beispiel 91 ist ein Halbleiterwafer, der Folgendes aufweist: eine Mehrzahl an identischen Sendeempfängerzellen, die in einer gekachelten Konfiguration angeordnet sind, wobei jede Zelle Folgendes aufweist: eine Empfängerschaltung, die ausgelegt ist, ein drahtloses Signal über eine phasengesteuerte Antennengruppe zu empfangen; eine Senderschaltung, die ausgelegt ist, ein zweites drahtloses Signal über die phasengesteuerte Antennengruppe zu senden; und einen Kommunikationsbus, der die Empfängerschaltung und die Senderschaltung koppelt, wobei jede Sendeempfängerzelle mit einer Mehrzahl an benachbarten Sendeempfängerzellen über den Kommunikationsbus gekoppelt ist, um die gekachelte Konfiguration zu bilden.
  • In Beispiel 92 weist der Gegenstand von Beispiel 91 optional auf, dass eine Größe der gekachelten Konfiguration von Sendeempfängerzellen durch Schneiden des Wafers gemäß einem vorbestimmten Muster auswählbar ist.
  • Veröffentlichungen, Patente und Patentdokumente, auf die in diesem Dokument Bezug genommen ist, sind hier vollständig durch Bezugnahme mit aufgenommen, als ob sie einzeln durch Bezugnahme mit aufgenommen sind. Im Fall inkonsistenter Verwendungen zwischen diesem Dokument und jenen Dokumenten, so sie durch Bezugnahme mit aufgenommen sind, ergänzt die Verwendung in den aufgenommenen Referenz(en) dieses Dokument; für unvereinbare Inkonsistenzen hat die Verwendung in diesem Dokument Vorrang.
  • Beispiel 93 sind Mehrfachpackage-Antennengruppen, die Folgendes aufweisen: ein erstes elektronisches Package, das ein erstes Substrat aufweist, das mehrere parallele Schichten aufweist, wobei eine erste Schicht eine erste Seite des ersten Substrats aufweist und eine zweite Schicht eine zweite Seite des ersten Substrats aufweist, eine erste Mehrzahl anAntennen, die auf der ersten Seite des ersten Substrats angeordnet sind; ein zweites elektronisches Package, das auf das erste elektronische Package physikalisch gestapelt und damit physikalisch verbunden ist, wobei das zweite elektronische Package ein zweites Substrat aufweist, das mehrere Schichten aufweist, wobei eine erste Schicht eine erste Seite des zweiten Substrats aufweist und eine zweite Schicht eine zweite Seite des zweiten Substrats aufweist, eine zweite Mehrzahl anAntennen, die auf der ersten Seite des zweiten Substrats angeordnet sind; wenigstens einen Halbleiter-Die, der auf der zweiten Seite des ersten Substrats oder auf der zweiten Seite des zweiten Substrats angeordnet ist und mit der ersten Mehrzahl anAntennen und mit der zweiten Mehrzahl anAntennen gekoppelt ist; und eine Mehrzahl an dicht gepackte Kontakten in elektrischem Kontakt mit der zweite Seite des ersten Substrats und der zweiten Seite des zweiten Substrats, wobei die Mehrzahl andicht gepackten Kontakten angeordnet sind, als eine Abschirmung gegen Hochfrequenzstörung und elektromagnetische Störung (RFI/EMI) für den wenigstens einen Halbleiter-Die zu funktionieren.
  • In Beispiel 94 weist der Gegenstand von Beispiel 93 optional auf, dass wenigstens ein Halbleiter-Die einen Sendeempfänger aufweist, der ausgelegt ist, in einem ersten Frequenzband zu arbeiten.
  • In Beispiel 95 weist der Gegenstand eines oder mehrerer der Beispiele 93-94 optional auf, dass der wenigstens eine Halbleiter-Die einen ersten Sendeempfänger, der ausgelegt ist, in einem ersten Frequenzband zu arbeiten, und einen zweiten Sendeempfänger, der ausgelegt ist, in einem zweiten Frequenzband zu arbeiten, aufweist.
  • In Beispiel 96 weist der Gegenstand eines oder mehrerer der Beispiele 93-95 optional auf, dass das erste elektronische Package ferner eine Mehrzahl an diskreten Komponenten aufweist, die lateral gegenüber der Mehrzahl anersten Antennen versetzt sind.
  • In Beispiel 97 weist der Gegenstand von Beispiel 96 optional auf, dass die diskreten Komponenten an dem ersten elektronischen Package durch eine Gussmasse befestigt sind.
  • In Beispiel 98 weist der Gegenstand eines oder mehrerer der Beispiele 93-97 optional auf, dass das erste elektronische Package ferner eine Mehrzahl an diskreten Komponenten aufweist, die lateral gegenüber dem wenigstens einen Silizium-Die versetzt sind.
  • In Beispiel 99 weist der Gegenstand von Beispiel 6 optional auf, dass die diskreten Komponenten an dem ersten elektronischen Package durch eine Gussmasse befestigt sind.
  • In Beispiel 100 weist der Gegenstand eines oder mehrerer der Beispiele 93-99 optional auf, dass die erste Mehrzahl anAntennen in einer ersten Gruppe angeordnet sind und die zweite Mehrzahl anAntennen in einer zweiten Gruppe angeordnet sind und die Gruppen gesteuert sind, um in unterschiedlichen Richtungen zu strahlen.
  • In Beispiel 101 weist der Gegenstand eines oder mehrerer der Beispiele 93-100 optional auf, dass die erste Mehrzahl anAntennen ferner Antennen aufweist, die an den Rändern des ersten Substrats angeordnet sind, um in Längsstrahlung relativ zu dem ersten elektronischen Package und dem zweiten elektronischen Package zu arbeiten.
  • Beispiel 102 sind Mehrfachpackage -Antennengruppen, die Folgendes aufweisen: ein erstes elektronisches Package, das eine erste Antennengruppe aufweist; ein zweites elektronisches Package, das eine zweite Antennengruppe aufweist, wobei das zweite elektronische Package in physikalisch gestapelten Kontakt mit dem ersten elektronischen Package und damit verbunden ist; und wenigstens einen Halbleiter-Die, der dem ersten elektronischen Package und dem zweiten elektronischen Package physikalisch zugeordnet und damit verbunden ist, wobei der wenigstens eine Halbleiter-Die mit der ersten Antennengruppe und der zweiten Antennengruppe gekoppelt ist, wobei der wenigstens eine Halbleiter-Die wenigstens einen Funk-Sendeempfänger aufweist, der ausgelegt ist, in einem ersten Frequenzband und in einem zweiten Frequenzband zu arbeiten.
  • In Beispiel 103 weist der Gegenstand von Beispiel 102 optional auf, dass das erste elektronische Package ein erstes Substrat, auf dem die erste Antennengruppe angeordnet ist, und ein zweites Substrat, auf dem die zweite Antennengruppe angeordnet ist, aufweist, und der wenigstens eine Halbleiter-Die physikalisch dem ersten Substrat und dem zweiten Substrat zugeordnet ist.
  • In Beispiel 104 weist der Gegenstand eines oder mehrerer der Beispiele 102-103 optional eine Mehrzahl an dicht gepackten Kontakten zwischen und in elektrischem Kontakt mit dem ersten Substrat und dem zweiten Substrat auf, wobei die Mehrzahl an dicht gepackten Kontakte ausgelegt sind, als eine RFI/EMI-Abschirmung für den wenigstens einen Halbleiter-Die zu funktionieren.
  • In Beispiel 105 weist der Gegenstand eines oder mehrerer der Beispiele 102-104 optional auf, dass der wenigstens eine Halbleiter-Die einen Sendeempfänger aufweist, der ausgelegt ist, bei WiGig-Frequenzen zu arbeiten.
  • In Beispiel 106 weist der Gegenstand eines oder mehrerer der Beispiele 102-105 optional auf, dass das erste Frequenzband ein WiGig-Frequenzband ist und das zweite Frequenzband ein mm-Wellen-Frequenzband ist.
  • In Beispiel 107 weist der Gegenstand eines oder mehrerer der Beispiele 102-106 optional auf, dass die erste Gruppe und die zweite Gruppe gesteuert werden, in einer unterschiedlichen Richtung auszustrahlen.
  • In Beispiel 108 weist der Gegenstand von Beispiel 107 optional auf, dass die unterschiedlichen Richtungen entgegengesetzte Richtungen senkrecht zu demr ersten elektronischen Package und senkrecht zu dem zweiten elektronischen Package sind.
  • In Beispiel 109 weist der Gegenstand eines oder mehrerer der Beispiele 102-108 optional auf, dass die erste Antennengruppe und die zweite Antennengruppe gesteuert werden, in der gleichen Richtung parallel zu dem ersten elektronischen Package und dem zweiten elektronischen Package auszustrahlen.
  • In Beispiel 110 weist der Gegenstand eines oder mehrerer der Beispiele 102-109 optional auf, dass das erste elektronische Package ferner eine Mehrzahl an diskreten Komponenten aufweist und der wenigstens eine Die und die Mehrzahl an diskreten Komponenten durch eine Einkapselung befestigt sind.
  • In Beispiel 111 weist der Gegenstand eines oder mehrerer der Beispiele 102-110 optional auf, dass die zweite Antennengruppe lateral gegenüber der zweiten Antennengruppe versetzt ist.
  • In Beispiel 112 weist der Gegenstand eines oder mehrerer der Beispiele 102-111 optional auf, dass das erste elektronische Package ferner eine Mehrzahl an Antennen aufweist, die an den Rändern des ersten Substrats angeordnet sind, um in Längsstrahlung relativ zu dem ersten elektronischen Package und dem zweiten elektronischen Package zu arbeiten.
  • In Beispiel 113 weist der Gegenstand eines oder mehrerer der Beispiele 102-112 optional ein flexibles Kabel auf, das eine elektrische Verbindung mit dem wenigstens einen Halbleiter-Die bereitstellt, wobei das flexible Kabel an dem zweiten elektronischen Package durch eine Einkapselung befestigt ist.
  • In Beispiel 114 weist der Gegenstand von Beispiel 113 optional auf, dass das flexible Kabel an das zweite elektronische Package gelötet ist.
  • In Beispiel 115 weist der Gegenstand eines oder mehrerer der Beispiele 102-114 optional ein flexibles Kabel auf, das eine elektrische Verbindung mit dem wenigstens einen Halbleiter-Die bereitstellt, wobei das flexible Kabel an dem ersten elektronischen Package durch eine Einkapselung befestigt ist.
  • In Beispiel 116 weist der Gegenstand von Beispiel 115 optional auf, dass das flexible Kabel an das erste elektronische Package gelötet ist.
  • In Beispiel 117 weist der Gegenstand eines oder mehrerer der Beispiele 102-116 optional ein drittes elektronisches Package, das eine dritte Antennengruppe und eine vierte Antennengruppe aufweist, wobei das dritte elektronische Package in gestapeltem physikalischem Kontakt mit dem ersten elektronischen Package oder dem zweiten elektronischen Package oder mit sowohl dem ersten elektronischen Package als auch dem zweiten elektronischen Package und damit mit verbunden ist; und wenigstens einen zweiten Halbleiter-Die, der dem dritten elektronischen Package physikalisch zugeordnet und damit physikalisch verbunden ist, auf, wobei der wenigstens eine zweite Halbleiter-Die mit der dritten Antennengruppe und der vierten Antennengruppe gekoppelt ist, wobei der wenigstens eine zweite Halbleiter-Die wenigstens einen Funk-Sendeempfänger aufweist, der ausgelegt ist, in einem ersten Frequenzband und in einem zweiten Frequenzband zu arbeiten.
  • In Beispiel 118 werden in den Mulit-Package-Antennengruppen von Beispiel 117 die erste Antennengruppe, die zweite Antennengruppe, die dritte Antenne und die vierte Antennengruppe gesteuert, in unterschiedlichen Richtungen oder in der gleichen Richtung auszustrahlen.
  • In Beispiel 119 weist der Gegenstand von Beispiel 117 optional ein drittes elektronisches Package auf, das eine dritte Antennengruppe und eine vierte Antennengruppe aufweist, wobei das dritte elektronische Package mit dem ersten elektronischen Package oder dem zweiten elektronischen Package oder mit sowohl dem ersten elektronischen Package als auch dem zweiten elektronischen Package in gestapeltem physikalischem Kontakt und damit verbunden ist, wobei die dritte Antennengruppe und die vierte Antennengruppe mit wenigstens einem Halbleiter-Die elektrisch gekoppelt sind.
  • In Beispiel 120 weist der Gegenstand von Beispiel 119 optional auf, dass die erste Antennengruppe, die zweite Antennengruppe, die dritte Antennengruppe und die vierte Antennengruppe gesteuert werden, in unterschiedlichen Richtungen oder in die gleiche Richtung oder in unterschiedlichen Richtungen und in die gleiche Richtung auszustrahlen.
  • In Beispiel 121 weist der Gegenstand von Beispiel 102 optional auf, dass eine Gussmasse den wenigstens einen Halbleiter-Die bedeckt und eine Antennengruppe durch ein Durchguss-Via, das mit dem wenigstens einen Halbleiter-Die gekoppelt ist, gespeist wird.
  • In Beispiel 122 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 93 bis 121 aufweisen oder optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 93 bis 121 oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 93 bis 121 ausführt.
  • Beispiel 123 ist eine Antennenkarte, die Folgendes aufweist: eine Steckkarte, die einen inneren Abschnitt aufweist, wobei ein erster Teil des inneren Abschnitts nicht metallisiert ist und ein zweiter Teil des inneren Abschnitts eine metallisierte elektrische Verbindung aufweist, eine integrierte Schaltung auf einem Substrat, das in dem inneren Abschnitt befestigt ist; und wenigstens eine Antenne, die in dem ersten Teil angeordnet ist und mit der integrierten Schaltung gekoppelt ist, zum Abstrahlen von RF-Wellen.
  • In Beispiel 124 weist der Gegenstand von Beispiel 123 optional auf, dass die wenigstens eine Antenne eine Antennengruppe aufweist.
  • In Beispiel 125 weist der Gegenstand eines oder mehrerer der Beispiele 123-124 optional auf, dass die wenigstens eine Antenne für Längsstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 126 weist der Gegenstand eines oder mehrerer der Beispiele 124-125 optional auf, dass die Antennengruppe eine Mehrzahl an vertikal polarisierten Monopolantennenelementen aufweist.
  • In Beispiel 127 weist der Gegenstand eines oder mehrerer der Beispiele 124-126 optional auf, dass die Antennengruppe eine Mehrzahl an zurückgefalteten Dipolantennen aufweist.
  • In Beispiel 128 weist der Gegenstand eines oder mehrerer der Beispiele 123-127 optional auf, dass die wenigstens eine Antenne wenigstens zwei Antennen aufweist, wobei eine der wenigstens zwei Antennen ausgelegt ist, einen ersten RF-Datenstrom zu senden und zu empfangen, und eine weitere der wenigstens zwei Antennen ausgelegt ist, einen zweiten RF-Datenstrom unabhängig von dem ersten RF-Datenstrom zu senden und zu empfangen, um Mehr-Eingabe-Mehr-Ausgabe- (MIMO-) Betrieb bereitzustellen.
  • In Beispiel 129 weist der Gegenstand eines oder mehrerer der Beispiele 123-128 optional auf, dass die wenigstens eine Antenne wenigstens zwei Antennen aufweist, wobei eine der wenigstens zwei Antennen ausgelegt ist, einen ersten RF-Datenstrom in einer ersten Polarisation zu senden und zu empfangen, und eine weiteren der wenigstens zwei Antennen ausgelegt ist, einen zweiten RF-Datenstrom in einer zweiten Polarisation zu senden und zu empfangen.
  • In Beispiel 130 weist der Gegenstand eines oder mehrerer der Beispiele 123-129 optional auf, dass der erste Teil und der zweite Teil einander gegenüber angeordnet sind.
  • Beispiel 131 ist eine Antennengruppe, die Folgendes aufweist: eine erste Steckkarte, die auf einer Hauptplatine angeordnet ist, und wenigstens eine zweite Steckkarte, die auf der Hauptplatine von der ersten Steckkarte beabstandet angeordnet ist, wobei wenigstens eine der Steckkarten eine Steckkarte enthält, die einen inneren Abschnitt aufweist, wobei ein erster Teil des inneren Abschnitts nicht metallisiert ist und ein zweiter Teil des inneren Abschnitts eine metallisierte elektrische Verbindung aufweist, eine integrierte Schaltung auf einem Substrat, das in dem inneren Abschnitt befestigt ist; und wenigstens eine Antenne, die in dem ersten Teil angeordnet ist und mit der integrierten Schaltung gekoppelt ist, zum Abstrahlen von RF-Wellen.
  • In Beispiel 132 weist der Gegenstand von Beispiel 131 optional wenigstens eine dritte Steckkarte auf, die im Wesentlichen senkrecht zu der ersten Steckkarte und der wenigstens einen zweiten Steckkarte angeordnet ist.
  • Beispiel 133 ist eine mobile Vorrichtung, die Folgendes aufweist: wenigstens eine Steckkarte, die einen inneren Abschnitt aufweist, wobei ein erster Teil des inneren Abschnitts nicht metallisiert ist und ein zweiter Teil des inneren Abschnitts eine metallisierte elektrische Verbindung aufweist, eine integrierte Schaltung auf einem Substrat, das in dem inneren Abschnitt befestigt ist. und wenigstens eine Antenne, die in dem ersten Teil angeordnet ist und mit der integrierten Schaltung gekoppelt ist, zum Abstrahlen von RF-Wellen.
  • In Beispiel 134 weist der Gegenstand von Beispiel 133 optional auf, dass die wenigstens eine Antenne eine Antennengruppe aufweist.
  • In Beispiel 135 weist der Gegenstand eines oder mehrerer der Beispiele 133-134 optional auf, dass die wenigstens eine Antenne für Längsstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 136 weist der Gegenstand eines oder mehrerer der Beispiele 134-135 optional auf, dass die Antennengruppe eine Mehrzahl an vertikal polarisierten Monopolantennenelementen aufweist.
  • In Beispiel 137 weist der Gegenstand eines oder mehrerer der Beispiele 134-136 optional auf, dass die Antennengruppe eine Mehrzahl an zurückgefalteten Dipolantennen aufweist.
  • In Beispiel 138 weist der Gegenstand eines oder mehrerer der Beispiele 133-137 optional auf, dass die wenigstens eine Antenne wenigstens zwei Antennen aufweist, wobei eine der wenigstens zwei Antennen ausgelegt ist, einen ersten RF-Datenstrom zu senden und zu empfangen, und eine weitere der wenigstens zwei Antennen ausgelegt ist, einen zweiten RF-Datenstrom unabhängig von dem RF-Datenstrom zu senden und zu empfangen, um Mehr-Eingabe-Mehr-Ausgabe- (MIMO-) Betrieb bereitzustellen.
  • In Beispiel 139 weist der Gegenstand eines oder mehrerer der Beispiele 133-138 optional auf, dass die wenigstens eine Antenne wenigstens zwei Antennen aufweist, wobei eine der wenigstens zwei Antennen ausgelegt ist, einen ersten RF-Datenstrom in einer ersten Polarisation zu senden und zu empfangen, und eine weitere der wenigstens zwei Antennen ausgelegt ist, einen zweiten RF-Datenstrom in einer zweiten Polarisation zu senden und zu empfangen.
  • In Beispiel 140 weist der Gegenstand eines oder mehrerer der Beispiele 133-139 optional auf, dass der erste Teil und der zweite Teil einander gegenüber angeordnet sind.
  • In Beispiel 141 weist der Gegenstand eines oder mehrerer der Beispiele 133-140 optional auf, dass die wenigstens eine Steckkarte Folgendes aufweist: eine erste Steckkarte, die auf einer Hauptplatine angeordnet ist, und wenigstens eine zweite Steckkarte, die auf der Hauptplatine beabstandet von der ersten Steckkarte angeordnet ist.
  • In Beispiel 142 weist der Gegenstand eines oder mehrerer der Beispiele 131-141 optional wenigstens eine dritte Steckkarte auf, die im Wesentlichen senkrecht zu der ersten Steckkarte und der wenigstens einen zweiten Steckkarte angeordnet ist.
  • In Beispiel 143 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 123 bis 142 aufweisen oder optional irgendeinen Abschnitt oder irgendeine Kombination von Abschnitten aufweisen oder damit kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 123 bis 142, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgend eine oder mehrere der Funktionen der Beispiele 123 bis 142 ausführt.
  • Beispiel 144 ist eine Antennenstruktur, die Folgendes aufweist: eine PCB, die eine erste Seite und eine zweite Seite aufweist, wobei die zweite Seite ein Gitter von Kontakten mit einem speziell erzeugten Bereich des Gitters ohne Kontakte aufweist, eine abgeschirmte integrierte Hochfrequenzschaltung (RFIC), die an der ersten Seite der PCB angebracht ist; wenigstens ein erstes Antennenelement, das auf der zweiten Seite in dem Bereich des Gitters ohne Kontakte angeordnet und mit der RFIC gekoppelt ist, zum Ausstrahlen von RF-Wellen; und eine Hauptplatine, die mit der PCB über individuelle Kontakte des Gitters von Kontakten verbunden ist und einen Ausschnitt im Wesentlichen über dem Bereich des Gitters ohne Kontakte aufweist, wobei die wenigstens eine erste Antenne fähig ist, durch den Ausschnitt auszustrahlen.
  • In Beispiel 145 weist der Gegenstand von Beispiel 144 optional wenigstens ein zweites Antennenelement auf, das auf der ersten Seite angeordnet und mit der RFIC gekoppelt ist, zum Ausstrahlen von RF-Wellen.
  • In Beispiel 146 weist der Gegenstand von Beispiel 145 optional auf, dass das wenigstens eine erste Antennenelement, das auf der ersten Seite angeordnet ist, und das wenigstens eine zweite Antennenelement, das auf der zweiten Seite angeordnet ist, jeweils RF-Signale in einer voneinander verschiedenen Richtung ausstrahlen.
  • In Beispiel 147 weist der Gegenstand von Beispiel 146 optional auf, dass die unterschiedlichen Richtungen einen Winkel von im Wesentlichen 180 Grad zueinander aufweisen.
  • In Beispiel 148 weist der Gegenstand eines oder mehrerer der Beispiele 144-147 optional auf, dass der Ausschnitt ein U-förmiger Ausschnitt ist.
  • In Beispiel 149 weist der Gegenstand eines oder mehrerer der Beispiele 144-148 optional auf, dass die Hauptplatine und die Leiterplatte in einer Eckenkonfiguration verbunden sind.
  • In Beispiel 150 weist der Gegenstand eines oder mehrerer der Beispiele 145-149 optional auf, dass eines aus dem wenigstens einen ersten Antennenelement oder dem wenigstens einen zweiten Antennenelement für Querstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 151 weist der Gegenstand eines oder mehrerer der Beispiele 145-150 optional auf, dass eines aus dem wenigstens einen ersten Antennenelement oder dem wenigstens einen zweiten Antennenelement für Längsstrahlungs- oder Querstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 152 weist der Gegenstand der Beispiele 145-151 optional auf, dass die PCB eine Kugelgitteranordnung (BGA) oder eine Schachbrettanordnung (LGA) aufweist und das Gitter von Kontakten ein Gitter von Lotperlen bzw. ein Gitter von LGA-Kontaktstellen aufweist.
  • Beispiel 153 ist eine Basisstation, die Folgendes aufweist: eine PCB, die eine erste Seite und eine zweite Seite aufweist, wobei die zweite Seite ein Gitter von Kontakten mit einem speziell erzeugten Bereich des Gitters ohne Kontakte aufweist, eine abgeschirmte integrierte Hochfrequenzschaltung (RFIC), die an der ersten Seite der PCB angebracht ist; wenigstens ein Antennenelement, das auf der zweiten Seite in dem Bereich des Gitters ohne Kontakt angeordnet und mit der RFIC gekoppelt ist, zum Ausstrahlen von RF-Wellen; und eine Hauptplatine, die mit dem PCB über individuelle Kontakte des Gitters von Kontakten verbunden ist und einen Ausschnitt im Wesentlichen über dem Bereich des Gitters ohne Kontakte aufweist, wobei die wenigstens eine Antenne fähig ist, durch den Ausschnitt abzustrahlen, wobei die PCB, die abgeschirmte RFIC, das wenigstens eine Antennenelement und die Hauptplatine eine Antennenteilsystem aufweisen.
  • In Beispiel 154 weist der Gegenstand von Beispiel 153 optional auf, dass das wenigstens eine Antennenelement mehrere Antennenelemente aufweist, die für Querstrahlungsbetrieb ausgelegt sind.
  • In Beispiel 155 weist der Gegenstand von Beispiel 154 optional auf, dass die Basisstation mehrere Antennenteilsysteme aufweist, die rund um einen Mast zum Strahlen in im Wesentlichen alle Richtungen angeordnet sind.
  • Beispiel 156 ist eine mobile Vorrichtung, die Folgendes aufweist: eine PCB, die eine erste Seite und eine zweite Seite aufweist, wobei die zweite Seite ein Gitter von Kontakten mit einem speziell erzeugten Bereich des Gitters ohne Kontakte aufweist, eine abgeschirmte integrierte Hochfrequenzschaltung (RFIC), die an der ersten Seite der PCB angebracht ist; wenigstens ein erstes Antennenelement, das auf der zweiten Seite in dem Bereich des Gitters ohne Kontakt angeordnet und mit der RFIC gekoppelt ist, zum Ausstrahlen von RF-Wellen; und eine Hauptplatine, die mit der PCB über individuelle Kontakte des Gitters von Kontakten verbunden ist und einen Ausschnitt im Wesentlichen über dem Bereich des Gitters ohne Kontakte aufweist, wobei die wenigstens eine erste Antenne fähig ist, durch den Ausschnitt auszustrahlen.
  • In Beispiel 157 weist der Gegenstand von Beispiel 156 optional wenigstens ein zweites Antennenelement auf, das auf der ersten Seite angeordnet und mit der RFIC gekoppelt ist, zum Ausstrahlen von RF-Wellen.
  • In Beispiel 158 weist der Gegenstand von Beispiel 157 optional auf, dass das wenigstens eine erste Antennenelement, das auf der ersten Seite angeordnet ist, und das wenigstens eine zweite Antennenelement, das auf der zweiten Seite angeordnet ist, jeweils RF-Signale in einer voneinander verschiedenen Richtung ausstrahlen.
  • In Beispiel 159 weist der Gegenstand von Beispiel 158 optional auf, dass die unterschiedlichen Richtungen einen Winkel von im Wesentlichen 180 Grad zueinander aufweisen.
  • In Beispiel 160 weist der Gegenstand eines oder mehrerer der Beispiele 156-159 optional auf, dass der Ausschnitt ein U-förmiger Ausschnitt ist.
  • In Beispiel 161 weist der Gegenstand eines oder mehrerer der Beispiele 156-160 optional auf, dass die Hauptplatine und die Leiterplatte in einer Eckenkonfiguration verbunden sind.
  • In Beispiel 162 weist der Gegenstand eines oder mehrerer der Beispiele 157-161 optional auf, dass eines aus dem wenigstens einen ersten Antennenelement oder dem wenigstens einen zweiten Antennenelement für Querstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 163 weist der Gegenstand eines oder mehrerer der Beispiele 156-162 optional auf, dass eines aus dem wenigstens einen ersten Antennenelement oder dem wenigstens einen zweiten Antennenelement für Längsstrahlungs- oder Querstrahlungsbetrieb ausgelegt ist.
  • In Beispiel 164 weist der Gegenstand der Beispiele 157-162 optional auf, dass die PCB eine Kugelgitteranordnung (BGA) oder eine Schachbrettanordnung (LGA) aufweist und das Gitter von Kontakten ein Gitter von Lotperlen bzw. ein Gitter von LGA-Kontaktstellen aufweist.
  • Beispiel 165 ist eine Antennenstruktur, die Folgendes aufweist: eine PCB, die eine erste Seite und eine zweite Seite aufweist, wobei die zweite Seite ein Gitter von Kontakten mit einem speziell erzeugten Bereich des Gitters ohne Kontakte aufweist, eine Hauptplatine, die mit der PCB über individuelle Kontakte des Gitters von Kontakten verbunden ist und einen Ausschnitt im Wesentlichen über den Bereich des Gitters ohne Kontakte aufweist, und eine integrierte Hochfrequenzschaltung, die auf dem Bereich des Gitters ohne Kontakte und innerhalb des Ausschnitts angebracht ist.
  • In Beispiel 166 weist der Gegenstand von Beispiel 165 optional auf, dass wenigstens eine diskrete elektronische Komponente auf dem Bereich des Gitters ohne Kontakte und innerhalb des Ausschnitts angebracht ist.
  • In Beispiel 167 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 144 bis 166 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 144 bis 166, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgend eine oder mehrere der Funktionen der Beispiele 144 bis 166 ausführt.
  • Beispiel 168 ist ein Selbstprüfungs-System, das Folgendes aufweist: eine Prüfumgebung, wobei die Prüfumgebung ausgelegt ist, ein zu prüfendes System zu halten, wobei das zu prüfende System mehrere elektronische Komponenten aufweist, die einen Sender, einen Empfänger, eine Mehrzhal an Sende- (TX-) Antennen, die ausgelegt sind, mit dem Sender gekoppelt zu sein, und eine Mehrzahl an Empfangs- (RX-) Antennen, die ausgelegt sind, mit dem Empfänger gekoppelt zu sein, aufweisen; einen Reflektor, der an der Prüfeinrichtung montiert ist und ausgelegt ist, RF-Signale von dem Sender über eine TX-Antenne zu empfangen und die RF-Signale zu dem Empfänger über die RX-Antenne zu reflektieren; und einen computerlesbaren Hardware-Speicher, der Computeranweisungen speichert, die dann, wenn sie durch den Computer ausgeführt werden, das zu prüfende System gemäß vorbestimmten Prüfungen prüfen, wobei die Prüfungen eine Rückschleifenprüfung des zu prüfenden Systems aufweisen, wobei die Rückschleifenprüfung das Senden eines RF-Signals von TX-Elementen, die den Sender und eine TX-Antenne aufweisen, zu RX-Elementen, die den Empfänger und eine RX-Antenne aufweisen, aufweist, wobei das RF-Signal über Reflexion durch den Reflektor empfangen wird, und eine Eigenschaft des zu prüfenden Systems aus Ergebnissen der Rückschleifenprüfung bestimmen.
  • In Beispiel 169 weist der Gegenstand von Beispiel 1 optional auf, dass die TX-Elemente und die RX-Elemente eines nach dem anderen gefeuert werden und das RF-Signal über entsprechende TX-Elemente gesendet und durch entsprechende RX-Elemente empfangen wird.
  • In Beispiel 170 weist der Gegenstand von Beispiel 169 optional auf, dass das RF-Signal ein Millimeterwellen- (mmWellen-) Signal ist.
  • In Beispiel 171 weist der Gegenstand eines oder mehrerer der Beispiele 169-170 optional auf, dass die Eigenschaft ein defekter TX-Pfad ist, der durch die Messung empfangener RF-Signale eines nach dem anderen und Detektion einer Messung, die niedriger ist als jede der anderen Messungen, bestimmt wird.
  • In Beispiel 172 weist der Gegenstand eines oder mehrerer der Beispiele 169-171 optional auf, dass die Eigenschaft Zulässigkeit des geprüften Systems ist, die durch Vergleich des empfangenen RF-Signals mit einem erwarteten Wert des empfangenen RF-Signals bestimmt wird.
  • In Beispiel 173 weist der Gegenstand eines oder mehrerer der Beispiele 169-172 optional auf, dass die TX-Elemente ferner einen TX-Phasenschieber aufweisen und die RX-Elemente ferner einen RX-Phasenschieber aufweisen, und wobei die Eigenschaft die Funktionalität des TX-Phasenschiebers ist, die durch Variation der Phase des TX-Signals mit dem TX-Phasenschieber und Messung der empfangenen Phase des RF-Signals bestimmt wird.
  • In Beispiel 174 weist der Gegenstand eines oder mehrerer der Beispiele 169-173 optional auf, dass jedes der TX-Elemente, die eines nach dem anderen gefeuert werden, einen TX-Pfad aufweist, und wobei die Eigenschaft Amplituden- und Phasenfehlanpassung zwischen jedem der TX-Pfade ist, die durch Detektion einer Differenz der Amplitude oder Phase eines TX-Pfads versus Amplitude oder Phase jedes der TX-Pfade, der nicht der eine TX-Pfad ist, bestimmt wird.
  • In Beispiel 175 weist der Gegenstand eines oder mehrerer der Beispiele 173-174 optional auf, dass das gesendete RF-Signal ein Basisbandsignal ist, und wobei die Eigenschaft Amplitude und Phase des Basisbandsignals ist, die durch Verwendung eines ersten empfangenen Basisbandsignals als eine Referenz, mit der andere empfangene Basisbandsignale verglichen werden, bestimmt wird.
  • In Beispiel 176 weist der Gegenstand eines oder mehrerer der Beispiele 168-175 optional auf, dass die Eigenschaft der geschätzte Antennengewinn ist, die durch Feuern aller TX-Elemente zur gleichen Zeit und Messen des empfangenen Basisbandsignals bestimmt wird.
  • In Beispiel 177 weist der Gegenstand eines oder mehrerer der Beispiele 167-176 optional auf, dass der Reflektor von der Prüfeinrichtung abmontiert ist und die Computeranweisungen Anweisungen aufweisen, die dann, wenn sie durch den Computer ausgeführt werden, bewirken, dass die Prüfeinrichtung eine Rückschleifenprüfung auf einer der mehreren elektronischen Komponenten ausführt, wobei die Rückschleifenprüfung zu ersten Ergebnissen führt.
  • In Beispiel 178 weist der Gegenstand eines oder mehrerer der Beispiele 176-177 optional auf, dass der Reflektor wieder an die Prüfeinrichtung montiert ist und die Computeranweisungen Anweisungen aufweisen, die dann, wenn sie durch den Computer ausgeführt werden, bewirken, dass die Prüfeinrichtung die Rückschleifenprüfung auf der einen der mehreren elektronischen Komponenten ausführt, wobei die Rückschleifenprüfung zu zweiten Ergebnissen führt, und wobei die Eigenschaft Übersprechen ist, das durch Subtraktion der ersten Ergebnisse von den zweiten Ergebnissen bestimmt wird.
  • Beispiel 179 ist ein Verfahren zum Prüfen eines zu prüfenden Systems, das Folgendes aufweist: Montieren des zu prüfenden Systems auf eine elektronische Prüfeinrichtung, wobei das zu prüfende System mehrere elektronische Komponenten aufweist, die einen Sender, einen Empfänger, eine Mehrzahl an Sende- (TX-) Antennen, die mit dem Sender gekoppelt sind, und eine Mehrzahl an Empfangs- (RX-) Antennen, die mit dem Empfänger gekoppelt sind, aufweist, Montieren eines Reflektors auf die Prüfeinrichtung, wobei der Reflektor ausgelegt ist, RF-Signale von dem Sender über eine TX-Antenne zu empfangen und die RF-Signale zu dem Empfänger über eine RX-Antenne zu reflektieren; Ausführen einer Rückschleifenprüfung des zu prüfenden Systems, wobei die Rückschleifenprüfung Senden eines RF-Signals von TX-Elementen, die den Sender und eine TX-Antenne aufweisen, zu dem Reflektor und Empfangen des RF-Signals durch RX-Elemente, die den Empfänger und eine RX-Antenne aufweisen, über Reflexion von dem Reflektor aufweist, und Bestimmen einer Eigenschaft des zu prüfenden Systems aus den Ergebnissen der Rückschleifenprüfung.
  • In Beispiel 180 weist der Gegenstand von Beispiel 179 optional auf, dass die TX-Elemente und die RX-Elemente eines nach dem anderen gefeuert werden und das RF-Signal über entsprechende TX-Elemente gesendet und durch entsprechende RX-Elemente empfangen wird.
  • In Beispiel 181 weist der Gegenstand von Beispiel 180 optional auf, dass das RF-Signal ein Millimeterwellen- (mmWellen-) Signal ist.
  • In Beispiel 182 weist der Gegenstand eines oder mehrerer der Beispiele 180-181 optional auf, dass die Eigenschaft ein defekter TX-Pfad ist, der durch die Messung empfangener RF-Signale eines nach dem anderen und Detektion einer Messung, die niedriger ist als jede der anderen Messungen, bestimmt wird
  • In Beispiel 183 weist der Gegenstand eines oder mehrerer der Beispiele 180-182 optional auf, dass die Eigenschaft Zulässigkeit des geprüften Systems ist, die durch Vergleich des empfangenen RF-Signals mit einem erwarteten Wert des empfangenen RF-Signals bestimmt wird.
  • In Beispiel 184 weist der Gegenstand eines oder mehrerer der Beispiele 180-183 optional auf, dass die TX-Elemente ferner einen TX-Phasenschieber aufweisen und die RX-Elemente ferner einen RX-Phasenschieber aufweisen, und wobei die Eigenschaft die Funktionalität des TX-Phasenschiebers ist, die durch Variation der Phase des TX-Signals mit dem TX-Phasenschieber und Messung der empfangenen Phase des RF-Signals bestimmt wird.
  • In Beispiel 185 weist der Gegenstand eines oder mehrerer der Beispiele 180-184 optional auf, dass jedes der TX-Elemente, die eines nach dem anderen gefeuert werden, einen TX-Pfad aufweist, und wobei die Eigenschaft Amplituden- und Phasenfehlanpassung zwischen jedem der TX-Pfade ist, die durch Detektion einer Differenz der Amplitude oder Phase eines TX-Pfads zu Amplitude oder Phase jedes der TX-Pfade, der nicht der eine TX-Pfad ist, bestimmt wird.
  • In Beispiel 186 weist der Gegenstand von Beispiel 185 optional auf, dass das gesendete RF-Signal ein Basisbandsignal ist, und wobei die Eigenschaft Amplitude und Phase jedes empfangenen Basisbandsignals ist, die durch Verwendung eines ersten empfangenen Basisbandsignals als eine Referenz, mit der andere empfangene Basisbandsignale, die nicht das erste Basisbandsignal sind, verglichen werden, bestimmt wird.
  • In Beispiel 187 weist der Gegenstand eines oder mehrerer der Beispiele 178-186 optional auf, dass alle TX-Elemente zur gleichen Zeit gefeuert werden, und wobei die Eigenschaft die Schätzung eines Gruppengewinns ist, die durch Messung des empfangenen Basisbandsignals bestimmt wird.
  • In Beispiel 188 weist der Gegenstand eines oder mehrerer der Beispiele 178-186 optional auf, dass der Reflektor von der Prüfeinrichtung abmontiert ist und die Computeranweisungen Anweisungen aufweisen, die dann, wenn sie durch den Computer ausgeführt werden, bewirken, dass die Prüfeinrichtung eine Rückschleifenprüfung auf einer der mehreren elektronischen Komponenten ausführt, wobei die Rückschleifenprüfung zu ersten Ergebnissen führt.
  • In Beispiel 189 weist der Gegenstand von Beispiel 188 optional auf, dass der Reflektor wieder an die Prüfeinrichtung montiert ist und die Computeranweisungen Anweisungen aufweisen, die dann, wenn sie durch den Computer ausgeführt werden, bewirken, dass die Prüfeinrichtung die Rückschleifenprüfung auf der einen der mehreren elektronischen Komponenten ausführt, wobei die Rückschleifenprüfung zu zweiten Ergebnissen führt, und wobei die Eigenschaft Übersprechen ist, die durch Subtrahieren der ersten Ergebnisse von den zweiten Ergebnissen bestimmt wird.
  • In Beispiel 190 kann der Gegenstand irgendeines oder mehrere der Beispiele 168 bis 189 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 168 bis 189, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgend eine oder mehrere der Funktionen der Beispiele 168 bis 189 ausführt.
  • Beispiel 191 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes aufweist: eine erste Sendeempfängerschaltung, die ausgelegt ist, ein erstes Datensignal bei einer ersten Frequenz und ein zweites Datensignal bei einer zweiten Frequenz, die mit der ersten Frequenz nicht überlappt, zu erzeugen; und einen Lokaloszillator (LO)-Generator, der ausgelegt ist, ein LO-Signal an einer dritten Frequenz zu erzeugen, wobei die erste, die zweite und die dritte Frequenz nicht überlappende Frequenzen sind; und ein RF-Frontend-Modul (RFEM), das mit dem BBS über ein einzelnes Koax-Kabel gekoppelt ist, wobei das RFEM Folgendes aufweist: eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist, und eine zweite Sendeempfängerschaltung, die ausgelegt ist, das erste Datensignal und das zweite Datensignal auf eine gewünschte Frequenz basierend auf dem LO-Signal umzusetzen und die umgesetzten ersten und zweiten Datensignale über die phasengesteuerte Antennengruppe zu senden, wobei das umgesetzte erste Datensignal über eine erste Untergruppe der phasengesteuerten Antennengruppe unter Verwendung eines ersten Typs von Antennenpolarisation gesendet wird und das zweite Datensignal über eine zweite Untergruppe der phasengesteuerten Antennengruppe unter Verwendung eines zweiten Typs von Antennenpolarisation gesendet wird.
  • In Beispiel 192 weist der Gegenstand von Beispiel 191 optional auf, dass die Antennenpolarisation eine vertikale Antennenpolarisation oder eine horizontale Antennenpolarisation ist.
  • In Beispiel 193 weist der Gegenstand eines oder mehrerer der Beispiele 191-192 optional auf, dass die dritte Frequenz eine Differenz der ersten Frequenz und der zweiten Frequenz ist.
  • In Beispiel 194 weist der Gegenstand eines oder mehrerer der Beispiele 191-193 optional auf, dass der LO-Generator ausgelegt ist zum: Erzeugen eines zweiten LO-Signals und eines dritten LO-Signals, wobei das zweite und das dritte LO-Signal nicht mit dem LO-Signal überlappende Signale sind.
  • In Beispiel 195 weist der Gegenstand von Beispiel 194 optional auf, dass die erste Sendeempfängerschaltung ausgelegt ist zum: Erzeugen des ersten Datensignals basierend auf dem zweiten LO-Signal; und Erzeugen des zweiten Datensignals basierend auf dem dritten LO-Signal.
  • In Beispiel 196 weist der Gegenstand von Beispiel 195 optional auf, dass das erste LO-Signal, das zweite LO-Signal und das dritte LO-Signal aus derselben Frequenzquelle erzeugt werden und korreliertes Phasenrauschen aufweisen.
  • In Beispiel 197 weist der Gegenstand eines oder mehrerer der Beispiele 191-966 optional einen ersten Triplexer innerhalb des BBS, wobei der erste Triplexer ausgelegt ist, das erste Datensignal, das zweite Datensignal und das LO-Signal auf das einzelne Koax-Kabel zu multiplexieren; und einen zweiten Triplexer innerhalb des RFEM auf, wobei der zweite Triplexer ausgelegt ist, das erste Datensignal, das zweite Datensignal und das LO-Signal über das einzelne Koax-Kabel zu empfangen.
  • In Beispiel 198 weist der Gegenstand eines oder mehrerer der Beispiele 191-197 optional auf, dass die zweite Sendeempfängerschaltung Folgendes aufweist: eine Verarbeitungskette für vertikale Polarisation, die mit der ersten Untergruppe der phasengesteuerten Antennengruppe gekoppelt ist, wobei die erste Untergruppe vertikale Polarisation verwendet; und eine Verarbeitungskette für horizontale Polarisation, die mit der zweiten Untergruppe der phasengesteuerten Antennengruppe gekoppelt ist, wobei die zweite Untergruppe horizontale Polarisation verwendet.
  • In Beispiel 199 weist der Gegenstand von Beispiel 198 optional einen zweiten LO-Generator innerhalb des RFEM auf, wobei der zweite LO-Generator ausgelegt ist, das LO-Signal über das einzelne Koax-Kabel zu empfangen und eine oder mehrere Frequenzmanipulationen auf dem LO-Signal auszuführen, um wenigstens ein zweites LO-Signal zum Umsetzen des ersten Datensignals und des zweiten Datensignals auf die gewünschte Frequenz zu erzeugen.
  • In Beispiel 200 weist der Gegenstand von Beispiel 199 optional auf, dass der zweite LO-Generator ferner Folgendes aufweist: einen Frequenzteiler und einen Frequenzmultiplizierer zum Ausführen der einen oder mehreren Frequenzmanipulationen.
  • Beispiel 201 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes aufweist: eine erste Sendeempfängerschaltung, die ausgelegt ist, ein erstes Datensignal bei einer ersten Frequenz unter Verwendung eines ersten Lokaloszillator (LO)-Signals zu erzeugen, wobei die erste Frequenz eine gewünschte Sendefrequenz aufweist, eine zweite Sendeempfängerschaltung, die ausgelegt ist, ein zweites Datensignal bei einer zweiten Frequenz unter Verwendung eines zweiten LO-Signals zu erzeugen; und einen LO-Generator, der ausgelegt ist, das erste LO-Signal, das zweite LO-Signal und ein drittes LO-Signal zu erzeugen; und ein RF-Frontend-Modul (RFEM), das mit dem BBS über ein einzelnes Koax-Kabel gekoppelt ist, wobei das RFEM Folgendes aufweist: eine phasengesteuerte Antennengruppe, die mehrere Antennen aufweist, eine dritte Sendeempfängerschaltung, die ausgelegt ist, das erste Datensignal bei der gewünschten Sendefrequenz über eine vertikal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden; und eine vierte Sendeempfängerschaltung, die ausgelegt ist, das zweite Datensignal auf die gewünschte Sendefrequenz umzusetzen und die umgesetzten zweiten Datensignale über eine horizontal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden.
  • In Beispiel 202 weist der Gegenstand von Beispiel 201 optional auf, dass das dritte LO-Signal eine Frequenz aufweist, die eine Differenz zwischen der gewünschten Sendefrequenz und der zweiten Frequenz ist.
  • In Beispiel 203 weist der Gegenstand eines oder mehrerer der Beispiele 201-202 optional auf, dass das dritte LO-Signal eine Frequenz aufweist, die die erste und die zweite Frequenz nicht überlappt.
  • In Beispiel 204 weist der Gegenstand eines oder mehrerer der Beispiele 201-203 optional auf, dass: die dritte Sendeempfängerschaltung ausgelegt ist, das erste Datensignal bei der gewünschten Sendefrequenz über die horizontal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden; und die vierte Sendeempfängerschaltung ausgelegt ist, die umgesetzten zweiten Datensignale über die vertikal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden.
  • In Beispiel 205 weist der Gegenstand eines oder mehrerer der Beispiele 201-204 optional einen ersten Triplexer innerhalb des BBS, wobei der erste Triplexer ausgelegt ist, das erste Datensignal, das zweite Datensignal und dritte das LO-Signal auf das einzelne Koax-Kabel zu multiplexieren; und einen zweiten Triplexer innerhalb des RFEM auf, wobei der zweite Triplexer ausgelegt ist, das erste Datensignal, das zweite Datensignal und das dritte LO-Signal über das einzelne Koax-Kabel zu empfangen.
  • In Beispiel 206 weist der Gegenstand eines oder mehrerer der Beispiele 201-205 optional auf, dass die vierte Sendeempfängerschaltung ferner Folgendes aufweist: einen Aufwärtsumsetzungsmischer, um das zweite Datensignal auf die gewünschte Sendefrequenz unter Verwendung des dritten LO-Signals aufwärtsumzusetzen.
  • In Beispiel 207 weist der Gegenstand eines oder mehrerer der Beispiele 201-206 optional auf, dass: die dritte Sendeempfängerschaltung ausgelegt ist, ein drittes Datensignal über die vertikal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu empfangen; die vierte Sendeempfängerschaltung ausgelegt ist, ein viertes Datensignal über die horizontal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu empfangen; und das dritte und das vierte Datensignal bei einer gewünschten Empfangsfrequenz sind.
  • In Beispiel 208 weist der Gegenstand von Beispiel 207 optional auf, dass das RFEM ferner Folgendes aufweist: einen zweiten LO-Generator, der ausgelegt ist, ein viertes LO-Signal zum Abwärtsumsetzen des vierten Datensignals auf ein Zwischenfrequenz- (IF-) Signal zu erzeugen.
  • In Beispiel 209 weist der Gegenstand von Beispiel 208 optional auf, dass das RFEM ausgelegt ist zum: Kommunizieren des dritten Datensignals an der gewünschten Empfangsfrequenz, des vierten Datensignals an der Zwischenfrequenz und des vierten LO-Signals zu dem BBS über das einzelne Koax-Kabel.
  • Beispiel 210 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes aufweist: eine erste Sendeempfängerschaltung, die ausgelegt ist, ein erstes Datensignal bei einer ersten Hochfrequenz zu erzeugen; eine zweite Sendeempfängerschaltung, die ausgelegt ist, ein zweites Datensignal bei einer zweiten Hochfrequenz zu erzeugen, wobei die erste Hochfrequenz von der zweiten Hochfrequenz verschieden ist; und ein RF-Frontend-Modul (RFEM), das mit dem BBS über ein einzelnes Koax-Kabel gekoppelt ist, wobei das RFEM Folgendes aufweist: eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; einen Lokaloszillatorss (LO)-Generator, der ausgelegt ist, ein erstes LO-Signal und ein zweites LO-Signal basierend auf einer gewünschten Sendefrequenz zu erzeugen; eine dritte Sendeempfängerschaltung, die ausgelegt ist, das erste Datensignal auf die gewünschte Sendefrequenz unter Verwendung des ersten LO-Signals umzusetzen und das umgesetzte erste Datensignal über eine vertikal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden; und eine vierte Sendeempfängerschaltung, die ausgelegt ist, das zweite Datensignal auf die gewünschte Sendefrequenz unter Verwendung des zweiten LO-Signals umzusetzen und das umgesetzte zweite Datensignal über eine vertikal polarisierte Antennenteilmenge der Mehrzahl an Antennen zu senden.
  • In Beispiel 211 weist der Gegenstand von Beispiel 210 optional einen ersten Triplexer innerhalb des BBS, wobei der erste Triplexer ausgelegt ist, das erste Datensignal und das zweite Datensignal auf das einzelne Koax-Kabel zur Kommunikation zu dem RFEM zu multiplexieren; und einen zweiten Triplexer innerhalb des RFEM auf, wobei der zweite Triplexer ausgelegt ist, das erste Datensignal und das zweite Datensignal über das einzelne Koax-Kabel zu empfangen.
  • In Beispiel 212 weist der Gegenstand eines oder mehrerer der Beispiele 210-211 optional auf, dass der LO-Generator einen Synthesizer aufweist, der das erste LO-Signal und das zweite LO-Signal synthetisiert.
  • Beispiel 213 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzahl an über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein einzelnes RF-Signal zu erzeugen; und ein Basisbandteilsystem (BBS), das mit dem RF-Empfängerteilsystem über ein einzelnes Koaxial- (Koax-) Kabel gekoppelt ist, wobei das BBS ausgelegt ist zum: Erzeugen eines abwärtsumgesetzten Signals basierend auf dem einzelnen RF-Signal; und Umsetzen des abwärtsumgesetzten Signals in ein digitales Datensignal zur Verarbeitung durch ein drahtloses Modem, wobei das BBS das RF-Signal von dem RF-Empfängerteilsystem über das Koax-Kabel empfängt und das RF-Empfängerteilsystem ein DC-Leistungssignal von dem BBS über das Koax-Kabel empfängt.
  • In Beispiel 214 weist der Gegenstand von Beispiel 213 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an empfangenen RF-Signalen, um eine Mehrzahl an verstärkten Signalen zu erzeugen.
  • In Beispiel 215 weist der Gegenstand von Beispiel 214 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Phasenschieber zum Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; einen Addierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu addieren, um ein kombiniertes RF-Signal zu erzeugen; und einen Verstärker, der ausgelegt ist, das kombinierte RF-Signal zu verstärken, um das einzelne RF-Signal zu erzeugen.
  • In Beispiel 216 weist der Gegenstand von Beispiel 215 optional auf, dass das RF-Empfängerteilsystem ausgelegt ist, ein Steuersignal von dem BBS über das einzelne Koax-Kabel zu empfangen, wobei das Steuersignal die Signalphase für Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt werden, spezifiziert.
  • In Beispiel 217 weist der Gegenstand eines oder mehrerer der Beispiele 213-216 optional auf, dass das BBS Folgendes aufweist: einen Verstärker, der ausgelegt ist, das von dem RF-Empfängerteilsystem über das einzelne Koax-Kabel empfangene RF-Signal zu verstärken, um ein verstärktes RF-Signal zu erzeugen; wenigstens einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des verstärken RF-Signals, um das abwärtsumgesetzte Signal zu erzeugen; und wenigstens einen Analog/Digital-Umsetzer (ADC) zum Umsetzen des abwärtsumgesetzten Signals in das digitale Datensignal zum Verarbeiten durch das drahtlose Modem.
  • In Beispiel 218 weist der Gegenstand eines oder mehrerer der Beispiele 213-217 optional ein RF-Senderteilsystem auf, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignalen basierend auf einem einzelnen RF-Ausgabesignal zu erzeugen, wobei die erzeugte Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dienen.
  • In Beispiel 219 weist der Gegenstand von Beispiel 218 optional auf, dass das RF-Senderteilsystem ferner Folgendes aufweist: einen Verstärker, der ausgelegt ist, das einzelne RF-Ausgabesignal zu verstärken, um ein verstärktes Ausgabesignal zu erzeugen; einen Addierer, der ausgelegt ist, eine Mehrzahl an Signalkopien des einzelnen RF-Ausgabesignals zu erzeugen; eine Mehrzahl an Phasenschiebern, die ausgelegt sind, eine Phase, die den mehreren Signalkopien zugeordnet ist, zu verschieben, um eine Mehrzahl an phasenverschobenen Ausgabesignale zu erzeugen; und eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an phasenverschobenen Ausgabesignalen, um die Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe zu erzeugen.
  • In Beispiel 220 weist der Gegenstand von Beispiel 219 optional auf, dass das RF-Empfängerteilsystem ausgelegt ist, ein Steuersignal von dem BBS über das einzelne Koax-Kabel zu empfangen, wobei das Steuersignal die Signalphase für Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt werden, spezifiziert.
  • In Beispiel 221 weist der Gegenstand eines oder mehrerer der Beispiele 218-220 optional auf, dass das RF-Senderteilsystem das einzelne RF-Ausgabesignal von dem BBS über das einzelne Koax-Kabel empfängt.
  • In Beispiel 222 weist der Gegenstand von Beispiel 221 optional auf, dass das BBS das drahtlose Modem aufweist, und wobei das drahtlose Modem ausgelegt ist, ein Ausgabe-Datensignal zu erzeugen.
  • In Beispiel 223 weist der Gegenstand von Beispiel 222 optional auf, dass das BBS ferner Folgendes aufweist: einen Digital/Analog-Umsetzer (DAC) zum Umsetzen des Datensignals in ein digitales Ausgabesignal; ein Filter zum Filtern des digitalen Ausgabesignals, um ein gefiltertes Ausgabesignal zu erzeugen; und einen Aufwärtsumsetzungsmischer zum Aufwärtsumsetzen des gefilterten Ausgabesignals in das einzelne RF-Ausgabesignal.
  • In Beispiel 224 weist der Gegenstand eines oder mehrerer der Beispiele 213-223 optional auf, dass das RF-Empfängerteilsystem eine adaptive Impedanzanpassungsschaltung aufweist und das BBS eine zweite Impedanzanpassungsschaltung aufweist, wobei die erste Impedanzanpassungsschaltung und die zweite Impedanzanpassungsschaltung zum Anpassen der Impedanz, die dem einzelnen Koax-Kabel zugeordnet ist, dienen.
  • Beispiel 225 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein erstes PCB-Substrat, wobei das erste PCB-Substrat Folgendes aufweist: eine phasengesteuerte Antennengruppe aus einer Mehrzahl an Antennen; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzah lan über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein RF-Eingabesignal zu erzeugen; und ein RF-Senderteilsystem, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignale basierend auf einem RF-Ausgabesignal zu erzeugen, wobei die erzeugte Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dient; und ein zweites PCB-Substrat, das mit dem ersten PCB-Substrat über ein einzelnes Koax-Kabel gekoppelt ist, wobei das zweite PCB-Substrat ein Basisbandteilsystem (BBS) aufweist, wobei das BBS ausgelegt ist zum: Erzeugen eines digitalen Datensignals unter Verwendung des RF-Eingabesignals, wobei das digitale Datensignal zur Verarbeitung durch ein drahtloses Modem dient; und Erzeugen des RF-Ausgabesignals basierend auf wenigstens einem weiteren digitalen Datensignal, das durch das drahtlose Modem erzeugt ist, wobei das BBS das RF-Eingabesignal von dem RF-Empfängerteilsystem über das einzelne Koax-Kabel empfängt.
  • In Beispiel 226 weist der Gegenstand von Beispiel 225 optional auf, dass das RF-Empfängerteilsystem und das RF-Senderteilsystem ausgelegt sind, ein DC-Leistungssignal von dem BBS über das einzelne Koax-Kabel zu empfangen.
  • In Beispiel 227 weist der Gegenstand eines oder mehrerer der Beispiele 225-226 optional auf, dass das RF-Empfängerteilsystem und das RF-Senderteilsystem ausgelegt sind, ein DC-Leistungssignal von dem BBS über das einzelne Koax-Kabel zu empfangen.
  • In Beispiel 228 weist der Gegenstand eines oder mehrerer der Beispiele 225-227 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an empfangenen RF-Signalen, um eine Mehrzahl an verstärkten Signalen zu erzeugen; eine Mehrzahl an Phasenschiebern zum Ausführen von Phasenanpassungen und Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; einen Addierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu addieren, um ein kombiniertes RF-Signal zu erzeugen; einen Verstärker, der ausgelegt ist, das kombinierte RF-Signal zu verstärken, um das einzelne RF-Eingabesignal zum Senden an das BBS zu erzeugen.
  • In Beispiel 229 weist der Gegenstand von Beispiel 228 optional auf, dass das RF-Empfängerteilsystem ausgelegt ist, ein Steuersignal von dem BBS über das einzelne Koax-Kabel zu empfangen, wobei das Steuersignal die Signalphase für die Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt werden, spezifiziert.
  • In Beispiel 230 weist der Gegenstand eines oder mehrerer der Beispiele 225-229 optional auf, dass das RF-Eingabesignal und das RF-Ausgabesignal Signale innerhalb einer Millimeterwellenbandfrequenz aufweisen.
  • In Beispiel 231 weist der Gegenstand eines oder mehrerer der Beispiele 225-230 optional eine G-Drahtlos-Spezifikation auf.
  • Beispiel 232 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein erstes Hochfrequenz-Frontend-Modul (RFEM) und ein zweites RFEM, wobei jedes aus dem ersten und dem zweiten RFEM Folgendes aufweist: eine phasengesteuerte Antennengruppe aus einer Mehrzahl an Antennen; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzahl an über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein an RF-Eingabesignal zu erzeugen; und ein RF-Senderteilsystem, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignalen basierend auf einem RF-Ausgabesignal zu erzeugen, wobei die erzeugten Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dienen; und ein Basisbandteilsystem (BBS), wobei das BBS ausgelegt ist zum: Erzeugen eines digitalen Datensignals unter Verwendung des RF-Eingabesignals von jedem aus dem ersten und dem zweiten RFEM, wobei das digitale Datensignal zum Verarbeiten durch ein drahtloses Modem dient; und Erzeugen des RF-Ausgabesignals basierend auf einem Signal eines Lokaloszillators und wenigstens einem weiteren digitalen Datensignal, das durch das drahtlose Modem erzeugt ist, wobei das BBS das RF-Eingabesignal von dem ersten RFEM und dem zweiten RFEM über ein erstes Koax-Kabel bzw. ein zweites Koax-Kabel empfängt.
  • In Beispiel 233 weist der Gegenstand von Beispiel 232 optional auf, dass das BBS einen Lokaloszillator-Generator aufweist, der ausgelegt ist, das Lokaloszillatorsignal zu erzeugen, und wobei der Lokaloszillator-Generator von dem ersten RFEM und dem zweiten RFEM gemeinsam verwendet wird.
  • Beispiel 234 ist eine Sendeleitungsschaltung, die Folgendes aufweist: wenigstens eine integrierte Hochfrequenzschaltung (RFIC), die an einer Hauptplatine in einer Benutzervorrichtung befestigt ist, wobei die Benutzervorrichtung einen drehbaren Deckel aufweist; eine Übertragungsleitung, die wenigstens einen Wellenleiter oder einen Lichtleiter aufweist, wobei die Übertragungsleitung ein erstes mit den wenigstens einen RFIC gekoppeltes erstes Ende, eine Länge in dem Deckel, und ein zweites Ende, das mit einer oder mehreren Antennen in dem Deckel gekoppelt ist, aufweist.
  • In Beispiel 235 weist der Gegenstand von Beispiel 234 optional auf, dass die eine oder die mehreren Antennen eine Mehrzahl an Antennen aufweisen, die ausgelegt sind, durch eine Menge von Computeranweisungen, die durch eine zentrale Verarbeitungseinheit basierend auf Rückmeldungsinformationen von einer Empfangsvorrichtung ausgeführt werden, gesteuert zu werden.
  • In Beispiel 236 weist der Gegenstand eines oder mehrerer der Beispiele 234-235 optional auf, dass die Übertragungsleitung wenigstens einen Wellenleiter aufweist, der eine hohle leitfähige Röhre in dem Deckel aufweist, wobei die hohle Röhre ausgelegt ist, RF-Signale zu der einen oder den mehreren Antennen über ein entsprechendes Funk-Frontend-Modul (RFEM), das in dem Deckel angeordnet ist, um die RF-Signale zu verstärken, zu senden.
  • In Beispiel 237 weist der Gegenstand von Beispiel 236 optional auf, dass die wenigstens eine RFIC ausgelegt ist, RF-Signale in einer Mehrzahl an Frequenzbändern zu erzeugen, der wenigstens eine Wellenleiter einen separaten Wellenleiter für jedes Frequenzband aufweist und jeder Wellenleiter mit einem entsprechenden RFEM verbunden ist.
  • In Beispiel 238 weist der Gegenstand eines oder mehrerer der Beispiele 236-237 optional auf, dass eine RFIC ausgelegt ist, RF-Signale in einem einzigen Frequenzband zu erzeugen, und der wenigstens eine Wellenleiter einen separaten Wellenleiter von der RFIC zu jeder einer Mehrzahl an Antennen aufweist, die ausgelegt sind, durch die Menge von Computeranweisungen, die durch eine zentrale Verarbeitungseinheit basierend auf Rückmeldungsinformationen von einer Empfangsvorrichtung ausgeführt werden, gesteuert zu werden, und jeder Wellenleiter mit einem entsprechenden RFEM verbunden ist.
  • In Beispiel 239 weist der Gegenstand eines oder mehrerer der Beispiele 236-238 optional auf, dass das erste Ende des wenigstens einen Wellenleiters durch das Scharnier verläuft oder Teil des Scharniers ist und das zweite Ende des wenigstens einen Wellenleiters mit einer oder mehreren Antennen über ein entsprechendes RFEM gekoppelt ist.
  • In Beispiel 240 weist der Gegenstand eines oder mehrerer der Beispiele 234-239 optional auf, dass die Übertragungsleitung einen in einen halbleiterintegrierten Wellenleiter (SIW) aufweist, der ausgelegt ist, RF-Signale zu der einen oder den mehreren Antennen zu übertragen.
  • In Beispiel 241 weist der Gegenstand von Beispiel 240 optional auf, dass der SIW durch eine Streifenleitung oder durch eine komplanare Übertragungsleitung gespeist wird und der SIW innerhalb einer starren PCB oder einer flexiblen PCB implementiert ist.
  • In Beispiel 242 weist der Gegenstand eines oder mehrerer der Beispiele 240-241 optional auf, dass die Benutzervorrichtung ein Laptop-Computer ist und der SIW einen Teil einer PCB aufweist, die eine elektronische Schaltung aufweist, die einer Tastatur des Laptop-Computers zugeordnet ist.
  • In Beispiel 243 weist der Gegenstand eines oder mehrerer der Beispiele 240-241 optional auf, dass die Benutzervorrichtung ein Laptop-Computer ist und der SIW einen Teil einer PCB aufweist, die für die Implementierung des SIW dediziert ist.
  • In Beispiel 244 weist der Gegenstand von Beispiel 243 optional auf, dass die dedizierte PCB an das Deckelgehäuse geklebt ist.
  • In Beispiel 245 weist der Gegenstand eines oder mehrerer der Beispiele 243-244 optional auf, dass die dedizierte PCB in einen Graben geklebt ist, der in dem Deckelgehäuse implementiert ist.
  • In Beispiel 246 weist der Gegenstand eines oder mehrerer der Beispiele 243-245 optional auf, dass der SIW an der Seite des Bildschirms in dem Gehäuse des Laptop-Computers befestigt ist.
  • In Beispiel 247 weist der Gegenstand eines oder mehrerer der Beispiele 234-246 optional auf, dass die Übertragungsleitung einen Lichtleiter aufweist.
  • In Beispiel 248 weist der Gegenstand von Beispiel 247 optional auf, dass das erste Ende des Lichtleiters mit der RFIC über einen Umsetzer für das RF-Signal in ein optisches Signal gekoppelt ist.
  • In Beispiel 249 weist der Gegenstand von Beispiel 248 optional auf, dass der Umsetzer für das RF-Signal in ein optisches Signal eine Pin-Diode eine Avalanche-Pin-Diode oder eine RF-über-Faser-Schnittstelle aufweist.
  • In Beispiel 250 weist der Gegenstand von Beispiel 249 optional auf, dass die Pin-Diode oder die Avalanche-Pin-Diode ausgelegt ist, RF-Signale als digitale Bits zu dem RFEM zur Abstrahlung durch die eine oder die mehreren Antennen zu übertragen.
  • In Beispiel 251 weist der Gegenstand eines oder mehrerer der Beispiele 249-250 optional auf, dass ein RF-über-Faser-Umsetzer ausgelegt ist, die optischen Signale mit den RF-Signalen der RFIC zu modulieren.
  • In Beispiel 252 weist der Gegenstand von Beispiel 251 optional auf, dass eine Umsetzungsvorrichtung ausgelegt ist, die modulierten optischen Signale in RF-Signale, die zu dem RFEM übertragen werden, zur Abstrahlung durch die eine oder die mehreren Antennen umzusetzen.
  • In Beispiel 253 weist der Gegenstand eines oder mehrerer der Beispiele 251-252 optional auf, dass die RFIC ausgelegt ist, RF-Signale in mehreren Frequenzbändern zu erzeugen, und der Lichtleiter ausgelegt ist, optische Signale, die mit den RF-Signalen moduliert sind, in jedem der Frequenzbänder zu übertragen.
  • In Beispiel 254 weist der Gegenstand eines oder mehrerer der Beispiele 251-253 optional auf, dass die RFIC ausgelegt ist, RF-Signale in einem einzigen Frequenzband zu erzeugen, und der Lichtleiter von der RFIC über ein entsprechendes RFEM mit jeder der Mehrzahl an Antennen gekoppelt ist, wobei die Antennen ausgelegt sind, durch eine Menge von Computeranweisungen, die durch eine zentrale Verarbeitungseinheit basierend auf Rückmeldungsinformationen von einer Empfangsvorrichtung ausgeführt werden, gesteuert zu werden.
  • In Beispiel 255 kann der Gegenstand irgendeines oder mehrere der Beispiele 234 bis 254 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 234 bis 254 oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgend eine oder mehrere der Funktionen der Beispiele 234 bis 254 ausführt.
  • Beispiel 256 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes aufweist: einen Lokaloszillatorss (LO)-Generator, der ausgelegt ist, ein LO-Signal zu erzeugen; und eine Taktspreizungsschaltung, die ausgelegt ist, ein Steuersignal unter Verwendung des LO-Signals zu modulieren, um ein moduliertes Signal zu erzeugen; und ein RF-Frontend-Modul (RFEM), das mit dem BBS über eine einzelne Verbindung gekoppelt ist, um das modulierte Signal und das Datensignal zu empfangen, wobei das RFEM Folgendes aufweist: eine Taktentspreizungsschaltung, die ausgelegt ist, das modulierte Signal zu demodulieren, um das LO-Signal und das Steuersignal wiederherzustellen; eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; und eine Sendeempfängerschaltung, die ausgelegt ist, das Datensignal auf eine gewünschte RF basierend auf dem wiederhergestellten LO-Signal aufwärtsumzusetzen, um ein RF-Signal zu erzeugen, und eine Sendemode basierend auf dem Steuersignal zu feuern, um das RF-Signal über die phasengesteuerte Antennengruppe zu senden.
  • In Beispiel 257 weist der Gegenstand von Beispiel 256 optional auf, dass die Taktspreizungsschaltung Folgendes aufweist: einen Impulsformer, der ausgelegt ist, ein Steuersignal zu empfangen und ein bandbegrenztes Steuersignal zu erzeugen.
  • In Beispiel 258 weist der Gegenstand von Beispiel 257 optional auf, dass die Taktspreizungsschaltung Folgendes aufweist: eine Modulatorschaltung, die ausgelegt ist, das bandbegrenzte Steuersignal und das LO-Signal zu empfangen, um das modulierte Signal zu erzeugen.
  • In Beispiel 259 weist der Gegenstand von Beispiel 258 optional auf, dass die Modulatorschaltung eines aus dem Folgenden ist: ein Zweiphasenumtastung- (BPSK-) Modulator; ein differenzieller Phasenumtastungs- (DPSK-) Modulator; ein Quadraturphasenumtastungs-(QPSK-) Modulator; und ein Gaußscher Frequenzumtastungs- (GFSK-) Modulator.
  • In Beispiel 260 weist der Gegenstand eines oder mehrerer der Beispiele 256-259 optional auf, dass die Taktentspreizungsschaltung Folgendes aufweist: eine Taktwiederherstellungsschaltung, die eine Multipliziererschaltung und eine Teilerschaltung aufweist, wobei die Taktwiederherstellungsschaltung ausgelegt ist, das modulierte Signal zu empfangen und das LO-Signal basierend auf dem modulierten Signal wiederherzustellen.
  • In Beispiel 261 weist der Gegenstand von Beispiel 260 optional auf, dass die Taktentspreizungsschaltung Folgendes aufweist: eine Demoduliererschaltung, die ausgelegt ist, das modulierte Signal und das LO-Signal zu empfangen und das Steuersignal basierend auf dem modulierten Signal und dem LO-Signal wiederherzustellen.
  • In Beispiel 262 weist der Gegenstand eines oder mehrerer der Beispiele 256-261 optional auf, dass die Sendeempfängerschaltung Folgendes aufweist: eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an empfangenen RF-Signalen, um eine Mehrzahl an verstärkten Signalen zu erzeugen.
  • In Beispiel 263 weist der Gegenstand von Beispiel 262 optional auf, dass die Sendeempfängerschaltung Folgendes aufweist: eine Mehrzahl an Phasenschiebern zum Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; und einen Kombinierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu kombinieren, um ein kombiniertes RF-Signal zu erzeugen.
  • In Beispiel 264 weist der Gegenstand von Beispiel 263 optional auf, dass das Steuersignal eine Signalphase für Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt werden, spezifiziert oder unterschiedliche Verstärkungsanpassungen für die Mehrzahl an Verstärkern einstellt.
  • In Beispiel 265 weist der Gegenstand eines oder mehrerer der Beispiele 263-264 optional auf, dass das RFEM Folgendes aufweist: eine Frequenzquelle, die ausgelegt ist, das LO-Signal als ein Referenztaktsignal zu empfangen und ein zweites LO-Signal zur Abwärtsumsetzung des kombinierten RF-Signals zu erzeugen.
  • In Beispiel 266 weist der Gegenstand von Beispiel 265 optional auf, dass das RFEM Folgendes aufweist: einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des kombinierten RF-Signals, um ein Zwischenfrequenz- (IF-) Signal basierend auf dem zweiten LO-Signal zu erzeugen; und einen Verstärker, der ausgelegt ist, das IF-Signal zu verstärken, um ein verstärktes IF-Signal zu erzeugen.
  • In Beispiel 267 weist der Gegenstand von Beispiel 266 optional auf, dass das BBS Folgendes aufweist: einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des verstärkten IF-Signals basierend auf dem LO-Signal, um ein abwärtsumgesetztes Signal zu erzeugen; und einen Analog/Digital-Umsetzer (ADC) zum Umsetzen des abwärtsumgesetzten Signals in ein digitales Datensignal zum Verarbeiten durch ein drahtloses Modem.
  • Beispiel 268 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein erstes PCB-Substrat, wobei das erste PCB-Substrat ein Basisbandteilsystem (BBS) aufweist, wobei das BBS ausgelegt ist, ein Frontend-Steuersignal auf ein Lokaloszillator (LO)-Referenzsignal zu modulieren, um ein moduliertes Signal zu erzeugen; und ein zweites PCB-Substrat, das ein RF-Frontend-Modul (RFEM) aufweist, das mit der ersten PCB über eine einzelne Verbindung gekoppelt ist, um das modulierte Signal und ein Zwischenfrequenz-(IF-) Datensignal zu empfangen, wobei das RFEM Folgendes aufweist: eine Taktentspreizungsschaltung, die ausgelegt ist, das modulierte Signal zu demodulieren, um das LO-Referenzsignal und das Frontend-Steuersignal wiederherzustellen; eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; und eine Sendeempfängerschaltung, die ausgelegt ist, das IF-Datensignal auf eine gewünschte RF basierend auf dem wiederhergestellten LO-Referenzsignal aufwärtsumzusetzen, um ein RF-Signal zu erzeugen, und eine Sendemode basierend auf dem Frontend-Steuersignal zu feuern, um das RF-Signal über die phasengesteuerte Antennengruppe zu senden.
  • In Beispiel 269 weist der Gegenstand von Beispiel 268 optional auf, dass das BBS Folgendes aufweist: einen LO-Generator, der ausgelegt ist, das LO-Referenzsignal zu erzeugen; und eine Taktspreizungsschaltung, die ausgelegt ist, das Frontend-Steuersignal unter Verwendung des LO-Referenzsignals zu modulieren, um das modulierte Signal zu erzeugen.
  • In Beispiel 270 weist der Gegenstand von Beispiel 269 optional auf, dass die Taktspreizungsschaltung Folgendes aufweist: einen Impulsformer, der ausgelegt ist, das Frontend-Steuersignal zu empfangen und ein bandbegrenztes Steuersignal zu erzeugen; und eine Modulatorschaltung, die ausgelegt ist, das bandbegrenzte Steuersignal und das LO-Referenzsignal zu empfangen, um das modulierte Signal zu erzeugen.
  • In Beispiel 271 weist der Gegenstand eines oder mehrerer der Beispiele 269-270 optional auf, dass die Taktspreizungsschaltung ausgelegt ist zum: Spreizen des LO-Referenzsignals basierend auf einer Pseudozufallsfolge, um das modulierte Signal zu erhalten.
  • In Beispiel 272 weist der Gegenstand eines oder mehrerer der Beispiele 270-271 optional auf, dass die Modulatorschaltung eines aus dem Folgenden ist: ein Zweiphasenumtastung- (BPSK-) Modulator; ein differenzieller Phasenumtastungs- (DPSK-) Modulator; ein Quadraturphasenumtastungs- (QPSK-) Modulator; und ein Gaußscher Frequenzumtastungs- (GFSK-) Modulator.
  • In Beispiel 273 weist der Gegenstand eines oder mehrerer der Beispiele 269-272 optional auf, dass die Taktentspreizungsschaltung Folgendes aufweist: eine Taktwiederherstellungsschaltung, die eine Multipliziererschaltung und eine Teilerschaltung aufweist, wobei die Taktwiederherstellungsschaltung ausgelegt ist, das modulierte Signal zu empfangen und das LO-Referenzsignal basierend auf dem modulierten Signal wiederherzustellen.
  • In Beispiel 274 weist der Gegenstand von Beispiel 273 optional auf, dass die Taktentspreizungsschaltung Folgendes aufweist: eine Demoduliererschaltung, die ausgelegt ist, das modulierte Signal und das wiederhergestellte LO-Referenzsignal zu empfangen und das Frontend-Steuersignal basierend auf dem modulierten Signal und dem LO-Referenzsignal wiederherzustellen.
  • In Beispiel 275 weist der Gegenstand eines oder mehrerer der Beispiele 269-274 optional auf, dass die Sendeempfängerschaltung in dem RFEM Folgendes aufweist: eine Mehrzahl an Verstärker zum Verstärken einer Mehrzahl an RF-Signalen, die über die phasengesteuerte Antennengruppe empfangen werden, um eine Mehrzahl an verstärkten Signalen zu erzeugen; eine Mehrzahl an Phasenschiebern zum Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; und einen Kombinierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu kombinieren, um ein kombiniertes RF-Signal zu erzeugen.
  • In Beispiel 276 weist der Gegenstand von Beispiel 275 optional auf, dass das Steuersignal eine Signalphase für Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt wird, spezifiziert oder unterschiedliche Verstärkungsanpassungen für die Mehrzahl an Verstärkern einstellt.
  • In Beispiel 277 weist der Gegenstand eines oder mehrerer der Beispiele 275-276 optional auf, dass das RFEM ausgelegt ist, eine Empfangsmode der Sendeempfängerschaltung basierend auf dem Frontend-Steuersignal zu feuern, wobei die Empfangsmode zum Empfangen der mehreren RF-Signale dient.
  • Beispiel 278 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: eine phasengesteuerte Antennengruppe, die eine Mehrzahl an Antennen aufweist; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzahl an über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein einzelnes RF-Signal zu erzeugen; und ein ergänzendes Zwischenfrequenzteilsystem (SIFS), das mit dem RF-Empfängerteilsystem über eine erste Verbindung gekoppelt ist, wobei das SIFS ausgelegt ist, ein IF-Signal basierend auf dem einzelnen RF-Signal zu erzeugen; und ein Basisbandteilsystem (BBS), das mit dem SIFS über eine zweite Verbindung gekoppelt ist, wobei das BBS ausgelegt ist zum: Erzeugen eines abwärtsumgesetzten Signals basierend auf dem IF-Signal; und Umsetzen des abwärtsumgesetzten Signals in ein digitales Datensignal zur Verarbeitung durch ein drahtloses Modem, wobei das SIFS das einzelne RF-Signal von dem RF-Empfängerteilsystem über die erste Verbindung empfängt und das SIFS das einzelne RF-Signal zu der BBS über die zweite Verbindung kommuniziert.
  • In Beispiel 279 weist der Gegenstand von Beispiel 278 optional auf, dass die erste Verbindung ein Koax-Kabel ist und die zweite Verbindung eine Verbindung über PCB-Leiterbahnen ist.
  • In Beispiel 280 weist der Gegenstand eines oder mehrerer der Beispiele 278-279 optional auf, dass das SIFS und das BBS in einem Mehr-Chip-Modul (MCM) oder einem System-in-Package-Modul (SiPM-Modul) zusammen paketiert sind und die zweite Verbindung Via-Signale in einem Package-Substrat des MCM oder des SiPM ist.
  • In Beispiel 281 weist der Gegenstand eines oder mehrerer der Beispiele 278-280 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Verstärker zum Verstärken der Mehrzahl an empfangenen RF-Signalen, um eine Mehrzahl an verstärkter Signale zu erzeugen.
  • In Beispiel 282 weist der Gegenstand von Beispiel 281 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Phasenschieber zum Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; und einen Kombinierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu kombinieren, um ein kombiniertes RF-Signal zu erzeugen.
  • In Beispiel 283 weist der Gegenstand von Beispiel 282 optional auf, dass das RF-Empfängerteilsystem ausgelegt ist, ein Steuersignal von dem drahtlosen Modem über die erste Verbindung und die zweite Verbindung zu empfangen, und wobei das Steuersignal die Signalphase für die durch die Mehrzahl an Phasenschiebern ausgeführten Phasenanpassungen spezifiziert oder unterschiedliche Verstärkungsanpassungen für die Mehrzahl an Verstärkern einstellt.
  • In Beispiel 284 weist der Gegenstand eines oder mehrerer der Beispiele 278-283 optional auf, dass das SIFS Folgendes aufweist: einen Lokaloszillator (LO)-Signalgenerator, der ausgelegt ist, ein Referenztaktsignal von dem BBS zu empfangen und ein LO-Signal zur Abwärtsumsetzung des einzelnen RF-Signals zu erzeugen.
  • In Beispiel 285 weist der Gegenstand von Beispiel 284 optional auf, dass das SIFS Folgendes aufweist: einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des einzelnen RF-Signals, um das IF-Signal basierend auf dem LO-Signal zu erzeugen; und einen Verstärker, der ausgelegt ist, das RF-Signal zu verstärken, um ein verstärktes RF-Signal zu erzeugen.
  • In Beispiel 286 weist der Gegenstand von Beispiel 285 optional auf, dass das BBS Folgendes aufweist: einen LO-Generator zum Erzeugen eines BBS-LO-Signals; einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des verstärkten RF-Signals unter Verwendung des BBS-LO-Signals, um das abwärtsumgesetzte Signal zu erzeugen; und einen Analog/Digital-Umsetzer (ADC) zum Umsetzen des abwärtsumgesetzten Signals in das digitale Datensignal zum Verarbeiten durch das drahtlose Modem.
  • In Beispiel 287 weist der Gegenstand eines oder mehrerer der Beispiele 278-286 optional ein RF-Senderteilsystem auf, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignalen basierend auf einem einzelnen RF-Ausgabesignal zu erzeugen, wobei die erzeugte Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dient.
  • In Beispiel 288 weist der Gegenstand von Beispiel 287 optional auf, dass das RF-Senderteilsystem ferner Folgendes aufweist: einen Verstärker, der ausgelegt ist, das einzelne RF-Ausgabesignal zu verstärken, um ein verstärktes Ausgabesignal zu erzeugen; einen Splitter, der ausgelegt ist, eine Mehrzahl an Signalkopien des einzelnen RF-Ausgabesignals zu erzeugen; eine Mehrzahl an Phasenschiebern, die ausgelegt sind, eine Phase, die der Mehrzahl an Signalkopien zugeordnet ist, zu verschieben, um eine Mehrzahl an phasenverschobenen Ausgabesignalen zu erzeugen; und eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an phasenverschobenen Ausgabesignalen, um die Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe zu erzeugen.
  • In Beispiel 289 weist der Gegenstand von Beispiel 288 optional auf, dass das RF-Senderteilsystem ausgelegt ist, ein Steuersignal von dem BBS über das SIFS zu empfangen, wobei das Steuersignal die Signalphase für Phasenanpassungen, die durch die Mehrzahl an Phasenschiebern ausgeführt werden, spezifiziert.
  • In Beispiel 290 weist der Gegenstand eines oder mehrerer der Beispiele 287-289 optional auf, dass das RF-Senderteilsystem das einzelne RF-Ausgabesignal von dem SIFS über die erste Verbindung empfängt.
  • In Beispiel 291 weist der Gegenstand von Beispiel 290 optional auf, dass das BBS das drahtlose Modem aufweist, und wobei das drahtlose Modem ausgelegt ist, ein Ausgabedatensignal zu erzeugen.
  • In Beispiel 292 weist der Gegenstand von Beispiel 291 optional auf, dass das BBS ferner Folgendes aufweist: einen Digital/Analog-Umsetzer (DAC) zum Umsetzen des Datensignals in ein Ausgabesignal; ein Filter zum Filtern des Ausgabesignals, um ein gefiltertes Ausgabesignal zu erzeugen; und einen Aufwärtsumsetzungsmischer zum Aufwärtsumsetzen des gefilterten Ausgabesignals in ein Zwischenfrequenz- (IF-) Ausgabesignal.
  • In Beispiel 293 weist der Gegenstand von Beispiel 292 optional auf, dass das SIFS ferner Folgendes aufweist: einen Aufwärtsumsetzungsmischer zum Aufwärtsumsetzen des IF-Ausgabesignals, um das einzelne RF-Ausgabesignal zu erzeugen.
  • In Beispiel 294 weist der Gegenstand eines oder mehrerer der Beispiele 287-293 optional auf, dass das RF-Empfängerteilsystem und das RF-Senderteilsystem eine erste adaptive Impedanzanpassungsschaltung aufweisen und das SIFS eine zweite Impedanzanpassungsschaltung aufweist, wobei die erste Impedanzanpassungsschaltung und die zweite Impedanzanpassungsschaltung zum Anpassen der Impedanz, die der ersten Verbindung zugeordnet ist, dienen.
  • Beispiel 295 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: ein erstes PCB-Substrat, wobei das erste PCB-Substrat Folgendes aufweist: eine phasengesteuerte Antennengruppe aus mehreren Antennen; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzahl an über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein RF-Eingabesignal zu erzeugen; und ein RF-Senderteilsystem, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignalen basierend auf einem RF-Ausgabesignal zu erzeugen, wobei die erzeugte Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dient; ein zweites PCB-Substrat, das mit dem ersten PCB-Substrat über eine erste Verbindung gekoppelt ist, wobei das zweite PCB-Substrat ein ergänzendes Zwischenfrequenzmodul (SIFS) aufweist, wobei das SIFS ausgelegt ist zum: Erzeugen des RF-Ausgabesignals unter Verwendung eines IF-Ausgabesignals; und Erzeugen eines IF-Eingabesignals unter Verwendung des RF-Eingabesignals; und ein drittes PCB-Substrat, das mit dem zweiten PCB-Substrat über eine zweite Verbindung gekoppelt ist, wobei das dritte PCB-Substrat ein Basisbandteilsystem (BBS) aufweist, wobei das BBS ausgelegt ist zum: Erzeugen eines digitalen Datensignals unter Verwendung des IF-Eingabesignals, wobei das digitale Datensignal zur Verarbeitung durch ein drahtloses Modem dient; und Erzeugen des IF-Ausgabesignals basierend auf wenigstens einem weiteren digitalen Datensignal, das durch das drahtlose Modem erzeugt wird, wobei das SIFS das RF-Eingabesignal von dem RF-Empfängerteilsystem über die erste Verbindung empfängt.
  • In Beispiel 296 weist der Gegenstand von Beispiel 295 optional auf, dass das SIFS ausgelegt ist, das IF-Ausgabesignal von dem BBS über die zweite Verbindung zu empfangen.
  • In Beispiel 297 weist der Gegenstand eines oder mehrerer der Beispiele 295-296 optional auf, dass die erste Verbindung ein einzelnes Koax-Kabel ist, und wobei die zweite Verbindung eine Verbindung über PCB-Leiterbahnen ist.
  • In Beispiel 298 weist der Gegenstand eines oder mehrerer der Beispiele 295-297 optional auf, dass das SIFS Folgendes aufweist: einen Lokaloszillator (LO)-Signalgenerator, der ausgelegt ist, ein Referenztaktsignal von dem BBS zu empfangen und ein LO-Signal zur Abwärtsumsetzung des RF-Eingabesignals und Aufwärtsumsetzung des IF-Ausgabesignals zu erzeugen.
  • In Beispiel 299 weist der Gegenstand von Beispiel 298 optional auf, dass das SIFS Folgendes aufweist: einen Abwärtsumsetzungsmischer zum Abwärtsumsetzen des RF-Eingabesignals, um das IF-Eingabesignal basierend auf dem LO-Signal zu erzeugen; und einen Aufwärtsumsetzungsmischer zum Aufwärtsumsetzen des IF-Ausgabesignals von dem BBS, um das RF-Ausgabesignal basierend auf dem LO-Signal zu erzeugen.
  • In Beispiel 300 weist der Gegenstand eines oder mehrerer der Beispiele 295-299 optional auf, dass das RF-Empfängerteilsystem Folgendes aufweist: eine Mehrzahl an Verstärkern zum Verstärken der Mehrzahl an empfangenen RF-Signalen, um eine Mehrzahl an verstärkten Signale zu erzeugen; eine Mehrzahl an Phasenschiebern zum Ausführen von Phasenanpassungen und Verschieben einer Phase, die der Mehrzahl an verstärkten Signalen zugeordnet ist, um eine Mehrzahl an phasenverschobenen Signalen zu erzeugen; einen Kombinierer, der ausgelegt ist, die Mehrzahl an phasenverschobenen Signalen zu kombinieren, um das RF-Eingabesignal zum Übertragen zu dem SIFS zu erzeugen.
  • In Beispiel 301 weist der Gegenstand von Beispiel 300 optional auf, dass das RF-Empfängerteilsystem ausgelegt ist, ein Steuersignal von dem BBS über das SIFS und die erste Verbindung zu empfangen, wobei das Steuersignal die Signalphase für die durch die Mehrzahl an Phasenschiebern ausgeführten Phasenanpassungen spezifiziert oder unterschiedliche Verstärkungsanpassungen für die Mehrzahl an Verstärkern einstellt.
  • In Beispiel 302 weist der Gegenstand eines oder mehrerer der Beispiele 18-24 optional eine G-Drahtlos-Spezifikation auf.
  • Beispiel 303 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: wenigstens ein erstes Hochfrequenz-Frontend-Modul (RFEM) und wenigstens ein zweites RFEM, wobei jedes aus dem ersten und dem zweiten RFEM Folgendes aufweist: eine phasengesteuerte Antennengruppe aus einer Mehrzahl an Antennen; ein RF-Empfängerteilsystem, das ausgelegt ist, eine Mehrzahl an über die phasengesteuerte Antennengruppe empfangener RF-Signale zu verarbeiten, um ein an RF-Eingabesignal zu erzeugen; und ein RF-Senderteilsystem, das ausgelegt ist, eine Mehrzahl an RF-Ausgabesignalen basierend auf einem RF-Ausgabesignal zu erzeugen, wobei die erzeugte Mehrzahl an RF-Ausgabesignalen zum Senden über die phasengesteuerte Antennengruppe dient; ein ergänzendes Zwischenfrequenz-Teilsystem (SIFS), wobei das SIFS ausgelegt ist zum: Erzeugen des RF-Ausgabesignals unter Verwendung eines IF-Ausgabesignals; und Erzeugen eines IF-Eingabesignals unter Verwendung des RF-Eingabesignals; und ein Basisbandteilsystem (BBS), wobei das BBS ausgelegt ist zum: Erzeugen eines digitalen Datensignals unter Verwendung des IF-Eingabesignals, wobei das digitale Datensignal zur Verarbeitung durch ein drahtloses Modem dient; und Erzeugen des IF-Ausgabesignals basierend auf wenigstens einem weiteren digitalen Datensignal, das durch das drahtlose Modem erzeugt wird, und einem Lokaloszillator (LO)-Signal.
  • In Beispiel 304 weist der Gegenstand von Beispiel 303 optional auf, dass das BBS eine Frequenzquelle aufweist, die ausgelegt ist, das LO-Signal zu erzeugen, und wobei der Lokaloszillator-Generator von dem BBS und dem SIFS gemeinsam verwendet wird.
  • In Beispiel 305 weist der Gegenstand von Beispiel 304 optional auf, dass das SIFS eine zweite Frequenzquelle aufweist, die ausgelegt ist, ein zweites LO-Signal zum Erzeugen des RF-Ausgabesignals und des IF-Eingabesignals zu erzeugen.
  • In Beispiel 306 weist der Gegenstand von Beispiel 305 optional auf, dass die zweite Frequenzquelle ausgelegt ist, ein LO-Referenzsignal von dem LO-Generator innerhalb des BBS zu empfangen.
  • In Beispiel 307 weist der Gegenstand eines oder mehrere der Beispiele 303-306 optional auf, dass das wenigstens eine RFEM und das wenigstens eine zweite RFEM in unterschiedlichen Frequenzbändern arbeiten.
  • In Beispiel 308 weist der Gegenstand von Beispiel 307 optional auf, dass das SIFS ausgelegt ist, unterschiedliche RF-Signale unter Verwendung unterschiedlicher Aufwärtsumsetzungs- und Abwärtsumsetzungsfrequenzen, die den unterschiedlichen Frequenzbändern des wenigstens ersten und zweiten RFEMs entsprechen, zu erzeugen.
  • Beispiel 309 ist eine Einrichtung, die Folgendes aufweist: einen Halbleiter-Die, wobei der Halbleiter-Die eine Mehrzahl an Leistungsverstärkern aufweist, die ausgelegt sind, eine Mehrzahl an Signalen über eine entsprechende Mehrzahl an Signalleitungen zu empfangen und eine Mehrzahl an verstärkten Signalen basierend auf den empfangenen Signalen zu erzeugen; und ein PCB-Substrat, das mit dem Halbleiter-Die gekoppelt ist, wobei das PCB-Substrat einen RF-Leistungskombinierer aufweist, der mit der Mehrzahl an Leistungsverstärkern gekoppelt ist und ausgelegt ist, die Mehrzahl an verstärkten Signalen zu kombinieren, um ein einzelnes kombiniertes Signale zum Senden zu erzeugen.
  • In Beispiel 310 weist der Gegenstand von Beispiel 309 optional eine Sendeempfängerschaltung; und eine Antenne innerhalb des PCB-Substrats auf, wobei die Sendeempfängerschaltung ausgelegt ist, das einzelne kombinierte Signal über die Antenne zu senden.
  • In Beispiel 311 weist der Gegenstand eines oder mehrerer der Beispiele 309-310 optional auf, dass der Halbleiter-Die mehrere Lotperlen aufweist, die die mehreren Leistungsverstärker mit einer entsprechenden Mehrzahl an Signaleingängen des Leistungskombinierers koppeln.
  • In Beispiel 312 weist der Gegenstand eines oder mehrerer der Beispiele 309-311 optional auf, dass der Leistungskombinierer ein Wilkinson-Zweiwege-Leistungskombinierer ist.
  • In Beispiel 313 weist der Gegenstand eines oder mehrerer der Beispiele 309-312 optional einen Leistungskombinierer auf.
  • In Beispiel 314 weist der Gegenstand eines oder mehrerer der Beispiele 309-313 optional auf, dass der Leistungskombinierer eine Mehrzahl an Übertragungsleitungen aufweist, die zum Empfangen der Mehrzahl an verstärkten Signalen ausgelegt sind.
  • In Beispiel 315 weist der Gegenstand von Beispiel 314 optional auf, dass jede der Mehrzahl an Übertragungsleitungen eine Viertelwellenlängen-Übertragungsleitung aufweist.
  • Beispiel 316 ist eine drahtlose Kommunikationsvorrichtung, die Folgendes aufweist: einen drahtlosen Sendeempfänger; einen Halbleiter-Die, der eine Mehrzahl an Leistungsverstärkern aufweist, die dem drahtlosen Sendeempfänger zugeordnet sind, wobei die eine Mehrzahl Leistungsverstärkern ausgelegt sind zum: Empfangen eine Mehrzahl an Ausgabesignalen über eine entsprechende Mehrzahl an Signalleitungen; und Verstärken der Mehrzahl an Ausgabesignalen, um eine Mehrzahl an verstärkte Signale zu erzeugen; ein PCB-Substrat, das mit dem Halbleiter-Die gekoppelt ist, wobei das PCB-Substrat einen RF-Leistungskombinierer aufweist, der mit der Mehrzahl an Leistungsverstärkern gekoppelt ist und ausgelegt ist, die Mehrzahl an verstärkten Signalen zu kombinieren, um ein einzelnes kombiniertes Signale zu erzeugen; und eine Antenne, wobei der drahtlose Sendeempfänger ausgelegt ist, das einzelne kombinierte Signal über die Antennengruppe drahtlos zu senden.
  • In Beispiel 317 weist der Gegenstand von Beispiel 316 optional auf, dass einer oder mehrere der Mehrzahl an Leistungsverstärkern Komponenten des drahtlosen Sendeempfängers sind.
  • In Beispiel 318 weist der Gegenstand eines oder mehrerer der Beispiele 316-317 optional auf, dass die Antenne eine phasengesteuerte Antennengruppe ist, die auf dem PCB-Substrat angeordnet ist.
  • In Beispiel 319 weist der Gegenstand eines oder mehrerer der Beispiele 316-318 optional eine Impedanztransformationsvorrichtung auf, die ausgelegt ist, eine Impedanz eines oder mehrerer der Mehrzahl an Leistungsverstärkern und eines Anschlusspunkts des drahtlosen Sendeempfängers anzupassen.
  • In Beispiel 320 weist der Gegenstand von Beispiel 319 optional auf, dass der Anschlusspunkt die Antenne ist.
  • In Beispiel 321 weist der Gegenstand eines oder mehrerer der Beispiele 319-320 optional auf, dass der Anschlusspunkt ein Anschluss auf dem PCB-Substrat zum Koppeln des Prüf-Equipment ist, um eine oder mehrere Signaleigenschaften des drahtlosen Sendeempfängers zu messen.
  • In Beispiel 322 weist der Gegenstand eines oder mehrerer der Beispiele 319-320 optional auf, dass die Impedanztransformationsvorrichtung auf dem PCB-Substrat angeordnet ist.
  • In Beispiel 323 weist der Gegenstand von Beispiel 322 optional auf, dass die Impedanztransformationsvorrichtung mit dem einen oder den mehreren der Mehrzahl an Leistungsverstärkern über wenigstens eine einer Mehrzahl an Lotperlen gekoppelt ist, wobei die Mehrzahl an Lotperlen den Halbleiter-Die mit dem PCB-Substrat koppeln, um ein Halbleiter-Package zu bilden.
  • In Beispiel 324 weist der Gegenstand eines oder mehrerer der Beispiele 319-323 optional auf, dass die Impedanztransformationsvorrichtung eine Komponente des RF-Leistungskombinierers ist.
  • In Beispiel 325 weist der Gegenstand eines oder mehrerer der Beispiele 316-324 optional ein 5G-Kommunikationsprotokoll auf.
  • Beispiel 326 ist eine Einrichtung, die Folgendes aufweist: einen Halbleiter-Die, wobei der Halbleiter-Die einen ersten Leistungsverstärker und einen zweiten Leistungsverstärker aufweist, wobei der erste und der zweite Leistungsverstärker ausgelegt sind, ein Eingabesignal zu empfangen und ein erstes verstärktes Signal und ein zweites verstärktes Signal zu erzeugen; und ein PCB-Substrat, das mit dem Halbleiter-Die gekoppelt ist, wobei das PCB-Substrat ein RF-Kombinierernetz aufweist, das mit der Mehrzahl an Leistungsverstärkern gekoppelt ist und ausgelegt ist, das erste verstärkte Signal und das zweite verstärkte Signal zu kombinieren, um ein einzelnes kombiniertes Signal zum Senden zu erzeugen, wobei der Halbleiter-Die mehrere Lotperlen aufweist, die den ersten Leistungsverstärker und den zweiten Leistungsverstärker mit einer ersten Übertragungsleitung bzw. einer zweiten Übertragungsleitung koppeln, um das einzelne kombinierte Signal zu erzeugen.
  • In Beispiel 327 weist der Gegenstand von Beispiel 326 optional auf, dass der erste Leistungsverstärker, der zweite Leistungsverstärker und das RF-Kombinierernetz einen Doherty-Verstärker aufweisen.
  • In Beispiel 328 weist der Gegenstand eines oder mehrerer der Beispiele 326-327 optional auf, dass der erste Leistungsverstärker ein Trägerleistungsverstärker ist und der zweite Leistungsverstärker ein Spitzenleistungsverstärker ist.
  • In Beispiel 329 weist der Gegenstand von Beispiel 328 optional auf, dass das RF-Kombinierernetz Folgendes aufweist: eine erste versetzte Übertragungsleitung, die mit dem Trägerleistungsverstärker gekoppelt ist; eine zweite versetzte Übertragungsleitung, die mit dem Spitzenleistungsverstärker gekoppelt ist; und wenigstens eine Viertelwellenlängen-Übertragungsleitung, die mit einer Antenne auf der PCB gekoppelt ist, wobei die wenigstens eine Viertelwellenlängen-Übertragungsleitung ausgelegt ist, das einzelne kombinierte Signal zum Senden durch die Antenne zu empfangen.
  • Beispiel 330 ist ein Funkteilsystem mit geringem Verlust, das Folgendes aufweist: wenigstens einen Silizium-Die, der ausgelegt ist, elektronische Schaltungen aufzunehmen, die betreibbar sind, primär nur elektronische Signale allein zum Betrieb einer vorbestimmten Anzahl von Antennen zu erzeugen; ein laminares Substrat, das mehrere parallele Schichten aufweist, wobei der wenigstens eine Silizium-Die in das laminare Substrat eingebettet ist; die vorbestimmte Anzahl von Antennen, die ausgelegt sind, nur mit den elektronischen Signalen zu arbeiten, die auf oder innerhalb einer ersten Schicht des laminaren Substrats oder auf oder innerhalb sowohl der ersten Schicht als auch einer zweiten Schicht des laminaren Substrats angeordnet sind; und eine leitfähige Signalzuführungsstruktur, die zwischen dem wenigstens einen Silizium-Die und der vorbestimmten Anzahl von Antennen verbunden ist und ausgelegt ist, die elektronischen Signale der vorbestimmten Anzahl von Antennen zuleiten.
  • In Beispiel 331 weist der Gegenstand von Beispiel 330 optional auf, dass der wenigstens eine eingebettete Silizium-Die mehrere eingebettete Silizium-Dies aufweist und die vorbestimmte Anzahl von Antennen eine Mehrzahl an jeweils vorbestimmter Anzahlen von Antennen aufweist, und wobei die leitfähige Signalzuleitungsstruktur eine Mehrzahl an Signalzuleitungsleiterbahnen aufweist, die mit entsprechenden der eine Mehrzahl an eingebetteten Silizium-Dies und entsprechenden der jeweiligen eine Mehrzahl an vorbestimmten Anzahlen von Antennen verbunden sind.
  • In Beispiel 332 weist der Gegenstand eines oder mehrerer der Beispiele 330-331 optional auf, dass die laminare Struktur mehrere dicht gepackte Kontakte aufweist, die jeweils den wenigstens einen eingebetteten Silizium-Die umgeben und ausgelegt sind, eine Abschirmung gegen Hochfrequenzstörungs (RFI) und elektromagnetische Störung (EMI) für den wenigstens einen eingebetteten Silizium-Die bereitzustellen.
  • In Beispiel 333 weist der Gegenstand von Beispiel 332 optional auf, dass der wenigstens eine eingebettete Silizium-Die mehrere eingebettete Silizium-Dies aufweist und die laminare Struktur Vielzahlen dicht gepackter Kontakte aufweist, wobei jede der Vielzahlen einen entsprechenden der Mehrzahl an eingebetteten Silizium-Dies umgibt und ausgelegt ist, die entsprechenden RFI- und EMI-Abschirmungen für den entsprechenden der Mehrzahl an eingebetteten Silizium-Dies bereitzustellen.
  • In Beispiel 334 weist der Gegenstand eines oder mehrerer der Beispiele 331-333 optional auf, dass die mehreren eingebetteten Silizium-Dies miteinander gekoppelt sind und ausgelegt sind, durch mehrere Software-Anweisungen, die durch eine zentrale Verarbeitungseinheit ausgeführt werden, gesteuert zu werden.
  • In Beispiel 335 weist der Gegenstand eines oder mehrerer der Beispiele 331-334 optional auf, dass das laminare Substrat auf einem zweiten laminaren Substrat, das eine zweite Mehrzahl an entsprechenden zweiten vorbestimmten Anzahlen zweiter Antennen aufweist, gestapelt und physikalisch mit ihm verbunden ist, wobei das zweite laminare Substrat eine zweite Mehrzahl an eingebetteten Silizium-Diee aufweist, von denen jeder ausgelegt ist, elektronische Schaltungen, die betreibbar sind, primär nur elektronische Signale zum Betrieb einzelner der zweiten Mehrzahl an jeweiligen zweiten vorbestimmten Anzahlen von Antennen zu erzeugen, und eine Mehrzahl an Speiseleiterbahnen, die mit entsprechenden der zweiten Mehrzahl an jeweiligen zweiten vorbestimmten Anzahlen von zweiten Antennen verbunden sind, aufzuweisen.
  • In Beispiel 336 weist der Gegenstand von Beispiel 335 optional auf, dass das laminare Substrat parallel zu dem zweiten laminaren Substrat oder senkrecht zu dem zweiten laminaren Substrat ist.
  • In Beispiel 337 weist der Gegenstand eines oder mehrerer der Beispiele 335-336 optional auf, dass ein erster der Mehrzahl an eingebetteten Silizium-Dies Signale in einem ersten Frequenzbereich erzeugt und ein zweiter der Mehrzahl an eingebetteten Silizium-Dies Signale in einem zweiten Frequenzbereich erzeugt.
  • Beispiel 338 ist ein nicht eingegossenes Funkteilsystem, das Folgendes aufweist: ein laminares Substrat, das eine Mehrzahl an parallelen Schichten aufweist; einen Silizium-Die, der in das laminare Substrats eingebettet ist, eine Dual-Patchantenne, die einen ersten Patch und einen zweiten Patch aufweist, wobei der erste Patch auf oder innerhalb einer ersten Schicht der Mehrzahl an Schichten des laminaren Substrats oder auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD), die mit der ersten Schicht verbunden ist, angeordnet ist, und der zweite Patch auf oder innerhalb einer zweiten Schicht der Mehrzahl an Schichten des laminaren Substrats angeordnet ist und mit dem Silizium-Die gekoppelt ist; und eine Masseebene, die auf einer dritten Schicht der Mehrzahl an parallelen Schichten angeordnet ist, wobei der Abstand zwischen dem ersten Patch und dem zweiten Patch und der Abstand zwischen dem zweiten Patch und der Masseebene ausgewählt sind, um eine gewünschte Bandbreite bereitzustellen.
  • In Beispiel 339 weist der Gegenstand von Beispiel 338 optional auf, dass das laminare Substrat auf einem zweiten laminaren Substrat, das eine zweite Mehrzahl an parallelen Schichten aufweist, gestapelt und physikalisch mit ihm verbunden ist, wobei das zweite laminare Substrat eine Mehrzahl an Antennen aufweist, die mit dem eingebetteten Silizium-Die durch eines oder mehrere Vias gekoppelt sind, und die zweite Mehrzahl an Antennen als eine Antennengruppe angeordnet ist.
  • In Beispiel 340 weist der Gegenstand von Beispiel 339 optional auf, dass das laminare Substrat parallel zu dem zweiten laminaren Substrat oder senkrecht zu dem zweiten laminaren Substrat ist.
  • In Beispiel 341 weist der Gegenstand eines oder mehrerer der Beispiele 339-340 optional auf, dass eine Antenne ein erstes Antennenelement und ein zweites Antennenelement aufweist und das erste Antennenelement auf oder in dem laminaren Substrat angeordnet ist und das zweite Antennenelement auf oder in dem zweiten laminaren Substrat angeordnet ist.
  • In Beispiel 342 weist der Gegenstand eines oder mehrerer der Beispiele 338-341 optional auf, dass eine flexible Verbindung das laminare Substrat mit einem dritten laminaren Substrat, das mehrere Antennen aufweist, verbindet.
  • In Beispiel 343 weist der Gegenstand von Beispiel 342 optional auf, dass die flexbile Verbindung mit dem laminaren Substrat durch Löten oder durch Crimpen verbunden ist.
  • Beispiel 344 ist ein Funkteilsystem, das Folgendes aufweist: ein erstes Substrat, das eine erste PCB aufweist; ein zweites Substrat, das eine Gussmasse aufweist; und ein drittes Substrat, das eine zweite PCB aufweist, wobei das zweite Substrat einen oder mehrere eingebettete Silizium-Dies aufweist, die mit einer Mehrzahl an Antennengruppen durch Durchguss-Vias und durch leitfähige Umverteilungsschichten (RDLs) verbunden sind, wobei Komponententeile des zweiten Substrats separat konstruiert und zusammen gelötet sind und die Gussmasse durch einen Fließprozess aufgebracht wird, nachdem die Komponententeile des zweiten Substrats zusammen gelötet sind.
  • In Beispiel 345 weist der Gegenstand von Beispiel 344 optional auf, dass die RDLs auch innerhalb des ersten Substrats oder des dritten Substrats oder innerhalb des ersten Substrats und des dritten Substrats sind.
  • In Beispiel 346 weist der Gegenstand eines oder mehrerer der Beispiele 344-345 optional auf, dass die RDLs nur innerhalb des zweiten Substrats sind.
  • In Beispiel 347 weist der Gegenstand eines oder mehrerer der Beispiele 344-346 optional auf, dass die RDLs Lotperlen, Schachbrettanordnungs- (LGA-) Kontaktstellen oder Kugelgitteranordnungs-(BGA-) Kontaktstellen aufweisen.
  • In Beispiel 348 weist der Gegenstand eines oder mehrerer der Beispiele 344-347 optional auf, dass die Komponententeile des zweiten Substrats Durchguss-Vias und RDLs aufweisen.
  • In Beispiel 349 weist der Gegenstand eines oder mehrerer der Beispiele 344-348 optional auf, dass die Durchguss-Vias einzelne Säulen aufweisen.
  • In Beispiel 350 weist der Gegenstand eines oder mehrerer der Beispiele 344-349 optional auf, dass der eine oder die mehreren eingebetteten Silizium-Dies in dem zweiten Substrat geprüft werden, bevor das erste Substrat, das zweite Substrat und das dritte Substrat aufeinander gestapelt und physikalisch miteinander verbunden werden.
  • In Beispiel 351 weist der Gegenstand eines oder mehrerer der Beispiele 344-350 optional auf, dass das erste Substrat oder das dritte Substrat auf eine Hauptplatine einer Benutzervorrichtung durch Lotperlen gelötet ist und die Benutzervorrichtung ein Telefon, ein Tablet oder eine andere mobile Vorrichtung enthält.
  • Beispiel 352 ist ein Funkteilsystem, das Folgendes aufweist: ein erstes Substrat; ein zweites Substrat, das wenigstens einen eingebetteten Silizium-Die aufweist; ein drittes Substrats; eine SMD, die mit dem ersten Substrat elektrisch verbunden ist, und ein Antennenelement, das einen Abschnitt aufweist, der teilweise innerhalb des ersten Substrats und teilweise innerhalb der SMD angeordnet ist, und durch eine leitfähige Schicht, die mit dem wenigstens einen eingebetteten Die gekoppelt ist, gespeist wird.
  • In Beispiel 353 weist der Gegenstand von Beispiel 352 optional auf, dass das Antennenelement ferner teilweise innerhalb des zweiten Substrats und des dritten Substrats angeordnet ist und das Antennenelement durch eine zweite leitfähige Schicht, die mit dem wenigstens einen eingebetteten Die gekoppelt ist, gespeist wird.
  • In Beispiel 354 weist der Gegenstand eines oder mehrerer der Beispiele 352-353 optional auf, dass das Antennenelement ein Durchguss-Via aufweist.
  • In Beispiel 355 weist der Gegenstand eines oder mehrerer der Beispiele 352-354 optional auf, dass die SMD zwei parallele Seiten aufweist und ein Abschnitt des Antennenelements auf einer der parallelen Seiten angeordnet ist.
  • In Beispiel 356 weist der Gegenstand eines oder mehrerer der Beispiele 352-355 optional auf, dass der Abschnitt des Antennenelements, der innerhalb der SMD angeordnet ist, kürzer ist als der Abschnitt des Antennenelements, der innerhalb des ersten Substrats, des zweiten Substrats und des dritten Substrats angeordnet ist.
  • In Beispiel 357 weist der Gegenstand eines oder mehrerer der Beispiele 352-356 optional auf, dass der Abschnitt des Antennenelements, der auf einer der parallelen Seiten der SMD angeordnet ist, kürzer ist als entweder der Abschnitt des Antennenelements, der innerhalb der SMD angeordnet ist, oder der Abschnitt des Antennenelements, der innerhalb des ersten Substrats angeordnet ist.
  • In Beispiel 358 weist der Gegenstand eines oder mehrerer der Beispiele 352-357 optional auf, dass die wenigstens eine SMD auf oder innerhalb einer Antennenplatine angeordnet ist, die auf dem ersten Substrat gestapelt und physikalisch mit ihm verbunden ist, und die Antennenplatine einen Abschnitt des Antennenelements aufweist.
  • Beispiel 359 ist ein Package-auf-Package-Funkteilsystem, das Folgendes aufweist: ein erstes Substrat, das wenigstens einen eingebetteten Die aufweist; eine erste Mehrzahl an Antennen, die auf dem ersten Substrat angeordnet sind; eine Mehrzahl an leitfähigen Umverteilungsschichten (RDLs), die den wenigstens einen eingebetteten Die mit der ersten Mehrzahl an Antennen koppeln; und eine Antennenplatine, die eine zweite Mehrzahl an Antennen aufweist, wobei die Antennenplatine auf dem ersten Substrat gestapelt und physikalisch mit ihm verbunden ist, wobei die RDLs den wenigstens einen eingebetteten Die mit der zweiten Mehrzahl an Antennen durch Lötkontakte koppeln.
  • In Beispiel 360 weist der Gegenstand von Beispiel 359 optional auf, dass die RDLs leitfähige horizontale Schichten aufweisen.
  • In Beispiel 361 weist der Gegenstand eines oder mehrerer der Beispiele 359-360 optional auf, dass wenigstens einige der RDLs auf den wenigstens einen eingebetteten Die gedruckt sind.
  • In Beispiel 362 weist der Gegenstand eines oder mehrerer der Beispiele 359-361 optional auf, dass der wenigstens eine eingebettete Die durch eine Gussmasse eingekapselt ist.
  • Beispiel 363 ist ein eingegossenes Package-auf-Package-Funkteilsystem, das Folgendes aufweist: eine erste Schicht aus parallelen Leitern; eine erste Mehrzahl an Antennenelementen, die auf der ersten Schicht angeordnet sind; und eine zweite Schicht, die eine Gusseinkapselung, eine Mehrzahl an Durchguss-Vias, wenigstens einen eingebetteten Die und eine Mehrzahl an leitfähigen Umverteilungsschichten aufweist, wobei der wenigstens eine eingebettete Die mit wenigstens einer der ersten Mehrzahl an Antennen durch eine oder mehrere der Mehrzahl an leitfähigen Umverteilungsschichten und einen oder mehrere der parallelen Leiter verbunden ist.
  • In Beispiel 364 weist der Gegenstand von Beispiel 363 optional ein Verbindungselement zum Übertragen von Hochfrequenzsignalen zu der Package-auf-Package-Konfiguration auf, wobei das Verbindungselement in einer Aussparung in der ersten Schicht platziert ist.
  • In Beispiel 365 weist der Gegenstand eines oder mehrerer der Beispiele 363-364 optional auf, dass der wenigstens eine eingebettete Die durch eine innerhalb der Gusseinkapselung angeordnete metallische Abschirmung abgeschirmt ist, und wobei die metallische Abschirmung innerhalb der zweiten Schicht vor dem Einführen der Gusseinkapselung in die zweite Schicht gelötet wird.
  • Beispiel 366 ist ein ultradünnes Funkteilsystem, das Folgendes aufweist: ein kernloses Substrat, das parallele leitfähige Schichten aufweist; wenigstens einen Halbleiter-Die, der auf dem kernlosen Substrat angeordnet ist; und eine Mehrzahl an Antennen, die sich lateral von dem kernlosen Substrat befinden, wobei das Volumen der Mehrzahl an Antennen größer ist als das Volumen des kernlosen Substrats.
  • In Beispiel 367 weist der Gegenstand von Beispiel 366 optional auf, dass die Mehrzahl an Antennen eine Antennengruppe enthalten, die durch eine der parallelen leitfähigen Schichten gespeist wird.
  • In Beispiel 368 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 330 bis 367 enthalten oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 330 bis 367, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 330 bis 367 ausführt.
  • Beispiel 369 ist eine Antennenvorrichtung mit mehrschichtigem gestapelten Ringresonator (SRR), die Folgendes aufweist: mehrere Ringresonatoren, die auf einer ersten Substratschicht eines mehrschichtigen PCB-Substrats angeordnet sind; wenigstens einen weiteren Ringresonator, der auf einer zweiten Substratschicht des PCB-Substrats angeordnet ist; und eine Antennenzuleitung, die auf einer dritten Substratschicht des PCB-Substrats angeordnet ist, wobei die Antennenzuleitung mit dem wenigstens einen weiteren Ringresonator galvanisch gekoppelt ist und die mehreren Ringresonatoren miteinander und mit dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt sind.
  • In Beispiel 370 weist der Gegenstand von Beispiel 369 optional eine Antennenmasseebene auf, die auf einer vierten Substratschicht des PCB-Substrats angeordnet ist, wobei die vierte Substratschicht benachbart der dritten Substratschicht ist, wobei die Antennenmasseebene mit den mehreren Ringresonatoren und dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt ist.
  • In Beispiel 371 weist der Gegenstand von Beispiel 370 optional auf, dass die erste Substratschicht und die zweite Substratschicht durch eine erste isolierende Substratschicht getrennt sind und wobei die dritte und die vierte Substratschicht von der zweiten Substratschicht durch eine zweite isolierende Substratschicht getrennt sind.
  • In Beispiel 372 weist der Gegenstand eines oder mehrerer der Beispiele 369-371 optional mehrere Dipole auf, die auf der ersten Substratschicht und der zweiten Substratschicht angeordnet sind.
  • In Beispiel 373 weist der Gegenstand von Beispiel 372 optional auf, dass die mehreren Dipole nicht resonante Dipole enthalten, die orthogonal zu einem elektrischen Feld der SRR-Antennenvorrichtung angeordnet sind.
  • In Beispiel 374 weist der Gegenstand eines oder mehrerer der Beispiele 372-376 optional auf, dass die mehreren Dipole eine Metalldichte der SRR-Antennenvorrichtung erhöhen, um die Substratwölbung des mehrschichtigen PCB-Substrats zu reduzieren.
  • In Beispiel 375 weist der Gegenstand eines oder mehrerer der Beispiele 369-374 optional einen Impedanzwandler auf, der innerhalb einer oder mehrerer zusätzlicher Substratschichten des PCB-Substrats angeordnet ist, wobei der Impedanzwandler mit einer integrierten Schaltung und der Antennenzuleitung durch die eine oder die mehreren zusätzlichen Substratschichten gekoppelt ist.
  • In Beispiel 376 weist der Gegenstand von Beispiel 375 optional auf, dass der Impedanzwandler ein koaxialer Impedanzwandler ist, der mehrere Vias aufweist, und wobei wenigstens eine der mehreren Vias die integrierte Schaltung mit der Antennenzuleitung durch das eine oder die mehreren zusätzlichen Substrate koppelt.
  • In Beispiel 377 weist der Gegenstand eines oder mehrerer der Beispiele 375-376 optional auf, dass der Impedanzwandler ausgelegt ist, eine Impedanz eines Signalpfads zwischen der integrierten Schaltung und der Antennenzuleitung an eine oder mehrere Resonanzfrequenzen anzupassen.
  • In Beispiel 378 weist der Gegenstand eines oder mehrerer der Beispiele 375-377 optional auf, dass die integrierte Schaltung an einer Außenfläche wenigstens einer zusätzlichen Substratschicht gegenüber den mehreren Ringresonatoren angeordnet ist.
  • In Beispiel 379 weist der Gegenstand eines oder mehrerer der Beispiele 369-378 optional auf, dass die Antennenzuleitung mehrere Speiseleitungen aufweist, die mit einem oder mehreren Antennenanschlüssen des wenigstens einen weiteren Ringresonators auf der zweiten Substratschicht gekoppelt sind.
  • In Beispiel 380 weist der Gegenstand von Beispiel 379 optional auf, dass der wenigstens eine weitere Ringresonator ausgelegt ist, ein vertikal polarisiertes Signal und/oder ein horizontal polarisiertes Signal über die mehreren Speiseleitungen zu empfangen.
  • In Beispiel 381 weist der Gegenstand eines oder mehrerer der Beispiele 379-380 optional eine Ω-Streifenleitung auf.
  • In Beispiel 382 weist der Gegenstand eines oder mehrerer der Beispiele 379-381 optional auf, dass jede der mehreren Speiseleitungen ferner mehrere Vias aufweist, die eine Abschirmung bilden.
  • In Beispiel 383 weist der Gegenstand eines oder mehrerer der Beispiele 379-382 optional eine Ω-Streifenleitung auf, die durch mehrere Masse-Vias abgeschirmt ist.
  • Beispiel 384 ist eine drahtlose Kommunikationsvorrichtung zum Kommunizieren und/oder Verarbeiten von Hochfrequenzsignalen, wobei die Vorrichtung Folgendes aufweist: eine Antenne mit gestapeltem Ringresonator (SRR-Antenne) auf einem mehrschichtigen PCB-Substrat; und eine integrierte Schaltung eines drahtlosen Sendeempfängers, die ausgelegt ist, drahtlose Signale über die SRR-Antenne zu senden oder zu empfangen, wobei die SRR-Antenne Folgendes aufweist: mehrere Ringresonatoren, die auf einer ersten Substratschicht des PCB-Substrats angeordnet sind; wenigstens einen weiteren Ringresonator, der auf einer zweiten Substratschicht des PCB-Substrats angeordnet ist; eine Antennenmasseebene, die auf einer dritten Substratschicht des PCB-Substrats angeordnet ist; und eine Antennenzuleitung, die auf der vierten Substratschicht des PCB-Substrats angeordnet ist, wobei die vierte Substratschicht der dritten Substratschicht benachbart ist, wobei die Antennenmasseebene mit den mehreren Ringresonatoren und dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt ist und wobei die Antennenzuleitung eine 25 Ω-Streifenleitung ist, die mit dem wenigstens einen weiteren Ringresonator an einem oder mehreren Antennenspeiseanschlüssen galvanisch gekoppelt ist.
  • In Beispiel 385 weist der Gegenstand von Beispiel 384 optional auf, dass die mehreren Ringresonatoren zwei Ringresonatoren aufweisen, die miteinander und mit dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt sind.
  • In Beispiel 386 weist der Gegenstand eines oder mehrerer der Beispiele 384-385 optional auf, dass die mehreren Ringresonatoren vier Ringresonatoren aufweisen, die miteinander und mit dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt sind.
  • In Beispiel 387 weist der Gegenstand eines oder mehrerer der Beispiele 384-386 optional auf, dass die SRR-Antenne ferner Folgendes aufweist: einen Impedanzwandler, der innerhalb einer oder mehrerer zusätzlicher Substratschichten des PCB-Substrats angeordnet ist, wobei der Impedanzwandler mit einer integrierten Schaltung und der Antennenzuleitung durch die eine oder die mehreren zusätzlichen Substratschichten gekoppelt ist.
  • In Beispiel 388 weist der Gegenstand von Beispiel 387 optional auf, dass der Impedanzwandler ein koaxialer Impedanzwandler ist, der mehrere Vias aufweist, und wobei wenigstens eine der mehreren Vias die integrierte Schaltung mit der Antennenzuleitung durch das eine oder die mehreren zusätzlichen Substrate koppelt.
  • In Beispiel 389 weist der Gegenstand eines oder mehrerer der Beispiele 387-388 optional auf, dass der Impedanzwandler ausgelegt ist, eine Impedanz eines Signalpfads zwischen der integrierten Schaltung und der Antennenzuleitung an eine oder mehrere Resonanzfrequenzen anzupassen.
  • In Beispiel 390 weist der Gegenstand eines oder mehrerer der Beispiele 387-389 optional auf, dass die integrierte Schaltung an einer Außenfläche wenigstens einer zusätzlichen Substratschicht gegenüber den mehreren Ringresonatoren angeordnet ist.
  • Beispiel 391 ist eine Vorrichtung, die Folgendes aufweist: einen Wellenleiter; ein PCB-Substrat, wobei das PCB-Substrat Folgendes aufweist: eine Übertragungsleitung, die ausgelegt ist, drahtlose Signale unter Verwendung des Wellenleiters zu senden oder zu empfangen; und eine Zuleitungssonde, die mit der Übertragungsleitung gekoppelt ist und ausgelegt ist, das Senden oder dem Empfang der drahtlosen Signale zu manipulieren; und einen Wellenleiteradapter, der die PCB mit dem Wellenleiter koppelt, wobei der Wellenleiter ein offenes Ende aufweist, das als eine Antenne wirkt, um drahtlose Signale zu senden oder zu empfangen, und wobei die Zuleitungssonde wenigstens ein Via aufweist, die durch das PCB-Substrat und die Übertragungsleitung metallisiert ist.
  • In Beispiel 392 weist der Gegenstand von Beispiel 391 optional auf, dass die Übertragungsleitung ausgelegt ist, die drahtlosen Signale zwischen einem RF-Teilsystem auf dem PCB-Substrat und dem Wellenleiter zu kommunizieren.
  • In Beispiel 393 weist der Gegenstand von Beispiel 392 optional auf, dass die Übertragungsleitung auf einer oder mehreren Schichten des PCB-Substrats angeordnet ist.
  • In Beispiel 394 weist der Gegenstand eines oder mehrerer der Beispiele 392-393 optional auf, dass die Übertragungsleitung eine Übertragungsleitung mit masseunterstütztem komplanarem Wellenleiter (CPW) ist.
  • In Beispiel 395 weist der Gegenstand eines oder mehrerer der Beispiele 391-394 optional auf, dass der Wellenleiteradapter einen Impedanzanpassungslufthohlraum aufweist, der zwischen dem Wellenleiter und dem PCB-Substrat gebildet ist, wenn der Wellenleiteradapter an dem PCB-Substrat und dem Wellenleiter montiert ist.
  • In Beispiel 396 weist der Gegenstand eines oder mehrerer der Beispiele 391-395 optional auf, dass das PCB-Substrat eine obere Masseschicht und eine untere Masseschicht aufweist, wobei die obere Masseschicht und die untere Masseschicht mit einer Masseschicht der Übertragungsleitung gekoppelt sind.
  • In Beispiel 397 weist der Gegenstand von Beispiel 396 optional mehrere Masse-Vias auf, die Masse-Via-Gitter bilden, wobei die Masse-Via-Gitter die obere Masseschicht und die untere Masseschicht koppeln.
  • In Beispiel 398 weist der Gegenstand von Beispiel 397 optional auf, dass der Wellenleiter einen Isolator mit Metallbeschichtung aufweist, wobei die Metallbeschichtung mit dem Wellenleiteradapter und den Masse-Via-Gittern elektrisch verbunden ist, wenn der Wellenleiteradapter an dem Wellenleiter und der PCB montiert ist.
  • In Beispiel 399 weist der Gegenstand eines oder mehrerer der Beispiele 391-398 optional auf, dass das PCB-Substrat einen Ausschnitt zum Aufnehmen des Wellenleiters aufweist, wenn der Wellenleiteradapter an dem PCB-Substrat und dem Wellenleiter montiert ist.
  • In Beispiel 400 weist der Gegenstand eines oder mehrerer der Beispiele 392-399 optional auf, dass der Wellenleiteradapter ferner eine Öffnung zum Aufnehmen der Übertragungsleitung, wenn der Wellenleiteradapter an das PCB-Substrat und den Wellenleiter montiert ist, aufweist.
  • In Beispiel 401 weist der Gegenstand eines oder mehrerer der Beispiele 391-400 optional auf, dass der Wellenleiter ein metallbeschichtetes dielektrisches Material mit einer Dielektrizitätskonstanten, die von einer der PCB zugeordneten Dielektrizitätskonstanten verschieden ist, aufweist.
  • In Beispiel 402 weist der Gegenstand eines oder mehrerer der Beispiele 391-401 optional auf, dass die PCB ferner wenigstens eine Öffnung zum Aufnehmen von Montagemitteln aufweist, wobei die Montagemittel zum Anbringen des Wellenleiteradapters an der PCB dienen.
  • In Beispiel 403 weist der Gegenstand eines oder mehrerer der Beispiele 392-402 optional auf, dass eine Breite des Impedanzanpassungslufthohlraums anpassbar ist, um einen Grad von Signalreflexion zwischen der Übertragungsleitung und dem Wellenleiter zu konfigurieren.
  • Beispiel 404 ist ein Antennensystem, das Folgendes aufweist: einen Wellenleiter; eine Übertragungsleitung auf einem PCB-Substrat, wobei die Übertragungsleitung ausgelegt ist, drahtlose Signale zwischen einem RF-Teilsystem und dem Wellenleiter zu kommunizieren; und einen Adapter, der das PCB-Substrat mit dem Wellenleiter koppelt; und eine Zuleitungssonde, die mit der Übertragungsleitung gekoppelt ist und ausgelegt ist, Senden oder Empfangen der drahtlosen Signale über den Wellenleiter zu manipulieren, wobei die Übertragungsleitung mit einer Masseebenenschicht des PCB-Substrats über mehrere Masse-Via-Gitter, die durch das PCB-Substrat und die Masseebenenschicht metallisiert sind, gekoppelt ist.
  • In Beispiel 405 weist der Gegenstand von Beispiel 404 optional auf, dass der Adapter Folgendes aufweist: einen Impedanzanpassungslufthohlraum, der zwischen dem Wellenleiter und dem PCB-Substrat gebildet ist, wenn der Adapter an das PCB-Substrat und den Wellenleiter montiert ist.
  • In Beispiel 406 weist der Gegenstand eines oder mehrerer der Beispiele 404-405 optional auf, dass die Übertragungsleitung eine Übertragungsleitung mit masseunterstütztem komplanarem Wellenleiter (CPW) ist.
  • In Beispiel 407 weist der Gegenstand eines oder mehrerer der Beispiele 404-406 optional auf, dass die Zuleitungssonde eine oder mehrere PCB-Vias aufweist, die durch das PCB-Substrat und die Übertragungsleitung metallisiert sind.
  • In Beispiel 408 weist der Gegenstand eines oder mehrerer der Beispiele 404-407 optional auf, dass das PCB-Substrat eine obere Masseschicht und eine untere Masseschicht aufweist, wobei die obere Masseschicht und die untere Masseschicht mit der Übertragungsleitung unter Verwendung der Masse-Via-Gitter gekoppelt sind.
  • In Beispiel 409 weist der Gegenstand von Beispiel 408 optional auf, dass der Wellenleiter einen Isolator mit Metallbeschichtung aufweist, wobei die Metallbeschichtung mit dem Wellenleiteradapter und den Masse-Via-Gittern elektrisch verbunden ist, wenn der Adapter an den Wellenleiter und das PCB-Substrat montiert ist.
  • In Beispiel 410 weist der Gegenstand eines oder mehrerer der Beispiele 404-409 optional auf, dass das PCB-Substrat einen Ausschnitt zum Aufnehmen des Wellenleiters aufweist, wenn der Adapter an das PCB-Substrat und den Wellenleiter montiert ist.
  • In Beispiel 411 weist der Gegenstand eines oder mehrerer der Beispiele 404-410 optional auf, dass der Adapter ferner eine Öffnung zum Aufnehmen der Übertragungsleitung, wenn der Adapter an das PCB-Substrat und den Wellenleiter montiert ist, aufweist.
  • Beispiel 412 ist eine dual polarisierte Antenne, die Folgendes aufweist: eine erste Dipolantenne; eine zweite Dipolantenne, wobei die erste Dipolantenne und die zweite Dipolantenne jeweils einen entsprechenden planaren Zweig aufweist, und wobei der erste und der zweite Dipol jeweils einen Zweig aufweisen, der im Wesentlichen senkrecht zu jedem entsprechenden planaren Zweig ist, und wobei jeder Dipol ausgelegt ist, lineare Polarisation geneigt um 45 Grad gegen die jeweiligen planaren Zweige zu produzieren.
  • In Beispiel 413 weist der Gegenstand von Beispiel 412 optional auf, dass der Zweig jedes aus dem ersten Dipol und dem zweiten Dipol ein gemeinsamer Zweig ist, der von dem ersten Dipol und dem zweiten Dipol gemeinsam verwendet wird.
  • In Beispiel 414 weist der Gegenstand eines oder mehrerer der Beispiele 412-413 optional auf, dass die erste Dipolantenne und die zweite Dipolantenne auf einem mehrschichtigen Substrat implementiert sind und Löcher in den planaren Zweigen sind, wobei sich die Löcher wenigstens zum Teil in das mehrschichtige Substrat erstrecken, um Substratwellen zu reduzieren.
  • In Beispiel 415 weist der Gegenstand eines oder mehrerer der Beispiele 412-414 optional auf, dass die erste Dipolantenne und die zweite Dipolantenne auf einem mehrschichtigen Substrat implementiert sind und Löcher in der PCB zusammenhängend mit den, jedoch nicht durch die, planaren Zweige des ersten Dipols und des zweiten Dipols sind, wobei sich die Löcher wenigstens zum Teil in das mehrschichtige Substrat erstrecken, um Substratwellen zu reduzieren.
  • In Beispiel 416 weist der Gegenstand eines oder mehrerer der Beispiele 412-415 optional auf, dass der erste Dipol und der zweite Dipol gefaltete Dipole sind, die nebeneinander platziert sind.
  • In Beispiel 417 weist der Gegenstand von Beispiel 416 optional auf, dass der erste Dipol und der zweite Dipol ein orthogonal polarisiertes Antennenpaar aufweisen.
  • In Beispiel 418 weist der Gegenstand von Beispiel 417 optional auf, dass die Polarisation senkrecht zu der PCB ist.
  • Beispiel 419 ist eine dual polarisierte Antennengruppe, die mehrere orthogonal polarisierte Antennenelemente aufweist, wobei jedes der mehreren orthogonal polarisierten Antennenelemente Folgendes aufweist: eine erste Dipolantenne; eine zweite Dipolantenne, wobei die erste Dipolantenne und die zweite Dipolantenne jeweils einen entsprechenden planaren Zweig aufweisen und wobei der erste und der zweite Dipol jeweils einen Zweig aufweist, der im Wesentlichen senkrecht zu jedem entsprechenden planaren Zweig ist, und wobei jeder Dipol ausgelegt ist, lineare Polarisation geneigt um 45 Grad gegen die jeweiligen planaren Zweige zu produzieren.
  • In Beispiel 420 weist der Gegenstand von Beispiel 419 optional auf, dass der Zweig jedes aus dem ersten Dipol und dem zweiten Dipol ein gemeinsamer Zweig ist, der von dem ersten Dipol und dem zweiten Dipol gemeinsam verwendet wird.
  • In Beispiel 421 weist der Gegenstand eines oder mehrerer der Beispiele 419-420 optional auf, dass die erste Dipolantenne und die zweite Dipolantenne auf einem mehrschichtigen Substrat implementiert sind und Löcher in den planaren Zweigen sind, wobei sich die Löcher wenigstens zum Teil in das mehrschichtige Substrat erstrecken, um Substratwellen zu reduzieren.
  • In Beispiel 422 weist der Gegenstand eines oder mehrerer der Beispiele 419-421 optional auf, dass die erste Dipolantenne und die zweite Dipolantenne auf einem mehrschichtigen Substrat implementiert sind und Löcher in der PCB zusammenhängend mit den, jedoch nicht durch die, planaren Zweige des ersten Dipols und des zweiten Dipols sind, wobei sich die Löcher wenigstens zum Teil in das mehrschichtige Substrat erstrecken, um Substratwellen zu reduzieren.
  • In Beispiel 423 weist der Gegenstand eines oder mehrerer der Beispiele 419-422 optional auf, dass der erste Dipol und der zweite Dipol gefaltete Dipole sind, die nebeneinander platziert sind.
  • In Beispiel 424 weist der Gegenstand von Beispiel 423 optional auf, dass der erste Dipol und der zweite Dipol ein orthogonal polarisiertes Antennenpaar aufweisen.
  • In Beispiel 425 weist der Gegenstand eines oder mehrerer der Beispiele 423-424 optional auf, dass die Polarisation senkrecht ist.
  • In Beispiel 426 kann der Gegenstand den Gegentand irgendeines oder mehrerer der Beispiele 412 bis 425 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 412 bis 425 oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 412 bis 425 ausführt.
  • Beispiel 427 ist ein Funkteilsystem, das Folgendes aufweist: einen Die, der in einem ersten Substrat eingebettet ist; wenigstens eine erste Antenne, die auf dem ersten Substrat angeordnet ist und mit dem Die gekoppelt ist; oberflächenmontierte Vorrichtungen, die mit dem ersten Substrat verbunden sind, wobei die oberflächenmontierten Vorrichtungen wenigstens eine zweite Antenne aufweisen; und ein zweites Substrat, das einen Hohlraum aufweist, wobei das zweite Substrat mit dem ersten Substrat verbunden ist, so dass die oberflächenmontierten Vorrichtungen durch den Hohlraum bedeckt sind.
  • In Beispiel 428 weist der Gegenstand von Beispiel 427 optional auf, dass die wenigstens eine erste Antenne mehrere Antennen aufweist, die als eine erste Antennengruppe angeordnet sind.
  • In Beispiel 429 weist der Gegenstand eines oder mehrerer der Beispiele 427-428 optional auf, dass die wenigstens eine zweite Antenne mehrere zweite Antennen aufweist, die als eine zweite Antennengruppe angeordnet sind.
  • In Beispiel 430 weist der Gegenstand eines oder mehrerer der Beispiele 427-429 optional auf, dass die wenigstens eine erste Antenne mehrere Antennen aufweist, die als eine erste Antennengruppe angeordnet sind, und die wenigstens eine zweite Antenne mehrere zweite Antennen aufweist, die als eine zweite Antennengruppe angeordnet sind, und wobei die erste Antennengruppe ausgelegt ist, in einem ersten Frequenzband zu arbeiten, und die zweite Antennengruppe ausgelegt ist, in einem zweiten Frequenzband zu arbeiten.
  • In Beispiel 431 weist der Gegenstand von Beispiel 430 optional auf, dass das erste Frequenzband an Millimeterwellenfrequenzen ist und das zweite Frequenzband an WiGig-Frequenzen ist.
  • In Beispiel 432 weist der Gegenstand eines oder mehrerer der Beispiele 427-431 optional auf, dass die oberflächenmontierten Vorrichtungen mit dem ersten Substrat durch Lötverbindungen, die mechanische Verbindungen oder elektrische Verbindungen sind, verbunden sind.
  • In Beispiel 433 weist der Gegenstand eines oder mehrerer der Beispiele 427-432 optional auf, dass das erste Substrat mit dem zweiten Substrat durch Lötverbindungen, die mechanische Verbindungen oder elektrische Verbindungen sind, verbunden ist.
  • In Beispiel 434 weist der Gegenstand eines oder mehrerer der Beispiele 427-433 optional auf, dass das erste Substrat oder das zweite Substrat mit einem dritten Substrat mit Hilfe einer flexiblen Verbindung verbunden ist, wobei das dritte Substrat einen zweiten Die aufweist, der mit mehreren dritten Antennen, die als eine Antennengruppe angeordnet sind, verbunden ist.
  • In Beispiel 435 weist der Gegenstand von Beispiel 434 optional auf, dass die mehreren dritten Antennen eine dritte Antennengruppe aufweisen, die ausgelegt ist, an Millimeterwellenfrequenzen zu arbeiten.
  • In Beispiel 436 weist der Gegenstand eines oder mehrerer der Beispiele 434-435 optional auf, dass das dritte Substrat mit einem Verbindungselement verbunden ist, das ausgelegt ist, Funksignale zu empfangen, die durch die dritte Antennengruppe gesendet oder empfangen werden sollen.
  • Beispiel 437 ist eine mobile Vorrichtung, die Folgendes aufweist: einen Die, der als ein Sendeempfänger ausgelegt ist, wobei der Die innerhalb eines ersten Substrats eingebettet ist, das eine ersten Antennengruppe aufweist, die an eine erste Schicht des ersten Substrats gelötet ist; eine zweite Antennengruppe, die an eine zweite Schicht des ersten Substrats gelötet ist, wobei die zweite Schicht parallel zu der ersten Schicht ist; und ein zweites Substrat, das einen Hohlraum aufweist, wobei das zweite Substrat mit dem ersten Substrat verbunden ist, so dass die zweite Antennengruppe durch den Hohlraum bedeckt sind.
  • In Beispiel 438 weist der Gegenstand von Beispiel 437 optional auf, dass die erste Antennengruppe ausgelegt ist, in einem ersten Frequenzband zu arbeiten, und die zweite Antennengruppe ausgelegt ist, in einem zweiten Frequenzband zu arbeiten.
  • In Beispiel 439 weist der Gegenstand von Beispiel 438 optional auf, dass das erste Frequenzband an Millimeterwellenfrequenzen ist und das zweite Frequenzband an WiGig-Frequenzen ist.
  • In Beispiel 440 weist der Gegenstand eines oder mehrerer der Beispiele 437-439 optional auf, dass das erste Substrat mit dem zweiten Substrat durch Lötverbindungen, die mechanische Verbindungen oder elektrische Verbindungen sind, verbunden ist.
  • In Beispiel 441 weist der Gegenstand eines oder mehrerer der Beispiele 437-440 optional auf, dass das erste Substrat oder das zweite Substrat mit einem dritten Substrat mit Hilfe einer flexiblen Verbindung verbunden ist, wobei das dritte Substrat einen zweiten Die aufweist, der als ein Sendeempfänger ausgelegt und mit einer dritten Antennengruppe gekoppelt ist.
  • In Beispiel 442 weist der Gegenstand von Beispiel 441 optional auf, dass das dritte Substrat mit einem Verbindungselement verbunden ist, das ausgelegt ist, Funksignale zu empfangen, die durch die dritte Antennengruppe gesendet oder empfangen werden sollen.
  • In Beispiel 443 weist der Gegenstand eines oder mehrerer der Beispiele 437-442 optional auf, dass das zweite Substrat mit einem vierten Substrat verbunden ist, das einen dritten Die aufweist, der als ein Sendeempfänger ausgelegt ist, der mit einer vierten Antennengruppe gekoppelt ist, wobei das zweite Substrat und das vierte Substrat in einer Konfiguration gekoppelt sind, so dass das zweite Substrat und das vierte Substrat parallel zueinander sind.
  • In Beispiel 444 kann der Gegenstand Gegentand irgendeines oder mehrerer der Beispiele 427 bis 443 enthalten oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 427 bis 443, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 427 bis 443 ausführt.
  • Beispiel 445 ist ein Antennenelement, das Folgendes aufweist: eine PCB, die mehrere parallele Schichten aufweist, und einen Wellenleiter, der eine beschichtete Oberflächenkomponente aufweist, die ein Dielektrikum aufweist, das an der ersten leitfähigen Schicht der PCB angebracht ist, eine Monopolantenne innerhalb der beschichteten Oberflächenkomponente, wobei die Monopolantenne vertikal zu der ersten leitfähigen Schicht der PCB ist, und eine unbeschichtete dielektrische Komponente, die an der beschichteten Oberflächenkomponente angebracht ist oder einen Teil davon bildet, wobei die beschichtete Oberflächenkomponente und die unbeschichtete dielektrische Komponente eine vorbestimmte Form aufweisen, wobei die unbeschichtete dielektrische Komponente eine Impedanzanpassung von dem Wellenleiter zu Luft bereitstellt.
  • In Beispiel 446 weist der Gegenstand von Beispiel 445 optional auf, dass die Monopolantenne ein Via aufweist, die an der zweiten Schicht der PCB angebracht ist.
  • In Beispiel 447 weist der Gegenstand eines oder mehrerer der Beispiele 445-446 optional auf, dass die Monopolantenne durch eine leitfähige Leiterbahn aus einer integrierten Hochfrequenzschaltung (RFIC) gespeist wird.
  • In Beispiel 448 weist der Gegenstand eines oder mehrerer der Beispiele 445-447 optional auf, dass die PCB eine Randoberfläche aufweist und sich die unbeschichtete dielektrische Komponente über die Randoberfläche hinaus erstreckt.
  • In Beispiel 449 weist der Gegenstand eines oder mehrerer der Beispiele 445-448 optional auf, dass die Monopolantenne ausgelegt ist, auf Art von Querstrahlung abzustrahlen.
  • In Beispiel 450 weist der Gegenstand eines oder mehrerer der Beispiele 445-449 optional auf, dass das unbeschichtete Element als ein Abstimmungselement funktioniert, um die Impedanzanpassung von dem Wellenleiter zu Luft bereitzustellen.
  • In Beispiel 451 weist der Gegenstand eines oder mehrerer der Beispiele 445-450 optional auf, dass die beschichtete Oberflächenkomponente an die erste leitfähige Schicht der PCB gelötet ist.
  • In Beispiel 452 weist der Gegenstand eines oder mehrerer der Beispiele 445-451 optional auf, dass die PCB geschnitten ist, um zu der vorbestimmten Form zu passen, die PCB wenigstens teilweise durch die erste leitfähige Schicht geschnitten ist, um zu der vorbestimmten Form zu passen, die beschichtete Oberflächenkomponente und das unbeschichtete Dielektrikum an einem Rand der ersten leitfähigen Schicht, der durch das Schneiden zum Passen zu der vorbestimmten Form gebildet ist, angebracht sind, und die Monopolantenne eine erste Monopolantenne ist, die sich teilweise innerhalb der beschichteten Oberflächenkomponente erstreckt; und eine zweite Monopolantenne, die wenigstens teilweise innerhalb des beschichteten Oberflächenelements und senkrecht zu der ersten Monopolantenne ist, wobei die erste Monopolantenne in einer ersten Polarisation ausstrahlt und die zweite Monopolantenne in einer zweiten Polarisation senkrecht zu der ersten Polarisation ausstrahlt.
  • In Beispiel 453 weist der Gegenstand eines oder mehrerer der Beispiele 445-452 optional auf, dass die beschichtete Oberflächenkomponente eine dazwischen eingeschobene Struktur aufweist, die zwei parallele Elemente aufweist, wobei die PCB zwischen den zwei parallelen Elementen angeordnet ist, und die Monopolantenne durch eine Übertragungsleitung, die von dem Ende des Wellenleiters angeordnet ist, gespeist wird.
  • Beispiel 454 ist eine Antennengruppe, die Folgendes aufweist: eine PCB, die mehrere Schichten aufweist, und mehrere Antennenelemente, die jeweils einen Wellenleiter, der eine beschichtete Komponente, die an einer ersten leitfähigen Schicht der PCB angebracht ist, eine Monopolantenne innerhalb der beschichteten Oberflächenkomponente, wobei die Monopolantenne vertikal zu einer Schicht parallel zu der ersten Schicht der PCB ist, und eine unbeschichtete dielektrische Komponente, die an der beschichteten Oberflächenkomponente angebracht ist oder einen Teil davon bildet, aufweist, wobei die beschichtete Oberflächenkomponente und die unbeschichtete dielektrische Komponente eine vorbestimmte Form aufweisen, wobei die unbeschichtete dielektrische Komponente eine Impedanzanpassung von dem Wellenleiter zu Luft bereitstellt.
  • In Beispiel 455 weist der Gegenstand von Beispiel 454 optional auf, dass die PCB geschnitten ist, um zu der vorbestimmten Form zu passen, die PCB wenigstens teilweise durch die erste leitfähige Schicht geschnitten ist, um zu der vorbestimmten Form zu passen, die beschichtete Oberflächenkomponente und das unbeschichtete Dielektrikum an einem Rand der ersten leitfähigen Schicht, der durch das Schneiden zum Passen zu der vorbestimmten Form gebildet ist, angebracht sind, und die Monopolantenne eine erste Monopolantenne ist, die sich teilweise innerhalb der beschichteten Oberflächenkomponente erstreckt; und eine zweite Monopolantenne, die wenigstens teilweise innerhalb des beschichteten Oberflächenelements und senkrecht zu der ersten Monopolantenne ist, wobei die erste Monopolantenne in einer ersten Polarisation ausstrahlt und die zweite Monopolantenne in einer zweiten Polarisation senkrecht zu der ersten Polarisation ausstrahlt.
  • In Beispiel 456 weist der Gegenstand eines oder mehrerer der Beispiele 454-455 optional auf, dass die beschichtete Oberflächenkomponente eine dazwischen eingeschobene Struktur aufweist, die zwei parallele Elemente aufweist, wobei die PCB zwischen den zwei parallelen Elementen angeordnet ist, und die Monopolantenne durch eine Übertragungsleitung, die von dem Ende des Wellenleiters angeordnet ist, gespeist wird.
  • Beispiel 457 ist ein Funkteilsystem, das Folgendes aufweist: einen Sendeempfänger, der auf oder innerhalb einer PCB, die mehrere parallele Schichten aufweist, angeordnet ist; und eine Antennengruppe, die mit dem Sendeempfänger gekoppelt ist, wobei die Antennengruppe mehrere Antennenelemente aufweist, wobei jedes Antennenelement einen Wellenleiter, der eine beschichtete Oberflächenkomponente, die an einer ersten leitfähigen Schicht der PCB angebracht ist, eine Monopolantenne innerhalb der beschichteten Oberflächenkomponente, wobei die Monopolantenne vertikal zu einer Schicht parallel zu der ersten Schicht der PCB ist, und eine unbeschichtete dielektrische Komponente, die an der beschichteten Oberflächenkomponente angebracht ist oder einen Teil davon bildet, aufweist, wobei die beschichtete Oberflächenkomponente und die unbeschichtete dielektrische Komponente eine vorbestimmte Form aufweisen, wobei die unbeschichtete dielektrische Komponente eine Impedanzanpassung von dem Wellenleiter zu Luft bereitstellt.
  • In Beispiel 458 weist der Gegenstand von Beispiel 457 optional auf, dass die PCB geschnitten ist, um zu der vorbestimmten Form zu passen, die PCB wenigstens teilweise durch die erste leitfähige Schicht geschnitten ist, um zu der vorbestimmten Form zu passen, die beschichtete Oberflächenkomponente und das unbeschichtete Dielektrikum an einem Rand der ersten leitfähigen Schicht, der durch das Schneiden zum Passen zu der vorbestimmten Form gebildet ist, angebracht sind, und die Monopolantenne eine erste Monopolantenne ist, die sich teilweise innerhalb der beschichteten Oberflächenkomponente erstreckt; und ein zweites Monopolantennenelement, die wenigstens teilweise innerhalb der beschichteten Oberflächenkomponente und senkrecht zu der ersten Monopolantenne ist, wobei die erste Monopolantenne in einer ersten Polarisation ausstrahlt und die zweite Monopolantenne in einer zweiten Polarisation senkrecht zu der ersten Polarisation ausstrahlt.
  • In Beispiel 459 weist der Gegenstand eines oder mehrerer der Beispiele 457-458 optional auf, dass die beschichtete Oberflächenkomponente eine dazwischen eingeschobene Struktur aufweist, die zwei parallele Elemente aufweist, wobei die PCB zwischen den zwei parallelen Elementen angeordnet ist, und die Monopolantenne durch eine Übertragungsleitung, die von dem Ende des Wellenleiters angeordnet ist, gespeist wird.
  • In Beispiel 460 kann der Gegenstand Gegentand irgendeines oder mehrerer der Beispiele 445 bis 459 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 445 bis 459, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 445 bis 459 ausführt.
  • Beispiel 461 ist eine dual polarisierte differentielle Antenne, die Folgendes aufweist: ein Antennenelement; und vier Antennenanschlüsse, die jeweils zur Erregung des Antennenelements ausgelegt sind, wobei ein erster und ein zweiter aus den vier Anschlüssen einander gegenüber liegen, der erste und der zweite aus den vier Anschlüssen ausgelegt sind, durch ein Signal einer ersten Polarität bzw. durch ein gegenphasiges Signal der ersten Polarität angesteuert zu werden, und ein dritter und ein vierter aus den Anschlüssen einander gegenüber liegen und orthogonal zu dem ersten und dem zweiten aus den vier Anschlüssen angeordnet sind, wobei der dritte und der vierte aus den vier Anschlüssen ausgelegt sind, durch ein Signal einer zweiten Polarität bzw. ein gegenphasiges Signal der zweiten Polarität angesteuert zu werden.
  • In Beispiel 462 weist der Gegenstand von Beispiel 461 optional auf, dass der erste und der zweite aus den vier Anschlüssen und der dritte und der vierte aus den vier Anschlüssen jeweils einen Teil des Antennenelements bilden.
  • In Beispiel 463 weist der Gegenstand eines oder mehrerer der Beispiele 461-462 optional auf, dass der erste und der zweite aus den vier Anschlüssen und der dritte und der vierte aus den vier Anschlüssen jeweils mit dem Antennenelement gekoppelt sind.
  • In Beispiel 464 weist der Gegenstand eines oder mehrerer der Beispiele 461-463 optional auf, dass wenigstens einer aus dem ersten und dem zweiten aus den vier Anschlüssen einen Teil des Antennenelements bildet.
  • In Beispiel 465 weist der Gegenstand eines oder mehrerer der Beispiele 461-464 optional auf, dass wenigstens einer aus dem dritten und dem vierten aus den vier Anschlüssen mit dem Antennenelement gekoppelt ist.
  • Beispiel 466 ist eine dual polarisierte differentielle Antennengruppe, die Folgendes aufweist: mehrere Antennenelemente; einen ersten Anschluss und einen zweiten Anschluss, die einander gegenüber liegen, wobei der erste Anschluss und der zweite Anschluss ausgelegt sind, durch ein Signal einer ersten Polarität bzw. ein gegenphasiges Signal der ersten Polarität angesteuert zu werden; und einen dritten Anschluss und einen vierten Anschluss, die einander gegenüber liegen und orthogonal zu dem ersten Anschluss und dem zweiten Anschluss angeordnet sind, wobei der dritte Anschluss und der vierte Anschluss ausgelegt sind, durch ein Signal einer zweiten Polarität bzw. ein gegenphasiges Signal der zweiten Polarität angesteuert zu werden.
  • In Beispiel 467 weist der Gegenstand von Beispiel 466 optional auf, dass der erste Anschluss und der zweite Anschluss und der dritte Anschluss und der vierte Anschluss jeweils einen Teil des Antennenelements bilden.
  • In Beispiel 468 weist der Gegenstand eines oder mehrerer der Beispiele 466-467 optional auf, dass der erste Anschluss und der zweite Anschluss und der dritte Anschluss und der vierte Anschluss jeweils mit dem Antennenelement gekoppelt sind.
  • In Beispiel 469 weist der Gegenstand eines oder mehrerer der Beispiele 466-468 optional auf, dass wenigstens einer aus dem ersten Anschluss und dem zweiten Anschluss einen Teil des Antennenelements bildet.
  • In Beispiel 470 weist der Gegenstand eines oder mehrerer der Beispiele 466-469 optional auf, dass wenigstens einer aus dem dritten Anschluss und dem vierten Anschluss mit dem Antennenelement gekoppelt ist.
  • Beispiel 471 ist eine Antennenkarte, die Folgendes aufweist: eine Laminatstruktur; ein Antennenelement auf oder innerhalb der Laminatstruktur; und vier Antennenanschlüsse, die jeweils auf oder innerhalb der Laminatstruktur angeordnet sind, zur Erregung des Antennenelements, wobei ein erster und ein zweiter aus den vier Anschlüssen einander gegenüber liegen, der erste und der zweite aus den vier Anschlüssen ausgelegt sind, durch ein Signal einer ersten Polarität bzw. durch ein gegenphasiges Signal der ersten Polarität angesteuert zu werden, und ein dritter und ein vierter aus den vier Anschlüssen einander gegenüber liegen und orthogonal zu dem ersten und den zweiten aus den vier Anschlüssen angeordnet sind, wobei der dritte und der vierte aus den vier Anschlüssen ausgelegt sind, durch ein Signal einer zweiten Polarität bzw. ein gegenphasiges Signal der zweiten Polarität angesteuert zu werden.
  • In Beispiel 472 weist der Gegenstand von Beispiel 471 optional auf, dass der erste und der zweite aus den vier Anschlüssen und der dritte und der vierte aus den vier Anschlüssen jeweils einen Teil des Antennenelements bilden.
  • In Beispiel 473 weist der Gegenstand eines oder mehrerer der Beispiele 471-472 optional auf, dass der erste und der zweite aus den vier Anschlüssen und der dritte und der vierte aus den vier Anschlüssen jeweils mit dem Antennenelement gekoppelt sind.
  • In Beispiel 474 weist der Gegenstand eines oder mehrerer der Beispiele 471-473 optional auf, dass wenigstens einer aus dem ersten und dem zweiten aus den vier Anschlüssen einen Teil des Antennenelements bildet.
  • In Beispiel 475 weist der Gegenstand eines oder mehrerer der Beispiele 471-474 optional auf, dass wenigstens einer aus dem dritten und dem vierten aus den vier Anschlüssen mit dem Antennenelement gekoppelt ist.
  • Beispiel 476 ist eine Antennenkarte, die Folgendes aufweist: eine Laminatstruktur; mehrere Antennenelemente, die in einer dual polarisierten differentiellen Antennengruppe angeordnet sind, wobei jedes der Antennenelemente auf oder innerhalb der Laminatstruktur angeordnet ist und Folgendes aufweist: einen ersten Anschluss und einen zweiten Anschluss, die einander gegenüber liegen, wobei der erste Anschluss und der zweite Anschluss ausgelegt sind, durch ein Signal einer ersten Polarität bzw. ein gegenphasiges Signal der ersten Polarität angesteuert zu werden; und einen dritten Anschluss und einen vierten Anschluss, die einander gegenüber liegen und orthogonal zu dem ersten Anschluss und dem zweiten Anschluss angeordnet sind, wobei der dritte Anschluss und der vierte Anschluss ausgelegt sind, durch ein Signal einer zweiten Polarität bzw. ein gegenphasiges Signal der zweiten Polarität angesteuert zu werden.
  • In Beispiel 477 weist der Gegenstand von Beispiel 476 optional auf, dass der erste Anschluss und der zweite Anschluss und der dritte Anschluss und der vierte Anschluss jeweils einen Teil des Antennenelements bilden.
  • In Beispiel 478 weist der Gegenstand eines oder mehrerer der Beispiele 476-477 optional auf, dass der erste Anschluss und der zweite Anschluss und der dritte Anschluss und der vierte Anschluss jeweils mit dem Antennenelement gekoppelt sind.
  • In Beispiel 479 weist der Gegenstand eines oder mehrerer der Beispiele 476-478 optional auf, dass wenigstens einer aus dem ersten Anschluss und dem zweiten Anschluss einen Teil des Antennenelements bildet.
  • In Beispiel 480 weist der Gegenstand eines oder mehrerer der Beispiele 476-479 optional auf, dass wenigstens einer aus dem dritten Anschluss und dem vierten Anschluss mit dem Antennenelement gekoppelt ist.
  • Beispiel 481 ist eine dual polarisierte differentielle Antenne, die Folgendes aufweist: ein Antennenelement, das ausgelegt ist, durch ein erstes Signal einer ersten Polarisation und ein zweites Signal einer zweiten Polarisation angesteuert zu werden; einen ersten Anschluss, der dem Antennenelement zugeordnet ist, und einen zweiten Anschluss, der dem Antennenelement zugeordnet ist, wobei der erste Anschluss und der zweite Anschluss orthogonal zueinander angeordnet sind; eine erste Speiseleitung, die ausgelegt ist, das erste Signal der ersten Polarisation zu empfangen, wobei die erste Speiseleitung mit dem ersten Anschluss gekoppelt ist; eine zweite Speiseleitung, die ausgelegt ist, das zweite Signal der zweiten Polarisation zu empfangen, wobei die zweite Speiseleitung mit dem zweiten Anschluss gekoppelt ist; einen dritten Anschluss, der dem Antennenelement zugeordnet ist und gegenüber dem ersten Anschluss anordnet ist; einen vierten Anschluss, der dem Antennenelement zugeordnet ist und gegenüber dem zweiten Anschluss anordnet ist; eine dritte Speiseleitung, die ausgelegt ist, ein zu dem ersten Signal gegenphasiges Signal zu empfangen, wobei die dritte Speiseleitung mit dem dritten Anschluss gekoppelt ist; und eine vierte Speiseleitung, die ausgelegt ist, ein zu dem zweiten Signal gegenphasiges Signal zu empfangen, wobei die vierte Speiseleitung mit dem vierten Anschluss verbunden ist.
  • In Beispiel 482 weist der Gegenstand von Beispiel 481 optional auf, dass wenigstens einer aus dem ersten Anschluss, dem zweiten Anschluss, dem dritten Anschluss oder dem vierten Anschluss einen Teil der Antenne bildet.
  • Beispiel 483 ist eine dual polarisierte differentielle Antennengruppe, die Folgendes aufweist: mehrere Antennenelemente, die in einer phasengesteuerten Gruppe angeordnet sind, wobei jedes der mehreren Antennenelemente ausgelegt ist, durch ein erstes Signal einer ersten Polarisation, ein zu dem ersten Signal gegenphasiges Signal, ein zweites Signal einer zweiten Polarisation und ein zu dem zweiten Signal gegenphasiges Signal angesteuert zu werden, einen ersten Anschluss, der einem ersten Antennenelement aus den mehreren Antennenelementen zugeordnet ist, und einen zweiten Anschluss, der dem ersten Antennenelement zugeordnet ist, wobei der erste Anschluss und der zweite Anschluss orthogonal zueinander angeordnet sind; eine erste Speiseleitung, die ausgelegt ist, das erste Signal der ersten Polarisation zu empfangen, wobei die erste Speiseleitung mit dem ersten Anschluss gekoppelt ist; eine zweite Speiseleitung, die ausgelegt ist, das zweite Signal der zweiten Polarisation zu empfangen, wobei die zweite Speiseleitung mit dem zweiten Anschluss gekoppelt ist; einen dritten Anschluss, der dem ersten Antennenelement zugeordnet ist und gegenüber dem ersten Anschluss anordnet ist; einen vierten Anschluss, der dem ersten Antennenelement zugeordnet ist und gegenüber dem zweiten Anschluss anordnet ist; eine dritte Speiseleitung, die ausgelegt ist, das zu dem ersten Signal gegenphasige Signal zu empfangen, wobei die dritte Speiseleitung mit dem dritten Anschluss gekoppelt ist; und eine vierte Speiseleitung, die ausgelegt ist, das zu dem zweiten Signal gegenphasige Signal zu empfangen, wobei die vierte Speiseleitung mit dem vierten Anschluss verbunden ist.
  • In Beispiel 484 weist der Gegenstand von Beispiel 483 optional auf, dass wenigstens einer aus dem ersten Anschluss, dem zweiten Anschluss, dem dritten Anschluss oder dem vierten Anschluss mit dem ersten Antennenelement gekoppelt ist.
  • In Beispiel 485 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 461 bis 484 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 461 bis 484, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 461 bis 484 ausführt.
  • Beispiel 486 ist eine Einrichtung einer Millimeterwellen-(mmWellen-) Kommunikationsvorrichtung, wobei die Einrichtung Folgendes aufweist: mehrere phasengesteuerte Antennengruppen; eine Empfängerarchitektur, die mehrere Empfänger aufweist, wobei die Empfängerarchitektur ausgelegt ist, strahlgeformte mmWellen-Signale über eine erste phasengesteuerte Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu empfangen; und eine Senderarchitektur, die mehrere Sender aufweist, wobei die Senderarchitektur ausgelegt ist, strahlgeformte mmWellen-Signale über die erste phasengesteuerte Antennengruppe zu senden, wobei ein erster Empfänger aus den mehreren Empfängern die strahlgeformtem mmWellen-Signale von einer ersten Basisstation empfängt, während wenigstens ein zweiter Empfänger aus den mehreren Empfängern auf strahlgeformte mmWellen-Signale von einer zweiten Basisstation abtastet.
  • In Beispiel 487 weist der Gegenstand von Beispiel 486 optional auf, dass jede der mehreren phasengesteuerten Antennengruppen einem entsprechenden aus mehreren überlappenden dreidimensionalen Bereichen zum Senden und Empfangen elektromagnetischer Signale zugeordnet ist.
  • In Beispiel 488 weist der Gegenstand von Beispiel 487 optional Grade in einer vertikalen Ebene auf.
  • In Beispiel 489 weist der Gegenstand eines oder mehrerer der Beispiele 486-488 optional auf, dass jede der mehreren phasengesteuerten Antennengruppen eine dual polarisierte Antennengruppe ist, die ausgelegt ist, ein vertikal polarisiertes (V-) Signal und/oder ein horizontal polarisiertes (H-) Signal zu senden.
  • In Beispiel 490 weist der Gegenstand eines oder mehrerer der Beispiele 486-489 optional auf, dass die Senderarchitektur ausgelegt ist zum: Senden der strahlgeformten mmWellen-Signale als vertikal polarisierte (V-) Signale und horizontal polarisierte (H-) Signale zu der ersten Basisstation über die erste phasengesteuerte Antennengruppe.
  • In Beispiel 491 weist der Gegenstand von Beispiel 490 optional eine Mehr-Eingang-mehr-Ausgang- (MIMO-) Konfiguration auf, die die erste phasengesteuerte Antennengruppe verwendet.
  • In Beispiel 492 weist der Gegenstand eines oder mehrerer der Beispiele 490-491 optional auf, dass ein erster Sender aus den mehreren Sendern ausgelegt ist, die V-Signale über die erste Antennengruppe zu senden, während ein zweiter Sender aus den mehreren Sendern die H-Signale über die erste Antennengruppe sendet.
  • In Beispiel 493 weist der Gegenstand eines oder mehrerer der Beispiele 491-492 optional auf, dass der wenigstens zweite Empfänger aus den mehreren Empfängern nach strahlgeformten mmWellen-Signalen von der zweiten Basisstation unter Verwendung einer zweiten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen abtastet.
  • In Beispiel 494 weist der Gegenstand eines oder mehrerer der Beispiele 486-493 optional auf, dass die Empfängerarchitektur ausgelegt ist zum: Detektieren strahlgeformter mmWellen-Signale von der zweiten Basisstation; und Bestimmen einer oder mehrerer Signaleigenschaften der detektierten strahlgeformten mmWellen-Signale.
  • In Beispiel 495 weist der Gegenstand von Beispiel 494 optional auf, dass die eine oder die mehreren Signaleigenschaften einen Empfangssignalstärkenindikator (RSSI) aufweisen.
  • In Beispiel 496 weist der Gegenstand eines oder mehrerer der Beispiele 494-495 optional auf, dass die Empfängerarchitektur ausgelegt ist zum: Ausführen von weicher Übergabe von der ersten Basisstation von der zweiten Basisstation basierend auf der einen oder den mehreren Signaleigenschaften.
  • In Beispiel 497 weist der Gegenstand von Beispiel 496 optional auf, dass die Empfängerarchitektur während der weichen Übergabe ausgelegt ist zum: Empfangen von mmWellen-Signalen von der ersten Basisstation über die erste Antennengruppe und von der zweiten Basisstation über die zweite Antennengruppe.
  • Beispiel 498 ist eine Einrichtung einer Millimeterwellen-(mmWellen-) Kommunikationsvorrichtung, wobei die Einrichtung Folgendes aufweist: mehrere phasengesteuerte Antennengruppen, wobei die mehreren phasengesteuerten Antennengruppen ausgelegt ist, Signale in mehreren dreidimensionalen Bereichen, die 360 Grad-Abdeckung bereitstellen, zu empfangen oder zu senden; eine Sendeempfänger-Architektur, die Folgendes aufweist: einen ersten Sendeempfänger, die ausgelegt ist, strahlgeformte mmWellen-Signale einer ersten Basisstation über eine erste phasengesteuerte Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu empfangen; einen zweiten Sendeempfänger, der ausgelegt ist, eine oder mehrere mmWellen-Frequenzen nach strahlgeformten mmWellen-Signalen von einer zweiten Basisstation unter Verwendung wenigstens einer zweiten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen abzutasten; und einen dritten Sendeempfänger, der ausgelegt ist, strahlgeformte mmWellen-Signale unter Verwendung wenigstens einer dritten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu empfangen oder zu senden; und einen Anwendungsprozessor, der ausgelegt ist zum: bei Detektieren der Verfügbarkeit strahlgeformter mmWellen-Signale von der zweiten Basisstation den Empfang der strahlgeformten mmWellen-Signale von der ersten Basisstation zu verzögern und den Empfang der strahlgeformten mmWellen-Signale von der zweiten Basisstation unter Verwendung des dritten Sendeempfängers einzuleiten, um Übergabe auszuführen.
  • In Beispiel 499 weist der Gegenstand von Beispiel 498 optional auf, dass der zweite Sendeempfänger ausgelegt ist, das Abtasten der einen oder der mehreren mmWellen-Frequenzen nach strahlgeformten mmWellen-Signalen während der Übergabe fortzusetzen.
  • In Beispiel 500 weist der Gegenstand eines oder mehrerer der Beispiele 498-499 optional auf, dass jede der mehreren phasengesteuerten Antennengruppen eine dual polarisierte Antennengruppe ist, die ausgelegt ist, ein vertikal polarisiertes (V-) Signal und/oder ein horizontal polarisiertes (H-) Signal zu senden.
  • In Beispiel 501 weist der Gegenstand eines oder mehrerer der Beispiele 498-500 optional auf, dass der erste Sendeempfänger ausgelegt ist zum: Senden strahlgeformter mmWellen-Signale zu der ersten Basisstation als vertikal polarisierte (V-) Signale über die erste phasengesteuerte Antennengruppe.
  • In Beispiel 502 weist der Gegenstand von Beispiel 501 optional auf, dass die Sendeempfängerarchitektur ferner einen vierten Sendeempfänger aufweist, wobei der vierte Empfänger ausgelegt ist zum: Senden strahlgeformter mmWellen-Signale zu der ersten Basisstation als horizontal polarisierte (H-) Signale über die erste phasengesteuerte Antennengruppe, während der erste Sendeempfänger die V-Signale sendet.
  • In Beispiel 503 weist der Gegenstand von Beispiel 502 optional auf, dass der zweite Sendeempfänger ausgelegt ist, die eine oder die mehreren mmWellen-Frequenzen an wenigstens der zweiten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen abzutasten, während der erste Sendeempfänger und der vierte Sendeempfänger die strahlgeformten mmWellen-Signale zu der ersten Basisstation über die erste phasengesteuerte Antennengruppe senden.
  • In Beispiel 504 weist der Gegenstand eines oder mehrerer der Beispiele 502-503 optional eine Mehr-Eingang-mehr-Ausgang- (MIMO-) Konfiguration auf, die die erste phasengesteuerte Antennengruppe verwendet.
  • Beispiel 505 ist eine Einrichtung einer in einem Fahrzeug montierten Millimeterwellen- (mmWellen-) Kommunikationsvorrichtung, wobei die Einrichtung Folgendes aufweist: mehrere phasengesteuerte Antennengruppen, wobei jede der mehreren phasengesteuerten Antennengruppen einem entsprechenden aus mehreren überlappenden dreidimensionaler Bereichen zum Senden und Empfangen elektromagnetischer Signale zugeordnet ist; eine Empfängerarchitektur, die mehrere Empfänger aufweist, wobei die Empfängerarchitektur ausgelegt ist, strahlgeformte mmWellen-Signale über eine erste phasengesteuerte Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu empfangen; und eine Senderarchitektur, die mehrere Sender aufweist, wobei die Senderarchitektur ausgelegt ist, strahlgeformte mmWellen-Signale über die erste phasengesteuerte Antennengruppe zu senden, wobei ein erster Empfänger aus den mehreren Empfängern die strahlgeformten mmWellen-Signale von einer ersten Basisstation empfängt, während wenigstens ein zweiter Empfänger aus den mehreren Empfängern Fahrzeug-zu-Fahrzeug- (V2V-) mmWellen-Signale von wenigstens einem zweiten Fahrzeug unter Verwendung einer zweiten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen empfängt.
  • In Beispiel 506 weist der Gegenstand von Beispiel 505 optional mmWellen-V-Signale auf.
  • In Beispiel 507 weist der Gegenstand eines oder mehrerer der Beispiele 505-506 optional einen Anwendungsprozessor auf, der ausgelegt ist, eine oder mehrere Verkehrsgefährdungen, die dem Fahrzeug zugeordnet sind, unter Verwendung wenigstens eines Sensors zu detektieren.
  • In Beispiel 508 weist der Gegenstand von Beispiel 507 optional auf, dass ein erster Sender aus den mehreren Sendern ausgelegt ist zum: bei der Detektion der einen oder mehreren Verkehrsgefährdungen V2V-mmWellen-Signale, die die detektierte(n) eine oder mehreren Verkehrsgefährdung(en) angeben, zu wenigstens einem dritten Fahrzeug unter Verwendung einer dritten phasengesteuerten Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu senden.
  • Die vorstehende Beschreibung ist als erläuternd und nicht als einschränkend vorgesehen. Beispielsweise können die vorstehend beschriebenen Beispiele (oder ein oder mehrere Aspekte davon) in Kombination mit anderen verwendet werden. Andere Aspekte können verwendet werden, z. B. durch einen normalen Fachmann nach Durchsehen der vorstehenden Beschreibung. Die Zusammenfassung dient dazu, dem Leser zu ermöglichen, die Beschaffenheit der technischen Offenbarung schnell zu erfassen. Sie wird mit dem Verständnis eingereicht, dass sie nicht verwendet wird, um den Schutzbereich oder die Bedeutung der Ansprüche zu interpretieren oder einzuschränken. Außerdem können in der vorstehenden genauen Beschreibung verschiedene Merkmale zusammen gruppiert sein, um die Offenbarung zu straffen. Die Ansprüche können jedoch nicht jedes hier offenbarte Merkmal darlegen, da Aspekte eine Teilmenge der Merkmale aufweisen können. Ferner können Aspekte weniger Merkmale als die in einem speziellen Beispiel offenbarten aufweisen. Somit sind die folgenden Ansprüche hiermit in die genaue Beschreibung aufgenommen, wobei ein Anspruch für sich selbst als ein separater Aspekt steht. Der Umfang hier offenbarten Aspekte soll mit Bezug auf die beigefügten Ansprüche bestimmt werden, zusammen mit dem vollständigen Umfang von Äquivalenten, für die solche Ansprüche berechtigt sind.
  • Beispiel 509 ist eine Antenne, die Folgendes aufweist: ein laminares Substrat, das mehrere dielektrische Schichten aufweist, ein Via innerhalb des Substrats; und einen Speisemechanismus, der mit dem Via gekoppelt ist, wobei der Speisemechanismus ausgelegt ist, dem Via RF-Signale zum Senden durch das Via zuzuführen.
  • In Beispiel 510 weist der Gegenstand von Beispiel 509 optional auf, dass das Via konusförmig ist.
  • In Beispiel 511 weist der Gegenstand eines oder mehrerer der Beispiele 509-510 optional auf, dass das Via eine zylindrische Form oder eine Parallelepiped-Form ist.
  • In Beispiel 512 weist der Gegenstand eines oder mehrerer der Beispiele 509-511 optional auf, dass das Via mit Metall gefüllt oder teilweise mit Metall gefüllt ist oder beschichtet und nicht mit Metall gefüllt ist.
  • In Beispiel 513 weist der Gegenstand eines oder mehrerer der Beispiele 509-512 optional auf, dass das Via einen ersten Durchmesser und einen zweiten Durchmesser aufweist und der erste Durchmesser an einer Grenze einer ersten der mehreren dielektrischen Schichten angeordnet ist und ein Abschnitt des Via zwischen dem ersten Durchmesser und dem zweiten Durchmesser innerhalb einer zweiten aus den mehreren dielektrischen Schichten angeordnet ist.
  • In Beispiel 514 weist der Gegenstand von Beispiel 513 optional auf, dass der erste Durchmesser größer als der zweite Durchmesser ist.
  • In Beispiel 515 weist der Gegenstand eines oder mehrerer der Beispiele 509-514 optional auf, dass das Via einen ersten Durchmesser und einen zweiten Durchmesser aufweist und die mehreren dielektrischen Schichten N dielektrische Schichten aufweisen, wobei der erste Durchmesser und der zweite Durchmesser innerhalb von mehreren der N dielektrischen Schichten angeordnet sind.
  • In Beispiel 516 weist der Gegenstand eines oder mehrerer der Beispiele 509-515 optional auf, dass das Via durch eine horizontale Zuleitung oder eine vertikale Zuleitung gespeist wird.
  • In Beispiel 517 weist der Gegenstand eines oder mehrerer der Beispiele 509-516 optional auf, dass das Senden Längsstrahlungssenden ist.
  • Beispiel 518 ist eine Antennengruppe, die Folgendes aufweist; ein laminares Substrat, das mehrere dielektrische Schichten aufweist, mehrere Antennenelemente, von denen jedes wenigstens ein Via aufweist, die innerhalb des laminaren Substrats angeordnet ist; und einen Speisemechanismus, der mit dem wenigstens einen Via gekoppelt ist, wobei der Speisemechanismus ausgelegt ist, die mehreren Antennenelemente mit RF-Signalen zum Senden durch die mehreren Antennenelemente zu versorgen.
  • In Beispiel 519 weist der Gegenstand von Beispiel 518 optional auf, dass wenigstens einige der mehreren Antennenelemente konusförmig sind.
  • In Beispiel 520 weist der Gegenstand eines oder mehrerer der Beispiele 518-519 optional auf, dass wenigstens einige der Antennenelemente eine Zylinderform oder eine Parallelepipedform sind.
  • In Beispiel 521 weist der Gegenstand eines oder mehrerer der Beispiele 518-520 optional auf, dass wenigstens einige der mehreren Antennenelemente mit Metall gefüllt oder teilweise mit Metall gefüllt sind oder beschichtet und nicht mit Metall gefüllt sind.
  • In Beispiel 522 weist der Gegenstand eines oder mehrerer der Beispiele 518-521 optional auf, dass die mehreren Antennenelemente einen Teil eines Hochfrequenzteilsystems (RFS) aufweisen.
  • In Beispiel 523 weist der Gegenstand eines oder mehrerer der Beispiele 518-522 optional auf, dass die mehreren Antennenelemente separat von dem RFS hergestellt und an dem RFS befestigt sind.
  • In Beispiel 524 weist der Gegenstand eines oder mehrerer der Beispiele 518-523 optional auf, dass jedes der mehreren Antennenelemente einen ersten Durchmesser und einen zweiten Durchmesser aufweist und der erste Durchmesser an einer Grenze einer ersten der mehreren dielektrischen Schichten angeordnet ist und ein Abschnitt jedes der mehreren Antennenelemente zwischen dem ersten Durchmesser und dem zweiten Durchmesser innerhalb einer zweiten aus den mehreren dielektrischen Schichten angeordnet ist.
  • In Beispiel 525 weist der Gegenstand von Beispiel 524 optional auf, dass der erste Durchmesser größer als der zweite Durchmesser ist.
  • In Beispiel 526 weist der Gegenstand eines oder mehrerer der Beispiele 518-525 optional auf, dass jedes der mehreren Antennenelemente durch eine horizontale Zuleitung oder eine vertikale Zuleitung gespeist wird.
  • In Beispiel 527 weist der Gegenstand eines oder mehrerer der Beispiele 518-526 optional auf, dass jedes der Antennenelemente zwei Vias aufweist, die in einer Back-zu-back-Beziehung angeordnet sind.
  • Beispiel 528 ist ein Hochfrequenzteilsystem (RFS), das Folgendes aufweist: einen Sendeempfänger; und eine Antennengruppe, die mit dem Sendeempfänger gekoppelt ist, wobei die Antennengruppe ein laminares Substrat aufweist, das mehrere dielektrische Schichten aufweist, mehrere Antennenelemente, von denen jedes wenigstens ein Via aufweist, die innerhalb des laminaren Substrats angeordnet ist; und einen Speisemechanismus, der mit den mehreren Antennenelementen gekoppelt ist, wobei der Speisemechanismus ausgelegt ist, die mehreren Antennenelemente mit RF-Signalen zum Senden durch die mehreren Antennenelemente zu versorgen.
  • In Beispiel 529 weist der Gegenstand von Beispiel 528 optional auf, dass wenigstens einige der mehreren Antennenelemente Vias sind, die eine konische Form aufweisen.
  • In Beispiel 530 weist der Gegenstand eines oder mehrerer der Beispiele 528-529 optional auf, dass wenigstens einige der mehreren Antennenelemente Vias sind, die eine Zylinderform aufweisen.
  • In Beispiel 531 weist der Gegenstand eines oder mehrerer der Beispiele 528-530 optional auf, dass wenigstens der mehreren Antennenelemente Vias sind, die mit Metall gefüllt oder beschichtet und nicht mit Metall gefüllt sind.
  • In Beispiel 532 weist der Gegenstand eines oder mehrerer der Beispiele 528-531 optional auf, dass die mehreren Antennenelemente separat von dem RFS hergestellt und an dem RFS befestigt sind.
  • In Beispiel 533 weist der Gegenstand eines oder mehrerer der Beispiele 528-532 optional auf, dass die mehreren Antennenelemente Vias sind, von denen jede einen ersten Durchmesser und einen zweiten Durchmesser aufweist, und wobei der erste Durchmesser und der zweite Durchmesser innerhalb von Schichten des laminaren Substrats angeordnet sind.
  • In Beispiel 534 weist der Gegenstand von Beispiel 533 optional auf, dass der erste Durchmesser größer als der zweite Durchmesser ist.
  • In Beispiel 535 weist der Gegenstand eines oder mehrerer der Beispiele 528-534 optional auf, dass jedes der mehreren Antennenelemente durch eine horizontale Zuleitung oder eine vertikale Zuleitung gespeist wird.
  • In Beispiel 536 weist der Gegenstand eines oder mehrerer der Beispiele 528-535 optional auf, dass jedes der mehreren Antennenelemente zwei Vias aufweist, die in einer Back-zu-back-Beziehung angeordnet sind.
  • In Beispiel 537 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 509 bis 536 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 509 bis 536, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 509 bis 536 ausführt.
  • Beispiel 538 ist ein dreidimensionales (3D-) Antennenelement, das Folgendes aufweist: ein laminares Substrat, das mehrere Schichten aufweist; eine 3D-Antenne auf einer der mehreren Schichten; und eine Masseebene, die eine modifizierte Masseebene ist, die unterhalb der 3D-Antenne angeordnet ist.
  • In Beispiel 539 weist der Gegenstand von Beispiel 538 optional eine D-Antenne auf, die einen größten Durchmesser und einen kleinsten Durchmesser aufweist, und wobei die modifizierte Masseebene unterhalb des kleinsten Durchmessers ist.
  • In Beispiel 540 weist der Gegenstand von Beispiel 539 optional auf, dass das D-Antennenelement durch eine Streifen-Übertragungsleitung an dem oder benachbart dem kleinsten Durchmesser gespeist wird.
  • In Beispiel 541 weist der Gegenstand eines oder mehrerer der Beispiele 538-540 optional auf, dass die modifizierte Masseebene eine diagonal geschlitzte Masseebene aufweist.
  • In Beispiel 542 weist der Gegenstand eines oder mehrerer der Beispiele 538-541 optional auf, dass das D-Antennenelement eine Zylinderform oder eine Parallelepipedform ist.
  • In Beispiel 543 weist der Gegenstand eines oder mehrerer der Beispiele 538-542 optional auf, dass das D-Element mit Metall gefüllt oder teilweise mit Metall gefüllt ist oder beschichtet und nicht mit Metall gefüllt ist.
  • In Beispiel 544 weist der Gegenstand eines oder mehrerer der Beispiele 538-543 optional auf, dass das D-Element ausgelegt ist, an Millimeterwellenfrequenzen mit Querstrahlungsbetrieb zu arbeiten.
  • Beispiel 545 ist eine Antennengruppe, die Folgendes aufweist; ein laminares Substrat, das mehrere Schichten aufweist, mehrere 3D-Antennenelemente, die an den mehreren Schichten befestigt sind; und eine Masseebene, die eine Modifikation einer glatten planaren metallisierten Schicht ist, die unterhalb jedes der 3D-Antennenelemente angeordnet ist.
  • In Beispiel 546 weist der Gegenstand von Beispiel 545 optional auf, dass die wenigstens einigen der mehreren Antennenelemente jeweils an der einen aus den mehreren Schichten durch Löten befestigt sind.
  • In Beispiel 547 weist der Gegenstand eines oder mehrerer der Beispiele 545-546 optional D-Antennenelemente auf.
  • In Beispiel 548 weist der Gegenstand von Beispiel 547 optional auf, dass die D-Antennenelemente durch eine Streifenleitung an dem oder benachbart dem kleinsten Durchmesser gespeist werden.
  • In Beispiel 549 weist der Gegenstand eines oder mehrerer der Beispiele 545-548 optional auf, dass die modifizierte Masseebene eine diagonal geschlitzte Masseebene aufweist.
  • In Beispiel 550 weist der Gegenstand eines oder mehrerer der Beispiele 545-549 optional auf, dass die D-Antennenelemente eine Zylinderform oder Parallelepipedform sind.
  • In Beispiel 551 weist der Gegenstand eines oder mehrerer der Beispiele 545-550 optional auf, dass D-Antennenelemente einen Teil eines Hochfrequenzteilsystems (RFS) aufweisen.
  • In Beispiel 552 weist der Gegenstand eines oder mehrerer der Beispiele 545-551 optional auf, dass die D-Antennenelemente separat von dem RFS hergestellt und an dem RFS befestigt sind.
  • In Beispiel 553 weist der Gegenstand eines oder mehrerer der Beispiele 545-552 optional auf, dass D-Antennenelemente benachbart einem Reflektor sind, der ausgelegt ist, die Strahlung der Antennengruppe in eine gewünschte Richtung zu reflektieren.
  • In Beispiel 554 weist der Gegenstand eines oder mehrerer der Beispiele 545-553 optional auf, dass die D Antennenelemente durch eine horizontale Zuleitung oder eine vertikale Zuleitung gespeist werden.
  • Beispiel 555 ist ein Hochfrequenzteilsystem (RFS), das Folgendes aufweist: einen Sendeempfänger, der auf oder in einem laminaren Substrat, das mehrere parallele Schichten aufweist, angeordnet ist; eine Antennengruppe, die mehrere 3D-Antennenelemente aufweist, von denen jedes an einer metallisierten Schicht des laminaren Substrats befestigt ist; eine Masseebene, die eine modifizierte glatte planare metallisierte Schicht ist, wobei die Masseebene unterhalb jedes der 3D-Antennenelemente angeordnet ist; und einen Speisemechanismus, der von dem Sendeempfänger zu jedem der 3D-Antennenelemente gekoppelt ist, wobei der Speisemechanismus ausgelegt ist, die mehreren 3D-Antennenelemente mit RF-Signalen zum Senden durch die mehreren 3D-Antennenelemente zu versorgen.
  • In Beispiel 556 weist der Gegenstand von Beispiel 555 optional D-Antennenelemente auf, die eine konische Form oder eine Parallelepipedform aufweisen.
  • In Beispiel 557 weist der Gegenstand eines oder mehrerer der Beispiele 555-556 optional D Antennenelemente auf, die eine Zylinderform aufweisen.
  • In Beispiel 558 weist der Gegenstand eines oder mehrerer der Beispiele 555-557 optional D Antennenelemente auf, die mit Metall gefüllt oder beschichtet und nicht mit Metall gefüllt sind.
  • In Beispiel 559 weist der Gegenstand eines oder mehrerer der Beispiele 555-558 optional D-Antennenelemente auf, die separat von dem RFS hergestellt und an dem RFS befestigt sind.
  • In Beispiel 560 weist der Gegenstand eines oder mehrerer der Beispiele 555-559 optional D-Antennenelemente auf.
  • In Beispiel 561 weist der Gegenstand eines oder mehrerer der Beispiele 555-560 optional D Antennenelemente auf, die durch eine horizontale Zuleitung oder eine vertikale Zuleitung gespeist werden.
  • In Beispiel 562 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 538 bis 561 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 538 bis 561 oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgend eine oder mehrere der Funktionen der Beispiele 538 bis 561 ausführt.
  • Beispiel 563 ist eine Empfängereinrichtung, die Folgendes aufweist: mehrere segmentierte rauscharme Verstärker (LNAs), wobei jeder segmentierte LNA mehrere LNA-Scheiben aufweist, die ausgelegt sind, ein eingegebenes RF-Signal zu verstärken, um ein verstärktes RF-Signal zu erzeugen; mehrere segmentierte Abwärtsumsetzungsmischer, wobei jeder Abwärtsumsetzungsmischer mehrere Abwärtsumsetzungsmischerscheiben aufweist, die zum Abwärtsumsetzen des verstärkten RF-Signals in ein Basisbandsignal basierend auf einem dedizierten Signal eines lokalen Oszillators (LO-Signal) ausgelegt sind; und eine Steuerschaltung, die ausgelegt ist zum: Empfangen einer Angabe wenigstens einer Signaleigenschaft des eingegebenen RF-Signals; und Feuern wenigstens einer LNA-Scheibe aus den mehreren LNA-Scheiben und wenigstens einer Mischerscheibe aus den mehreren Mischerscheiben basierend auf der empfangenen Angabe.
  • In Beispiel 564 weist der Gegenstand von Beispiel 563 optional auf, dass die wenigstens eine Signaleigenschaft Folgendes angibt: eine Bandbreite des Eingabe-RF-Signals; dass das Eingabe-RF-Signal ein zusammenhängendes Trägeraggregationssignal ist; oder dass das Eingabe-RF-Signal ein nicht zusammenhängendes Trägeraggregationssignal ist.
  • In Beispiel 565 weist der Gegenstand eines oder mehrerer der Beispiele 563-564 optional auf, dass die Steuerschaltung ausgelegt ist zum: Auswählen einer aus einer Aufteilungsbetriebsart und einer Umschaltbetriebsart für die Empfängereinrichtung basierend auf wenigstens einer Signaleigenschaft des Eingabe-RF-Signals; und Feuern der wenigstens einen LNA-Scheibe und der wenigstens einen Mischerscheibe während der ausgewählten Aufteilungsbetriebsart oder Umschaltbetriebsart.
  • In Beispiel 566 weist der Gegenstand von Beispiel 565 optional auf, dass die Eingangsimpedanz an einem Signaleingang der mehreren segmentierten LNAs, die das RF-Signal empfangen, während der Aufteilungsbetriebsart und der Umschaltbetriebsart gleich bleibt.
  • In Beispiel 567 weist der Gegenstand eines oder mehrerer der Beispiele 565-566 optional auf, dass die Steuerschaltung ausgelegt ist zum: Auswählen der Aufteilungsbetriebsart, wenn die wenigstens eine Signaleigenschaft angibt, dass das Eingabe-RF-Signal ein nicht zusammenhängendes Trägeraggregationssignal oder ein zusammenhängendes Trägeraggregationssignal mit einer Bandbreite, die eine Bandbreite eines Kanalfilters übersteigt, ist.
  • In Beispiel 568 weist der Gegenstand eines oder mehrerer der Beispiele 565-567 optional auf, dass die Steuerschaltung ausgelegt ist zum: Auswählen der Umschaltbetriebsart, wenn die wenigstens eine Signaleigenschaft angibt, dass das Eingabe-RF-Signal ein zusammenhängendes Trägeraggregationssignal mit einer Bandbreite, die eine Bandbreite eines Kanalfilters nicht übersteigt, ist.
  • In Beispiel 569 weist der Gegenstand eines oder mehrerer der Beispiele 565-568 optional auf, dass die Steuerschaltung, während der Umschaltbetriebsart, ausgelegt ist zum: Feuern eines der mehreren segmentierten LNAs, um das Eingabe-RF-Signal zu verstärken und ein verstärktes RF-Signal zu erzeugen; und Feuern eines der mehreren segmentierten Abwärtsumsetzungsmischer, um das verstärkte RF-Signal unter Verwendung des LO-Signals abwärtsumzusetzen, um das Basisbandsignal zu erzeugen.
  • In Beispiel 570 weist der Gegenstand von Beispiel 569 optional auf, dass die Steuerschaltung, während der Umschaltbetriebsart, ausgelegt ist zum: Abschalten inaktiver LNAs aus den mehreren segmentierten LNAs und inaktiver Mischer aus den mehreren segmentierten Abwärtsumsetzungsmischern.
  • In Beispiel 571 weist der Gegenstand eines oder mehrerer der Beispiele 565-570 optional auf, dass die Steuerschaltung, während der Aufteilungsbetriebsart, ausgelegt ist zum: Feuern von wenigstens zwei aus den mehreren segmentierten LNAs, wobei jeder der gefeuerten segmentierten LNAs einen Abschnitt des Eingabe-RF-Signals empfängt und wenigstens zwei verstärkte RF-Signale erzeugt; und Feuern von wenigstens zwei aus den mehreren segmentierten Abwärtsumsetzungsmischern, die den wenigstens zwei gefeuerten segmentierten LNAs entsprechen, um die wenigstens zwei verstärkten RF-Signale abwärtsumzusetzen, um wenigstens zwei Basisbandsignale zu erzeugen.
  • In Beispiel 572 weist der Gegenstand von Beispiel 571 optional auf, dass die Steuerschaltung, während der Aufteilungsbetriebsart, ausgelegt ist zum: Abschalten inaktiver LNAs aus den mehreren segmentierten LNAs und inaktiver Mischer aus den mehreren segmentierten Abwärtsumsetzungsmischern.
  • In Beispiel 573 weist der Gegenstand eines oder mehrerer der Beispiele 565-572 optional einen LO-Generator, der ausgelegt ist, das LO-Signal zu erzeugen; und einen Teiler, der mit mehreren Ausgangsverzweigungspuffern gekoppelt ist, auf, wobei der Teiler ausgelegt ist, mehrere differentielle LO-Signale, die dem LO-Signal entsprechen, zu erzeugen.
  • In Beispiel 574 weist der Gegenstand von Beispiel 573 optional auf, dass die Steuerschaltung ausgelegt ist zum: Auswählen wenigstens eines aus den mehreren differentiellen LO-Signalen für den gefeuerten wenigstens einen Mischer während der Aufteilungsbetriebsart oder der Umschaltbetriebsart.
  • In Beispiel 575 weist der Gegenstand eines oder mehrerer der Beispiele 565-574 optional auf, dass die Steuerschaltung ausgelegt ist zum: dynamischen Umschalten zwischen der Aufteilungsbetriebsart und der Umschaltbetriebsart basierend auf der wenigstens einen Signaleigenschaft des Eingabe-RF-Signals.
  • Beispiel 576 ist eine Empfängereinrichtung, die Folgendes aufweist: eine erste RF-Verarbeitungskette, die Folgendes aufweist: einen ersten segmentierten rauscharmen Verstärker (LNA), der ausgelegt ist, einen ersten Signalabschnitt eines Eingabe-RF-Signals zu empfangen, um ein erstes verstärktes RF-Signal zu erzeugen; einen ersten segmentierten Abwärtsumsetzungsmischer, der ausgelegt ist, das erste verstärkte RF-Signal unter Verwendung eines ersten differentiellen Signals eines lokalen Oszillators (LO-Signals) abwärtsumzusetzen, um ein erstes Basisbandsignal zu erzeugen; und ein erstes Kanalfilter, das ausgelegt ist, das erste Basisbandsignal zu filtern, um ein erstes gefiltertes Basisbandsignal zu erzeugen; und eine zweite RF-Verarbeitungskette, die Folgendes aufweist: einen zweiten segmentierten rauscharmen Verstärker (LNA), der ausgelegt ist, einen zweiten Signalabschnitt des Eingabe-RF-Signal zu empfangen, um ein zweites verstärktes RF-Signal zu erzeugen; einen zweiten segmentierten Abwärtsumsetzungsmischer, der ausgelegt ist, das zweite verstärkte RF-Signal unter Verwendung eines ersten differentiellen LO-Signals abwärtsumzusetzen, um ein zweites Basisbandsignal zu erzeugen; und ein zweites Kanalfilter, das ausgelegt ist, das zweite Basisbandsignal zu filtern, um ein erstes gefiltertes Basisbandsignal zu erzeugen.
  • In Beispiel 577 weist der Gegenstand von Beispiel 576 optional eine Steuerschaltung auf, die ausgelegt ist zum: Empfangen einer Angabe einer Signaleigenschaft des Eingabe-RF-Signals; und Feuern einer Aufteilungsbetriebsart oder einer Umschaltbetriebsart für die Empfängereinrichtung basierend auf der Signaleigenschaft.
  • In Beispiel 578 weist der Gegenstand von Beispiel 577 optional auf, dass die Steuerschaltung ausgelegt ist, die Aufteilungsbetriebsart zu feuern, wenn die Signaleigenschaft angibt, dass das Eingabe-RF-Signal ein zusammenhängendes Trägeraggregationssignal mit einer Bandbreite, die eine Bandbreite eines Kanalfilters übersteigt, ist.
  • In Beispiel 579 weist der Gegenstand eines oder mehrerer der Beispiele 577-578 optional auf, dass die Steuerschaltung ausgelegt ist, die Aufteilungsbetriebsart zu feuern, wenn die Signaleigenschaft angibt, dass eine Bandbreite des Eingabe-RF-Signals höher ist als eine Bandbreite, die dem ersten Kanalfilter oder dem zweiten Kanalfilter zugeordnet ist.
  • In Beispiel 580 weist der Gegenstand eines oder mehrerer der Beispiele 577-579 optional auf, dass die Steuerschaltung ausgelegt ist, die Umschaltbetriebsart zu feuern, wenn die Signaleigenschaft angibt, dass das Eingabe-RF-Signal ein zusammenhängendes Trägeraggregationssignal mit einer Bandbreite, die eine Bandbreite eines Kanalfilters nicht übersteigt, ist.
  • In Beispiel 581 weist der Gegenstand eines oder mehrerer der Beispiele 577-580 optional auf, dass die Steuerschaltung während der Aufteilungsbetriebsart ausgelegt ist zum: Feuern einer LNA-Scheibe innerhalb jedes aus dem ersten segmentierten LNA und dem zweiten segmentierten LNA, um das erste verstärkte RF-Signal bzw. des zweite verstärkte RF-Signal zu erzeugen; und Feuern einer Mischerscheibe innerhalb jedes aus dem ersten segmentierten Abwärtsumsetzungsmischer und dem zweiten segmentierten Abwärtsumsetzungsmischer, um das erste Basisbandsignal bzw. das zweite Basisbandsignal zu erzeugen, wobei das Eingabe-RF-Signal den ersten Signalabschnitt und den zweiten Signalabschnitt aufweist.
  • In Beispiel 582 weist der Gegenstand von Beispiel 581 optional auf, dass die Steuerschaltung während der Aufteilungsbetriebsart ausgelegt ist zum: Abschalten von mehreren verbleibenden LNA-Scheiben innerhalb des ersten segmentierten LNA und des zweiten segmentierten LNA; und Abschalten von mehreren verbleibenden Mischerscheiben innerhalb des ersten segmentierten Abwärtsumsetzungsmischers und des zweiten segmentierten Abwärtsumsetzungsmi schers.
  • In Beispiel 583 weist der Gegenstand eines oder mehrerer der Beispiele 577-582 optional auf, dass die Steuerschaltung während der Umschaltbetriebsart ausgelegt ist zum: Feuern des ersten segmentierten LNA, um das erste verstärkte RF-Signal zu erzeugen; und Feuern des ersten segmentierten Abwärtsumsetzungsmischers, um das erste Basisbandsignal zu erzeugen, wobei der erste segmentierte LNA das Eingabe-RF-Signal empfängt, so dass der erste Signalabschnitt das Eingabe-RF-Signal aufweist.
  • In Beispiel 584 weist der Gegenstand von Beispiel 583 optional auf, dass die Steuerschaltung während der Umschaltbetriebsart ausgelegt ist zum: Abschalten des zweiten segmentierten LNA und des zweiten segmentierten Abwärtsumsetzungsmi schers.
  • Beispiel 585 ist eine drahtlose Vorrichtung, die Folgendes aufweist: eine phasengesteuerte Antennengruppe, die ausgelegt ist, ein RF-Signal zu empfangen; einen Verstärkungs- und Abwärtsumsetzungsblock, der Folgendes aufweist: einen Generator eines lokalen Oszillators (LO-Generator), der ausgelegt ist, mehrere differentielle LO-Signale zu erzeugen; einen rauscharmen Verstärker (LNA), der mehrere Verstärkungsstufen aufweist, wobei der LNA ausgelegt ist, das RF-Signal zu verstärken, um ein verstärktes RF-Signal zu erzeugen; mehrere segmentierte Abwärtsumsetzungsmischer innerhalb entsprechender mehrerer RF-Verarbeitungspfade, wobei jeder Abwärtsumsetzungsmischer mehrere Abwärtsumsetzungsmischerscheiben aufweist und ausgelegt ist, wenigstens einen Abschnitt des verstärkten RF-Signals basierend auf einem aus den mehreren differentiellen LO-Signalen in ein Basisbandsignal abwärtsumzusetzen, und eine Steuerschaltung, die ausgelegt ist, wenigstens eine Mischerscheibe innerhalb der mehreren segmentierten Abwärtsumsetzungsmischer basierend auf wenigstens einer Signaleigenschaft des empfangenen RF-Signals zu feuern.
  • In Beispiel 586 weist der Gegenstand von Beispiel 585 optional auf, dass der LNA ein Zweistufen-LNA mit einem segmentierten Ausgang ist.
  • In Beispiel 587 weist der Gegenstand von Beispiel 586 optional auf, dass das empfangene RF-Signal an dem segmentierten Ausgang des LNA zur Kommunikation zu der wenigstens einen gefeuerten Mischerscheibe aufgeteilt wird.
  • In Beispiel 588 weist der Gegenstand eines oder mehrerer der Beispiele 585-587 optional auf, dass jeder der mehreren segmentierten Abwärtsumsetzungsmischer einen dedizierten LO-Antrieb verwendet, der wenigstens eines aus den mehreren differentiellen LO-Signalen verwendet.
  • Beispiel 589 ist eine Mehr-Packagen-Antennengruppe, die Folgendes aufweist: eine erstes elektronisches Package, die ein erstes laminares Substrat aufweist, ein zweites elektronisches Package, die ein zweites laminares Substrat aufweist, wobei das zweite elektronische Package auf das erste elektronische Package gestapelt und in physikalischem Kontakt mit ihr ist; eine erste Antennengruppe, die auf dem ersten laminaren Substrat angeordnet ist; eine zweite Antennengruppe, die auf dem zweiten laminaren Substrat angeordnet ist; und wenigstens einen Prozessor-Die, der innerhalb einer aus dem ersten elektronischen Package oder dem zweiten elektronischen Package eingebettet ist, wobei der wenigstens eine Die mit der ersten Antennengruppe und der zweite Antennengruppe elektrisch gekoppelt ist, wobei der wenigstens eine Halbleiter-Die wenigstens einen Funksendeempfänger aufweist, der ausgelegt ist, in einem ersten Frequenzbereich und in einem zweiten Frequenzbereich zu arbeiten.
  • In Beispiel 590 weist der Gegenstand von Beispiel 589 optional auf, dass der wenigstens eine Die mit der ersten Antennengruppe und der zweiten Antenne über eine elektronische Schaltung, die auf oder in dem ersten laminaren Substrat oder auf oder in dem zweiten laminaren Substrat gedruckt ist, gekoppelt ist und die eine elektrische Funktion zwischen dem wenigstens einen Die und der ersten oder der zweiten Antennengruppe bereitstellt.
  • In Beispiel 591 weist der Gegenstand von Beispiel 590 optional auf, dass die elektronische Schaltung durch ein externes Substrat-Frontend (eSFE) oder ein integriertes Substrat-Frontend (iSFE) implementiert ist.
  • In Beispiel 592 weist der Gegenstand von Beispiel 591 optional auf, dass die elektronische Schaltung eine oberflächenmontierte Vorrichtung aufweist.
  • In Beispiel 593 weist der Gegenstand eines oder mehrerer der Beispiele 591-592 optional auf, dass die elektronische Schaltung in dem ersten elektronischen Package oder dem zweiten elektronischen Package in derselben Ebene wie der wenigstens eine Die gedruckt ist.
  • In Beispiel 594 weist der Gegenstand eines oder mehrerer der Beispiele 591-593 optional auf, dass die elektronische Schaltung eines aus einem Filter, einem Symmetrieglied, einem Multiplexierer, einem Koppler oder einer Antenne aufweist.
  • In Beispiel 595 weist der Gegenstand eines oder mehrerer der Beispiele 591-594 optional auf, dass die wenigstens eine Antennengruppe innerhalb des ersten elektronischen Package oder des zweiten elektronischen Package ist.
  • In Beispiel 596 weist der Gegenstand eines oder mehrerer der Beispiele 591-595 optional auf, dass die wenigstens eine Antennengruppe außerhalb des ersten elektronischen Package oder des zweiten elektronischen Package ist.
  • In Beispiel 597 weist der Gegenstand eines oder mehrerer der Beispiele 589-596 optional auf, dass der wenigstens eine Die einen ersten Sendeempfänger, der in dem ersten Frequenzbereich arbeitet, und einen zweiten Sendeempfänger, der in dem zweiten Frequenzbereich arbeitet, aufweist.
  • In Beispiel 598 weist der Gegenstand eines oder mehrerer der Beispiele 591-597 optional auf, dass der wenigstens eine Die einen ersten Die, der auf oder innerhalb des ersten elektronischen Package eingebettet ist und in dem ersten Frequenzbereich arbeitet, und einen zweiten Die, der innerhalb des zweiten elektronischen Package eingebettet ist und in dem zweiten Frequenzbereich arbeitet, aufweist und die gedruckte elektronische Schaltung den ersten Die unterstützt und sich auf oder innerhalb des ersten elektronischen Package befindet.
  • In Beispiel 599 weist der Gegenstand eines oder mehrerer der Beispiele 591-598 optional auf, dass der wenigstens eine Die einen ersten Die, der auf oder innerhalb des ersten elektronischen Package eingebettet ist und in dem ersten Frequenzbereich arbeitet, und einen zweiten Die, der innerhalb des zweiten elektronischen Package eingebettet ist und in dem zweiten Frequenzbereich arbeitet, aufweist und die gedruckte elektronische Schaltung den ersten Die unterstützt und sich auf oder innerhalb des zweiten elektronischen Package befindet.
  • In Beispiel 600 weist der Gegenstand eines oder mehrerer der Beispiele 591-599 optional ein drittes elektronisches Package auf, die auf dem zweiten elektronischen Package gestapelt und in physikalischem Kontakt mit ihr ist, wobei der wenigstens eine Die einen ersten Die, der sich auf dem ersten elektronischen Package befindet oder in ihr eingebettet ist und in dem ersten Frequenzbereich arbeitet, und einen zweiten Die, der in das zweite elektronische Package eingebettet ist und in dem zweiten Frequenzbereich arbeitet, und einen dritten Die, der in das dritte elektronische Package eingebettet ist und in einem dritten Frequenzbereich arbeitet, aufweist und die gedruckte elektronische Schaltung den ersten Die unterstützt und sich auf oder in dem ersten elektronischen Package, dem zweiten elektronischen Package oder dem dritten elektronischen Package befindet.
  • In Beispiel 601 weist der Gegenstand eines oder mehrerer der Beispiele 591-600 optional ein drittes elektronisches Package auf, das auf das zweite elektronische Package gestapelt und in physikalischem Kontakt mit ihr ist, wobei der wenigstens eine Die einen ersten Die, der sich auf dem ersten elektronischen Package befindet oder in ihr eingebettet ist und in dem ersten Frequenzbereich arbeitet, und einen zweiten Die, der in dem zweiten elektronischen Package eingebettet ist und in dem zweiten Frequenzbereich arbeitet, und einen dritten Die, der in das dritte elektronische Package eingebettet ist und in einem dritten Frequenzbereich arbeitet, aufweist und die gedruckte elektronische Schaltung den zweiten Die unterstützt und sich auf oder in dem ersten elektronischen Package, dem zweiten elektronischen Package oder dem dritten elektronischen Package befindet.
  • In Beispiel 602 weist der Gegenstand eines oder mehrerer der Beispiele 591-601 optional ein drittes elektronisches Package auf, das auf das zweite elektronische Package gestapelt und in physikalischem Kontakt mit ihm ist, wobei der wenigstens eine Die einen ersten Die, der sich auf dem ersten elektronischen Package befindet oder in ihr eingebettet ist und in dem ersten Frequenzbereich arbeitet, und einen zweiten Die, der in das zweite elektronische Package eingebettet ist und in dem zweiten Frequenzbereich arbeitet, und einen dritten Die, der in das dritte elektronische Package eingebettet ist und in einem dritten Frequenzbereich arbeitet, aufweist und die gedruckte elektronische Schaltung den dritten Die unterstützt und sich auf oder in dem ersten elektronischen Package, dem zweiten elektronischen Package oder dem dritten elektronischen Package befindet.
  • Beispiel 603 ist ein erstes elektronisches Package, die Folgendes aufweist: ein erstes laminares Substrat; eine erste Antennengruppe, die auf dem ersten laminaren Substrat angeordnet ist; und wenigstens einen Prozessor-Die, der in das erste laminare Substrat eingebettet ist, wobei der wenigstens eine Die mit der ersten Antennengruppe oder der zweiten Antenne über eine elektronische Schaltung, die auf oder in dem ersten laminaren Substrat gedruckt ist und die eine elektrische Funktion zwischen dem wenigstens einen Die und der ersten Antennengruppe oder der zweiten Antennengruppe bereitstellt, gekoppelt ist.
  • In Beispiel 604 weist der Gegenstand von Beispiel 603 optional auf, dass die elektronische Schaltung mit einem externen Substrat-Frontend (eSFE) oder einem integrierten Substrat-Frontend (iSFE) implementiert ist.
  • In Beispiel 605 weist der Gegenstand von Beispiel 604 optional auf, dass die gedruckte elektronische Schaltung eine oberflächenmontierte Vorrichtung aufweist.
  • In Beispiel 606 weist der Gegenstand eines oder mehrerer der Beispiele 604-605 optional auf, dass die gedruckte elektronische Schaltung eines aus einem Filter, einem Symmetrieglied, einem Multiplexierer, einem Koppler oder einer Antenne aufweist.
  • In Beispiel 607 weist der Gegenstand eines oder mehrerer der Beispiele 604-606 optional auf, dass die Antennengruppe in dem ersten elektronischen Package ist.
  • In Beispiel 608 weist der Gegenstand eines oder mehrerer der Beispiele 604-607 optional auf, dass die Antennengruppe außerhalb des ersten elektronischen Package ist.
  • In Beispiel 609 weist der Gegenstand eines oder mehrerer der Beispiele 604-608 optional ein zweites elektronisches Package auf, das auf dem ersten elektronischen Package gestapelt und mit ihm physikalisch verbunden ist, wobei das zweite elektronische Package ein zweites laminares Substrat und eine zweite Antennengruppe, die auf dem zweiten laminaren Substrat angeordnet ist, aufweist, wobei der wenigstens eine Die einen ersten Die, der auf oder in dem ersten elektronischen Package eingebettet ist und in einem ersten Frequenzbereich arbeitet, und einen zweiten Die, der in das zweite elektronische Package eingebettet ist und in einem zweiten Frequenzbereich arbeitet, aufweist und die elektronische Schaltung den ersten Die unterstützt und sich auf oder in dem ersten elektronischen Package befindet.
  • In Beispiel 610 weist der Gegenstand eines oder mehrerer der Beispiele 604-609 optional auf, dass der wenigstens eine Die einen ersten Die, der auf oder innerhalb des ersten elektronischen Package eingebettet ist und in einem ersten Frequenzbereich arbeitet, und einen zweiten Die, der in das zweite elektronische Package eingebettet ist und in einem zweiten Frequenzbereich arbeitet, aufweist und die elektronische Schaltung den ersten Die unterstützt und sich auf oder in dem zweiten elektronischen Package befindet.
  • In Beispiel 611 kann der Gegenstand den Gegenstand irgendeines oder mehrerer der Beispiele 1 bis 610 enthalten oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 1 bis 610, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 1 bis 610 ausführt.
  • Bei 612 ist ein Dual-Sendeempfängersystem, das Folgendes aufweist: einen ersten Sendeempfänger, der ausgelegt ist, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu übertragen; und einen zweiten Sendeempfänger, der ausgelegt ist die aufwärtsumgesetzten RF-Signale über die Übertragungsleitung zu empfangen, das horizontale polarisierte RF-Signal in dem ersten 5G-Frequenzband auf ein horizontal polarisiertes RF-Signal in dem zweiten Frequenzband aufwärtsumzusetzen und das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte 5G-RF-Signal in dem zweiten Frequenzband zu dem Antennenteilsystem zu übertragen, wobei die Übertragungsleitung zwischen dem ersten Sendeempfänger und dem zweiten Sendeempfänger verbunden ist und ausgelegt ist, so dass sie der einzige Leiter der aufwärtsumgesetzten RF-Signale zwischen dem ersten Sendeempfänger und dem zweiten Sendeempfänger ist, und wobei das zweite 5G-Frequenzband ein 5G-Ökosystem-Frequenzband ist, das in einer Geographie unterstützt wird, in dem sich ein verwendendes System, das dem Dual-Sendeempfängersystem zugeordnet ist, befindet, und das erste 5G-Frequenzband ein 5G-Ökosystem-Frequenzband ist, das in der Geographie nicht unterstützt wird.
  • In Beispiel 613 weist der Gegenstand von Beispiel 612 optional auf, dass der erste Sendeempfänger ferner ausgelegt ist, wenigstens ein RF-Signal in einem WiGig-Frequenzband über die Übertragungsleitung zu übertrage, und der zweite Sendeempfänger ferner ausgelegt ist, das übertragene RF-Signal in dem WiGig-Frequenzband über die Übertragungsleitung zu empfangen und das empfangene wenigstens eine RF-Signal in dem WiGig-Frequenzband zu dem Antennenteilsystem zu übertragen.
  • In Beispiel 614 weist der Gegenstand eines oder mehrerer der Beispiele 612-613 optional auf, dass der zweite Sendeempfänger mehrere Schalter in einer ersten Konfiguration aufweist, die die Umsetzung des horizontal polarisierten RF-Signals in dem ersten Frequenzband auf das horizontal polarisierte RF-Signal in dem zweiten Frequenzband, Übertragung des umgesetzten horizontal polarisierten RF-Signals in dem zweiten Frequenzband und Übertragung des vertikal polarisierten RF-Signals in dem zweiten Frequenzband ermöglicht, wobei das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte RF-Signal in dem zweiten Frequenzband zu dem Antennenteilsystem übertragen werden.
  • In Beispiel 615 weist der Gegenstand von Beispiel 614 optional auf, dass der zweite Sendeempfänger ferner einen Mischer, der mit einem ersten der Schalter verbunden ist, und einen Leiter, der ein RF-Signal eines lokalen Oszillators von dem ersten Sendeempfänger überträgt, aufweist, wobei der Mischer ausgelegt ist, das horizontal polarisierte RF-Signal in dem ersten Frequenzband auf das horizontal polarisierte RF-Signal in dem zweiten Frequenzband umzusetzen.
  • In Beispiel 616 weist der Gegenstand eines oder mehrerer der Beispiele 612-615 optional auf, dass das G-Frequenzband zu dem Antennenteilsystem übertragen wird.
  • In Beispiel 617 weist der Gegenstand von Beispiel 616 optional ein G-Frequenzband auf.
  • In Beispiel 618 weist der Gegenstand eines oder mehrerer der Beispiele 612-617 optional auf, dass das Antennenteilsystem wenigstens eine Antennengruppe aufweist, die für Mehr-Eingang-mehr-Ausgang- (MIMO-) Betrieb ausgelegt ist.
  • In Beispiel 619 weist der Gegenstand von Beispiel 618 optional auf, dass die wenigstens eine Antennengruppe, die für MIMO-Betrieb ausgelegt ist, eine Antennengruppe aufweist, die ausgelegt ist, einen vertikal polarisierten Datenstrom und einen horizontal polarisierten Datenstrom auszustrahlen.
  • In Beispiel 620 weist der Gegenstand eines oder mehrerer der Beispiele 618-619 optional ein G-Frequenzband auf.
  • In Beispiel 621 weist der Gegenstand der Beispiele 618-620 optional auf, dass die wenigstens eine Antennengruppe, die für MIMO-Betrieb ausgelegt ist, zwei Antennengruppen aufweist, von denen jede ausgelegt ist, in dem 5G-Band zu arbeiten.
  • In Beispiel 622 weist der Gegenstand eines oder mehrerer der Beispiele 612-621 optional auf, dass die wenigstens eine Antennengruppe eine Antennengruppe aufweist, die ausgelegt ist, in einem WiGig-Frequenzband zu arbeiten.
  • In Beispiel 623 weist der Gegenstand eines oder mehrerer der Beispiele 612-622 optional ein G-Frequenzband auf.
  • In Beispiel 624 weist der Gegenstand eines oder mehrerer der Beispiele 612-623 optional ein G-Frequenzband auf.
  • In Beispiel 625 weist der Gegenstand eines oder mehrerer der Beispiele 612-624 optional ein G-Frequenzband auf.
  • In Beispiel 626 weist der Gegenstand eines oder mehrerer der Beispiele 615-625 optional ein G-Frequenzband auf.
  • In Beispiel 627 weist der Gegenstand eines oder mehrerer der Beispiele 612-626 optional auf, dass der erste Sendeempfänger einen dritten DAC, der ausgelegt ist, ein drittes Breitbandsignal zuzuführen, einen dritten DPLL, der ausgelegt ist, ein RF-Signal in einem WiGig-Frequenzband zuzuführen, und einen dritten Mischer, der mit dem dritten DAC und dem dritten DPLL verbunden ist, aufweist, wobei der dritte Mischer ausgelegt ist, das dritte Breitbandsignal auf ein RF-Signal in dem WiGig-Frequenzband umzusetzen.
  • In Beispiel 628 weist der Gegenstand eines oder mehrerer der Beispiele 615-627 optional auf, dass der erste Empfänger ferner erste mehrere Bandpassfilter aufweist, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu der Übertragungsleitung über ein erstes aus den ersten Bandpassfiltern, das ausgelegt ist, das erste 5G-Frequenzband zu filtern, übertragen wird, das vertikal polarisierte RF-Signal in dem zweiten 5G-Frequenzband zu der Übertragungsleitung über ein zweites aus den ersten Bandpassfiltern, das ausgelegt ist, das zweite 5G-Frequenzband zu filtern, übertragen wird, das RF-Signal in dem WiGig-Frequenzband zu der Übertragungsleitung über ein drittes aus den ersten Bandpassfiltern, das ausgelegt ist, das WiGig-Frequenzband zu filtern, übertragen wird, und das RF-Signal des lokalen Oszillators zu der Übertragungsleitung über ein viertes aus den ersten Bandpassfiltern, das ausgelegt ist, die Frequenz des lokalen Oszillators zu filtern, übertragen wird.
  • In Beispiel 629 weist der Gegenstand von Beispiel 628 optional auf, den zweiten Empfänger, der ferner zweite mehrere Bandpassfilter aufweist, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband von der Übertragungsleitung über ein erstes aus den zweiten Bandpassfiltern, das ausgelegt ist, das erste 5G-Frequenzband zu filtern, empfangen wird, das vertikal polarisierte RF-Signal in dem zweiten 5G-Frequenzband von der Übertragungsleitung über ein zweites aus den zweiten Bandpassfiltern, das ausgelegt ist, das zweite 5G-Frequenzband zu filtern, empfangen wird, das RF-Signal in dem WiGig-Frequenzband von der Übertragungsleitung über ein drittes aus den zweiten Bandpassfiltern, das ausgelegt ist, das WiGig-Frequenzband zu filtern, empfangen wird, und das RF-Signal des lokalen Oszillators von der Übertragungsleitung über ein viertes aus den zweiten Bandpassfiltern, das ausgelegt ist, die Frequenz des lokalen Oszillators zu filtern, empfangen wird.
  • In Beispiel 630 weist der Gegenstand eines oder mehrerer der Beispiele 612-629 optional ein Funksendeempfängersteuerungssystem auf, das sich in dem zweiten Sendeempfänger befindet und ausgelegt ist, den zweiten Sendeempfänger zu steuern, wobei das Funksendeempfängersteuerungssystem ausgelegt ist, Taktinformationen zu empfangen, die durch den ersten Sendeempfänger über die Übertragungsleitung über ein Tiefpassfilter in dem ersten Sendeempfänger gesendet werden und durch den zweiten Sendeempfänger über die Übertragungsleitung über ein Tiefpassfilter in dem zweiten Sendeempfänger empfangen werden.
  • In Beispiel 631 weist der Gegenstand von Beispiel 630 optional auf, dass die Taktinformationen in dem ersten Sendeempfänger durch einen Referenztakt, der mit einem dritten DPLL gekoppelt ist, erzeugt werden.
  • In Beispiel 632 weist der Gegenstand eines oder mehrerer der Beispiele 630-631 optional auf, dass das Funksendeempfängersteuerungssystem ausgelegt ist, Steuerinformationen zu empfangen, die durch ein Steuermodem, das mit dem dritten DPLL in dem ersten Sendeempfänger gekoppelt ist, übertragen werden, wobei das Steuermodem die Steuerinformationen von einem Prozessor und Taktinformationen von dem dritten DPLL empfängt und die Steuerinformationen über die Übertragungsleitung über ein fünftes aus den ersten Bandpassfiltern, das ausgelegt ist, eine Frequenz des dritten DPLL zu filtern, überträgt.
  • In Beispiel 633 weist der Gegenstand von Beispiel 632 optional auf, dass die Steuerinformationen durch den zweiten Sendeempfänger über die Übertragungsleitung über ein fünftes aus den zweiten Bandpassfiltern, das ausgelegt ist, die Frequenz des dritten DPLL zu filtern, empfangen werden.
  • In Beispiel 634 weist der Gegenstand eines oder mehrerer der Beispiele 612-633 optional ein G-Frequenzband auf.
  • In Beispiel 635 weist der Gegenstand von Beispiel 634 optional ein G-Frequenzband auf.
  • In Beispiel 636 weist der Gegenstand eines oder mehrerer der Beispiele 634-635 optional ein G-Frequenzband auf.
  • In Beispiel 637 weist der Gegenstand eines oder mehrerer der Beispiele 634-636 optional auf, dass eine Rückschleifenprüfung nach der ersten Umsetzung ausgeführt wird, um Phasengleich- und Quadratur- (IQ-) Ungleichgewicht, das nach der ersten Umsetzung existiert, aufzulösen.
  • Beispiel 638 ist ein Dualumsetzungs-Hochfrequenz- (RF-) System, das Folgendes aufweist: einen Digital/Analog-Umsetzer (DAC), der ausgelegt ist, Breitbandsignale zuzuführen; einen ersten digitalen Phasenregelkreis (DPLL), der ausgelegt ist, einRF-Signal in einem ersten 5G-Frequenzband zuzuführen; einen Frequenzumsetzer, um das RF-Signal in dem ersten 5G-Frequenzband in ein RF-Signal in einem zweiten 5G-Frequenzband, das tiefer ist als das erste 5G-Frequenzband, abwärtsumzusetzen; einen ersten Mischer, der mit dem DAC und mit dem Frequenzumsetzer verbunden ist; wenigstens einen Schalter, der ausgelegt ist, das RF-Dual-Sendeempfängersystem in eine Prüfbetriebsart einzustellen, wobei der wenigstens eine Schalter das Prüfen auf und die Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband ermöglicht; einen zweiten DPLL, der ausgelegt ist, ein RF-Signal in einem dritten 5G-Frequenzband zuzuführen, einen zweiten Mischer, der mit einem Ausgang des ersten Mischers und mit dem zweiten DPLL verbunden ist und ausgelegt ist, das RF-Signal in dem zweiten 5G-Frequenzband in ein RF-Signal in einem vierte 5G-Frequenzband, das höher ist als das zweite 5G-Frequenzband, nach der Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband umzusetzen.
  • In Beispiel 639 weist der Gegenstand von Beispiel 638 optional auf, dass die RF-Signalfehler Phasengleich- und Quadratur- (IQ-) Ungleichgewicht aufweisen.
  • In Beispiel 640 weist der Gegenstand eines oder mehrerer der Beispiele 638-639 optional ein G-Frequenzband auf.
  • In Beispiel 641 weist der Gegenstand eines oder mehrerer der Beispiele 617-640 optional ein G-Frequenzband auf.
  • In Beispiel 642 weist der Gegenstand eines oder mehrerer der Beispiele 617-641 optional den ersten Empfänger auf, der ferner erste mehrere Bandpassfilter aufweist, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu der Übertragungsleitung über ein erstes aus den ersten Bandpassfiltern, das ausgelegt ist, das erste 5G-Frequenzband zu filtern, übertragen wird, das vertikal polarisierte RF-Signal in dem zweiten 5G-Frequenzband zu der Übertragungsleitung über ein zweites aus den ersten Bandpassfiltern, das ausgelegt ist, das zweite 5G-Frequenzband zu filtern, übertragen wird, das RF-Signal in dem WiGig-Frequenzband zu der Übertragungsleitung über ein drittes aus den ersten Bandpassfiltern, das ausgelegt ist, das WiGig-Frequenzband zu filtern, übertragen wird, und das RF-Signal des lokalen Oszillators zu der Übertragungsleitung über ein viertes aus den ersten Bandpassfiltern, das ausgelegt ist, die Frequenz des lokalen Oszillators zu filtern, übertragen wird.
  • In Beispiel 643 weist der Gegenstand von Beispiel 642 optional den zweiten Empfänger auf, der ferner zweite mehrere Bandpassfilter aufweist, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband von der Übertragungsleitung über ein erstes aus den zweiten Bandpassfiltern, das ausgelegt ist, das erste 5G-Frequenzband zu filtern, empfangen wird, das vertikal polarisierte RF-Signal in dem zweiten 5G-Frequenzband von der Übertragungsleitung über ein zweites aus den zweiten Bandpassfiltern, das ausgelegt ist, das zweite 5G-Frequenzband zu filtern, empfangen wird, das RF-Signal in dem WiGig-Frequenzband von der Übertragungsleitung über ein drittes aus den zweiten Bandpassfiltern, das ausgelegt ist, das WiGig-Frequenzband zu filtern, empfangen wird, und das RF-Signal des lokalen Oszillators von der Übertragungsleitung über ein viertes aus den zweiten Bandpassfiltern, das ausgelegt ist, die Frequenz des lokalen Oszillators zu filtern, empfangen wird.
  • Beispiel 644 ist ein Dual-Sendeempfängersystem, das Folgendes aufweist: einen ersten Sendeempfänger, der ausgelegt ist, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu senden; und einen zweiten Sendeempfänger, der Folgendes aufweist: mehrere Schalter in einer ersten Konfiguration, die die Umsetzung des horizontal polarisierten RF-Signals in dem ersten Frequenzband in ein horizontal polarisiertes RF-Signal in dem zweiten Frequenzband, Übertragung des umgesetzten horizontal polarisierten RF-Signals in dem zweiten Frequenzband und Übertragung des vertikal polarisierten RF-Signals in dem zweiten Frequenzband ermöglicht, wobei das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte RF-Signal in dem zweiten Frequenzband zu dem Antennenteilsystem übertragen werden; oder mehrere Schalter in einer zweiten Konfiguration, die die Umsetzung des vertikal polarisierten RF-Signals in dem zweiten 5G-Frequenzband in ein horizontal polarisiertes RF-Signal in dem ersten 5G-Frequenzband, Übertragung des umgesetzten horizontal polarisierten RF-Signals in dem ersten 5G-Frequenzband und Übertragung eines vertikal polarisierten RF-Signals in dem ersten 5G-Frequenzband ermöglicht, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband und das vertikal polarisierte Signal in dem ersten 5G-Frequenzband zu dem Antennenteilsystem übertragen werden, wobei die Übertragungsleitung zwischen dem ersten Sendeempfänger und dem zweiten Sendeempfänger verbunden ist und ausgelegt ist, dass sie der einzige Leiter der aufwärtsumgesetzten RF-Signale zwischen dem ersten Sendeempfänger und dem zweiten Sendeempfänger ist, wobei das zweite 5G-Frequenzband ein 5G-Ökosystem-Frequenzband ist, das in einer Geographie unterstützt wird, in der sich ein verwendendes System, das dem Dual-Sendeempfängersystem zugeordnet ist, befindet, und das erste 5G-Frequenzband ein 5G-Ökosystem-Frequenzband ist, das in der Geographie nicht unterstützt wird, wobei der erste Sendeempfänger, der zweite Sendeempfänger und die Übertragungsleitung einen Teil einer mobilen Vorrichtung aufweisen; und wobei die mehreren Schalter in der ersten Konfiguration und die mehreren Schalter in der zweiten Konfiguration dieselben mehreren Schalter sind, und die mehreren Schalter ferner ausgelegt sind, basierend auf dem Ort der mobilen Vorrichtung oder basierend auf einem Internet-Dienstanbieter, der Dienste zum Zugreifen auf das und Verwenden des Internets für die mobile Vorrichtung bereitstellt, automatisch auf die erste Konfiguration oder auf die zweite Konfiguration eingestellt zu werden.
  • Beispiel 645 ist ein Verfahren zum Senden von RF-Signalen zu einem Antennenteilsystem in mehreren Frequenzbändern, das Folgendes aufweist: Konfigurieren eines ersten Sendeempfängers, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes erstes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu senden; Konfigurieren eines zweiten Sendeempfängers, die aufwärtsumgesetzten RF-Signale über die Übertragungsleitung zu empfangen, das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband in ein horizontal polarisiertes RF-Signal in dem zweiten 5G-Frequenzband abwärtsumzusetzen und das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte 5G-RF-Signal in dem zweiten Frequenzband zu einem Antennenteilsystem zu senden; und Konfigurieren der Übertragungsleitung, so dass sie der einzige Leiter der aufwärtsumgesetzten RF-Signale von dem ersten Sendeempfänger zu dem zweiten Sendeempfänger ist.
  • In Beispiel 646 weist der Gegenstand von Beispiel 645 optional Konfigurieren des ersten Sendeempfängers, ein RF-Signal in einem WiGig-Frequenzband über die Übertragungsleitung zu übertragen, und Konfigurieren des zweiten Sendeempfängers, das übertragene RF-Signal in dem WiGig-Frequenzband über die Übertragungsleitung zu empfangen und das empfangene RF-Signal in dem WiGig-Frequenzband zu dem Antennenteilsystem zu übertragen, auf.
  • In Beispiel 647 weist der Gegenstand eines oder mehrerer der Beispiele 645-646 optional Konfigurieren mehrerer Schalter in dem zweiten Sendeempfänger, die Umsetzung des horizontal polarisierten RF-Signals in dem ersten 5G-Frequenzband in das horizontal polarisierte RF-Signal in dem zweiten 5G-Frequenzband zu ermöglichen, das umgesetzte horizontal polarisierte RF-Signal in dem zweiten 5G-Frequenzband zu übertragen und das vertikal polarisierte zweite RF-Signal in dem zweiten 5G-Frequenzband zu übertragen, wobei das horizontal polarisierte RF-Signal in dem zweiten 5G-Frequenzband und das vertikal polarisierte RF-Signal in dem zweiten 5G-Frequenzband zu dem Antennenteilsystem übertragen werden, auf.
  • In Beispiel 648 weist der Gegenstand von Beispiel 647 optional ein G-Frequenzband auf.
  • In Beispiel 649 weist der Gegenstand eines oder mehrerer der Beispiele 645-648 optional Konfigurieren mehrerer Schalter in dem zweiten Sendeempfänger, die Umsetzung des vertikal polarisierten RF-Signals in dem zweiten 5G-Frequenzband in das vertikal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu ermöglichen, das umgesetzte vertikal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu übertragen und das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu übertragen, wobei das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband und das vertikal polarisierte RF-Signal in dem ersten 5G-Frequenzband zu dem Antennenteilsystem übertragen werden, auf.
  • In Beispiel 650 weist der Gegenstand von Beispiel 649 optional ein G-Frequenzband auf.
  • In Beispiel 651 weist der Gegenstand eines oder mehrerer der Beispiele 645-650 optional auf, dass das Antennenteilsystem wenigstens eine Antennengruppe aufweist, wobei das Verfahren ferner Konfigurieren der wenigstens einen Antennengruppe für Mehr-Eingabe-mehr-Ausgabe- (MIMO-) Betrieb aufweist.
  • In Beispiel 652 weist der Gegenstand von Beispiel 651 optional Konfigurieren der wenigstens einen Antennengruppe, die für MIMO-Betrieb ausgelegt ist, einen vertikal polarisierten Datenstrom und einen horizontal polarisierten Datenstrom auszustrahlen, auf.
  • In Beispiel 653 weist der Gegenstand eines oder mehrerer der Beispiele 651-652 optional ein G-Frequenzband auf.
  • In Beispiel 654 weist der Gegenstand eines oder mehrerer der Beispiele 651-653 optional auf, dass die wenigstens eine Antennengruppe eine Dualantennengruppe aufweist, wobei das Verfahren ferner Konfigurieren der Dualantennengruppe, in einem WiGig-Frequenzband zu arbeiten, aufweist.
  • In Beispiel 655 weist der Gegenstand eines oder mehrerer der Beispiele 645-654 optional ein G-Frequenzband auf.
  • In Beispiel 656 weist der Gegenstand eines oder mehrerer der Beispiele 645-655 optional Konfigurieren eines Funksendeempfängersteuersystems, das sich in dem zweiten Sendeempfänger befindet, den zweiten Sendeempfänger durch Empfangen von Taktinformationen, die durch den ersten Sendeempfänger über die Übertragungsleitung über ein Tiefpassfilter in dem ersten Sendeempfänger gesendet werden, zu steuern, wobei die Taktinformationen durch den zweiten Sendeempfänger über die Übertragungsleitung über ein Tiefpassfilter in dem zweiten Sendeempfänger empfangen werden, auf.
  • In Beispiel 657 weist der Gegenstand von Beispiel 656 optional auf, dass die Taktinformationen in dem ersten Sendeempfänger durch einen Referenztakt, der mit einem dritten DPLL gekoppelt ist, erzeugt werden.
  • In Beispiel 658 weist der Gegenstand von Beispiel 657 optional Konfigurieren des Funksendeempfängersteuersystems, den zweiten Sendeempfänger durch Empfangen von Steuerinformationen, die durch ein Steuermodem, das mit dem dritten DPLL in dem ersten Sendeempfänger gekoppelt ist, übertragen werden, zu steuern, wobei das Steuermodem die Steuerinformationen von einem Prozessor empfängt und Taktinformationen von dem dritten DPLL empfängt und die Steuerinformationen über die Übertragungsleitung über ein Bandpassfilter, das ausgelegt ist, eine Frequenz des dritten DPLL zu filtern, überträgt, auf.
  • In Beispiel 659 weist der Gegenstand von Beispiel 658 optional auf, dass die Steuerinformationen durch den zweiten Sendeempfänger über die Übertragungsleitung über ein Bandpassfilter in dem zweiten Sendeempfänger empfangen werden, wobei das Bandpassfilter ausgelegt ist, die Frequenz des dritten DPLL zu filtern.
  • In Beispiel 660 weist der Gegenstand eines oder mehrerer der Beispiele 645-659 optional ein G-Frequenzband auf.
  • In Beispiel 661 weist der Gegenstand von Beispiel 660 optional ein G-Frequenzband auf.
  • Beispiel 662 ist ein Verfahren zur dualen Umsetzung in einem Hochfrequenz- (RF-) System, wobei das Verfahren Folgendes aufweist: Konfigurieren eines Digital/Analog-Umsetzers (DAC), Breitbandsignale zuzuführen; Konfigurieren eines ersten digitalen Phasenregelkreises (DPLL), ein RF-Signal in einem ersten 5G-Frequenzband zuzuführen; Konfigurieren eines Frequenzumsetzers, das RF-Signal in dem ersten 5G-Frequenzband in ein RF-Signal in einem zweiten 5G-Frequenzband, das tiefer ist als das erste 5G-Frequenzband, abwärtsumzusetzen; Verbinden eines ersten Mischers mit dem DAC und dem Frequenzumsetzer; Konfigurieren des RF-Systems auf eine Rückschleifenbetriebsart, um das Prüfen auf und die Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband zu ermöglichen; Konfigurieren eines zweiten DPLL, ein RF-Signal an einer dritten Frequenz zuzuführen; Verbinden eines zweiten Mischers mit einem Ausgang des ersten Mischers und mit dem zweiten DPLL; und Konfigurieren des zweiten Mischers, das RF-Signal in dem zweiten 5G-Frequenzband in ein RF-Signal in einem vierten 5G-Frequenzband, das höher ist als das zweite 5G-Frequenzband, nach der Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband umzusetzen.
  • In Beispiel 663 weist der Gegenstand eines oder mehrerer der Beispiele 661-662 optional auf, dass die RF-Signalfehler ein Phasengleich- und Quadratur- (IQ-) Ungleichgewicht aufweisen.
  • In Beispiel 664 weist der Gegenstand eines oder mehrerer der Beispiele 661-663 optional ein G-Frequenzband auf.
  • Beispiel 665 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann einen digitalen polaren Sender umfassen. Der digitale polare Sender kann Folgendes umfassen: einen Rechteck/Polar-Umsetzer, einen Digital/Zeit-Umsetzer (DTC) und einen Ausgabeoszillator. Der Rechteck/Polar-Umsetzer kann konfiguriert sein, ein polares Ausgabesignal basierend auf einem rechteckigen Eingabesignal, das ihm zugeführt wird, bereitzustellen. Der DTC kann konfiguriert sein, ein Signal eines Hochfrequenz- (RF-) Oszillators zu empfangen und in Reaktion darauf ein DTC-Ausgabesignal basierend auf dem polaren Ausgabesignal bereitzustellen. Der Ausgabeoszillator kann konfiguriert sein, das DTC-Ausgangssignal ein Ausgabeoszillatorsignal an einer mmWellen-Frequenz zu empfangen.
  • In Beispiel 666 weist der Gegenstand von Beispiel 665 optional auf, dass der Ausgabeoszillator einen Impulsformer und einen Injektionsoszillator umfassen kann. Der Impulsformer kann konfiguriert sein, das DTC-Ausgabesignal zu empfangen und Oberwellen des DTC-Ausgabesignals an der mmWellen-Frequenz bereitzustellen. Der Injektionsoszillator kann konfiguriert sein, die Oberwelle von dem Impulsformer zu empfangen und das Ausgabeoszillatorsignal auf die Oberwellen zu verriegeln, um das Ausgabeoszillatorsignal an der mmWellen-Frequenz zu produzieren.
  • In Beispiel 667 weist der Gegenstand von Beispiel 666 optional auf, dass der Impulsformer und der Injektionsoszillator eine integrale Schaltung bilden.
  • In Beispiel 668 weist der Gegenstand von Beispiel 667 optional auf, dass die integrale Schaltung einen Schwingkreis und eine Injektionsverriegelungsschaltung umfassen kann. Der Schwingkreis kann eine Induktivität-Kondensator-Kombination umfassen, die konfiguriert ist, an der mmWellen-Frequenz zu schwingen. Die Injektionsverriegelungsschaltung kann konfiguriert sein, die Oberwellen des DTC-Ausgabesignals zu empfangen und zu induzieren, dass der Schwingkreis an der mmWellen-Frequenz schwingt. Die Injektionsverriegelungsschaltung kann in Reihe verbundene Transistoren umfassen, denen die Oberwellen des DTC-Ausgabesignals als Gate-Spannungen zugeführt werden, um Strom in den Schwingkreis zu injizieren.
  • In Beispiel 669 weist der Gegenstand eines oder mehrerer der Beispiele 665-668 optional auf, dass der DTC einen zeitverschachtelten DTC umfassen kann, der mehrere individuelle DTCs umfasst, die konfiguriert sind, zu unterschiedlichen Zeiten getriggert zu werden.
  • In Beispiel 670 weist der Gegenstand von Beispiel 669 optional auf, dass der digitale polare Sender ferner einen Seriell/Parallel-Umsetzer umfassen kann, um das polare Ausgabesignal aus dem Rechteck/Polar-Umsetzer in ein digitales Wort umzusetzen und das digitale Wort den mehreren individuellen DTCs zuzuführen, um das DTC-Ausgabesignal zu erzeugen.
  • In Beispiel 671 weist der Gegenstand von Beispiel 670 optional auf, dass der zeitverschachtelte DTC ferner einen logischen Kombinierer umfassen kann, der konfiguriert ist, Ausgaben aus den individuellen DTCs zu kombinieren, um das DTC-Ausgabesignal zu erzeugen.
  • In Beispiel 672 weist der Gegenstand eines oder mehrerer der Beispiele 665-671 optional auf, dass der DTC konfiguriert sein kann, eine Flanke des RF-Oszillatorsignals jede Periode dynamisch zu verzögern, um Phasenmodulation in die Erzeugung des DTC-Ausgabesignals einzuführen.
  • In Beispiel 673 weist der Gegenstand von Beispiel 672 optional auf, dass der digitale polare Sender ferner einen Multi-Modulus-Teiler, der konfiguriert ist, eine Frequenz des RF-Oszillatorsignals auf eine Zwischenfrequenz zu reduzieren, und einen digital gesteuerten Flanken-Interpolator, der konfiguriert ist, die Zwischenfrequenz zu empfangen und in Reaktion basierend darauf Signale mit selbst-ausgerichteter Phase an einer höheren Frequenz zu erzeugen, umfassen kann.
  • In Beispiel 674 weist der Gegenstand eines oder mehrerer der Beispiele 665-673 optional eine Antenne auf, die konfiguriert sein kann, ein Signal abhängig von dem Ausgabeoszillatorsignal zu senden.
  • Beispiel 675 ist ein Verfahren zum Bereitstellen eines mmWellen-Signals. Das Verfahren kann Empfangen eines Referenzoszillationssignals und Einsetzen eines mehrstufigen Prozesses zum Erzeugen eines phasenmodulierten Ausgabesignals an einer mmWellen-Frequenz basierend auf dem Referenzoszillationssignal umfassen. Der mehrstufige Prozess kann Reduzieren einer Frequenz des Referenzoszillationssignals auf ein Signal einer tieferen Frequenz; Modulieren, abhängig von einem Eingabesignal, einer Phase des Signals der tieferen Frequenz an einem Digital/Zeit-Umsetzer (DTC), um ein phasenmoduliertes Signal an einer Frequenz höher als die des Signals der tieferen Frequenz zu erzeugen; Übertragen des phasenmodulierten Signals von dem DTC zu einer Oszillatorschaltung; und Erzeugen eines phasenmodulierten Signals an der mmWellen-Frequenz an der Oszillatorschaltung basierend auf dem phasenmodulierten Signal umfassen.
  • In Beispiel 676 weist der Gegenstand von Beispiel 675 optional auf, dass das Erzeugen des phasenmodulierten Signals an der mmWellen-Frequenz das Verstärken einer Oberwelle des phasenmodulierten Signals und Verriegeln eines Oszillatorsignals der Oszillatorschaltung auf die Oberwelle, um das Ausgabeoszillatorsignal zu erzeugen, umfassen kann.
  • In Beispiel 677 weist der Gegenstand von Beispiel 676 optional auf, dass Verriegeln des Oszillatorsignals der Oszillatorschaltung auf die Oberwelle das Injizieren von Strom über in Reihe geschaltete Transistoren in den Schwingkreis, um zu induzieren, dass der Schwingkreis an der mmWellen-Frequenz schwingt, umfassen kann.
  • In Beispiel 678 weist der Gegenstand eines oder mehrerer der Beispiele 675-677 optional auf, dass das Modulieren der Phase des Signals der tieferen Frequenz das Bereitstellen eines digitalen Worts für mehrere individuelle DTCs des DTC umfassen kann. Das digitale Wort kann von dem Eingabesignal abhängen. Das Modulieren kann ferner das Triggern der individuellen DTCs basierend auf dem digitalen Wort umfassen.
  • In Beispiel 679 weist der Gegenstand von Beispiel 678 optional auf, dass das Modulieren der Phase des Signals der tieferen Frequenz das Umsetzen eines rechteckigen Eingabesignals in ein polares Ausgabesignal und Erzeugen paralleler Kopien des digitalen Worts, um die Kopien des digitalen Worts zu den individuellen DRCs zu senden, basierend auf dem polaren Ausgabesignal umfassen kann.
  • In Beispiel 680 weist der Gegenstand von Beispiel 679 optional auf, dass das Modulieren der Phase des Signals der tieferen Frequenz logisches Kombinieren von Ausgaben aus den individuellen DTCs, um das phasenmodulierte Signal zu erzeugen, umfassen kann.
  • In Beispiel 681 weist der Gegenstand eines oder mehrerer der Beispiele 675-680 optional auf, dass das Modulieren der Phase des Signals der tieferen Frequenz dynamisches Verzögern einer Flanke des Referenzoszillatorsignals in jeder Periode, um Phasenmodulation einzuführen, um das phasenmodulierte Signal zu erzeugen, umfassen kann.
  • In Beispiel 682 weist der Gegenstand von Beispiel 681 optional Verwenden von Flanken-Interpolation auf, um Signale mit selbstausgerichteter Phase basierend auf dem Signal der tieferen Frequenz zu erzeugen.
  • Beispiel 683 ist eine Einrichtung eines Digital/Polar-Umsetzers. Die Einrichtung kann Mittel zum Umsetzen eines rechteckigen Eingabesignals in ein polares Ausgabesignal für einen Digital/Zeit-Umsetzer (DTC); Mittel zum Empfangen eines Referenzoszillationssignals; Mittel zum Reduzieren einer Frequenz des Referenzoszillationssignals auf ein Signal einer tieferen Frequenz; Mittel zum Modulieren, abhängig von dem Eingabesignal, einer Phase des Signals der tieferen Frequenz an dem DTC, um ein phasenmoduliertes Signal an einer Frequenz höher als das Signal der tieferen Frequenz zu erzeugen; Mittel zum Übertragen des phasenmodulierten Signals von dem DTC zu einer Oszillatorschaltung; und Mittel zum Erzeugen eines phasenmodulierten Signals an einer mmWellen-Frequenz an der Oszillatorschaltung basierend auf dem phasenmodulierten Signal umfassen.
  • In Beispiel 684 weist der Gegenstand von Beispiel 683 optional Mittel zum Verstärken einer Oberwelle des phasenmodulierten Signals und Mittel zum Verriegeln eines Oszillatorsignals der Oszillatorschaltung auf die Oberwelle, um das Ausgabeoszillatorsignal zu erzeugen, auf.
  • In Beispiel 685 weist der Gegenstand von Beispiel 684 optional Mittel zum Injizieren, über in Reihe verbundene Transistoren, von Strom in einen Schwingkreis, um zu indizieren, dass der Schwingkreis an der mmWellen-Frequenz schwingt, auf.
  • In Beispiel 686 weist der Gegenstand eines oder mehrerer der Beispiele 683-685 optional Mittel zum Bereitstellen eines digitalen Worts für mehrere individuelle DTCs des DTC, wobei das digitale Wort von dem polaren Ausgabesignal abhängig ist; und Mittel zum Triggern der individuellen DTCs basierend auf dem digitalen Wort, auf.
  • In Beispiel 687 weist der Gegenstand von Beispiel 686 optional Mittel zum Erzeugen paralleler Kopien des digitalen Worts, die zu den individuellen DRCs gesendet werden sollen, basierend auf dem polaren Ausgabesignal, auf.
  • In Beispiel 688 weist der Gegenstand von Beispiel 687 optional Mittel zum logischen Kombinieren von Ausgaben aus den individuellen DTCs, um das phasenmodulierte Signal zu erzeugen, auf.
  • In Beispiel 689 weist der Gegenstand eines oder mehrerer der Beispiele 683-688 optional Mittel zum dynamischen Verzögern einer Flanke des Referenzoszillatorsignals in jeder Periode, um Phasenmodulation einzuführen, um das phasenmodulierte Signal zu erzeugen, auf.
  • In Beispiel 690 weist der Gegenstand von Beispiel 689 optional Mittel zum Verwenden von Flankeninterpolation, um Signale mit selbstausgerichteter Phase basierend auf dem Signal der tieferen Frequenz zu erzeugen, auf.
  • Beispiel 691 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Der eine oder die mehreren Prozessoren können die Kommunikationsvorrichtung konfigurieren, eine Frequenz eines Referenzoszillationssignals auf ein Signal einer tieferen Frequenz zu reduzieren. Der eine oder die mehreren Prozessoren können ferner die Kommunikationsvorrichtung konfigurieren, eine Phase des Signals der tieferen Frequenz an einem Digital/Zeit-Umsetzer (DTC) zu modulieren, um ein phasenmoduliertes Signal an der Frequenz des Referenzoszillationssignals zu erzeugen. Der eine oder die mehreren Prozessoren können ferner die Kommunikationsvorrichtung konfigurieren, das phasenmodulierte Signal aus dem DTC zu einer Oszillatorschaltung zu übertragen. Der eine oder die mehreren Prozessoren können ferner die Kommunikationsvorrichtung konfigurieren, ein phasenmoduliertes Signal an einer mmWellen-Frequenz der Oszillatorschaltung basierend auf dem phasenmodulierten Signal zu erzeugen.
  • In Beispiel 692 weist der Gegenstand von Beispiel 691 optional auf, dass der eine oder die mehreren Prozessoren ferner die Kommunikationsvorrichtung konfigurieren können, eine Oberwelle des phasenmodulierten Signals zu verstärken und ein Oszillatorsignal der Oszillatorschaltung auf die Oberwelle zu verriegeln, um das Ausgabeoszillatorsignal zu erzeugen.
  • In Beispiel 693 weist der Gegenstand von Beispiel 692 optional auf, dass der eine oder die mehreren Prozessoren die Kommunikationsvorrichtung konfigurieren können, Strom über in Reihe geschaltete Transistoren in einen Schwingkreis zu injizieren, um zu induzieren, dass der Schwingkreis an der mmWellen-Frequenz schwingt.
  • In Beispiel 694 weist der Gegenstand eines oder mehrerer der Beispiele 691-693 optional auf, dass der eine oder die mehreren Prozessoren ferner die Kommunikationsvorrichtung konfigurieren können, ein rechteckiges Eingabesignal in ein polares Ausgabesignal umzusetzen. Der eine oder die mehreren Prozessoren können ferner die Kommunikationsvorrichtung konfigurieren, ein digitales Wort für mehrere individuelle DTCs des DTC bereitzustellen. Das digitale Wort kann von dem polaren Ausgabesignal abhängen. Der eine oder die mehreren Prozessoren können ferner die Kommunikationsvorrichtung konfigurieren, die individuellen DTCs basierend auf dem digitalen Wort zu triggern.
  • In Beispiel 695 weist der Gegenstand von Beispiel 694 optional auf, dass der eine oder die mehreren Prozessoren ferner die Kommunikationsvorrichtung konfigurieren können, parallele Kopien des digitalen Worts, die zu den individuellen DRCs gesendet werden sollen, basierend auf dem polaren Ausgabesignal zu erzeugen.
  • In Beispiel 696 weist der Gegenstand von Beispiel 695 optional auf, dass der eine oder die mehreren Prozessoren ferner die Kommunikationsvorrichtung konfigurieren können, Ausgaben aus den individuellen DTCs logisch zu kombinieren, um das phasenmodulierte Signal zu erzeugen.
  • Beispiel 697 ist eine Einrichtung eines Empfängers. Die Einrichtung kann einen Feedforward-Entzerrer (FFE) umfassen. Der FFE kann mehrere FFE-Stufen umfassen, die in Reihe verbunden sind und für die die vertikal und horizontal polarisierten phasengleichen (I-) und Quadraturphasen-(Q) Signale parallel bereitgestellt werden. Jede FFE-Stufe kann mehrere Verzögerungen umfassen. Die vertikal und horizontal polarisierten I- und Q-Signale können an einer Abzweigung benachbart jeder Verzögerung kreuzgekoppelt sein. Die Kreuzkopplung kann konfiguriert sein, kreuzgekoppelte vertikal und horizontal polarisierte I- und Q-Signale bereitzustellen.
  • In Beispiel 698 weist der Gegenstand von Beispiel 697 optional auf, dass die Verzögerungen Folgendes aufweisen können: horizontale I-Verzögerungen auf einer horizontal polarisierten I-Signalleitung, auf der ein horizontal polarisiertes I-Eingabesignal bereitgestellt ist, horizontale Q-Verzögerungen auf einer horizontal polarisierten Q-Signalleitung, auf der ein horizontal polarisiertes Q-Eingabesignal bereitgestellt ist, vertikal polarisierte I-Verzögerungen auf einer vertikal polarisierten I-Signalleitung, auf der ein vertikal polarisiertes I-Eingabesignal bereitgestellt ist, und vertikal polarisierte Q-Verzögerungen auf einer vertikal polarisierten Q-Signalleitung, auf der ein vertikal polarisiertes Q-Eingabesignal bereitgestellt ist.
  • In Beispiel 699 weist der Gegenstand eines oder mehrerer der Beispiele 697-698 optional auf, dass jede Kreuzkopplung Folgendes umfassen kann: eine erste Gruppe von Multiplizierern, von denen jeder konfiguriert ist, das vertikal polarisierte I-Signal, das der Kreuzkopplung zugeführt wird, zu gewichten, um ein gewichtetes vertikal polarisiertes 1-Signal zu produzieren, eine zweite Gruppe von Multiplizierern, von denen jeder konfiguriert ist, das horizontal polarisierte 1-Signal, das der Kreuzkopplung zugeführt wird, zu gewichten, um ein gewichtetes horizontal polarisiertes 1-Signal zu produzieren, eine dritte Gruppe von Multiplizierern, von denen jeder konfiguriert ist, das vertikal polarisierte Q-Signal, das der Kreuzkopplung zugeführt wird, zu gewichten, um ein gewichtetes vertikal polarisiertes Q-Signal zu produzieren, eine vierte Gruppe von Multiplizierern, von denen jeder konfiguriert ist, das horizontal polarisierte Q-Signal, das der Kreuzkopplung zugeführt wird, zu gewichten, um ein gewichtetes horizontal polarisiertes Q-Signal zu produzieren.
  • In Beispiel 700 weist der Gegenstand von Beispiel 699 optional auf, dass die Gewichtungskoeffizienten wenigstens einiger aus der ersten, der zweiten, der dritten und der vierten Gruppe von Multiplizierern voneinander unabhängig sind.
  • In Beispiel 701 weist der Gegenstand von Beispiel 700 optional auf, dass die Gewichtungskoeffizienten wenigstens einer aus der ersten, der zweiten, der dritten und der vierten Gruppe von Multiplizierern von den Gewichtungskoeffizienten wenigstens einer weiteren aus der ersten, der zweiten, der dritten und der vierten Gruppe von Multiplizierern unabhängig sind.
  • In Beispiel 702 weist der Gegenstand eines oder mehrerer der Beispiele 700-701 optional auf, dass jeder Gewichtungskoeffizient innerhalb einer aus der ersten, der zweiten, der dritten und der vierten Gruppe von Multiplizierern von anderen Gewichtungskoeffizienten innerhalb der ersten, der zweiten, der dritten und der vierten Gruppe von Multiplizierern unabhängig ist.
  • In Beispiel 703 weist der Gegenstand eines oder mehrerer der Beispiele 699-702 optional auf, dass jede Kreuzkopplung ein erstes aus den gewichteten vertikal polarisierten 1-Signalen kombiniert mit einem ersten aus den gewichteten horizontal polarisierten 1-Signalen, einem ersten aus den gewichteten vertikal polarisierten Q-Signalen und einem ersten aus den gewichteten horizontal polarisierten Q-Signalen, um ein kombiniertes horizontal polarisiertes 1-Signal bereitzustellen, ein zweites aus den gewichteten horizontal polarisierten 1-Signalen kombiniert mit einem zweiten aus den gewichteten vertikal polarisierten 1-Signalen, einem zweiten aus den gewichteten vertikal polarisierten Q-Signalen und einem zweiten aus den gewichteten horizontal polarisierten Q-Signalen, um ein kombiniertes vertikal polarisiertes 1-Signal bereitzustellen, ein drittes aus den gewichteten vertikal polarisierten Q-Signalen kombiniert mit einem dritten aus den gewichteten horizontal polarisierten I-Signalen, einem dritten aus den gewichteten vertikal polarisierten 1-Signalen und einem dritten aus den gewichteten horizontal polarisierten Q-Signalen, um ein kombiniertes horizontal polarisiertes Q-Signal bereitzustellen, und ein viertes aus den gewichteten horizontal polarisierten Q-Signalen kombiniert mit einem vierten aus den gewichteten vertikal polarisierten 1-Signalen, einem vierten aus den gewichteten vertikal polarisierten Q-Signalen und einem vierten aus den gewichteten horizontal polarisierten 1-Signalen, um ein kombiniertes vertikal polarisiertes Q-Signal bereitzustellen, umfassen kann.
  • In Beispiel 704 weist der Gegenstand eines oder mehrerer der Beispiele 699-703 optional auf, dass in einer aus den FFE-Stufen: das kombinierte horizontal polarisierte I-Signal jeder Kreuzkopplung kann kombiniert werden, um ein ausgegebenes horizontal polarisiertes 1-Signal aus der einen der FFE-Stufen zu bilden, und das ausgegebene horizontal polarisierte 1-Signal kann als eines aus einem eingegebenen horizontal polarisierten 1-Signal für eine weitere FFE-Stufe oder als ein ausgegebenes horizontal polarisiertes I-Signal des FFE bereitgestellt werden. In der FFE-Stufe kann das kombinierte vertikal polarisierte I-Signal jeder Kreuzkopplung kombiniert werden, um ein ausgegebenes vertikal polarisiertes I-Signal aus der einen der FFE-Stufen zu bilden, und das ausgegebene vertikal polarisierte I-Signal kann als eines aus einem eingegebenen vertikal polarisierten I-Signal für die andere FFE-Stufe oder als ein ausgegebenes vertikal polarisiertes 1-Signal des FFE bereitgestellt werden. In der FFE-Stufe kann das kombinierte horizontal polarisierte Q-Signal jeder Kreuzkopplung kombiniert werden, um ein ausgegebenes horizontal polarisiertes Q-Signal aus der einen der FFE-Stufen zu bilden, und das ausgegebene horizontal polarisierte Q-Signal wird als eines aus einem eingegebenen horizontal polarisierten Q-Signal für die andere FFE-Stufe oder als ein ausgegebenes horizontal polarisiertes Q-Signal des FFE bereitgestellt; und das kombinierte vertikal polarisierte Q-Signal jeder Kreuzkopplung wird kombiniert, um ein ausgegebenes vertikal polarisiertes Q-Signal aus der einen der FFE-Stufen zu bilden, und das ausgegebene vertikal polarisierte Q-Signal wird als eines aus einem eingegebenen vertikal polarisierten Q-Signal für die andere FFE-Stufe oder als ein ausgegebenes vertikal polarisiertes Q-Signal des FFE bereitgestellt.
  • In Beispiel 705 weist der Gegenstand eines oder mehrerer der Beispiele 697-704 optional auf, dass die Anzahl von Abzweigungen in jeder FFE-Stufe gleich ist.
  • In Beispiel 706 weist der Gegenstand eines oder mehrerer der Beispiele 697-705 optional auf, dass die Anzahl von Abzweigungen in wenigstens einer FFE-Stufe von einer Anzahl von Abzweigungen in wenigstens einer anderen FFE-Stufe verschieden ist.
  • In Beispiel 707 weist der Gegenstand von Beispiel 706 optional auf, dass die Anzahl von Abzweigungen über die FFE-Stufen abnimmt.
  • In Beispiel 708 weist der Gegenstand eines oder mehrerer der Beispiele 699-707 optional auf, dass eine Anzahl von Abzweigungen einer Anzahl von Prä-Cursor-Intersymbolstörungen (Prä-Cursor-ISI), die ausgelöscht werden sollen, entspricht, wobei jede Abzweigung konfiguriert ist, einen anderen Typ einer Prä-Cursor-ISI auszulöschen.
  • In Beispiel 709 weist der Gegenstand von Beispiel 708 optional auf, dass jede FFE-Stufe vertikal polarisierte I- (VI-) Signale, horizontal polarisierte I- (HI-) Signale, vertikal polarisierte Q- (VQ-) Signale und horizontal polarisierte Q- (HQ-) Signale umfasst und die FFE-Stufe konfiguriert ist, direkte ISI, die VI-zu-VI-, VQ-zu-VQ-, HI-zu-HI-, HQ-zu-HQ-ISI aufweist, und Übersprechen-ISI, die VI-zu-VQ-, VI-zu-HI-, VI-zu-HQ-, VQ-zu-VI-, VQ-zu-HI-, VQ-zu-HQ-, HI-zu-VI-, HI-zu-VQ-, HI-zu-HQ-, HQ-zu-VI-, HQ-zu-VQ-, HQ-zu-HI-ISI aufweist, auszulöschen.
  • In Beispiel 710 weist der Gegenstand eines oder mehrerer der Beispiele 697-709 optional auf, dass jeder Gewichtungskoeffizient für die vertikal und horizontal polarisierten I- und Q-Signale in jeder FFE-Stufe angepasst wird, während der FFE in Betrieb ist.
  • In Beispiel 711 weist der Gegenstand von Beispiel 710 optional auf, dass die Gewichtungskoeffizienten, die nicht einer initialen Abzweigung angehören, jeweils initial auf einen vordefinierten Wert vor Konvergenz und Stabilisierung eingestellt werden.
  • In Beispiel 712 weist der Gegenstand eines oder mehrerer der Beispiele 697-711 optional eine Antenne auf, die Eingabesignale für den FFE bereitstellt.
  • Beispiel 713 ist ein Verfahren zum Bereitstellen analoger Signalentzerrung. Das Verfahren kann ein Bereitstellen von mehreren Typen von Signalen für mehrere in Reihe verbundene Feedforward-Entzerrer-Stufen (FFE-Stufen) eines FFE umfassen. Die mehreren Typen von Signalen können vertikal und horizontal polarisierte In-Phase- (I-) und Quadratur-Phasen- (Q-) Signale (VI-, VQ-, HI- und HQ -Signale) umfassen. Das Verfahren kann ferner an einer ersten der FFE-Stufen ein Verzögern der eingegebenen VI-, VQ-, HI- und HQ-Signale durch eine Reihe von Verzögerungen, um mehrere Gruppen verzögerter VI-, VQ-, HI- und HQ-Signale zu bilden, umfassen. Jede Gruppe verzögerter VI-, VQ-, HI- und HQ-Signale kann einer anderen Abzweigung von den mehreren Abzweigungen zugeordnet sein. Das Verfahren kann ferner, an der ersten der FFE-Stufen, ein Gewichten jedes der VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung mit jedem von mehreren Typen von Gewichtungskoeffizienten, um gewichtete VI-, VQ-, HI- und HQ-Signale an der Abzweigung zu bilden, umfassen. Die mehreren Typen von Gewichtungskoeffizienten können VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten umfassen. Das Verfahren kann ferner, an der ersten der FFE-Stufen ein Kombinieren der gewichteten VI-Signale an jeder Abzweigung, um ein VI-Ausgabesignal zu bilden, der gewichteten VQ-Signale an jeder Abzweigung, um ein VQ-Ausgabesignal zu bilden, der gewichteten HI-Signale an jeder Abzweigung, um ein HI-Ausgabesignal zu bilden, und der gewichteten HQ-Signale an jeder Abzweigung, um ein HQ-Ausgabesignal zu bilden, umfassen. Das Verfahren kann ferner, an der ersten der FFE-Stufen, ein Bereitstellen jedes der VI-, VQ-, HI- und HQ-Ausgabesignale als eines von einem VI-, VQ-, HI- und HQ-Eingabesignal für eine weitere FFE-Stufe oder als eine VI-, VQ-, HI- und HQ-Ausgabe des FFE umfassen.
  • In Beispiel 714 weist der Gegenstand von Beispiel 713 optional ein Verwenden der gewichteten VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung, um einen anderen Typ der Prä-Cursor-Intersymbolstörung (Prä-Cursor-ISI) auszulöschen, auf.
  • In Beispiel 715 weist der Gegenstand eines oder mehrerer der Beispiele 713-714 optional auf, dass wenigstens einige der VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten voneinander unabhängig sind.
  • In Beispiel 716 weist der Gegenstand eines oder mehrerer der Beispiele 713-715 optional auf, dass jeder Typ von Gewichtungskoeffizienten eines Signaltyps von jedem anderen Typ von Gewichtungskoeffizienten des einen Signaltyps unabhängig ist.
  • In Beispiel 717 weist der Gegenstand eines oder mehrerer der Beispiele 713-716 optional auf, dass ein Typ von Gewichtungskoeffizienten jedes Signaltyps von dem einen Typ von Gewichtungskoeffizienten jedes anderen Signaltyps unabhängig ist.
  • In Beispiel 718 weist der Gegenstand eines oder mehrerer der Beispiele 713-717 optional ein Wiederholen des Verzögerns, Gewichtens und Kombinierens auf Eingabesignalen für aufeinanderfolgende FFE-Stufen auf.
  • In Beispiel 719 weist der Gegenstand eines oder mehrerer der Beispiele 713-718 optional auf, dass die Anzahl von Abzweigungen in jeder FFE-Stufe gleich ist.
  • In Beispiel 720 weist der Gegenstand eines oder mehrerer der Beispiele 713-719 optional auf, dass die Anzahl von Abzweigungen über die FFE-Stufen abnimmt.
  • In Beispiel 721 weist der Gegenstand eines oder mehrerer der Beispiele 713-720 optional ein initiales Einstellen der VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten für jedes der VI-, VQ-, HI- und HQ-Signale außer an der initialen Abzweigung auf einen vordefinierten Wert, und ein Aktualisieren der VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten während eines Anpassungsprozesses, um die VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten während der Gewichtung zu konvergieren und zu stabilisieren, auf.
  • Beispiel 722 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel zum Bereitstellen von mehreren Typen von Signalen für mehrere in Reihe verbundene Feedforward-Entzerrer-Stufen (FFE-Stufen) eines FFE umfassen. Die mehreren Typen von Signalen können vertikal und horizontal polarisierte In-Phasen- (I-) und Quadratur-Phasen- (Q-) Signale (VI-, VQ-, HI- und HQ -Signale) umfassen; an einer ersten der FFE-Stufen. Die Einrichtung kann ferner Mittel zum Verzögern der eingegebenen VI-, VQ-, HI- und HQ-Signale durch eine Reihe von Verzögerungen, um mehrere Gruppen verzögerter VI-, VQ-, HI- und HQ-Signale zu bilden, umfassen. Jede Gruppe verzögerter VI-, VQ-, HI- und HQ-Signale kann einer anderen Abzweigung von den mehreren Abzweigungen zugeordnet sein. Die Einrichtung kann ferner Mittel zum Gewichten jedes der VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung mit jedem von mehreren Typen von Gewichtungskoeffizienten, um gewichtete VI-, VQ-, HI- und HQ-Signale an der Abzweigung zu bilden, umfassen. Die mehreren Typen von Gewichtungskoeffizienten können VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten umfassen. Die Einrichtung kann ferner Mittel zum Kombinieren der gewichteten VI-Signale an jeder Abzweigung, um ein VI-Ausgabesignal zu bilden, der gewichteten VQ-Signale an jeder Abzweigung, um ein VQ-Ausgabesignal zu bilden, der gewichteten HI-Signale an jeder Abzweigung, um ein HI-Ausgabesignal zu bilden, und der gewichteten HQ-Signale an jeder Abzweigung, um ein HQ-Ausgabesignal zu bilden, umfassen. Die Einrichtung kann ferner Mittel zum Bereitstellen jedes der VI-, VQ-, HI- und HQ-Ausgabesignale als eines von einem VI-, VQ-, HI- und HQ-Eingabesignal für eine weitere FFE-Stufe oder als eine VI-, VQ-, HI- und HQ-Ausgabe des FFE bereitzustellen, umfassen.
  • In Beispiel 723 weist der Gegenstand von Beispiel 722 optional Mittel zum Verwenden der gewichteten VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung, um einen anderen Typ der Prä-Cursor-Intersymbolstörung (Prä-Cursor-ISI) auszulöschen, auf.
  • In Beispiel 724 weist der Gegenstand eines oder mehrerer der Beispiele 722-723 optional auf, dass wenigstens einige der VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten voneinander unabhängig sind.
  • In Beispiel 725 weist der Gegenstand eines oder mehrerer der Beispiele 722-724 optional auf, dass jeder Typ von Gewichtungskoeffizienten eines Signaltyps von jedem anderen Typ von Gewichtungskoeffizienten des einen Signaltyps unabhängig ist.
  • In Beispiel 726 weist der Gegenstand eines oder mehrerer der Beispiele 722-725 optional auf, dass ein Typ von Gewichtungskoeffizienten jedes Signaltyps von dem einen Typ von Gewichtungskoeffizienten jedes anderen Signaltyps unabhängig ist.
  • In Beispiel 727 weist der Gegenstand eines oder mehrerer der Beispiele 722-726 optional Mittel zum Wiederholen des Verzögerns, Gewichtens und Kombinierens auf Eingabesignalen für aufeinanderfolgende FFE-Stufen auf.
  • In Beispiel 728 weist der Gegenstand eines oder mehrerer der Beispiele 722-727 optional auf, dass die Anzahl von Abzweigungen in jeder FFE-Stufe gleich ist.
  • In Beispiel 729 weist der Gegenstand eines oder mehrerer der Beispiele 722-728 optional auf, dass die Anzahl von Abzweigungen über die FFE-Stufen abnimmt.
  • In Beispiel 730 weist der Gegenstand eines oder mehrerer der Beispiele 722-729 optional Mittel zum initialen Einstellen der VI-, VQ-, HI- und HQ-Gewichtskoeffizienten für jedes der VI-, VQ-, HI- und HQ-Signale, die nicht an einer initialen Abzweigung sind, auf einen vordefinierten Wert, und Mittel zum Aktualisieren der VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während eines Anpassungsprozesses, um die VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während des Gewichtens zu konvergieren und zu stabilisieren, auf.
  • Beispiel 731 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, mehrere Typen von Signalen für mehrere in Reihe verbundene Feedforward-Entzerrer- (FFE-) Stufen eines FFE bereitzustellen. Die mehreren Typen von Signalen können vertikal und horizontal polarisierte In-Phasen- (I-) und Quadratur-Phasen- (Q-) Signale (VI-, VQ-, HI- und HQ -Signale) umfassen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, an einer ersten der FFE-Stufen die eingegebenen VI-, VQ-, HI- und HQ-Signale durch eine Reihe von Verzögerungen zu verzögern, um mehrere Gruppen verzögerter VI-, VQ-, HI- und HQ-Signale zu bilden. Jede Gruppe verzögerter VI-, VQ-, HI- und HQ-Signale kann einer anderen Abzweigung von den mehreren Abzweigungen zugeordnet sein. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, an der ersten der FFE-Stufen jedes der VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung mit jedem von mehreren Typen von Gewichtungskoeffizienten zu gewichten, um gewichtete VI-, VQ-, HI- und HQ-Signale an der Abzweigung zu bilden. Die mehreren Typen von Gewichtungskoeffizienten können VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten umfassen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, an der ersten der FFE-Stufen gewichteten VI-Signale an jeder Abzweigung zu kombinieren, um ein VI-Ausgabesignal zu bilden, die gewichteten VQ-Signale an jeder Abzweigung zu kombinieren, um ein VQ-Ausgabesignal zu bilden, die gewichteten HI-Signale an jeder Abzweigung zu kombinieren, um ein HI-Ausgabesignal zu bilden, und die gewichteten HQ-Signale an jeder Abzweigung zu kombinieren, um ein HQ-Ausgabesignal zu bilden. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, an der ersten der FFE-Stufen jedes der VI-, VQ-, HI- und HQ-Ausgabesignale als eines aus einem VI-, VQ-, HI- und HQ-Eingabesignal für eine weitere FFE-Stufe oder als eine VI-, VQ-, HI- und HQ-Ausgabe des FFE bereitzustellen.
  • In Beispiel 732 weist der Gegenstand von Beispiel 731 optional auf, dass die Anweisungen ferner den einen oder die mehreren Prozessoren anweisen, die gewichteten VI-, VQ-, HI- und HQ-Signale an jeder Abzweigung zu verwenden, um einen anderen Typ von Prä-Cursor-Intersymbolstörung (Prä-Cursor-ISI) auszulöschen.
  • In Beispiel 733 weist der Gegenstand eines oder mehrerer der Beispiele 731-732 optional auf, dass wenigstens einige der VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten voneinander unabhängig sind.
  • In Beispiel 734 weist der Gegenstand eines oder mehrerer der Beispiele 731-733 optional auf, dass jeder Typ von Gewichtungskoeffizienten eines Signaltyps von jedem anderen Typ von Gewichtungskoeffizienten des einen Signaltyps unabhängig ist.
  • In Beispiel 735 weist der Gegenstand eines oder mehrerer der Beispiele 731-734 optional auf, dass ein Typ von Gewichtungskoeffizienten jedes Signaltyps von dem einen Typ von Gewichtungskoeffizienten jedes anderen Signaltyps unabhängig ist.
  • In Beispiel 736 weist der Gegenstand eines oder mehrerer der Beispiele 731-735 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, das Verzögern, Gewichten und Kombinieren auf Eingabesignalen für nachfolgende FFE-Stufen zu wiederholen.
  • In Beispiel 737 weist der Gegenstand eines oder mehrerer der Beispiele 731-736 optional auf, dass die Anzahl von Abzweigungen in jeder FFE-Stufe gleich ist.
  • In Beispiel 738 weist der Gegenstand eines oder mehrerer der Beispiele 731-737 optional auf, dass die Anzahl von Abzweigungen über die FFE-Stufen abnimmt.
  • In Beispiel 739 weist der Gegenstand eines oder mehrerer der Beispiele 731-738 optional auf, dass die Anweisungen ferner den einen oder die mehreren Prozessoren anweisen, die VI-, VQ-, HI- und HQ-Gewichtungskoeffizienten für jedes der VI-, VQ-, HI- und HQ-Signale, außer an der initialen Abzweigung, auf einen vordefinierten Wert einzustellen; und die VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während eines Anpassungsprozesses zu aktualisieren, um die VI-, VQ-, HI- und HQ-Gewichtskoeffizienten während des Gewichtens zu konvergieren und zu stabilisieren.
  • Beispiel 740 ist eine Einrichtung eines Empfängers. Die Einrichtung kann einen Entscheidungs-Rückkopplungs-Entzerrer (DFE) umfassen. Der DFE kann einen Pfad umfassen, der eine serielle Kette und parallele Ketten aufweist. Die serielle Kette kann konfiguriert sein, eine 1-Bit-Ausgabe, und eine 2-Bit-Ausgabe für das höchstwertige (MSB) und niedrigstwertige (LSB), bereitzustellen. Die Einrichtung kann ferner einen Selektor umfassen, der konfiguriert ist, zwischen den seriellen und parallelen Ketten und mehreren Abzweigungen, die entlang dem Pfad angeordnet sind, auszuwählen. Eine Anzahl von Abzweigungen kann davon abhängen, welche von der seriellen Kette und den parallelen Ketten durch den Selektor ausgewählt ist. Die Ausgaben von den Abzweigungen können konfiguriert sein, um eine Post-Cursor-Intersymbolstörung (Post-Cursor-ISI) zu kompensieren.
  • In Beispiel 741 weist der Gegenstand von Beispiel 740 optional mehrere Verzögerungen auf, die jeweils durch ein Taktsignal getriggert werden. Jede Abzweigung kann von einer Ausgabe einer anderen Verzögerung genommen werden.
  • In Beispiel 742 weist der Gegenstand von Beispiel 741 optional auf, dass jede Verzögerung ein D-Flipflop umfasst.
  • In Beispiel 743 weist der Gegenstand von Beispiel 742 optional auf, dass der Selektor mehrere Multiplexer umfasst. Jeder Multiplexer kann einer anderen Verzögerung zugeordnet sein und einen Ausgang aufweisen, der mit einem Eingang der zugeordneten Verzögerung verbunden ist.
  • In Beispiel 744 weist der Gegenstand von Beispiel 743 optional auf, dass jeder Multiplexer mit demselben Selektorsignal verbunden ist. Das Selektorsignal kann konfiguriert sein, die Auswahl dafür, welche von der seriellen Kette und den parallelen Ketten durch den DFE verwendet wird, zu steuern.
  • In Beispiel 745 weist der Gegenstand von Beispiel 744 optional auf, dass Eingaben jedes Selektors eine Ausgabe von einer früheren Verzögerung in der seriellen Kette und eine Ausgabe von einer früheren Verzögerung in den parallelen Ketten umfassen.
  • In Beispiel 746 weist der Gegenstand eines oder mehrerer der Beispiele 740-745 optional auf, dass der Selektor konfiguriert ist, einen Kettentyp basierend auf einem Modulationsschema auszuwählen. Der Kettentyp kann die serielle Kette und die parallelen Ketten umfassen.
  • In Beispiel 747 weist der Gegenstand von Beispiel 746 optional auf, dass die serielle Kette für Quadraturphasenumtastung (QPSK) auswählt ist und die parallelen Ketten für 16Quadraturamplitudenmodulation (16QAM) oder höher ausgewählt sind.
  • In Beispiel 748 weist der Gegenstand eines oder mehrerer der Beispiele 740-747 optional auf, dass die Abzweigungen erste und zweite Abzweigungen und verbleibende Abzweigungen nach den ersten und zweiten Abzweigungen umfassen. Die Einrichtung kann ferner ein erstes und zweites Latch umfassen, das vor den verbleibenden Abzweigungen angeordnet ist. Die erste Abzweigung kann von einem Eingang des ersten Latch genommen werden, und die zweite Abzweigung kann von einem Ausgang des zweiten Latch genommen werden. Ein Ausgang des ersten Latch kann mit einem Eingang des zweiten Latch verbunden sein.
  • In Beispiel 749 weist der Gegenstand von Beispiel 748 optional auf, dass in der seriellen Kette. Der Ausgang des zweiten Latch kann mit einem Eingang einer ersten der Verzögerungen, die die serielle Kette bilden, verbunden sein.
  • In Beispiel 750 weist der Gegenstand eines oder mehrerer der Beispiele 748-749 optional auf, dass in den parallelen Ketten: das MSB zwischen dem ersten und dem zweiten Latch genommen wird; das LSB von einem Ausgang eines dritten Latch genommen wird; ein Ausgang des ersten Latch ferner mit einem Selektoreingang eines Multiplexers verbunden ist; ein Ausgang des Multiplexers mit einem Eingang des dritten Latch verbunden ist; und die zweite Abzweigung von einem Ausgang des zweiten und des dritten Latch genommen wird.
  • In Beispiel 751 weist der Gegenstand eines oder mehrerer der Beispiele 740-750 optional auf, dass die Abzweigungen erste und zweite Abzweigungen und verbleibende Abzweigungen nach den ersten und zweiten Abzweigungen umfassen. Die erste Abzweigung kann eine strenge Verzögerungseinschränkung aufweisen. Jede der verbleibenden Abzweigungen kann von einem Ausgang eines anderen D-Flipflops genommen werden. Die erste Abzweigung kann von einem Eingang eines ersten Latch genommen werden, und die zweite Abzweigung kann von einem Ausgang eines zweiten Latch genommen werden. Ein Ausgang des ersten Latch und ein Eingang des zweiten Latch können miteinander verbunden sein und mit einem Selektoreingang eines Multiplexers in einem der parallelen Pfade verbunden sein, um das Beeinflussen einer Verzögerung der ersten Abzweigung zu vermeiden, wenn der Multiplexer vorhanden ist und die parallelen Pfade ausgewählt sind.
  • In Beispiel 752 weist der Gegenstand eines oder mehrerer der Beispiele 740-751 optional eine Antenne auf, die konfiguriert ist, Hochfrequenz-(RF-) Signale, die durch den DFE kompensiert werden, zu empfangen.
  • Beispiel 753 ist ein Verfahren zum Kompensieren von Post-Cursor-Intersymbolstörung (Post-Cursor-ISI) in einem Empfänger. Das Verfahren kann ein Bestimmen eines Modulationsschemas eines Signals, das an einem Entscheidungs-Rückkopplungs-Entzerrer (DFE) in dem Empfänger empfangen wird, umfassen. Das Verfahren kann ferner ein Bestimmen, basierend auf dem Modulationsschema, einer Abzweigungsanzahl von Abzweigungen, die in dem DFE verwendet werden soll, umfassen. Das Verfahren kann ferner ein Auswählen, welche von einer seriellen Kette und parallelen Ketten in dem DFE verwendet werden sollen, basierend auf der Anzahl von Abzweigungen umfassen. Die serielle Kette und die parallelen Ketten können eine unterschiedliche Anzahl von Abzweigungen aufweisen. Das Verfahren kann ferner ein Kompensieren von Post-Cursor-ISI des Signals unter Verwendung von Ausgaben von den Abzweigungen umfassen.
  • In Beispiel 754 weist der Gegenstand von Beispiel 753 optional ein gleichzeitiges Triggern mehrerer Verzögerungen auf. Jede Abzweigung kann von einer Ausgabe einer anderen Verzögerung genommen werden.
  • In Beispiel 755 weist der Gegenstand eines oder mehrerer der Beispiele 753-754 optional auf, dass das Auswählen, welche von einer seriellen Kette und parallelen Ketten verwendet werden sollen, ein Anlegen des gleichen Selektorsignals an mehrere Multiplexer, die jeweils einer anderen Verzögerung zugeordnet sind und einen Ausgang aufweisen, der mit einem Eingang der zugeordneten Verzögerung verbunden ist, umfassen kann.
  • In Beispiel 756 weist der Gegenstand eines oder mehrerer der Beispiele 753-755 optional auf, dass das Auswählen, welche von einer seriellen Kette und parallelen Ketten werden sollen, ein Auswählen der seriellen Kette für Quadraturphasenumtastung (QPSK) und der parallelen Ketten für 16Quadraturamplitudenmodulation (16QAM) oder höher umfassen kann.
  • In Beispiel 757 weist der Gegenstand eines oder mehrerer der Beispiele 753-756 optional auf, dass dann, wenn die parallelen Ketten ausgewählt werden, das Verfahren ferner ein Auswählen eines niedrigstwertigen Bit (LSB) unter Verwendung einer Latch-Ausgabe zwischen einer ersten und einer zweiten der Abzweigungen eines höchstwertigen Bit (MSB) umfassen kann.
  • In Beispiel 758 weist der Gegenstand eines oder mehrerer der Beispiele 753-757 optional auf, dass die Abzweigungen erste und zweite Abzweigungen und verbleibende Abzweigungen nach den ersten und zweiten Abzweigungen umfassen. Die erste Abzweigung kann eine strenge Verzögerungseinschränkung aufweisen. Das Verfahren kann ferner Vermeiden der Beeinflussung einer Verzögerung der ersten Abzweigung, wenn die parallelen Pfade ausgewählt sind, umfassen durch: Nehmen der ersten Abzweigung von einem Eingang eines ersten Latch und der zweiten Abzweigung von einem Ausgang eines zweiten Latch; und Verbinden eines Ausgangs des ersten Latch mit einem Eingang des zweiten Latch in einem ersten der parallelen Pfade und mit einem Selektoreingang eines Multiplexers in einem zweiten der parallelen Pfade.
  • Beispiel 759 ist eine Einrichtung eines Entscheidungs-Rückkopplungs-Entzerrers (DFE). Die Einrichtung kann Mittel zum Bestimmen eines Modulationsschemas eines an dem DFE empfangenen Signals umfassen. Die Einrichtung kann ferner Mittel zum Bestimmen, basierend auf dem Modulationsschema, der Abzweigungsanzahl von Abzweigungen, die in dem DFE verwendet werden sollen, umfassen. Die Einrichtung kann ferner Mittel zum Auswählen, welche von einer seriellen Kette und parallelen Ketten in dem DFE verwendet werden sollen, basierend auf der Anzahl von Abzweigungen, umfassen. Die serielle Kette und die parallelen Ketten können eine unterschiedliche Anzahl von Abzweigungen aufweisen. Die Einrichtung kann ferner Mittel zum Kompensieren der Post-Cursor-Intersymbolstörung (Post-Cursor-ISI) des Signals unter Verwendung von Ausgaben von den Abzweigungen umfassen.
  • In Beispiel 760 weist der Gegenstand von Beispiel 759 optional Mittel zum gleichzeitigen Triggern von mehreren Verzögerungen auf. Jede Abzweigung kann von einer Ausgabe einer anderen Verzögerung genommen werden.
  • In Beispiel 761 weist der Gegenstand eines oder mehrerer der Beispiele 759-760 optional auf, dass die Mittel zum Auswählen, welche von einer seriellen Kette und parallelen Ketten verwendet werden sollen, Mittel zum Anlegen des gleichen Selektorsignals an mehrere Multiplexer, die jeweils einer anderen Verzögerung zugeordnet sind und einen Ausgang aufweisen, der mit einem Eingang der zugeordneten Verzögerung verbunden ist, umfassen.
  • In Beispiel 762 weist der Gegenstand eines oder mehrerer der Beispiele 759-761 optional auf, dass die Mittel zum Auswählen, welche von einer seriellen Kette und parallelen Ketten verwendet werden sollen, Mittel zum Auswählen der seriellen Kette für Quadraturphasenumtastung (QPSK) und der parallelen Ketten für 16Quadraturamplitudenmodulation (16QAM) oder höher umfassen.
  • In Beispiel 763 weist der Gegenstand eines oder mehrerer der Beispiele 759-762 optional auf, dass dann, wenn die parallelen Ketten ausgewählt werden, die Einrichtung ferner Mittel zum Auswählen eines niedrigstwertigen Bit (LSB) unter Verwendung einer Latch-Ausgabe zwischen einer ersten und einer zweiten der Abzweigungen eines höchstwertigen Bit (MSB) umfassen kann.
  • In Beispiel 764 weist der Gegenstand eines oder mehrerer der Beispiele 759-763 optional auf, dass die Abzweigungen erste und zweite Abzweigungen und verbleibende Abzweigungen nach den ersten und zweiten Abzweigungen umfassen. Die erste Abzweigung kann eine strenge Verzögerungseinschränkung aufweisen. Die Einrichtung kann ferner Mittel zum Vermeiden der Beeinflussung einer Verzögerung der ersten Abzweigung, wenn die parallelen Pfade ausgewählt sind, umfassen durch Bereitstellen von: Mitteln zum Nehmen der ersten Abzweigung von einem Eingang eines ersten Latch und der zweiten Abzweigung von einem Ausgang eines zweiten Latch; und Mitteln zum Verbinden eines Ausgangs des ersten Latch mit einem Eingang des zweiten Latch in einem ersten der parallelen Pfade und mit einem Selektoreingang eines Multiplexers in einem zweiten der parallelen Pfade.
  • Beispiel 765 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, ein Modulationsschemas eines Signals, das an einem Entscheidungs-Rückkopplungs-Entzerrer (DFE) empfangen wird, zu bestimmen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, basierend auf dem Modulationsschema die Anzahl von Abzweigungen, die in dem DFE verwendet werden sollen, zu bestimmen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, auszuwählen, welche von einer seriellen Kette und parallelen Ketten in dem DFE verwendet werden sollen, basierend auf der Anzahl von Abzweigungen. Die serielle Kette und die parallelen Ketten können eine unterschiedliche Anzahl von Abzweigungen aufweisen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die Post-Cursor-Intersymbolstörung (Post-Cursor-ISI) des Signals unter Verwendung von Ausgaben von den Abzweigungen zu kompensieren.
  • In Beispiel 766 weist der Gegenstand von Beispiel 765 optional auf, dass die Anweisungen ferner konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, mehrere Verzögerungen gleichzeitig zu triggern. Jede Abzweigung kann von einer Ausgabe einer anderen Verzögerung genommen werden.
  • In Beispiel 767 weist der Gegenstand eines oder mehrerer der Beispiele 765-766 optional auf, dass die Anweisungen ferner konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, ein gleiches Selektorsignal an mehrere Multiplexer, die jeweils einer anderen Verzögerung zugeordnet sind und einen Ausgang aufweisen, der mit einem Eingang der zugeordneten Verzögerung verbunden ist, anzulegen.
  • In Beispiel 768 weist der Gegenstand eines oder mehrerer der Beispiele 765-767 optional auf, dass die Anweisungen ferner konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, die serielle Kette für Quadraturphasenumtastung (QPSK) und die parallelen Ketten für 16Quadraturamplitudenmodulation (16QAM) oder höher auszuwählen.
  • In Beispiel 769 weist der Gegenstand eines oder mehrerer der Beispiele 765-768 optional auf, dass die Anweisungen ferner konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, ein niedrigstwertiges Bit (LSB) unter Verwendung einer Latch-Ausgabe zwischen einer ersten und einer zweiten der Abzweigungen eines höchstwertigen Bit (MSB) auszuwählen.
  • In Beispiel 770 weist der Gegenstand eines oder mehrerer der Beispiele 765-769 optional auf, dass die Abzweigungen erste und zweite Abzweigungen und verbleibende Abzweigungen nach den ersten und zweiten Abzweigungen umfassen. Die erste Abzweigung kann eine strenge Verzögerungseinschränkung aufweisen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, ein Beeinflussen einer Verzögerung der ersten Abzweigung, wenn die parallelen Pfade ausgewählt sind, zu vermeiden durch: Nehmen der ersten Abzweigung von einem Eingang eines ersten Latch und der zweiten Abzweigung von einem Ausgang eines zweiten Latch; und Verbinden eines Ausgangs des ersten Latch mit einem Eingang des zweiten Latch in einem ersten der parallelen Pfade und mit einem Selektoreingang eines Multiplexers in einem zweiten der parallelen Pfade.
  • Beispiel 771 ist eine Einrichtung einer mmWellen (mmWave)-Kommunikationsvorrichtung. Die Einrichtung kann wenigstens eines von dem Folgenden umfassen: eine Empfängerhybridstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu empfangen, oder eine Senderhybridstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu senden. Die Empfängerhybridstrahlformungsarchitektur kann konfiguriert sein, strahlgeformte mmWellen-Signale zu empfangen, und die Senderhybridstrahlformungsarchitektur kann konfiguriert sein, strahlgeformte mmWellen-Signale zu senden. Die Empfängerhybridstrahlformungsarchitektur kann eine analoge Empfängerstrahlformungsstruktur und eine digitale Empfängerstrahlformungsstruktur umfassen, die eine unterschiedliche Anzahl von Analog-zu-Digital-Umsetzern (ADCs) umfassen, die unterschiedliche Auflösungen aufweisen. Die Senderhybridstrahlformungsarchitektur kann eine analoge Senderstrahlformungsstruktur und eine digitale Senderstrahlformungsstruktur umfassen, die eine unterschiedliche Anzahl von Digital-zu-Analog-Umsetzern (DACs) umfassen, die unterschiedliche Auflösungen aufweisen.
  • In Beispiel 772 weist der Gegenstand von Beispiel 771 optional auf, dass die analoge Empfängerstrahlformungsstruktur einen ADC umfasst und die analoge Senderstrahlformungsstruktur einen DAC umfasst und die digitale Empfängerstrahlformungsstruktur mehrere ADCs umfasst und die digitale Senderstrahlformungsstruktur mehrere DACs umfasst.
  • In Beispiel 773 weist der Gegenstand von Beispiel 772 optional auf, dass die Auflösung des ADC höher ist als die Auflösung jedes der mehreren ADCs und die Auflösung des DAC höher ist als die Auflösung jedes der mehreren DACs.
  • In Beispiel 774 weist der Gegenstand von Beispiel 773 optional auf, dass die Auflösung jedes der mehreren ADCs variabel ist.
  • In Beispiel 775 weist der Gegenstand eines oder mehrerer der Beispiele 772-774 optional auf, dass jede von der analogen Empfänger- und Senderstrahlformungsstruktur ferner einen Phasenschieber, der jeder der Antennen zugeordnet ist, und einen Kombinierer, der mit jedem Phasenschieber verbunden ist, umfassen kann.
  • In Beispiel 776 weist der Gegenstand eines oder mehrerer der Beispiele 772-775 optional auf, dass die Empfängerhybridstrahlformungsarchitektur ferner einen Empfängerschalter, der jeder Antenne zugeordnet ist, umfassen kann, wobei der Empfängerschalter steuert, welche von der analogen und digitalen Empfängerstrahlformungsstruktur ausgewählt ist. Die Senderhybridstrahlformungsarchitektur kann ferner einen Senderschalter, der jeder Antenne zugeordnet ist, umfassen. Der Senderschalter kann steuern, welche von der analogen und digitalen Senderstrahlformungsstruktur ausgewählt ist. Wenigstens einer von dem Empfänger- oder Senderschalter kann basierend auf einem Kanaltyp eines Kanals, auf dem Hochfrequenz- (RF-) Signale durch die Antennen kommuniziert werden, einem Signaltyp der RF-Signale, Kanalbedingungen, einer Mobilität eines Benutzer-Equipment (UE) oder einem Modulationsschema gesteuert werden.
  • In Beispiel 777 weist der Gegenstand von Beispiel 776 optional auf, dass der wenigstens eine von dem Empfänger- oder Senderschalter in Reaktion darauf, dass der Kanaltyp Sichtverbindung (LOS) ist, ein Modulationsschema hoher Ordnung verwendet wird und ein hohes Signal/Rausch-Verhältnis (SNR) und geringe Mobilität vorhanden sind, analoges Strahlformen auswählt.
  • In Beispiel 778 weist der Gegenstand eines oder mehrerer der Beispiele 776-777 optional auf, dass der wenigstens eine von dem Empfänger- oder Senderschalter digitales Strahlformen in Reaktion auf wenigstens eines von dem Folgenden auswählt: der Signaltyp ist ein Steuersignal, und es wird ein Modulationsschema niedriger Ordnung verwendet; oder der Signaltyp ist ein Datensignal, der Signaltyp ist Nicht- Sichtverbindung (NLOS), und es ist ein niedriges SNR vorhanden.
  • In Beispiel 779 weist der Gegenstand eines oder mehrerer der Beispiele 771-778 optional auf, dass die Empfängerhybridstrahlformungsarchitektur gemeinsam verwendete analoge Empfängerkomponenten umfasst, die für jede Antenne Folgendes umfassen: einen rauscharmen Verstärker, der konfiguriert ist, ein komplexes Hochfrequenz- (RF-) Signal von der Antenne zu verstärken, Mischer, die konfiguriert sind, In-Phase- und Quadraturphasen-Komponenten des RF-Signals auf Basisband abwärtsumzusetzen, eine variable Verstärkung, die mit jedem Mischer verbunden ist, und ein Tiefpassfilter, das konfiguriert ist, ein Tiefpassfiltern einer Ausgabe von jeder variablen Verstärkung bereitzustellen.
  • In Beispiel 780 weist der Gegenstand eines oder mehrerer der Beispiele 771-779 optional mehrere Antennen auf, die konfiguriert sind, mmWellen-Signale zu kommunizieren.
  • Beispiel 781 ist ein Verfahren zum Kommunizieren strahlgeformter mmWellen-Signale. Das Verfahren kann ein Bestimmen von Kanal- und Signaleigenschaften von mmWellen-Signalen, die kommuniziert werden sollen, umfassen. Das Verfahren kann ferner basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass eine hochauflösende Quantisierung oder eine Umsetzung von digital zu analog verwendet werden soll, ein Auswählen einer analogen Strahlformungsarchitektur, von einer Hybridstrahlformungsarchitektur, die die analoge Strahlformungsarchitektur und eine digitale Strahlformungsarchitektur umfasst, zur Verwendung zum Kommunizieren der mmWellen-Signale umfassen. Das Verfahren kann ferner basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass Quantisierung mit geringer Auflösung oder Umsetzung von digital auf analog verwendet werden soll, Auswählen der digitalen Strahlformungsarchitektur zur Verwendung zum Kommunizieren der mmWellen-Signale umfassen. Das Verfahren kann ferner ein Kommunizieren der mmWellen-Signale über Strahlformung unter Verwendung der ausgewählten analogen oder digitalen Strahlformungsarchitektur umfassen. Eine Anzahl von Umsetzern in der analogen und digitalen Strahlformungsarchitektur kann unterschiedlich sein.
  • In Beispiel 782 weist der Gegenstand von Beispiel 781 optional auf, dass die analoge Strahlformungsstruktur entweder einen Analog-zu-Digital-Umsetzer (ADC) oder einen Digital-zu-Analog-Umsetzer (DAC) umfasst und die digitale Strahlformungsstruktur entweder mehrere ADCs oder mehrere DACs umfasst.
  • In Beispiel 783 weist der Gegenstand von Beispiel 782 optional auf, dass eine Auflösung jedes der ADCs und DACs fest ist.
  • In Beispiel 784 weist der Gegenstand eines oder mehrerer der Beispiele 782-783 optional Variieren einer Auflösung jedes der ADCs und der DACs abhängig von den Kanal- und Signaleigenschaften der mmWellen-Signale auf.
  • In Beispiel 785 weist der Gegenstand eines oder mehrerer der Beispiele 782-784 optional auf, dass das Verfahren, wenn die analoge Strahlformungsarchitektur ausgewählt ist, ferner ein Phasenverschieben jedes der mmWellen-Signale, um phasenverschobene Signale herzustellen, und ein Kombinieren der phasenverschobenen Signale, um ein kombiniertes Signal zu bilden, das quantisiert werden soll, umfasst.
  • In Beispiel 786 weist der Gegenstand eines oder mehrerer der Beispiele 782-785 optional ein Steuern der Auswahl der analogen oder digitalen Strahlformungsarchitektur basierend wenigstens darauf, welcher von einem Sichtverbindungs- (LOS-) oder Nicht-LOS (NLOS-) Kanal verwendet werden soll, um die mmWellen-Signale zu kommunizieren, welches von einem Steuer- oder Datensignal die mmWellen-Signale sind, einem Signal/Rausch-Verhältnis (SNR), und einem Modulationsschema, das verwendet werden soll, um die mmWellen-Signale zu kommunizieren, auf.
  • In Beispiel 787 weist der Gegenstand von Beispiel 786 optional auf, dass in Reaktion darauf, dass der Kanaltyp LOS ist, ein Modulationsschema hoher Ordnung verwendet wird und ein hohes SNR und geringe Mobilität vorhanden sind, die analoge Strahlformungsarchitektur ausgewählt wird.
  • In Beispiel 788 weist der Gegenstand eines oder mehrerer der Beispiele 786-787 optional auf, dass in Reaktion auf wenigstens eines von dem Folgenden die digitale Strahlformungsarchitektur ausgewählt wird: der Signaltyp ist ein Steuersignal, und es ist ein Modulationsschema niedriger Ordnung verwendet; oder der Signaltyp ist ein Datensignal, der Signaltyp ist NLOS, und es ist ein niedriges SNR vorhanden.
  • In Beispiel 789 weist der Gegenstand eines oder mehrerer der Beispiele 781-788 optional ein gemeinsames Verwenden analoger Komponenten zwischen der analogen und digitalen Strahlformungsarchitektur auf. Die gemeinsam verwendeten Komponenten können einen rauscharmen Verstärker, der konfiguriert ist, die mmWellen-Signale zu verstärken, Mischer, die konfiguriert sind, In-Phasen- und Quadratur-Phasen-Komponenten der mmWellen-Signale auf Basisband abwärtsumzusetzen, eine variable Verstärkung, die mit jedem Mischer verbunden ist, und ein Tiefpassfilter, das konfiguriert ist, ein Tiefpassfiltern einer Ausgabe von jeder variablen Verstärkung bereitzustellen, umfassen.
  • Beispiel 790 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel zum Bestimmen von Kanal- und Signaleigenschaften von mmWellen-Signalen, die kommuniziert werden sollen, umfassen. Die Einrichtung kann ferner, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass eine hochauflösende Quantisierung oder eine Umsetzung von digital zu analog verwendet werden soll, Mittel zum Auswählen einer analogen Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur, die die analoge Strahlformungsarchitektur und eine digitale Strahlformungsarchitektur umfasst, zur Verwendung zum Kommunizieren der mmWellen-Signale umfassen. Die Einrichtung kann ferner, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass eine Quantisierung mit geringer Auflösung oder eine Umsetzung von digital auf analog verwendet werden soll, Mittel zum Auswählen der digitalen Strahlformungsarchitektur zur Verwendung zum Kommunizieren der mmWellen-Signale umfassen. Die Einrichtung kann ferner Mittel zum Kommunizieren der mmWellen-Signale über Strahlformung unter Verwendung der ausgewählten analogen oder digitalen Strahlformungsarchitektur umfassen. Eine Anzahl von Umsetzern in der analogen und digitalen Strahlformungsarchitektur kann unterschiedlich sein.
  • In Beispiel 791 weist der Gegenstand von Beispiel 790 optional auf, dass die analoge Strahlformungsstruktur entweder einen Analog-zu-Digital-Umsetzer (ADC) oder einen Digital-zu-Analog-Umsetzer (DAC) umfasst und die digitale Strahlformungsstruktur entweder mehrere ADCs oder mehrere DACs umfasst.
  • In Beispiel 792 weist der Gegenstand von Beispiel 791 optional auf, dass eine Auflösung jedes der ADCs und DACs fest ist.
  • In Beispiel 793 weist der Gegenstand eines oder mehrerer der Beispiele 791-792 optional Mittel zum Variieren einer Auflösung jedes der ADCs und der DACs abhängig von den Kanal- und Signaleigenschaften der mmWellen-Signale auf.
  • In Beispiel 794 weist der Gegenstand eines oder mehrerer der Beispiele 790-793 optional auf, dass die Einrichtung, wenn die analoge Strahlformungsarchitektur ausgewählt ist, ferner Mittel zum Phasenverschieben jedes der mmWellen-Signale, um phasenverschobene Signale zu erzeugen, und Mittel zum Kombinieren der phasenverschobenen Signale, um ein kombiniertes Signal zu bilden, das quantisiert werden soll, umfasst.
  • In Beispiel 795 weist der Gegenstand eines oder mehrerer der Beispiele 790-794 optional Mittel zum Steuern der Auswahl der analogen oder digitalen Strahlformungsarchitektur basierend wenigstens darauf, welcher von einem Sichtverbindungs-- (LOS-) oder Nicht-LOS (NLOS-) Kanal verwendet werden soll, um die mmWellen-Signale zu kommunizieren, welches von einem Steuer- oder Datensignal die mmWellen-Signale sind, einem Signal/RauschVerhältnis (SNR), und einem Modulationsschema, das verwendet werden soll, um die mmWellen-Signale zu kommunizieren, auf.
  • In Beispiel 796 weist der Gegenstand von Beispiel 795 optional auf, dass in Reaktion darauf, dass der Kanaltyp LOS ist, ein Modulationsschema hoher Ordnung verwendet wird und ein hohes SNR und geringe Mobilität vorhanden sind, die analoge Strahlformungsarchitektur ausgewählt wird.
  • In Beispiel 797 weist der Gegenstand eines oder mehrerer der Beispiele 795-796 optional auf, dass in Reaktion auf wenigstens eines von dem Folgenden die digitale Strahlformungsarchitektur ausgewählt wird: der Signaltyp ist ein Steuersignal und es wird ein Modulationsschema niedriger Ordnung verwendet; oder der Signaltyp ist ein Datensignal, der Signaltyp ist NLOS, und es ist ein niedriges SNR vorhanden.
  • In Beispiel 798 weist der Gegenstand eines oder mehrerer der Beispiele 790-797 optional Mittel zum gemeinsamen Verwenden analoger Komponenten zwischen der analogen und digitalen Strahlformungsarchitektur auf. Die gemeinsam verwendeten Komponenten können einen rauscharmen Verstärker, der konfiguriert ist, die mmWellen-Signale zu verstärken, Mischer, die konfiguriert sind, In-Phasen- und Quadratur-Phasen-Komponenten der mmWellen-Signale auf Basisband abwärtsumzusetzen, eine variable Verstärkung, die mit jedem Mischer verbunden ist, und ein Tiefpassfilter, das konfiguriert ist, ein Tiefpassfiltern einer Ausgabe einer jeden variablen Verstärkung bereitzustellen, umfassen.
  • Beispiel 799 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die Kanal- und Signaleigenschaften von mmWellen-Signalen, die kommuniziert werden sollen, zu bestimmen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass eine hochauflösende Quantisierung oder eine Umsetzung von digital zu analog verwendet werden soll, eine analoge Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur, die die analoge Strahlformungsarchitektur und eine digitale Strahlformungsarchitektur umfasst, zur Verwendung zum Kommunizieren der mmWellen-Signale auszuwählen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, basierend auf einer Bestimmung aus den Kanal- und Signaleigenschaften der mmWellen-Signale, dass eine Quantisierung mit geringer Auflösung oder eine Umsetzung von digital auf analog verwendet werden soll, die digitale Strahlformungsarchitektur zur Verwendung zum Kommunizieren der mmWellen-Signale auszuwählen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die mmWellen-Signale über Strahlformung unter Verwendung der ausgewählten analogen oder digitalen Strahlformungsarchitektur zu kommunizieren. Eine Anzahl von Umsetzern in der analogen und digitalen Strahlformungsarchitektur kann unterschiedlich sein.
  • In Beispiel 800 weist der Gegenstand von Beispiel 799 optional auf, dass die analoge Strahlformungsstruktur entweder einen einzelnen Analog-zu-Digital-Umsetzer (ADC) oder einen einzelnen Digital-zu-Analog-Umsetzer (DAC) umfasst und die digitale Strahlformungsstruktur entweder mehrere ADCs oder mehrere DACs umfasst.
  • In Beispiel 801 weist der Gegenstand von Beispiel 800 optional auf, dass eine Auflösung jedes der ADCs und DACs fest ist.
  • In Beispiel 802 weist der Gegenstand eines oder mehrerer der Beispiele 800-801 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, eine Auflösung jedes der ADCs und DACs abhängig von den Kanal- und Signaleigenschaften der mmWellen-Signale zu variieren.
  • In Beispiel 803 weist der Gegenstand eines oder mehrerer der Beispiele 799-802 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, wenn die analoge Strahlformungsarchitektur ausgewählt ist, jedes der mmWellen-Signale phasenzuverschieben, um phasenverschobene Signale zu erzeugen, und die phasenverschobenen Signale zu kombinieren, um ein kombiniertes Signal zu bilden, das quantisiert werden soll.
  • In Beispiel 804 weist der Gegenstand eines oder mehrerer der Beispiele 799-803 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, die Auswahl der analogen oder digitalen Strahlformungsarchitektur basierend wenigstens darauf, welcher von einem Sichtverbindungs- (LOS-) oder Nicht-LOS (NLOS-) Kanal verwendet werden soll, um die mmWellen-Signale zu kommunizieren, welches von einem Steuer- oder Datensignal die mmWellen-Signale sind, einem Signal/Rausch-Verhältnis (SNR), und einem Modulationsschema, das verwendet werden soll, um die mmWellen-Signale zu kommunizieren, zu steuern.
  • In Beispiel 805 weist der Gegenstand von Beispiel 804 optional auf, dass in Reaktion darauf, dass der Kanaltyp LOS ist, ein Modulationsschema hoher Ordnung verwendet wird und ein hohes SNR und geringe Mobilität vorhanden sind, die analoge Strahlformungsarchitektur ausgewählt wird.
  • In Beispiel 806 weist der Gegenstand eines oder mehrerer der Beispiele 804-805 optional auf, dass in Reaktion auf wenigstens eines von dem Folgenden die digitale Strahlformungsarchitektur ausgewählt wird: der Signaltyp ist ein Steuersignal und es wird ein Modulationsschema niedriger Ordnung verwendet; oder der Signaltyp ist ein Datensignal, der Signaltyp ist NLOS, und es ist ein niedriges SNR vorhanden.
  • In Beispiel 807 weist der Gegenstand eines oder mehrerer der Beispiele 799-806 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, analoge Komponenten zwischen der analogen und digitalen Strahlformungsarchitektur gemeinsam zu verwenden. Die gemeinsam verwendeten Komponenten können einen rauscharmen Verstärker, der konfiguriert ist, die mmWellen-Signale zu verstärken, Mischer, die konfiguriert sind, In-Phase- und Quadratur-Phasen-Komponenten der mmWellen-Signale auf Basisband abwärtsumzusetzen, eine variable Verstärkung, die mit jedem Mischer verbunden ist, und ein Tiefpassfilter, das konfiguriert ist, einen Tiefpassfiltern einer Ausgabe einer jeden variablen Verstärkung bereitzustellen, umfassen.
  • Beispiel 808 ist eine Einrichtung einer mmWellen-Kommunikationsvorrichtung. Die Einrichtung kann eine Empfängerstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu empfangen, und eine Senderstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu senden, umfassen. Die Empfängerstrahlformungsarchitektur kann einen Analog-zu-Digital-Umsetzer (ADC) mit variabler Auflösung umfassen. Die Senderstrahlformungsarchitektur kann einen Digital-zu-Analog-Umsetzer (DAC) mit variabler Auflösung umfassen. Eine Auflösung des ADC oder des DAC kann ausgelegt sein, den Energieverbrauch auf eine vorbestimmte Sendeempfängerleistungsverlusteinschränkung ohne eine Anzahl von ADCs oder DACs, die in der Empfänger- oder Senderstrahlformungs-Architektur verwendet werden, zu reduzieren, zu begrenzen.
  • In Beispiel 809 weist der Gegenstand von Beispiel 808 optional auf, dass die Empfängerstrahlformungsarchitektur eine Hybridempfängerstrahlformungsarchitektur umfasst, die eine analoge Empfängerstrahlformungsarchitektur und eine digitale Empfängerstrahlformungsarchitektur umfasst. Die Senderstrahlformungsarchitektur kann eine Hybridsenderstrahlformungsarchitektur umfassen, die eine analoge Senderstrahlformungsarchitektur und eine digitale Senderstrahlformungsarchitektur umfasst.
  • In Beispiel 810 weist der Gegenstand von Beispiel 809 optional auf, dass die analoge Empfänger- und Senderstrahlformungsarchitektur einen ADC bzw. einen DAC umfasst. Die digitale Empfänger- und Senderstrahlformungsarchitektur kann mehrere ADCs bzw. DACs umfassen.
  • In Beispiel 811 weist der Gegenstand von Beispiel 810 optional auf, dass die analoge Empfängerstrahlformungsarchitektur einen Kombinierer umfasst, der konfiguriert ist, komplexe mmWellen-Signale von mehreren Antennen in ein kombiniertes Signal zu kombinieren. Das kombinierte Signal kann dem einzelnen ADC als eine Eingabe zugeführt werden.
  • In Beispiel 812 weist der Gegenstand eines oder mehrerer der Beispiele 808-811 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Kanal, der zur Kommunikation verwendet wird, eine Störung in dem Kanal, ein Signal/RauschVerhältnis (SNR) oder einer Anzahl von Benutzern in Kommunikation mit der mm W ellen-Kommunikationsvorrichtung.
  • In Beispiel 813 weist der Gegenstand eines oder mehrerer der Beispiele 809-812 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: euben Signaltyp der strahlgeformten mmWellen-Signale, einer Signalqualität der strahlgeformten mmWellen-Signale, einer Modulation, die durch die strahlgeformten mmWellen-Signale verwendet wird, oder einem Betrieb der Einrichtung, die den strahlgeformten mmWellen-Signalen zugeordnet ist.
  • In Beispiel 814 weist der Gegenstand von Beispiel 813 optional auf, dass die Auflösung des ADC oder DAC verringert wird, wenn die strahlgeformten mmWellen-Signale Signalisierung einer Steuerebene umfassen.
  • In Beispiel 815 weist der Gegenstand eines oder mehrerer der Beispiele 813-814 optional auf, dass die Auflösung des ADC oder DAC mit zunehmendem Signal/Rausch-Verhältnis (SNR) abnimmt.
  • In Beispiel 816 weist der Gegenstand eines oder mehrerer der Beispiele 813-815 optional auf, dass die Auflösung des ADC oder DAC mit zunehmender Modulationsordnung abnimmt.
  • In Beispiel 817 weist der Gegenstand eines oder mehrerer der Beispiele 813-816 optional auf, dass die Auflösung des ADC oder DAC mit zunehmender Modulationsordnung abnimmt.
  • In Beispiel 818 weist der Gegenstand eines oder mehrerer der Beispiele 813-817 optional auf, dass die Auflösung des ADC oder DAC mit abnehmender Anzahl von Benutzer-Equipments (UEs), die durch die Kommunikationsvorrichtung versorgt werden, und abnehmendem Spitze-Mittelwert-Verhältnis der Leistung (PAPR) abnimmt.
  • In Beispiel 819 weist der Gegenstand eines oder mehrerer der Beispiele 813-818 optional auf, dass die Auflösung des ADC oder DAC abnimmt, wenn ein gemessener DC-Versatz unter einen vorbestimmten Einstellpunkt fällt.
  • In Beispiel 820 weist der Gegenstand eines oder mehrerer der Beispiele 813-819 optional auf, dass die Auflösung des ADC oder DAC abnimmt, wenn die strahlgeformten mmWellen-Signale Teil einer Suche eines evolved NodeB (eNB) sind.
  • In Beispiel 821 weist der Gegenstand eines oder mehrerer der Beispiele 813-820 optional auf, dass die Auflösung des ADC oder DAC abnimmt, wenn die strahlgeformten mmWellen-Signale eine Präambel oder Mid-Ambel sind.
  • In Beispiel 822 weist der Gegenstand eines oder mehrerer der Beispiele 813-821 optional auf, dass die Auflösung des ADC oder DAC zunimmt, wenn wenigstens eine einer bandinternen- oder Nachbarkanal-Störung stark genug ist, um einen Dynamikbereich des ADC oder DAC zu vergrößern.
  • In Beispiel 823 weist der Gegenstand eines oder mehrerer der Beispiele 813-822 optional auf, dass die Auflösung des ADC oder DAC zunimmt, wenn der Kanal ein Nicht- Sichtverbindungs- (NLOS-) Kanal ist und eine Mehrpfadanzahl ansteigt.
  • In Beispiel 824 weist der Gegenstand eines oder mehrerer der Beispiele 808-823 optional mehrere Antennen auf, die konfiguriert sind, die strahlgeformten mmWellen-Signale zu kommunizieren.
  • Beispiel 825 ist ein Verfahren zum Kommunizieren strahlgeformter mmWellen-Signale. Das Verfahren kann wenigstens eines von ein Empfangen einer ersten Menge strahlgeformter mmWellen-Signale an mehreren Antennen oder Senden einer zweiten Menge strahlgeformter mmWellen-Signale von den Antennen umfassen. Das Verfahren kann ferner ein Einstellen einer Auflösung eines Analog-zu-Digital-Umsetzers (ADC), der zum Empfangen verwendet wird, und eines Digital-zu-Analog-Umsetzers (DAC). der zum Senden verwendet wird, basierend auf einer Sendeleistungsverlusteinschränkung und ohne eine Anzahl von ADCs oder DACs zu reduzieren umfassen. Das Verfahren kann ferner ein Umsetzen der ersten oder zweiten Menge strahlgeformter mmWellen-Signale zwischen analogen und digitalen Signalen basierend auf der Auflösung des ADC oder DAC umfassen.
  • In Beispiel 826 weist der Gegenstand von Beispiel 825 optional ein Auswählen, welche von einer analogen Strahlformungsarchitektur und einer digitalen Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur verwendet werden soll, um die strahlgeformten mmWellen-Signale zu empfangen oder zu senden, auf.
  • In Beispiel 827 weist der Gegenstand von Beispiel 826 optional ein Anpassen der Auflösung des ADC oder DAC basierend darauf, welche von der analogen und digitalen Strahlformungsarchitektur ausgewählt ist, auf.
  • In Beispiel 828 weist der Gegenstand eines oder mehrerer der Beispiele 826-827 optional auf, dass die analoge Strahlformungsarchitektur einen einzelnen ADC zum Empfangen und einen einzelnen DAC zum Senden umfasst. Die digitale Strahlformungsarchitektur kann ferner mehrere ADCs zum Empfangen und mehrere DACs zum Senden umfassen.
  • In Beispiel 829 weist der Gegenstand eines oder mehrerer der Beispiele 826-828 optional ein Kombinieren komplexer mmWellen-Signale, die von den Antennen empfangen werden, in ein kombiniertes Signal und Zuführen des kombinierten Signals zu dem einzelnen ADC als eine Eingabe auf.
  • In Beispiel 830 weist der Gegenstand eines oder mehrerer der Beispiele 825-829 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Kanal, der zur Kommunikation verwendet wird, einer Störung in dem Kanal, einem Signal/Rausch-Verhältnis (SNR), oder einer Anzahl von Benutzern, die der mmWellen-Kommunikationsvorrichtung kommunizieren.
  • In Beispiel 831 weist der Gegenstand eines oder mehrerer der Beispiele 825-830 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Signaltyp der strahlgeformten mmWellen-Signale, einer Signalqualität der strahlgeformten mmWellen-Signale, einer Modulation, die durch die strahlgeformten mmWellen-Signale verwendet wird, oder einem Betrieb, der den strahlgeformten mmWellen-Signalen zugeordnet ist.
  • Beispiel 832 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel Empfangen einer ersten Menge strahlgeformter mmWellen-Signale an mehreren Antennen und Mittel zum Senden einer zweiten Menge strahlgeformter mmWellen-Signale von den Antennen umfassen. Die Einrichtung kann ferner Mittel zum Einstellen einer Auflösung eines Analog-zu-Digital-Umsetzers (ADC), der zum Empfangen verwendet wird, und eines Digital-zu-Analog-Umsetzers (DAC). der zum Senden verwendet wird, basierend auf einer Sendeleistungsverlusteinschränkung und ohne eine Anzahl von ADCs oder DACs zu reduzieren umfassen. Die Einrichtung kann ferner Mittel zum Umsetzen der ersten oder zweiten Menge strahlgeformter mmWellen-Signale zwischen analogen und digitalen Signalen basierend auf der Auflösung des ADC oder DAC umfassen.
  • In Beispiel 833 weist der Gegenstand von Beispiel 832 optional Mittel zum Auswählen, welche von einer analogen Strahlformungsarchitektur und einer digitalen Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur verwendet werden soll, um die strahlgeformten mmWellen-Signale zu empfangen oder zu senden, auf.
  • In Beispiel 834 weist der Gegenstand von Beispiel 833 optional Mittel zum Anpassen der Auflösung des ADC oder DAC basierend darauf, welche von der analogen und digitalen Strahlformungsarchitektur ausgewählt ist, auf.
  • In Beispiel 835 weist der Gegenstand eines oder mehrerer der Beispiele 833-834 optional auf, dass die analoge Strahlformungsarchitektur einen einzelnen ADC zum Empfang und einen einzelnen DAC zum Senden umfasst. Die digitale Strahlformungsarchitektur kann mehrere ADCs zum Empfang und mehrere DACs zum Senden umfassen.
  • In Beispiel 836 weist der Gegenstand eines oder mehrerer der Beispiele 833-835 optional Mittel zum Kombinieren komplexer mmWellen-Signale, die von den Antennen empfangen werden, in ein kombiniertes Signal und Mittel zum Zuführen des kombinierten Signals zu dem einzelnen DAC als eine Eingabe auf.
  • In Beispiel 837 weist der Gegenstand eines oder mehrerer der Beispiele 832-836 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Kanal, der zur Kommunikation verwendet wird, einer Störung in dem Kanal, einem Signal/Rausch-Verhältnis (SNR), oder einer Anzahl von Benutzern, die mit der mmWellen-Kommunikationsvorrichtung kommunizieren.
  • In Beispiel 838 weist der Gegenstand eines oder mehrerer der Beispiele 825-837 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Signaltyp der strahlgeformten mmWellen-Signale, einer Signalqualität der strahlgeformten mmWellen-Signale, einer Modulation, die durch die strahlgeformten mmWellen-Signale verwendet wird, oder einem Betrieb, der den strahlgeformten mmWellen-Signalen zugeordnet ist.
  • Beispiel 839 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, eine erste Menge strahlgeformter mmWellen-Signale an mehreren Antennen zu empfangen und eine zweite Menge strahlgeformter mmWellen-Signale von den Antennen zu senden. Die Anweisungen können ferner konfiguriert sein, eine Auflösung eines Analog-zu-Digital-Umsetzers (ADC), der zum Empfangen verwendet wird, und eines Digital-zu-Analog-Umsetzers (DAC), der zum Senden verwendet wird, basierend auf einer Sendeleistungsverlusteinschränkung und ohne eine Anzahl von ADCs oder DACs zu reduzieren einzustellen. Die Anweisungen können ferner konfiguriert sein, die erste oder zweite Menge strahlgeformter mmWellen-Signale zwischen analogen und digitalen Signalen basierend auf der Auflösung des ADC oder DAC umzusetzen.
  • In Beispiel 840 weist der Gegenstand von Beispiel 839 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen können auszuwählen, welche von einer analogen Strahlformungsarchitektur und einer digitalen Strahlformungsarchitektur einer Hybridstrahlformungsarchitektur verwendet werden soll, um die strahlgeformten mmWellen-Signale zu empfangen oder zu senden.
  • In Beispiel 841 weist der Gegenstand von Beispiel 840 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, die Auflösung des ADC und DAC basierend darauf, welche von der analogen und digitalen Strahlformungsarchitektur ausgewählt ist, anzupassen.
  • In Beispiel 842 weist der Gegenstand eines oder mehrerer der Beispiele 840-841 optional auf, dass die analoge Strahlformungsarchitektur einen einzelnen ADC zum Empfang und einen einzelnen DAC zum Senden umfasst. Die digitale Strahlformungsarchitektur kann mehrere ADCs zum Empfang und mehrere DACs zum Senden umfassen.
  • In Beispiel 843 weist der Gegenstand eines oder mehrerer der Beispiele 840-842 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, komplexe mmWellen-Signale, die von den Antennen empfangen werden, in ein kombiniertes Signal zu kombinieren und das kombinierte Signal dem einzelnen ADC als eine Eingabe zuzuführen.
  • In Beispiel 844 weist der Gegenstand eines oder mehrerer der Beispiele 839-843 optional auf, dass die Auflösung des ADC oder DAC von wenigstens einem von dem Folgenden abhängig ist: einem Kanal, der zur Kommunikation verwendet wird, einer Störung in dem Kanal, einem Signal/Rausch-Verhältnis (SNR), oder einer Anzahl von Benutzern die mit der mmWellen-Kommunikationsvorrichtung kommunizieren.
  • Beispiel 845 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann eine analoge oder hybride Strahlformungsarchitektur umfassen, die mehrere Phasenschieber umfasst, die konfiguriert sind, einen Lenkungswinkel für Antennen, die konfiguriert sind, strahlgeformte Signale zu kommunizieren, einzustellen. Die Einrichtung kann ferner einen Prozessor umfassen, der konfiguriert ist, ein Codebuch zu bestimmen, um Strahllenkung für die Antennen bereitzustellen. Das Codebuch kann auf eine Teilmenge von Lenkungswinkeln der Antennen beschränkt sein. Der Prozessor kann ferner Eingaben für die Phasenschieber, um einen speziellen Lenkungswinkel außerhalb der Teilmenge von Lenkungswinkeln durch eine Bestimmung eines begrenzten Lenkungswinkels innerhalb der Teilmenge von Lenkungswinkeln und eines ganzzahligen Verschiebungswerts, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben, bereitstellen.
  • In Beispiel 846 weist der Gegenstand von Beispiel 845 optional auf, dass die analoge oder hybride Strahlformungsarchitektur mehrere primäre Phasenschieber und mehrere sekundäre Phasenschieber umfasst. Jeder sekundäre Phasenschieber kann einer Gruppe der primären Phasenschieber zugeordnet sein. Das Codebuch kann konfiguriert sein, Werte der primären und sekundären Phasenschieber zu steuern.
  • In Beispiel 847 weist der Gegenstand von Beispiel 846 optional auf, dass die primären und sekundären Phasenschieber Phasenschieber mit wenigen Bits sind.
  • In Beispiel 848 weist der Gegenstand eines oder mehrerer der Beispiele 846-847 optional auf, dass das Codebuch ferner einen unitären Multiplizierer umfasst, um anzugeben, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und Verschiebungswert eingestellt ist, oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkels und Verschiebungswerts um einen Verschiebungswert um 180° eingestellt ist.
  • In Beispiel 849 weist der Gegenstand von Beispiel 848 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0148
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 850 weist der Gegenstand von Beispiel 849 optional auf, dass die primären Phasenschieberwerte auf zwischen [0, 0, 0, ..., 0] und [0,1, 2, ..., L - 1] π 2 b p
    Figure DE112017006442T5_0149
    begrenzt sind, wobei L eine Anzahl primärer Phasenschieber ist.
  • In Beispiel 851 weist der Gegenstand eines oder mehrerer der Beispiele 845-850 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0150
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 852 weist der Gegenstand eines oder mehrerer der Beispiele 846-851 optional auf, dass die sekundären Phasenschieber Hochfrequenz- oder Basisband-Phasenschieber sind und die primären Phasenschieber Zwischenfrequenz- oder digitale Phasenschieber sind.
  • In Beispiel 853 weist der Gegenstand eines oder mehrerer der Beispiele 845-852 optional auf, dass mehrere Antennen konfiguriert sind, durch die Phasenschieber gesteuert zu werden, um die strahlgeformten Signale zu kommunizieren.
  • Beispiel 854 ist ein Verfahren zum Bereitstellen von Strahllenkung in einer Kommunikationsvorrichtung. Das Verfahren kann ein Begrenzen einer Größe eines Codebuchs, das zur Strahllenkung von Antennen verwendet wird, auf eine Teilmenge von Lenkungswinkeln, über die die Antennen gelenkt werden sollen, umfassen. Das Verfahren kann ferner ein Bestimmen eines speziellen Lenkungswinkels außerhalb der Teilmenge von Lenkungswinkeln, auf den die Antennen gelenkt werden sollen, umfassen. Das Verfahren kann ferner ein Bestimmen eines begrenzten Lenkungswinkels innerhalb der Teilmenge von Lenkungswinkeln, der dem speziellen Lenkungswinkel entspricht, umfassen. Das Verfahren kann ferner ein Bestimmen eines Verschiebungswerts, um den begrenzten Lenkungswinkel auf den speziellen Lenkungswinkel zu verschieben, umfassen. Das Verfahren kann ferner ein Lenken der Antennen durch Anwenden des begrenzten Lenkungswinkels und des Verschiebungswerts umfassen.
  • In Beispiel 855 weist der Gegenstand von Beispiel 854 optional auf, dass das Lenken der Antennen ein Anwenden eines begrenzten Lenkungswinkelwerts auf mehrere primäre Phasenschieber, um die Antennen zu dem begrenzten Lenkungswinkel zu lenken, umfasst. Das Lenken der Antennen kann ferner ein Anwenden des Verschiebungswerts auf mehrere sekundäre Phasenschieber, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben, umfassen. Jeder sekundäre Phasenschieber kann mit einer Gruppe der primären Phasenschieber verbunden sein.
  • In Beispiel 856 weist der Gegenstand von Beispiel 855 optional auf, dass das Lenken der Antennen ferner das Anwenden eines unitären Multiplizierers, der angibt, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und den Verschiebungswert eingestellt ist, oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkel und Verschiebungswerts um einen Verschiebungswert um 180° eingestellt ist, umfasst.
  • In Beispiel 857 weist der Gegenstand von Beispiel 856 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0151
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 858 weist der Gegenstand von Beispiel 857 optional auf, dass die primären Phasenschieberwerte auf zwischen [0, 0, 0, ..., 0] und [0,1, 2, ..., L - 1] π 2 b p
    Figure DE112017006442T5_0152
    begrenzt sind, wobei L eine Anzahl primärer Phasenschieber ist.
  • In Beispiel 859 weist der Gegenstand eines oder mehrerer der Beispiele 854-858 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0153
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • Beispiel 860 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel zum Begrenzen einer Größe eines Codebuchs, das zur Strahllenkung von Antennen verwendet wird, auf eine Teilmenge von Lenkungswinkeln, über die die Antennen gelenkt werden sollen, umfassen. Die Einrichtung kann ferner Mittel zum Bestimmen eines speziellen Lenkungswinkels außerhalb der Teilmenge von Lenkungswinkeln, auf den die Antennen gelenkt werden sollen, umfassen. Die Einrichtung kann ferner Mittel zum Bestimmen eines begrenzten Lenkungswinkels innerhalb der Teilmenge von Lenkungswinkeln, der dem speziellen Lenkungswinkel entspricht, umfassen. Die Einrichtung kann ferner Mittel zum Bestimmen eines Verschiebungswerts, um den begrenzten Lenkungswinkel auf den speziellen Lenkungswinkel zu verschieben, umfassen. Die Einrichtung kann ferner Mittel zum Lenken der Antennen durch Anwenden des begrenzten Lenkungswinkels und des Verschiebungswerts umfassen.
  • In Beispiel 861 weist der Gegenstand von Beispiel 860 optional auf, dass die Einrichtung ferner Mittel zum Anwenden eines begrenzten Lenkungswinkelwerts auf mehrere primäre Phasenschieber, um die Antennen zu dem begrenzten Lenkungswinkel zu lenken, umfasst. Die Einrichtung kann ferner Mittel zum Anwenden des Verschiebungswerts auf mehrere sekundäre Phasenschieber, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben, umfassen. Jeder sekundäre Phasenschieber kann mit einer Gruppe der primären Phasenschieber verbunden sein.
  • In Beispiel 862 weist der Gegenstand von Beispiel 861 optional auf, dass die Einrichtung ferner Mittel zum Anwenden eines unitären Multiplizierers, der angibt, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und den Verschiebungswert eingestellt ist, oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkel und Verschiebungswerts um den Verschiebungswert um 180° eingestellt ist, umfasst.
  • In Beispiel 863 weist der Gegenstand von Beispiel 862 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0154
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 864 weist der Gegenstand von Beispiel 863 optional auf, dass die primären Phasenschieberwerte auf zwischen [0, 0, 0, ..., 0] und [0,1, 2, ..., L - 1] π 2 b p
    Figure DE112017006442T5_0155
    begrenzt sind, wobei L eine Anzahl primärer Phasenschieber ist.
  • In Beispiel 865 weist der Gegenstand eines oder mehrerer der Beispiele 860-864 optional auf, dass das Codebuch auf Lenkungswinkel zwischen arccos ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0156
    begrenzt ist, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 866 weist der Gegenstand eines oder mehrerer der Beispiele 861-865 optional auf, dass die sekundären Phasenschieber Hochfrequenz- oder Basisband-Phasenschieber sind und die primären Phasenschieber Zwischenfrequenz- oder digitale Phasenschieber sind.
  • In Beispiel 867 weist der Gegenstand eines oder mehrerer der Beispiele 861-866 optional auf, dass die primären und sekundären Phasenschieber Phasenschieber mit wenigen Bits sind.
  • Beispiel 868 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, einen speziellen Lenkungswinkel außerhalb einer Teilmenge von Lenkungswinkeln, zu dem die Antennen gesteuert werden sollen, zu bestimmen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, einen begrenzten Lenkungswinkel innerhalb der Teilmenge von Lenkungswinkeln zu bestimmen, der dem speziellen Lenkungswinkel entspricht. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, einen Verschiebungswert zu bestimmen, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die Antennen durch Anwenden des begrenzten Lenkungswinkels und des Verschiebungswerts zu lenken.
  • In Beispiel 869 weist der Gegenstand von Beispiel 868 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, einen begrenzten Lenkungswinkelwert auf mehrere primäre Phasenschieber anzuwenden, um die Antennen zu dem begrenzten Lenkungswinkel zu lenken. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, den Verschiebungswert auf mehrere sekundäre Phasenschieber anzuwenden, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben. Jeder sekundäre Phasenschieber ist mit einer Gruppe der primären Phasenschieber verbunden.
  • In Beispiel 870 weist der Gegenstand von Beispiel 869 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, einen unitären Multiplizierer, der angibt, ob der spezielle Lenkungswinkel direkt durch den begrenzten Lenkungswinkel und den Verschiebungswert eingestellt ist, oder ob der spezielle Lenkungswinkel durch eine Reflexion des begrenzten Lenkungswinkel und Verschiebungswerts um den Verschiebungswert um 180° eingestellt ist, anzuwenden.
  • In Beispiel 871 weist der Gegenstand von Beispiel 870 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, ein Codebuch zu begrenzen, das Werte beinhaltet, um die Antennen zu Lenkungswinkeln zwischen arccos ( 1 2 b p ) ° < ϕ 90 °
    Figure DE112017006442T5_0157
    zu lenken, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • In Beispiel 872 weist der Gegenstand von Beispiel 871 optional auf, dass die primären Phasenschieberwerte auf zwischen [0, 0, 0, ..., 0] und [0,1, 2, ..., L - 1] π 2 b p
    Figure DE112017006442T5_0158
    begrenzt sind, wobei L eine Anzahl primärer Phasenschieber ist.
  • In Beispiel 873 weist der Gegenstand eines oder mehrerer der Beispiele 868-872 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen zum: Begrenzen eines Codebuchs, das Werte beinhaltet, um die Antennen zu Lenkungswinkeln zwischen arccos ( 1 2 b p 1 ) ° < ϕ 90 °
    Figure DE112017006442T5_0159
    zu lenken, wobei bp eine Anzahl von Bits jedes primären Phasenschiebers ist.
  • Beispiel 874 ist eine Einrichtung einer Ladungspumpe. Die Einrichtung kann mehrere Schalter, die durch mehrere unterschiedliche Steuersignale gesteuert werden, und einen Ausgabekondensator, mit dem die Schalter verbunden sind, umfassen. Eine Spannung auf dem Ausgabekondensator kann durch Leckkapazitäten und Sub-Schwellenwert-Injektionen der Schalter gesteuert werden, so dass eine Ausgabespannung der Ladungspumpe ohne Verwendung einer Stromreferenz oder einer Ladungsakkumulierungsvorrichtung ist.
  • In Beispiel 875 weist der Gegenstand von Beispiel 874 optional auf, dass die Schalter eine erste Schaltergruppe, die konfiguriert ist, die Spannung des Ausgabekondensator um einen vorbestimmten Betrag hochzusetzen, und eine zweite Schaltergruppe, die konfiguriert ist, die Spannung auf dem Ausgabekondensator um den vorbestimmten Betrag herunterzusetzen, umfassen.
  • In Beispiel 876 weist der Gegenstand von Beispiel 875 optional auf, dass jede von der ersten und der zweiten Schaltergruppe einen dynamischen Schalter, der mit einer unterschiedlichen Schienenspannung verbunden ist, und einen Sub-Schwellenwert-Schalter, der zwischen dem dynamischen Schalter und dem Ausgabekondensator verbunden ist, umfasst.
  • In Beispiel 877 weist der Gegenstand von Beispiel 876 optional auf, dass die Leckkapazitäten des dynamischen Schalters die Sub-Schwellenwert-Injektion des Sub-Schwellenwert-Schalters steuern.
  • In Beispiel 878 weist der Gegenstand eines oder mehrerer der Beispiele 876-877 optional eine Zeitschaltung auf, die mit dem dynamischen Schalter jeder der ersten und der zweiten Schaltergruppe verbunden ist. Die Zeitschaltung kann konfiguriert sein, ein erstes und zweites Steuersignal von den mehreren unterschiedlichen Steuersignalen für die erste bzw. zweite Schaltergruppe bereitzustellen. Das erste und das zweite Steuersignal können eine Impulsbreite aufweisen, die durch eine Menge voreingestellter Bits der Steuersignale definiert ist.
  • In Beispiel 879 weist der Gegenstand von Beispiel 878 optional auf, dass jedes voreingestellte Bit aus der Menge voreingestellter Bits einen anderen Sub-Schwellenwert-Schalter steuert.
  • In Beispiel 880 weist der Gegenstand eines oder mehrerer der Beispiele 878-879 optional auf, dass der Ausgabekondensator einen internen Kondensator parallel zu einer Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen umfasst. Jeder Schalter aus der Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen kann durch ein unterschiedliches voreingestelltes Bit aus der Menge voreingestellter Bits gesteuert werden.
  • In Beispiel 881 weist der Gegenstand eines oder mehrerer der Beispiele 876-880 optional auf, dass Ladung durch eine Gate-Drain-Kapazität jedes dynamischen Schalters injiziert wird.
  • In Beispiel 882 weist der Gegenstand eines oder mehrerer der Beispiele 874-881 optional Steuerlogik auf, die mit einem Paar der Schalter verbunden ist und konfiguriert ist, eine Spannungsänderung des Ausgabekondensators zu triggern.
  • Beispiel 883 ist eine Einrichtung einer Ladungspumpe. Die Einrichtung kann einen ersten und einen zweiten dynamischen Schalter umfassen, die konfiguriert sind, durch ein UP- bzw. DOWN-Steuersignal gesteuert zu werden. Der erste und der zweite dynamische Schalter können mit unterschiedlichen Schienenspannungen verbunden sein. Die Einrichtung kann ferner eine erste und eine zweite Gruppe von Schaltern umfassen. Die erste und zweite Gruppe von Schaltern können mit dem ersten bzw. zweiten dynamischen Schalter verbunden sein. Jeder Schalter aus der ersten und zweiten Gruppe von Schaltern kann konfiguriert sein, durch ein anderes Bit aus mehreren Bits gesteuert zu werden. Die Einrichtung kann ferner einen Ausgabekondensator umfassen, mit dem die erste und die zweite Gruppe von Schaltern verbunden sind und der konfiguriert ist, eine Ausgabespannung der Ladungspumpe bereitzustellen.
  • In Beispiel 884 weist der Gegenstand von Beispiel 883 optional auf, dass die Leckkapazitäten des ersten und des zweiten dynamischen Schalters eine Sub-Schwellenwert-Injektion der Gruppe von Schaltern steuern.
  • In Beispiel 885 weist der Gegenstand eines oder mehrerer der Beispiele 883-884 optional auf, dass die Gruppe von Schaltern zwischen 1 und 5 Schaltern umfasst.
  • In Beispiel 886 weist der Gegenstand eines oder mehrerer der Beispiele 883-885 optional eine erste und eine zweite Zeitschaltung auf, die mit dem ersten bzw. dem zweiten dynamischen Schalter verbunden sind. Die erste und zweite Zeitschaltung können konfiguriert sein, eine Impulsbreite des UP- bzw. DOWN-Steuersignals zu steuern und dadurch einen Spannungsschritt der Ausgabespannung zu steuern.
  • In Beispiel 887 weist der Gegenstand von Beispiel 886 optional auf, dass jede von der ersten und der zweiten Zeitschaltung ein AND-Gatter aufweist, für das das UP- oder DOWN-Steuersignal und eine verzögerte invertierte Kopie des UP- oder DOWN-Steuersignals als Eingaben bereitgestellt werden. Die verzögerte invertierte Kopie des UP- oder DOWN-Steuersignals kann dadurch gebildet werden, dass das UP- oder DOWN-Steuersignal durch eine Verzögerungsleitung zu einem Inverter, die mit dem AND-Gatter verbunden ist, verzögert wird.
  • In Beispiel 888 weist der Gegenstand von Beispiel 887 optional auf, dass die Impulsbreite durch eine Menge voreingestellter Bits, die der Verzögerungsleitung zugeführt werden, definiert ist.
  • In Beispiel 889 weist der Gegenstand von Beispiel 888 optional auf, dass jedes voreingestellte Bit aus der Menge voreingestellter Bits einen anderen Schalter aus der ersten und zweiten Gruppe von Schaltern steuert.
  • In Beispiel 890 weist der Gegenstand eines oder mehrerer der Beispiele 888-889 optional auf, dass der Ausgabekondensator einen internen Kondensator parallel zu einer Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen umfasst. Jeder Schalter aus der Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen wird durch ein anderes voreingestelltes Bit aus der Menge voreingestellter Bits gesteuert.
  • Beispiel 891 ist ein Verfahren zum Injizieren von Ladung in eine Ladungspumpe. Das Verfahren kann das Injizieren von Ladung über eine Gate-Drain-Kapazität eines dynamischen Schalters während einer Ladungsinjektionsphase umfassen. Das Verfahren kann ferner nach der Injektion der Ladung ein Übertragen der Ladung über einen Sub-Schwellenwert-Schalter zu einer Ausgabekapazität der Ladungspumpe unter Verwendung von Sub-Schwellwert-Drain-Strom während einer Ladungsübertragungsphase umfassen. Das Verfahren kann ferner nach dem Übertragen der Ladung ein Beenden der Ladungsübertragung und des Stromflusses in der Ausgabekapazität, um eine Spannungsänderung einer Ausgabespannung während einer Abschaltphase anzuhalten, umfassen.
  • In Beispiel 892 weist der Gegenstand von Beispiel 891 optional auf, dass die Ladungsinjektion über eine Gate-Drain-Kapazität des dynamischen Schalters bei einer positiven Flanke eines Steuersignals, das dem dynamischen Schalter zugeführt wird, stattfindet. Der dynamische Schalter kann konfiguriert sein, an der positiven Flanke abzuschalten.
  • In Beispiel 893 weist der Gegenstand eines oder mehrerer der Beispiele 891-892 optional auf, dass das Beenden an einer negativen Flanke des Steuersignals, das dem dynamischen Schalter zugeführt wird, stattfindet. Der dynamische Schalter kann konfiguriert sein, an der negativen Flanke anzuschalten.
  • In Beispiel 894 weist der Gegenstand von Beispiel 893 optional auf, dass während der Phase des Beendens eine Spannung an einem Netz zwischen dem dynamischen Schalter und dem Sub-Schwellenwert-Schalter zu einer Schienenspannung, mit der der dynamische Schalter verbunden ist, zurückkehrt.
  • In Beispiel 895 weist der Gegenstand eines oder mehrerer der Beispiele 891-894 optional Steuern einer Impulsbreite eines Steuersignals während der Ladungsinjektionsphase und folglich Steuern der Spannungsänderung auf.
  • In Beispiel 896 weist der Gegenstand von Beispiel 895 optional auf, dass ein Steuern der Impulsbreite des Steuersignals ein Zuführen des Steuersignals und einer verzögerten invertierten Kopie des Steuersignals zu einem AND-Gatter und einer Menge von voreingestellten Bits, um eine Größe der Verzögerung der verzögerten invertierten Kopie des Steuersignals zu steuern, umfasst.
  • In Beispiel 897 weist der Gegenstand von Beispiel 896 optional auf, dass während der Ladungsübertragungsphase die Ladung zu der Ausgabekapazität über eine Anzahl von Sub-Schwellenwert-Schaltern, die gleich einer Anzahl von voreingestellten Bits aus der Menge voreingestellter Bits ist, wobei jedes Bit einen anderen Sub-Schwellenwert-Schalter steuert, übertragen wird.
  • In Beispiel 898 weist der Gegenstand eines oder mehrerer der Beispiele 896-897 optional ein Steuern eines Einbeziehens einer Anzahl paralleler interner Kondensatoren, um den Ausgabekondensator zu bilden, auf. Die Anzahl paralleler interner Kondensatoren kann gleich einer Anzahl voreingestellter Bits aus der Menge voreingestellter Bits sein. Jeder interne Kondensator kann durch ein unterschiedliches voreingestelltes Bit aufgenommen sein.
  • Beispiel 899 ist eine Einrichtung einer Ladungspumpe. Die Einrichtung kann Mittel zum Injizieren von Ladung über eine Gate-Drain-Kapazität eines dynamischen Schalters umfassen. Die Einrichtung kann ferner Mittel zum Übertragen der Ladung über einen Sub-Schwellenwert-Schalter zu einer Ausgabekapazität der Ladungspumpe unter Verwendung von Unterschwellen-Drain-Strom nach der Injektion der Ladung umfassen. Die Einrichtung kann ferner Mittel zum Beenden der Ladungsübertragung und des Stromflusses in der Ausgabekapazität, um eine Spannungsänderung einer Ausgabespannung nach dem Übertragen der Ladung anzuhalten, umfassen.
  • In Beispiel 900 weist der Gegenstand von Beispiel 899 optional Mittel zum Steuern einer Impulsbreite eines Steuersignals während der Ladungsinjektionsphase, und folglich zum Steuern der Spannungsänderung, auf.
  • In Beispiel 901 weist der Gegenstand eines oder mehrerer der Beispiele 899-900 optional Mittel zum Steuern der Impulsbreite des Steuersignals auf, die Mittel zum Zuführen des Steuersignals und einer verzögerten invertierten Kopie des Steuersignals zu einem AND-Gatter, und eine Menge von voreingestellten Bits, um eine Größe der Verzögerung der verzögerten invertierten Kopie des Steuersignals zu steuern, umfassen.
  • In Beispiel 902 weist der Gegenstand von Beispiel 901 optional Mittel zum Übertragen der Ladung zu der Ausgabekapazität über eine Anzahl von Sub-Schwellenwert-Schaltern, die gleich einer Anzahl von voreingestellten Bits aus der Menge voreingestellter Bits ist, auf. Jedes voreingestellte Bit kann einen anderen Sub-Schwellenwert-Schalter steuern.
  • In Beispiel 903 weist der Gegenstand eines oder mehrerer der Beispiele 901-902 optional Mittel zum Steuern einer Einbeziehung einer Anzahl paralleler interner Kondensatoren, um den Ausgabekondensator zu bilden, auf. Die Anzahl paralleler interner Kondensatoren kann gleich einer Anzahl voreingestellter Bits aus der Menge voreingestellter Bits sein. Jeder interne Kondensator kann durch ein anderes voreingestelltes Bit einbezogen werden.
  • Beispiel 904 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, einen ersten und einen zweiten dynamischen Schalter durch ein UP- bzw. DOWN-Steuersignal zu steuern. Der erste und der zweite dynamische Schalter können mit unterschiedlichen Schienenspannungen verbunden sein. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, jeden Schalter von einer ersten und der zweiten Gruppe von Schaltern durch ein anderes Bit aus mehreren Bits zu steuern. Die erste und zweite Gruppe von Schaltern können mit dem ersten bzw. zweiten dynamischen Schalter verbunden sein. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, eine Ausgabespannung eines Ausgabekondensators der Ladungspumpe, mit der die erste und die zweite Gruppe von Schaltern verbunden sind, anzupassen.
  • In Beispiel 905 weist der Gegenstand von Beispiel 904 optional auf, dass die Anweisungen konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, eine Impulsbreite des UP- und DOWN-Steuersignals über eine erste und eine zweite Zeitschaltung, die mit dem ersten bzw. dem zweiten dynamischen Schalter verbunden sind, zu steuern.
  • In Beispiel 906 weist der Gegenstand von Beispiel 905 optional auf, dass jede von der ersten und der zweiten Zeitschaltung ein AND-Gatter aufweist, für das das UP- oder DOWN-Steuersignal und eine verzögerte invertierte Kopie des UP- oder DOWN-Steuersignals als Eingaben bereitgestellt werden. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, eine Verzögerung einer Verzögerungsleitung, die mit einem Inverter verbunden ist, der mit dem AND-Gatter verbunden ist, zu steuern. Das UP- oder DOWN-Steuersignal kann durch die Verzögerungsleitung verzögert werden, um die verzögerte invertierte Kopie des Steuersignals zu bilden.
  • In Beispiel 907 weist der Gegenstand von Beispiel 906 optional auf, dass die Impulsbreite durch eine Menge voreingestellter Bits, die der Verzögerungsleitung zugeführt werden, definiert ist.
  • In Beispiel 908 weist der Gegenstand von Beispiel 907 optional auf, dass die Anweisungen konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, einen anderen Schalter von der ersten und zweiten Gruppe von Schaltern unter Verwendung eines anderen voreingestellten Bit zu steuern.
  • In Beispiel 909 weist der Gegenstand eines oder mehrerer der Beispiele 907-908 optional auf, dass der Ausgabekondensator einen internen Kondensator parallel zu einer Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen umfasst. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, jeden Schalter der Menge von in Reihe verbundenen Kondensator-Schalter-Kombinationen durch ein anderes voreingestelltes Bit zu steuern.
  • Beispiel 910 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann eine Empfängerschaltung umfassen. Die Empfängerschaltung kann mehrere erste Quantisierer, die konfiguriert sind, strahlgeformte Signale zu empfangen, umfassen. Die Empfängerschaltung kann ferner eine Feedforward-Schleife umfassen, die ausgelegt ist, analoge Kompensationssignale für die strahlgeformten Signale bereitzustellen, bevor die strahlgeformten Signale den Quantisierern zugeführt werden, um kompensierte strahlgeformte Signale zu bilden. Die strahlgeformten Signale können ein Signal von einem Sender und ein Störersignal umfassen. Die analogen Kompensationssignale können konfiguriert sein, das Störersignal zu kompensieren.
  • In Beispiel 911 weist der Gegenstand von Beispiel 910 optional auf, dass die Feedforward-Schleife mehrere zweite Quantisierer umfasst, die konfiguriert sind, die strahlgeformten Signale in grob quantisierte Signale umzusetzen. Die Feedforward-Schleife kann ferner mehrere Digital-zu-Analog-Umsetzer (DACs) umfassen, die konfiguriert sind, auf die analogen Kompensationssignale umzusetzen.
  • In Beispiel 912 weist der Gegenstand von Beispiel 911 optional auf, dass eine Auflösung der zweiten Quantisierer kleiner ist als die Auflösung der ersten Quantisierer.
  • In Beispiel 913 weist der Gegenstand eines oder mehrerer der Beispiele 911-912 optional auf, dass die Feedforward-Schleife ferner ein digitales Filter umfasst, das zwischen den zweiten Quantisierern und den DACs angeordnet ist. Das digitale Filter kann konfiguriert sein, eine kreuzkorrelierte Störungskompensation für die grob quantisierten Signale bereitzustellen und digitale Versionen der analogen Kompensationssignale zu erzeugen.
  • In Beispiel 914 weist der Gegenstand von Beispiel 913 optional mehrere Kombinierer auf, die konfiguriert sind, die digitalen Versionen der analogen Kompensationssignale und die digitalen Versionen der kompensierten strahlgeformten Signale zu kombinieren, um eine Signalqualität bereitzustellen, die verwendet wird, um die Empfängerschaltung anzupassen.
  • In Beispiel 915 weist der Gegenstand eines oder mehrerer der Beispiele 913-914 optional auf, dass das digitale Filter konfiguriert ist, die Störung aus jeder Richtung aus l k ^ [ n ] = a r H ( θ k ) y [ n ] ,
    Figure DE112017006442T5_0160
    zu schätzen, wobei y[n] ein grob quantisiertes Signal an einem bestimmten zweiten Quantisierer ist und ark) ein Schätzvektor von Störung aus der Richtung θk ist, und nachfolgend einen Störungsvektor zu bestimmen als: i [ n ] = l 1 ^ [ n ] a r ( θ 1 ) + + l I ^ [ n ] a r ( θ I ) .
    Figure DE112017006442T5_0161
  • In Beispiel 916 weist der Gegenstand eines oder mehrerer der Beispiele 911-915 optional auf, dass die Feedforward-Schleife ferner Folgendes umfasst: mehrere Kombinierer, die konfiguriert sind, Dithering-Rauschen zu den strahlgeformten Signalen hinzuzufügen, bevor die strahlgeformten Signale für die zweiten Quantisierer bereitgestellt werden. Das Dithering-Rauschen kann von einer Schätzung der Störung abhängig sein.
  • In Beispiel 917 weist der Gegenstand eines oder mehrerer der Beispiele 911-916 optional mehrere analoge Verzögerungsleitungen auf, die dazu ausgelegt sind, hinreichend Verzögerung zu den strahlgeformten Signalen hinzuzufügen, um zu ermöglichen, dass die strahlgeformten Signale mit den analogen Kompensationssignalen, die über die Feedforward-Schaltung zugeführt werden, kombiniert werden.
  • In Beispiel 918 weist der Gegenstand eines oder mehrerer der Beispiele 911-917 optional mehrere Kombinierer auf, die konfiguriert sind, Dithering-Rauschen zu den kompensierten strahlgeformten Signalen hinzuzufügen. Das Dithering-Rauschen kann von einem Maß der Empfängerleistung abhängig sein.
  • In Beispiel 919 weist der Gegenstand eines oder mehrerer der Beispiele 911-918 optional mehrere erste Kombinierer auf, die konfiguriert sind, erstes Dithering-Rauschen zu den strahlgeformten Signalen hinzuzufügen, bevor die strahlgeformten Signale für die zweiten Quantisierer bereitgestellt werden. Mehrere zweite Kombinierer können konfiguriert sein, zweites Dithering-Rauschen zu den kompensierten strahlgeformten Signalen hinzuzufügen. Das erste und das zweite Dithering-Rauschen können von unterschiedlichen Qualitäten des strahlgeformten Signals abhängig sein.
  • In Beispiel 920 weist der Gegenstand eines oder mehrerer der Beispiele 910-919 optional mehrere Antennen auf, die konfiguriert sind, die strahlgeformten Signale bereitzustellen.
  • Beispiel 921 ist ein Verfahren zum Kompensieren von Störern in einem Empfänger. Das Verfahren kann ein Empfangen strahlgeformter Signale von mehreren Antennen umfassen. Jedes strahlgeformte Signal kann ein Signal von einem Sender und ein Störersignal umfassen. Das Verfahren kann ferner ein Bilden kompensierter Signale durch Feedforward-Kompensieren der strahlgeformten Signale für die Störersignale, vor einem Quantisieren kompensierter Signale zur Ausgabe, umfassen. Die kompensierten Signale können von den strahlgeformten Signalen abhängig sein. Das Verfahren kann ferner das Quantisieren der kompensierten Signale, um quantisierte Ausgabesignale zu bilden, umfassen. Das Verfahren kann ferner Zuführen der quantisierten Ausgabesignale zu einem Basisbandprozessor zur Verarbeitung umfassen.
  • In Beispiel 921a weist der Gegenstand von Beispiel 921 optional ein Quantisieren der strahlgeformten Signale entlang einem Feedforward-Pfad, um quantisierte Feedforward-Signale zu bilden, auf. Das Verfahren kann ferner ein Kompensieren der Störersignale in den quantisierten Feedforward-Signalen, um digitale Kompensationssignale bereitzustellen, umfassen. Das Verfahren kann ferner ein Umsetzen der digitalen Kompensationssignale in analoge Kompensationssignale umfassen. Das Verfahren kann ferner ein Kombinieren der analogen Kompensationssignale mit den strahlgeformten Signalen, um die kompensierten Signale zu bilden, umfassen.
  • In Beispiel 922 weist der Gegenstand von Beispiel 921a optional auf, dass eine Auflösung der Quantisierung der strahlgeformten Signale niedriger ist als eine Auflösung der Quantisierung der kompensierten Signale.
  • In Beispiel 923 weist der Gegenstand von Beispiel 922 optional Hinzufügen von erstem Dithering-Rauschen zu den strahlgeformten Signalen vor dem Quantisieren der strahlgeformten Signale auf. Das Verfahren kann ferner ein Hinzufügen von zweitem Dithering-Rauschen zu den kompensierten Signalen umfassen.
  • In Beispiel 924 weist der Gegenstand von Beispiel 923 optional ein Kombinieren der digitalen Kompensationssignale und von digitalen Versionen der kompensierten Signale, um eine Signalqualität bereitzustellen, auf. Das Verfahren kann ferner ein Steuern, basierend auf der Signalqualität, wenigstens eines von dem Folgenden umfassen: die Quantisierung der strahlgeformten Signale, die Quantisierung der kompensierten Signale, das erste Dithering-Rauschen oder das zweite Dithering-Rauschen.
  • In Beispiel 925 weist der Gegenstand eines oder mehrerer der Beispiele 921-924 (einschließlich 921a) optional auf, dass Kompensieren der Störersignale ein Schätzen der Störung aus jeder Richtung aus: l k ^ [ n ] = a r H ( θ k ) y [ n ] ,
    Figure DE112017006442T5_0162
    wobei y[n] ein grob quantisiertes Signal an einem bestimmten zweiten Quantisierer ist und ark) ein Schätzvektor der Störung aus der Richtung θk ist, und nachfolgend ein Bestimmen eines Störungsvektors als: i [ n ] = l 1 ^ [ n ] a r ( θ 1 ) + + l I ^ [ n ] a r ( θ I )
    Figure DE112017006442T5_0163
    umfasst.
  • In Beispiel 926 weist der Gegenstand eines oder mehrerer der Beispiele 921-926 (einschließlich 921a) optional ein ausreichendes Verzögern der strahlgeformten Signale, um zu ermöglichen, dass die strahlgeformten Signale mit den analogen Kompensationssignalen kombiniert werden, auf.
  • Beispiel 927 ist eine Einrichtung eines Empfängers. Die Einrichtung kann Mittel zum Empfangen strahlgeformter Signale von mehreren Antennen umfassen. Jedes strahlgeformte Signal kann ein Signal von einem Sender und ein Störersignal umfassen. Die Einrichtung kann ferner Mittel zum Bilden kompensierter Signale durch Feedforward-Kompensieren der strahlgeformten Signale für die Störersignale vor einem Quantisieren kompensierter Signale zur Ausgabe umfassen. Die kompensierten Signale können von den strahlgeformten Signalen abhängig sein. Die Einrichtung kann ferner Mittel zum Quantisieren der kompensierten Signale, um quantisierte Ausgabesignale zu bilden, umfassen.
  • In Beispiel 928 weist der Gegenstand von Beispiel 927 optional Mittel zum Quantisieren der strahlgeformten Signale entlang einem Feedforward-Pfad, um quantisierte Feedforward-Signale zu bilden; Mittel zum Kompensieren der Störersignale in den quantisierten Feedforward-Signalen, um digitale Kompensationssignale bereitzustellen, auf. Die Einrichtung kann ferner Mittel zum Umsetzen der digitalen Kompensationssignale in analoge Kompensationssignale umfassen. Die Einrichtung kann ferner Mittel zum Kombinieren der analogen Kompensationssignale mit den strahlgeformten Signalen, um die kompensierten Signale zu bilden, umfassen.
  • In Beispiel 929 weist der Gegenstand von Beispiel 928 optional auf, dass eine Auflösung der Quantisierung der strahlgeformten Signale niedriger ist als eine Auflösung der Quantisierung der kompensierten Signale.
  • In Beispiel 930 weist der Gegenstand von Beispiel 929 optional Mittel zum Hinzufügen von erstem Dithering-Rauschen zu den strahlgeformten Signalen vor dem Quantisieren der strahlgeformten Signale; und Mittel zum Hinzufügen von zweitem Dithering-Rauschen zu den kompensierten Signalen auf.
  • In Beispiel 931 weist der Gegenstand von Beispiel 930 optional Mittel zum Kombinieren der digitalen Kompensationssignale und von digitalen Versionen der kompensierten Signale, um eine Signalqualität bereitzustellen, auf. Die Einrichtung kann ferner Mittel zum Steuern, basierend auf der Signalqualität, wenigstens eines von dem Folgenden umfassen: Quantisierung der strahlgeformten Signale, Quantisierung der kompensierten Signale, des ersten Dithering-Rauschens oder des zweiten Dithering-Rauschens.
  • In Beispiel 932 weist der Gegenstand eines oder mehrerer der Beispiele 928-931 optional Mittel zum Schätzen der Störung aus jeder Richtung aus: l k ^ [ n ] = a r H ( θ k ) y [ n ] ,
    Figure DE112017006442T5_0164
    wobei y[n] ein grob quantisiertes Signal an einem bestimmten zweiten Quantisierer ist und ark) ein Schätzvektor der Störung aus der Richtung θk ist, und nachfolgend Bestimmen eines Störungsvektors als: i ^ [ n ] = l 1 ^ [ n ] a r ( θ 1 ) + + l I ^ [ n ] a r ( θ I ) ,
    Figure DE112017006442T5_0165
    auf.
  • In Beispiel 933 weist der Gegenstand eines oder mehrerer der Beispiele 931-932 optional Mittel zum ausreichenden Verzögern der strahlgeformten Signale, um zu ermöglichen, dass die strahlgeformten Signale mit den analogen Kompensationssignalen kombiniert werden, auf.
  • Beispiel 934 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, strahlgeformte Signale von mehreren Antennen zu empfangen. Jedes strahlgeformte Signal kann ein Signal von einem Sender und ein Störersignal umfassen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, kompensierte Signale durch Feedforward-Kompensieren der strahlgeformten Signale für die Störersignale vor einem Quantisieren kompensierter Signale zur Ausgabe zu bilden. Die kompensierten Signale können von den strahlgeformten Signalen abhängig sein. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die kompensierten Signale zu quantisieren, um quantisierte Ausgabesignale zu bilden.
  • In Beispiel 935 weist der Gegenstand von Beispiel 934 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner konfigurieren, die strahlgeformten Signale entlang einem Feedforward-Pfad zu quantisieren, um quantisierte Feedforward-Signale zu bilden. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die Störung in den quantisierten Feedforward-Signalen zu kompensieren, um digitale Kompensationssignale bereitzustellen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die digitalen Kompensationssignale in analoge Kompensationssignale umzusetzen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die analogen Kompensationssignale mit den strahlgeformten Signalen zu kombinieren, um die kompensierten Signale zu bilden.
  • In Beispiel 936 weist der Gegenstand von Beispiel 935 optional auf, dass die Auflösung der Quantisierung der strahlgeformten Signale niedriger ist als die Auflösung der Quantisierung der kompensierten Signale.
  • In Beispiel 937 weist der Gegenstand von Beispiel 936 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner konfigurieren, ein erstes Dithering-Rauschen den strahlgeformten Signalen vor dem Quantisieren der strahlgeformten Signale hinzuzufügen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, ein zweites Dithering-Rauschen den kompensierten Signalen hinzuzufügen.
  • In Beispiel 938 weist der Gegenstand von Beispiel 937 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner konfigurieren, die digitalen Kompensationssignale und digitalen Versionen der kompensierten Signale zu kombinieren, um eine Signalqualität bereitzustellen. Die Anweisungen können ferner konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, basierend auf der Signalqualität wenigstens eines von dem Folgenden zu steuern: Quantisierung der strahlgeformten Signale, Quantisierung der kompensierten Signale, das erste Dithering-Rauschen oder das zweite Dithering-Rauschen.
  • In Beispiel 939 weist der Gegenstand eines oder mehrerer der Beispiele 934-938 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner konfigurieren zum: Schätzen von Störung aus jeder Richtung aus: l k ^ [ n ] = a r H ( θ k ) y [ n ] ,
    Figure DE112017006442T5_0166
    wobei y[n] ein grob quantisiertes Signal an einem bestimmten zweiten Quantisierer ist und ark) ein Schätzvektor der Störung aus der Richtung θk ist, und nachfolgend Bestimmen eines Störungsvektors als: i ^ [ n ] = l 1 ^ [ n ] a r ( θ 1 ) + + l I ^ [ n ] a r ( θ I ) .
    Figure DE112017006442T5_0167
  • In Beispiel 940 weist der Gegenstand eines oder mehrerer der Beispiele 934-939 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner konfigurieren, die strahlgeformten Signale ausreichend zu verzögern, um zu ermöglichen, dass die strahlgeformten Signale mit den analogen Kompensationssignalen kombiniert werden.
  • Beispiel 941 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann einen Empfänger umfassen, der eine Kompensationsschaltung, einen Quantisierer; und einen Basisbandprozessor umfasst. Die Kompensationsschaltung kann konfiguriert sein, in einer analogen Domäne des Empfängers die Störung in einem Hochfrequenz- (RF-) Signal, das an jeder von mehreren strahlformenden Antennen empfangen wird, zu kompensieren und ein analoges kompensiertes Signal zu erzeugen. Der Quantisierer kann konfiguriert sein, ein analoges Eingabesignal, das von der Kompensationsschaltung abhängig ist, in eine quantisierte Ausgabe umzusetzen. Der Basisbandprozessor kann konfiguriert sein, ein Basisbandeingabesignals, das von der quantisierten Ausgabe abhängig ist, zu empfangen, eine Invertierung der Kompensation auf das Basisbandeingabesignal anzuwenden, um eine digitale Version des RF-Signals zu rekonstruieren, und Signalverarbeitung auf der digitalen Version des RF-Signals auszuführen.
  • In Beispiel 942 weist der Gegenstand von Beispiel 941 optional auf, dass die Kompensationsschaltung eine Rückkopplungsschleife umfasst, die konfiguriert ist, die quantisierte Ausgabe von dem Quantisierer für die analoge Domäne bereitzustellen. Die Rückkopplungsschleife kann ein Filter umfassen, das konfiguriert ist, die quantisierte Ausgabe abhängig von einer Richtung der Störung zu filtern und ein gefiltertes Signal zu erzeugen. Die Rückkopplungsschleife kann ferner einen Digital-zu-Analog-Umsetzer (DAC) umfassen, der konfiguriert ist, das gefilterte Signal in ein analoges Signal umzusetzen. Die Rückkopplungsschleife kann ferner einen Kombinierer umfassen, der konfiguriert ist, das analoge Signal mit einem Signal von der Antenne zu kombinieren, das verwendet wird, um das analoge Eingabesignal für den Quantisierer zu erzeugen und ein kombiniertes Signal zu bilden.
  • In Beispiel 943 weist der Gegenstand von Beispiel 942 optional auf, dass der Quantisierer und der Digital-zu-Analog-Umsetzer unterschiedliche Auflösungen aufweisen.
  • In Beispiel 944 weist der Gegenstand von Beispiel 943 optional auf, dass wenigstens eine der Auflösungen von einer gewünschten Bitfehlerrate (BER) oder einer Filtereigenschaft abhängig ist.
  • In Beispiel 945 weist der Gegenstand eines oder mehrerer der Beispiele 942-944 optional ein Tiefpassfilter (LPF) auf, das zwischen dem Kombinierer und dem Quantisierer angeordnet ist und konfiguriert ist, ein Quantisierungsrauschen in dem kombinierten Signal auf außerhalb des Bands zu formen.
  • In Beispiel 946 weist der Gegenstand von Beispiel 945 optional eine Verstärkung auf, die zwischen dem LPF und dem Quantisierer angeordnet ist und konfiguriert ist, eine Verstärkungseingabe auf einen Dynamikbereich des Quantisierers anzupassen und das analoge Eingabesignal bereitzustellen.
  • In Beispiel 947 weist der Gegenstand eines oder mehrerer der Beispiele 942-946 optional ein Tiefpassfilter (LPF) auf, das zwischen dem Quantisierer und dem Basisbandprozessor angeordnet ist und konfiguriert ist, um durch den Quantisierer eingeführte Oberwellen zu eliminieren.
  • In Beispiel 948 weist der Gegenstand eines oder mehrerer der Beispiele 942-947 optional eine Verstärkung auf, die zwischen dem DAC und dem Kombinierer angeordnet ist und konfiguriert ist, das analoge Signal entweder abhängig von einer Kanalqualität anzupassen oder auf eine feste Verstärkung einzustellen.
  • In Beispiel 949 weist der Gegenstand eines oder mehrerer der Beispiele 942-948 optional auf, dass der Quantisierer konfiguriert ist, das analoge Eingabesignal überabzutasten. Der Empfänger kann ferner einen Dezimator umfassen, der zwischen dem Quantisierer und dem Basisbandprozessor angeordnet ist und konfiguriert ist, ein Dezimatoreingabesignal auf eine Nyquist-Rate herunterumzusetzen.
  • In Beispiel 950 weist der Gegenstand eines oder mehrerer der Beispiele 942-949 optional auf, dass die Koeffizienten des Filters von einer Richtung der Störung abhängig sind.
  • In Beispiel 951 weist der Gegenstand von Beispiel 950 optional auf, dass das Filter als W ( K 1 ) N r × N r
    Figure DE112017006442T5_0168
    definiert ist, wobei K eine Überabtastrate ist und Nr die Anzahl der Antennen ist.
  • In Beispiel 952 weist der Gegenstand von Beispiel 951 optional auf, dass a r ( θ i ) = 1 N r [ 1, e j 2 π λ d  cos θ i , e j 2 π λ d 2  cos θ i , , e j 2 π λ d ( N r 1 )  cos θ i ] T ,
    Figure DE112017006442T5_0169
    W = [ F 1 F 2 ] ,   L = [ 1 0 1 1 ]  und  α = [ 2 3 ] ,
    Figure DE112017006442T5_0170
    wobei d ein Abstand zwischen Antennen ist, und eine Filterkoeffizientenmatrix F 2 N r × N r
    Figure DE112017006442T5_0171
    ist: F = [ F 1 F 2 ] = [ L a r T ( θ 1 ) L a r T ( θ I ) ] + [ α a r T ( θ 1 ) α a r T ( θ I ) ] ,
    Figure DE112017006442T5_0172
    wobei [·]+ ein pseudoinverser Operator ist, I eine Gesamtzahl von Störungsrichtungen ist und ⊗ ein Kronecker-Produkt repräsentiert.
  • In Beispiel 953 weist der Gegenstand eines oder mehrerer der Beispiele 941-952 optional eine Antenne auf, die konfiguriert ist, ein Signal abhängig von dem Ausgabeoszillatorsignal zu senden.
  • Beispiel 954 ist ein Verfahren zum Verwenden eines reduzierten Quantisierer-Dynamikbereichs in einem Empfänger. Das Verfahren kann das Empfangen mehrerer strahlgeformter Signale von mehreren Strahlformungsantennen umfassen. Das Verfahren kann ferner für jedes strahlgeformte Signal Folgendes umfassen: Reduzieren des Dynamikbereichs eines Quantisierers, dem das strahlgeformte Signal zugeführt wird, durch Kompensieren des strahlgeformten Signals für Störung von einem Störer, bevor das strahlgeformte Signal dem Quantisierer zugeführt wird, und Bereitstellen eines kompensierten Signals für den Quantisierer; Quantisieren des kompensierten Signals; digitales Invertieren einer Kompensation, die auf das strahlgeformte Signal angewandt wird, um eine digitale Version des strahlgeformten Signals zu rekonstruieren, und Signalverarbeiten der digitalen Version des strahlgeformten Signals.
  • In Beispiel 955 weist der Gegenstand von Beispiel 954 optional ein Filtern der quantisierten Ausgabe unter Verwendung eines Filters, dessen Koeffizienten von einer Richtung des Störers abhängig sind, um ein gefiltertes Signal zu erzeugen, auf. Das Verfahren kann ferner ein Umsetzen des gefilterten Signals in ein analoges Signal umfassen. Das Verfahren kann ferner ein Kombinieren des analogen Signals mit dem strahlgeformten Signal, um das kompensierte Signal zu erzeugen, umfassen.
  • In Beispiel 956 weist der Gegenstand von Beispiel 955 optional wenigstens eines von dem Folgenden auf: Verwenden unterschiedlicher Auflösungen zum Quantisieren des kompensierten Signals und zum Umsetzen des gefilterten Signals, oder wenigstens eine der unterschiedlichen Auflösungen ist abhängig von einer gewünschten Bitfehlerrate (BER) oder einer Filtereigenschaft.
  • In Beispiel 957 weist der Gegenstand eines oder mehrerer der Beispiele 953-955 optional ein Formen von Quantisierungsrauschen in dem kompensierten Signal, so dass es außerhalb des Bands ist, unter Verwendung eines Tiefpassfilters (LPF), um ein tiefpassgefiltertes (LPF)-Signal zu bilden, auf.
  • In Beispiel 958 weist der Gegenstand von Beispiel 957 optional Anpassen einer Verstärkung des LPF-Signals vor dem Quantisieren des LPF-Signals, um den Dynamikbereich des Quantisierers zu reduzieren, auf.
  • In Beispiel 959 weist der Gegenstand eines oder mehrerer der Beispiele 954-958 optional auf, dass das kompensierte Signal während des Quantisierens überabgetastet wird. Das Verfahren kann ferner Eliminieren von Oberwellen, die durch den Quantisierer eingeführt sind, unter Verwendung eines Tiefpassfilters (LPF), um ein LPF-Signal zu erzeugen, und Herunterumsetzen des LPF-Signals auf eine Nyquist-Rate umfassen.
  • In Beispiel 960 weist der Gegenstand eines oder mehrerer der Beispiele 953-959 optional auf, dass das Filter als W ( K 1 ) N r × N r
    Figure DE112017006442T5_0173
    definiert ist, wobei K eine Überabtastungsrate ist und Nr eine Anzahl der Antennen ist, a r ( θ i ) = 1 N r [ 1, e j 2 π λ d cos θ i , e j 2 π λ d 2 cos θ i , , e j 2 π λ d ( N r 1 ) cos θ i ] T ,
    Figure DE112017006442T5_0174
    W = [ F 1 F 2 ] ,  L = [ 1 0 1 1 ] ,  und  α = [ 2 3 ] ,
    Figure DE112017006442T5_0175
    wobei d ein Abstand zwischen den Antennen ist, und eine Filterkoeffizientenmatrix F 2N r × N r
    Figure DE112017006442T5_0176
    ist: F = [ F 1 F 2 ] = [ L a r T ( θ 1 ) L a r T ( θ I ) ] + [ α a r T ( θ 1 ) α a r T ( θ I ) ] ,
    Figure DE112017006442T5_0177
    wobei [·]+ ein pseudoinverser Operator ist, I die Gesamtzahl von Störungsrichtungen ist und ⊗ ein Kronecker-Produkt repräsentiert.
  • Beispiel 961 ist eine Einrichtung eines digitalen polaren Senders. Die Einrichtung kann Mittel zum Empfangen mehrerer strahlgeformter Signale von mehreren Strahlformungsantennen umfassen. Die Einrichtung kann ferner für jedes strahlgeformte Signal Folgendes umfassen: Mittel zum Reduzieren des Dynamikbereichs eines Quantisierers, dem das strahlgeformte Signal zugeführt wird, durch Kompensieren des strahlgeformten Signals für Störung von einem Störer, bevor das strahlgeformte Signal dem Quantisierer zugeführt wird, und Bereitstellen eines kompensierten Signals für den Quantisierer; Mittel zum Quantisieren des kompensierten Signals; Mittel zum digitalen Invertieren der Kompensation, die auf das strahlgeformte Signal angewandt wird, um eine digitale Version des strahlgeformten Signals zu rekonstruieren, und Mittel zum Signalverarbeiten der digitalen Version des strahlgeformten Signals.
  • In Beispiel 962 weist der Gegenstand von Beispiel 961 optional Mittel zum Filtern der quantisierten Ausgabe unter Verwendung eines Filters, dessen Koeffizienten von einer Richtung des Störers abhängig sind, um ein gefiltertes Signal zu erzeugen, auf. Die Einrichtung kann ferner Mittel zum Umsetzen des gefilterten Signals in ein analoges Signal umfassen. Die Einrichtung kann ferner Mittel zum Kombinieren des analogen Signals mit dem strahlgeformten Signal, um das kompensierte Signal zu erzeugen, umfassen.
  • In Beispiel 963 weist der Gegenstand von Beispiel 962 optional wenigstens eines von dem Folgenden auf: unterschiedliche Auflösungen werden zum Quantisieren des kompensierten Signals und Umsetzen des gefilterten Signals verwendet, oder wenigstens eine der unterschiedlichen Auflösungen ist abhängig von einer gewünschten Bitfehlerrate (BER) oder einer Filtereigenschaft.
  • In Beispiel 964 weist der Gegenstand eines oder mehrerer der Beispiele 961-963 optional Mittel zum Formen von Quantisierungsrauschen in dem kompensierten Signal, so dass es außerhalb des Bands ist, unter Verwendung eines Tiefpassfilters (LPF), um ein LPF-Signal zu bilden, auf.
  • In Beispiel 965 weist der Gegenstand von Beispiel 964 optional Mittel zum Anpassen einer Verstärkung des LPF-Signals vor dem Quantisieren des LPF-Signals, um den Dynamikbereich des Quantisierers zu reduzieren, auf.
  • In Beispiel 966 weist der Gegenstand eines oder mehrerer der Beispiele 961-965 optional auf, dass das kompensierte Signal während des Quantisierens überabgetastet wird. Die Einrichtung kann ferner Mittel zum Eliminieren von Oberwellen, die durch den Quantisierer eingeführt sind, unter Verwendung eines Tiefpassfilters (LPF), um ein LPF-Signal zu erzeugen, umfassen. Die Einrichtung kann ferner Mittel zum Herunterumsetzen des LPF-Signals auf eine Nyquist-Rate umfassen.
  • In Beispiel 967 weist der Gegenstand eines oder mehrerer der Beispiele 961-966 optional auf, dass das Filter als W ( K 1 ) N r × N r
    Figure DE112017006442T5_0178
    definiert ist, wobei K eine Überabtastungsrate ist und Nr eine Anzahl der Antennen ist, a r ( θ i ) = 1 N r [ 1, e j 2 π λ d cos θ i , e j 2 π λ d 2 cos θ i , , e j 2 π λ d ( N r 1 ) cos θ i ] T ,
    Figure DE112017006442T5_0179
    W = [ F 1 F 2 ] ,  L = [ 1 0 1 1 ] ,  und  α = [ 2 3 ] ,
    Figure DE112017006442T5_0180
    wobei d ein Abstand zwischen Antennen ist und eine Filterkoeffizientenmatrix F 2 N r × N r
    Figure DE112017006442T5_0181
    ist: F = [ F 1 F 2 ] = [ L a r T ( θ 1 ) L a r T ( θ I ) ] + [ α a r T ( θ 1 ) α a r T ( θ I ) ] ,
    Figure DE112017006442T5_0182
    wobei [·]+ ein pseudoinverser Operator ist, I eine Gesamtzahl von Störungsrichtungen ist und ⊗ ein Kronecker-Produkt repräsentiert.
  • Beispiel 968 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert, um die Kommunikationsvorrichtung zu konfigurieren, mehrere strahlgeformte Signale von mehreren Strahlformungsantennen zu empfangen. Die Anweisungen können die Kommunikationsvorrichtung ferner konfigurieren, für jedes strahlgeformte Signal: den Dynamikbereich eines Quantisierers, dem das strahlgeformte Signal zugeführt wird, durch Kompensieren des strahlgeformten Signals für Störung von einem Störer zu reduzieren, bevor das strahlgeformte Signal dem Quantisierer zugeführt wird, und ein kompensiertes Signal für den Quantisierer bereitzustellen; unabhängig voneinander eine Amplitude jedes von der Kompensation und des kompensierten Signals anzupassen; das kompensierte Signal zu quantisieren; die Kompensation, die auf das strahlgeformte Signal angewandt wird, digital zu invertieren, um eine digitale Version des strahlgeformten Signals zu rekonstruieren, und Signalverarbeitung für die digitale Version des strahlgeformten Signals zu auszuführen.
  • In Beispiel 969 weist der Gegenstand von Beispiel 968 optional auf, dass der eine oder die mehreren Prozessoren die Kommunikationsvorrichtung ferner konfigurieren, die quantisierte Ausgabe unter Verwendung eines Filters, dessen Koeffizienten von einer Richtung des Störers abhängig sind, zu filtern, um ein gefiltertes Signal zu erzeugen. Die Anweisungen können die Kommunikationsvorrichtung ferner konfigurieren, das gefilterte Signal in ein analoges Signal umzusetzen. Die Anweisungen können die Kommunikationsvorrichtung ferner konfigurieren, das analoge Signal mit dem strahlgeformten Signal zu kombinieren, um das kompensierte Signal zu erzeugen.
  • In Beispiel 970 weist der Gegenstand von Beispiel 969 optional wenigstens eines von dem Folgenden auf: unterschiedliche Auflösungen werden zum Quantisieren des kompensierten Signals und Umsetzen des gefilterten Signals verwendet, oder wenigstens eine der unterschiedlichen Auflösungen ist abhängig von einer gewünschten Bitfehlerrate (BER) oder einer Filtereigenschaft.
  • In Beispiel 971 weist der Gegenstand eines oder mehrerer der Beispiele 968-970 optional auf, dass der eine oder die mehreren Prozessoren die Kommunikationsvorrichtung ferner konfigurieren zum: Formen von Quantisierungsrauschen in dem kompensierten Signal, so dass es außerhalb des Bands ist, unter Verwendung eines Tiefpassfilters (LPF), um ein LPF-Signal zu bilden.
  • In Beispiel 972 weist der Gegenstand eines oder mehrerer der Beispiele 968-971 optional auf, dass das kompensierte Signal während des Quantisierens überabgetastet wird. Die Anweisungen können die Kommunikationsvorrichtung ferner konfigurieren, Oberwellen, die durch den Quantisierer eingeführt werden, unter Verwendung eines Tiefpassfilters (LPF) zu eliminieren, um ein LPF-Signal zu erzeugen, und das LPF-Signal auf eine Nyquist-Rate herunterumzusetzen.
  • In Beispiel 973 weist der Gegenstand eines oder mehrerer der Beispiele 968-972 optional auf, dass das Filter als W ( K 1 ) N r × N r
    Figure DE112017006442T5_0183
    definiert ist, wobei K eine Überabtastungsrate ist und Nr eine Anzahl der Antennen ist,
  • a r ( θ i ) = 1 N r [ 1, e j 2 π λ d cos θ i , e j 2 π λ d 2 cos θ i , , e j 2 π λ d ( N r 1 ) cos θ i ] T ,
    Figure DE112017006442T5_0184
    W = [ F 1 F 2 ] ,  L = [ 1 0 1 1 ] ,  und  α = [ 2 3 ] ,
    Figure DE112017006442T5_0185
    wobei d ein Abstand zwischen Antennen ist, und eine Filterkoeffizientenmatrix F 2 N r × N r
    Figure DE112017006442T5_0186
    ist: F = [ F 1 F 2 ] = [ L a r T ( θ 1 ) L a r T ( θ I ) ] [ α a r T ( θ 1 ) α a r T ( θ I ) ] ,
    Figure DE112017006442T5_0187
    wobei [·]+ ein pseudoinverser Operator ist, I eine Gesamtzahl von Störungsrichtungen ist und ⊗ ein Kronecker-Produkt repräsentiert.
  • Beispiel 974 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann ein Analog-zu-Digital-Umsetzersystem (ADCS) umfassen, das eine anpassbare ADC-Konfiguration umfasst. Die ADC-Konfiguration kann mehrere Kern-ADCs, die zwischen Parallelbetrieb in einer Mittelwert bildenden Betriebsart und seriellem Betrieb in einer zeitverschachtelten Betriebsart anpassbar sind, umfassen. Das ADCS kann in der Mittelwert bildenden Betriebsart für den Betrieb der Kommunikationsvorrichtung mit höherer Auflösung und geringere Bandbreite konfiguriert sein und in der zeitverschachtelten Betriebsart für den Betrieb der Kommunikationsvorrichtung mit geringerer Auflösung und höherer Geschwindigkeit konfiguriert sein.
  • In Beispiel 975 weist der Gegenstand von Beispiel 974 optional auf, dass das ADCS ferner eine Mehrzahl von Zeitgebereinheiten umfasst. Jede Zeitgebereinheit kann mit einem anderen Kern-ADC von den mehreren Kern-ADCs verbunden sein. Jede Zeitgebereinheit kann konfiguriert sein, ein Systemtaktsignal zu einem zugeordneten Kern-ADC basierend auf einem Master-Taktsignal, das der Zeitgebereinheit zugeführt wird, bereitzustellen. Das Systemtaktsignal kann davon abhängig sein, in welcher von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet.
  • In Beispiel 976 weist der Gegenstand von Beispiel 975 optional auf, dass jede Zeitgebereinheit und jeder Kern-ADC konfiguriert ist, ein Betriebsartsignal, das angibt, in welchem von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet, und eine Menge von Konfigurations-Bits, um die Zeitgebereinheit und den Kern-ADC auf eine gewünschte Einstellung in der Mittelwert bildenden und/oder zeitverschachtelten Betriebsart abzustimmen, von einer Steuereinheit zu empfangen.
  • In Beispiel 977 weist der Gegenstand von Beispiel 976 optional auf, dass das Betriebsartsignal ein einzelnes Bit, das angibt, in welcher von der Mittelwert bildenden oder der zeitverschachtelten Betriebsart sich das ADCS befindet, und wenigstens ein zusätzliches Bit, das angibt, wie viele der Kern-ADCs verwendet werden sollen, umfasst.
  • In Beispiel 978 weist der Gegenstand von Beispiel 977 optional auf, dass das wenigstens eine zusätzliche Bit spezifiziert, welche der Kern-ADCs verwendet werden sollen.
  • In Beispiel 979 weist der Gegenstand eines oder mehrerer der Beispiele 976-978 optional auf, dass das Betriebsartsignal aus einem einzelnen Bit besteht, das angibt, in welcher von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet.
  • In Beispiel 980 weist der Gegenstand eines oder mehrerer der Beispiele 974-979 optional auf, dass die Kern-ADCs ADCs mit variablen Bits sind, deren Auflösung sich abhängig davon, in welcher von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet, ändert.
  • In Beispiel 981 weist der Gegenstand eines oder mehrerer der Beispiele 974-980 optional auf, dass jeder Kern-ADC eine Abtastschaltung umfasst, um ein Eingabesignal, das quantisiert werden soll, überabzutasten und zu dezimieren.
  • In Beispiel 982 weist der Gegenstand eines oder mehrerer der Beispiele 974-981 optional auf, dass das ADCS ferner eine Verarbeitungsschaltung umfasst, die konfiguriert ist, quantisierte Signale von den Kern-ADCs zu empfangen und die quantisierten Signale abhängig davon, in welcher von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet, unterschiedlich zu verarbeiten.
  • In Beispiel 983 weist der Gegenstand von Beispiel 982 optional auf, dass die Verarbeitungsschaltung konfiguriert ist, als ein Puffer zu arbeiten, wenn das ADCS in der Mittelwert bildenden Betriebsart ist, und als ein Entzerrer zu arbeiten, wenn das ADCS in der zeitverschachtelten Betriebsart ist.
  • In Beispiel 984 weist der Gegenstand eines oder mehrerer der Beispiele 974-983 optional eine Antenne auf, die Antennenelemente umfasst, die Eingabesignale für das ADCS bereitstellen.
  • Beispiel 985 ist ein Verfahren zum Bereitstellen einer flexiblen Analog-zu-Digital-Umsetzer- (ADC-) Architektur. Das Verfahren kann ein Anpassen einer ADC-Konfiguration zwischen einer ADC-Konfiguration mit Mittelwert bildender Betriebsart für Betrieb mit höherer Auflösung und geringerer Bandbreite und einer ADC-Konfiguration mit zeitverschachtelter Betriebsart für Betrieb mit geringerer Auflösung und höherer Geschwindigkeit, in dem die Ausgaben von den Kern-ADCs gemittelt werden, umfassen. Das Verfahren kann ferner ein Mitteln von Ausgaben von den Kern-ADCs in der Mittelwert bildenden Betriebsart der ADC-Konfiguration, um eine gemittelte ADC-Ausgabe zu erzeugen, umfassen. Das Verfahren kann ferner ein Kombinieren von Ausgaben von den Kern-ADCs in der zeitverschachtelten Betriebsart der ADC-Konfiguration, um eine zeitverschachtelte ADC-Ausgabe zu erzeugen, umfassen.
  • In Beispiel 986 weist der Gegenstand von Beispiel 985 optional ein Bereitstellen eines Systemtaktsignals und eines lokalen Master-Taktsignals für jeden Kern-ADC basierend auf einem Master-Taktsignal, das der Zeitgebereinheit zugeführt wird, auf. Das Verfahren kann ferner Anpassen des Systemtaktsignals abhängig von der ADC-Konfiguration umfassen.
  • In Beispiel 987 weist der Gegenstand von Beispiel 986 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Betriebsartsignal kann ein einzelnes Bit, das die ADC-Konfiguration angibt, und wenigstens ein zusätzliches Bit, das angibt, wie viele der Kern-ADCs verwendet werden sollen, umfassen.
  • In Beispiel 988 weist der Gegenstand eines oder mehrerer der Beispiele 986-987 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Betriebsartsignal kann aus einem einzelnen Bit, das die ADC-Konfiguration angibt, bestehen.
  • In Beispiel 989 weist der Gegenstand eines oder mehrerer der Beispiele 986-988 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Verfahren kann ferner ein Abstimmen der ADC-Konfiguration auf eine gewünschte Einstellung basierend auf einer Menge von Konfigurations-Bits umfassen.
  • In Beispiel 990 weist der Gegenstand eines oder mehrerer der Beispiele 985-989 optional ein Anpassen einer Auflösung der Kern-ADCs abhängig von der ADC-Konfiguration auf.
  • In Beispiel 991 weist der Gegenstand eines oder mehrerer der Beispiele 985-990 optional ein Überabtasten und Dezimieren eines Eingabesignals für jeden der Kern-ADCs vor dem Quantisieren des Eingabesignals, um ein quantisiertes Signal zu erzeugen, auf.
  • In Beispiel 992 weist der Gegenstand eines oder mehrerer der Beispiele 985-991 optional ein unterschiedliches Verarbeiten der quantisierten Signale abhängig von der ADC-Konfiguration auf. Die Verarbeitung kann ein Puffern der quantisierten Signale von jedem der Kern-ADCs in der Mittelwert bildenden Betriebsart der ADC-Konfiguration und ein Entzerren der quantisierten Signale von jedem der Kern-ADCs in der zeitverschachtelten Betriebsart der ADC-Konfiguration umfassen.
  • Beispiel 993 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel zum Anpassen einer Analog-zu-Digital-Umsetzer- (ADC-) Konfiguration zwischen einer ADC-Konfiguration mit Mittelwert bildender Betriebsart für Betrieb mit höherer Auflösung und geringerer Bandbreite und einer ADC-Konfiguration mit zeitverschachtelter Betriebsart für Betrieb mit geringerer Auflösung und höherer Geschwindigkeit, in dem die Ausgaben von den Kern-ADCs gemittelt werden, umfassen. Die Einrichtung kann ferner Mittel zum Mitteln von Ausgaben von den Kern-ADCs in der ADC-Konfiguration mit Mittelwert bildender Betriebsart, um eine gemittelte ADC-Ausgabe zu erzeugen, umfassen. Die Einrichtung kann ferner Mittel zum Kombinieren von Ausgaben von den Kern-ADCs in der ADC-Konfiguration mit zeitverschachtelter Betriebsart, um eine zeitverschachtelte ADC-Ausgabe zu erzeugen, umfassen.
  • In Beispiel 994 weist der Gegenstand von Beispiel 993 optional Mittel zum Bereitstellen eines Systemtaktsignals und eines lokalen Master-Taktsignals für jeden Kern-ADC basierend auf einem Master-Taktsignal, das der Zeitgebereinheit zugeführt wird, auf. Die Einrichtung kann ferner Mittel zum Anpassen des Systemtaktsignals abhängig von der ADC-Konfiguration umfassen.
  • In Beispiel 995 weist der Gegenstand von Beispiel 994 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Betriebsartsignal kann ein einzelnes Bit, das die ADC-Konfiguration angibt, und wenigstens ein zusätzliches Bit, das angibt, wie viele der Kern-ADCs verwendet werden sollen, umfassen.
  • In Beispiel 996 weist der Gegenstand eines oder mehrerer der Beispiele 994-995 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Betriebsartsignal kann aus einem einzelnen Bit, das die ADC-Konfiguration angibt, bestehen.
  • In Beispiel 997 weist der Gegenstand eines oder mehrerer der Beispiele 994-996 optional auf, dass das Systemtaktsignal basierend auf einem Betriebsartsignal, das die ADC-Konfiguration angibt, angepasst wird. Das Betriebsartsignal kann ein einzelnes Bit, das angibt, in welcher von der Mittelwert bildenden oder der zeitverschachtelten Betriebsart sich das ADCS befindet, und wenigstens ein zusätzliches Bit, das angibt, wie viele der Kern-ADCs verwendet werden sollen, umfassen.
  • In Beispiel 998 weist der Gegenstand eines oder mehrerer der Beispiele 994-997 optional Mittel zum Anpassen einer Auflösung der Kern-ADCs abhängig von der ADC-Konfiguration auf.
  • In Beispiel 999 weist der Gegenstand eines oder mehrerer der Beispiele 994-998 optional Mittel zum Überabtasten und Dezimieren eines Eingabesignals für jeden der Kern-ADCs vor dem Quantisieren des Eingabesignals, um ein quantisiertes Signal zu erzeugen, auf.
  • Beispiel 1000 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, eine Analog-zu-Digital-Umsetzer- (ADC-) Konfiguration von mehreren Kern-ADCs zwischen einer Mittelwert bildenden Betriebsart und einer zeitverschachtelten Betriebsart anzupassen. Die Mittelwert bildende Betriebsart kann für Betrieb mit höherer Auflösung und geringerer Bandbreite konfiguriert sein, und die zeitverschachtelte Betriebsart kann für Betrieb mit geringerer Auflösung und höherer Geschwindigkeit konfiguriert sein. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, quantisierte Signale von den Kern-ADCs abhängig von der ADC-Konfiguration unterschiedlich zu verarbeiten. Die Verarbeitung kann ein Puffern der quantisierten Signale von jedem der Kern-ADCs in der Mittelwert bildenden Betriebsart der ADC-Konfiguration und ein Entzerren der quantisierten Signale von jedem der Kern-ADCs in der zeitverschachtelten Betriebsart der ADC-Konfiguration umfassen.
  • In Beispiel 1001 weist der Gegenstand von Beispiel 1000 optional auf, dass die Anweisungen den einen oder die mehreren Prozessoren ferner anweisen, jede von einer Mehrzahl von Zeitgebereinheiten zu konfigurieren, ein Systemtaktsignal für einen anderen Kern-ADC bereitzustellen, basierend auf einem Master-Taktsignal, das der Zeitgebereinheit zugeführt wird. Das Systemtaktsignal kann von der ADC-Konfiguration abhängig sein.
  • In Beispiel 1002 weist der Gegenstand von Beispiel 1001 optional auf, dass jede/r Zeitgebereinheit und Kern-ADC konfiguriert ist, ein Betriebsartsignal zu empfangen, das angibt, welche der ADC-Konfiguration. Das Betriebsartsignal kann ein einzelnes Bit, das die ADC-Konfiguration angibt, und wenigstens ein zusätzliches Bit, das angibt, wie viele der Kern-ADCs verwendet werden sollen, umfassen.
  • In Beispiel 1003 weist der Gegenstand von Beispiel 1002 optional auf, dass das wenigstens eine zusätzliche Bit spezifiziert, welche der Kern-ADCs verwendet werden sollen.
  • In Beispiel 1004 weist der Gegenstand von Beispiel 1003 optional auf, dass jede/r Zeitgebereinheit und Kern-ADC konfiguriert ist, ein Betriebsartsignal zu empfangen, das angibt, welche der ADC-Konfiguration. Das Betriebsartsignal kann ein einzelnes Bit, das die ADC-Konfiguration angibt, umfassen.
  • In Beispiel 1005 weist der Gegenstand eines oder mehrerer der Beispiele 1000-1004 optional auf, dass die Kern-ADCs ADCs mit variablen Bits sind, deren Auflösung sich abhängig davon, in welcher von der Mittelwert bildenden oder zeitverschachtelten Betriebsart sich das ADCS befindet, ändert.
  • In Beispiel 1006 weist der Gegenstand eines oder mehrerer der Beispiele 1000-1005 optional auf, dass jeder Kern-ADC eine Abtastschaltung umfasst, um ein Eingabesignal, das quantisiert werden soll, überabzutasten und zu dezimieren.
  • Beispiel 1007 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann eine Empfängerschaltung umfassen, die mehrere Analog-zu-Digital-Umsetzer (ADCs) umfasst, die konfiguriert sind, strahlgeformte Signale zu empfangen. Die Empfängerschaltung kann konfiguriert sein, analoge Kompensation für die strahlgeformten Signale bereitzustellen, bevor die strahlgeformten Signale den ADCs zugeführt werden. Die strahlgeformten Signale können ein gewünschtes Signal und ein Störersignal umfassen. Die Kompensation kann konfiguriert sein, das Störersignal zu kompensieren und die dynamische Verstärkung der ADCs zu reduzieren.
  • In Beispiel 1008 weist der Gegenstand von Beispiel 1007 optional auf, dass die Empfängerschaltung ferner einen Basisbandprozessor umfasst, der konfiguriert ist, digitale Signale von den ADCs zu empfangen. Der Basisbandprozessor kann ferner konfiguriert sein, eine Inverse der analogen Kompensation vor dem Bestimmen einer Richtung des gewünschten Signals bereitzustellen.
  • In Beispiel 1009 weist der Gegenstand eines oder mehrerer der Beispiele 1007-1008 optional ein Hochfrequenz- (RF-) Frontend auf, das konfiguriert ist, die strahlgeformten Signale als mehrere analoge Ausgaben auszugeben. Die Empfängerschaltung kann ferner einen Kombinierer für jede analoge Ausgabe umfassen. Der Kombinierer kann konfiguriert sein, eine gewichtete Kopie jeder der analogen Ausgaben zu kombinieren.
  • In Beispiel 1010 weist der Gegenstand von Beispiel 1009 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der analogen Ausgaben beschreibt, eine invertierbare Matrix ist, wobei die Gewichtungen fest sind.
  • In Beispiel 1011 weist der Gegenstand eines oder mehrerer der Beispiele 1009-1010 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der analogen Ausgaben beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können von den Bedingungen des gewünschten und des Störersignals adaptiv abhängig sein, um eine Signal-zu-Störung-plus-Rauschen (SINR) des gewünschten Signals zu maximieren.
  • In Beispiel 1012 weist der Gegenstand von Beispiel 1011 optional auf, dass die Gewichtsmatrix für analoge Summation eine Hadamard-Matrix umfasst.
  • In Beispiel 1013 weist der Gegenstand eines oder mehrerer der Beispiele 1009-1012 optional auf, dass die Empfängerschaltung ferner für jeden Kombinierer eine variable Verstärkung umfasst, die einen Eingang, dem eine Ausgabe des Kombinierers zugeführt wird, und einen Ausgang, der mit einem Eingang eines entsprechenden ADC verbunden ist, umfasst. Eine Verstärkung der variablen Verstärkung kann eingestellt sein, um einen Leistungspegel eines strahlgeformten Signals, das dem entsprechenden ADC zugeführt wird, zu normalisieren.
  • In Beispiel 1014 weist der Gegenstand eines oder mehrerer der Beispiele 1009-1013 optional auf, dass der Kombinierer mit einer Summierung im Strommodus implementiert ist.
  • In Beispiel 1015 weist der Gegenstand eines oder mehrerer der Beispiele 1008-1014 optional auf, dass der Basisbandprozessor ferner konfiguriert ist, eine Anzahl der ADCs zum Gebrauch während einer bestimmten Operation zu aktivieren.
  • In Beispiel 1016 weist der Gegenstand eines oder mehrerer der Beispiele 1008-1015 optional auf, dass der Basisbandprozessor ferner konfiguriert ist, einen Dynamikbereich jedes ADC abhängig von einer gewünschten Array-Störungsunterdrückung und Winkelauflösung auszuwählen.
  • In Beispiel 1017 weist der Gegenstand eines oder mehrerer der Beispiele 1007-1016 optional eine Antenne auf, die Antennenelemente umfasst, die die strahlgeformten Signale bereitstellen.
  • Beispiel 1018 ist ein Verfahren zum Reduzieren des Dynamikgewinns von Analog-zu-Digital-Umsetzern (ADCs) in einem Empfänger. Das Verfahren kann ein Empfangen strahlgeformter Signale von mehreren Antennenelementen einer Antenne umfassen. Jedes strahlgeformte Signal kann ein gewünschtes Signal und ein Störersignal umfassen. Das Verfahren kann ferner ein Kompensieren des Störersignals vor dem Bereitstellen der strahlgeformten Signale für die ADCs umfassen, um kompensierte Signale zu bilden. Jedes kompensierte Signal kann für einen anderen ADC bereitgestellt werden; Quantisieren der kompensierten Signale in den ADCs, um quantisierte Signale zu bilden. Das Verfahren kann ferner ein Umkehren des Kompensierens vor dem Verarbeiten der quantisierten Signale umfassen.
  • In Beispiel 1019 weist der Gegenstand von Beispiel 1018 optional auf, dass das Verarbeiten der quantisierten Signale wenigstens eines von ein Bestimmen einer Richtung des gewünschten oder eines Störsignals oder ein Kanalsondieren umfasst.
  • In Beispiel 1020 weist der Gegenstand eines oder mehrerer der Beispiele 1018-1019 optional auf, dass das Kompensieren des Störersignals für jedes kompensierte Signal das Kombinieren einer gewichteten Kopie jedes der strahlgeformten Signale umfasst.
  • In Beispiel 1021 weist der Gegenstand von Beispiel 1020 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können fest sein.
  • In Beispiel 1022 weist der Gegenstand eines oder mehrerer der Beispiele 1020-1021 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können von den Bedingungen des gewünschten und des Störersignals abhängig sein, um ein Signal-zu-Störungplus-Rauschen (SINR) des gewünschten Signals zu maximieren.
  • In Beispiel 1023 weist der Gegenstand von Beispiel 1022 optional auf, dass die Gewichtsmatrix für analoge Summation eine Hadamard-Matrix umfasst.
  • In Beispiel 1024 weist der Gegenstand eines oder mehrerer der Beispiele 1018-1023 optional ein Anpassen einer variablen Verstärkung jedes kompensierten Signals, um einen Leistungspegel eines Signals, das einem entsprechenden ADC von den ADCs zugeführt wird, zu normalisieren, auf.
  • In Beispiel 1025 weist der Gegenstand eines oder mehrerer der Beispiele 1018-1024 optional ein Anpassen einer Anzahl von ADCs, die während einer speziellen Operation verwendet werden sollen, auf.
  • In Beispiel 1026 weist der Gegenstand eines oder mehrerer der Beispiele 1018-1025 optional ein Auswählen eines Dynamikbereichs jedes ADC abhängig von einer gewünschten Array-Störungsunterdrückung und Winkelauflösung auf.
  • Beispiel 1027 ist eine Einrichtung einer Kommunikationsvorrichtung. Die Einrichtung kann Mittel zum Empfangen strahlgeformter Signale von mehreren Antennenelementen einer Antenne umfassen. Jedes strahlgeformte Signal kann ein gewünschtes Signal und ein Störersignal umfassen. Die Einrichtung kann ferner Mittel zum Kompensieren des Störersignals vor dem Bereitstellen der strahlgeformten Signale für Analog-zu-Digital-Umsetzer (ADCs), um kompensierte Signale zu bilden, umfassen. Jedes kompensierte Signal kann für einen anderen ADC bereitgestellt werden. Die Einrichtung kann ferner Mittel zum Quantisieren der kompensierten Signale in den ADCs, um quantisierte Signale zu bilden, umfassen. Die Einrichtung kann ferner Mittel zum Umkehren des Kompensierens vor dem Verarbeiten der quantisierten Signale umfassen.
  • In Beispiel 1028 weist der Gegenstand von Beispiel 1027 optional wenigstens eines von Mitteln zum Bestimmen einer Richtung des gewünschten oder Störsignals oder Kanalsondierung während der Verarbeitung der quantisierten Signale auf.
  • In Beispiel 1029 weist der Gegenstand eines oder mehrerer der Beispiele 1027-1028 optional auf, dass die Mittel zum Kompensieren des Störersignals für jedes kompensierte Signal Mittel zum Kombinieren einer gewichteten Kopie jedes der strahlgeformten Signale umfassen.
  • In Beispiel 1030 weist der Gegenstand von Beispiel 1029 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können fest sein.
  • In Beispiel 1031 weist der Gegenstand eines oder mehrerer der Beispiele 1029-1030 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können von den Bedingungen des gewünschten und des Störersignals abhängig sein, um Signal-zu-Störung-plus-Rauschen (SINR) des gewünschten Signals zu maximieren.
  • In Beispiel 1032 weist der Gegenstand von Beispiel 1031 optional auf, dass die Gewichtsmatrix für analoge Summation eine Hadamard-Matrix umfasst.
  • In Beispiel 1033 weist der Gegenstand eines oder mehrerer der Beispiele 1027-1032 optional Mittel zum Anpassen einer variablen Verstärkung jedes kompensierten Signals, um einen Leistungspegel eines Signals, das einem entsprechenden ADC von den ADCs zugeführt wird, zu normalisieren, auf.
  • In Beispiel 1034 weist der Gegenstand eines oder mehrerer der Beispiele 1027-1033 optional Mittel zum Anpassen einer Anzahl von ADCs, die während einer speziellen Operation verwendet werden sollen, auf.
  • In Beispiel 1035 weist der Gegenstand eines oder mehrerer der Beispiele 1027-1034 optional Mittel zum Auswählen eines Dynamikbereichs jedes ADC abhängig von einer gewünschten Array-Störungsunterdrückung und Winkelauflösung auf.
  • Beispiel 1036 ist ein computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer Kommunikationsvorrichtung speichert. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, eine analoge Kompensation strahlgeformter Signale, die quantisiert worden sind, zu invertieren, um quantisierte Signale zu bilden, vor einer Invertierung der analogen Kompensation. Jedes strahlgeformte Signal kann ein gewünschtes Signal und ein Störersignal umfassen. Jedes quantisierte Signal kann auf einem anderen Signalpfad bereitgestellt werden. Die Anweisungen können ferner konfiguriert sein, die quantisierten Signale nach der Inversion der analogen Kompensation für wenigstens eines von dem Folgenden zu verarbeiten: Bestimmen einer Richtung wenigstens eines von dem gewünschten oder Störsignal, oder Ausführen von Kanalsondierung.
  • In Beispiel 1037 weist der Gegenstand von Beispiel 1036 optional auf, dass die analoge Kompensation für jeden Signalpfad ein Kombinieren einer gewichteten Kopie jedes der strahlgeformten Signale umfasst.
  • In Beispiel 1038 weist der Gegenstand von Beispiel 1037 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Gewichtungen können fest sein.
  • In Beispiel 1039 weist der Gegenstand eines oder mehrerer der Beispiele 1037-1038 optional auf, dass eine Gewichtsmatrix für analoge Summation, die Gewichtungen der strahlgeformten Signale beschreibt, eine invertierbare Matrix ist. Die Anweisungen können konfiguriert sein, den einen oder die mehreren Prozessoren anzuweisen, die Gewichtungen abhängig von Bedingungen des gewünschten und des Störersignals anzupassen, um ein Signalzu-Störung-plus-Rauschen (SINR) des gewünschten Signals zu maximieren.
  • In Beispiel 1040 weist der Gegenstand von Beispiel 1039 optional auf, dass die Gewichtsmatrix für analoge Summation eine Hadamard-Matrix umfasst.
  • In Beispiel 1041 weist der Gegenstand eines oder mehrerer der Beispiele 1036-1040 optional auf, dass die Anweisungen konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, eine variable Verstärkung jedes analogen kompensierten strahlgeformten Signals anzupassen, um einen Leistungspegel des analogen kompensierten strahlgeformten Signals zu normalisieren, vor der Quantisierung des analogen kompensierten strahlgeformten Signals, um das quantisierte Signal zu bilden.
  • In Beispiel 1042 weist der Gegenstand eines oder mehrerer der Beispiele 1036-1041 optional auf, dass die Anweisungen konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, die Anzahl von gleichzeitigen Quantisierungen, die während einer speziellen Operation aktiv sind, anzupassen.
  • In Beispiel 1043 weist der Gegenstand eines oder mehrerer der Beispiele 1036-1042 optional auf, dass die Anweisungen konfiguriert sind, den einen oder die mehreren Prozessoren anzuweisen, einen Dynamikbereich jeder Quantisierung abhängig von einer gewünschten Array-Störungsunterdrückung und Winkelauflösung der strahlgeformten Signale auszuwählen.
  • Beispiel 1044 ist eine rückschleifenbasierte Zeitversatzkalibrierungsschaltung für einen zeitverschachtelten Analog-zu-Digital-Umsetzer (ADC), der mehrere Signalkanäle umfassen kann, wobei jeder Kanal einen Digital-zu-Analog-Umsetzer (DAC) in einem Sendepfad eines Hochfrequenzsendeempfängers und einen ADC, der durch einen Takt angesteuert wird, in einem Empfangspfad des Sendeempfängers, einen Referenzsignalgenerator zum Erzeugen eines Referenzsignals in dem Sendepfad wenigstens eines Signalkanals, eine Rückschleifenverbindung zum Senden des Referenzsignals zu dem Empfangspfad, der dem Sendepfad des wenigstens einen Signalkanals entspricht, eine Phasenschätzeinheit zum Bestimmen eines geschätzten Zeitversatzes, der dem Referenzsignal zugeordnet ist, und eine Verzögerungskorrekturschaltung zum Steuern der Taktzeit, um den geschätzten Zeitversatz zu kompensieren, und der einen Eingang umfasst, an dem der geschätzte Zeitversatz bereitgestellt wird, umfasst.
  • In Beispiel 1045 weist der Gegenstand von Beispiel 1044 optional auf, dass der Referenzsignalgenerator das Referenzsignal in dem Sendepfad aller Signalkanäle erzeugt.
  • In Beispiel 1046 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1045 optional einen Sendepfad-Zwischenfrequenz- (IF-) Verstärker und einen Empfangspfad-IF-Verstärker auf, und die Rückschleifenverbindung ist benachbart sowohl dem Sendepfad-IF-Verstärker als auch dem Empfangspfad-IF-Verstärker verbunden.
  • In Beispiel 1047 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1046 optional auf, dass der wenigstens eine Signalkanal einen In-Phasen- In-Phasen- (I-) Unterkanal und einen Quadratur- (Q-) Unterkanal umfasst, das Referenzsignal in einem I-Sendeunterpfad und einem Q-Sendeunterpfad bereitgestellt wird, die Phasenschätzeinheit eine I-Phasenschätzeinheit und eine Q-Phasenschätzeinheit umfasst und die Verzögerungskorrekturschaltung eine I-Verzögerungskorrekturschaltung und eine Q-Verzögerungskorrekturschaltung umfasst.
  • In Beispiel 1048 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1047 optional auf, dass das Referenzsignal ein Sinussignal mit einer vordefinierten Frequenz ist.
  • In Beispiel 1049 weist der Gegenstand von Beispiel 1048 optional auf, dass das Referenzsignal eine Form s(t) = Asin(2πft + θ) aufweist, wobei f eine vordefinierte Sinusfrequenz ist, θ eine Phase des Sinus ist und A eine Amplitude des Sinus ist.
  • In Beispiel 1050 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1049 optional auf, dass das Referenzsignal ein komplexes exponentielles Signal ist.
  • In Beispiel 1051 weist der Gegenstand von Beispiel 1050 optional auf, dass das Referenzsignal eine Form sI(t) = AIcos(2πft + θ), sQ(t) = AQsin(2πft + θ) aufweist, wobei f eube vordefinierte Sinusfrequenz ist, θ eine Phase des Sinus ist, AI eine Amplitude des In-Phasen-Sinus ist und AQ eine Amplitude des Quadratur-Sinus ist.
  • In Beispiel 1052 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1051 optional auf, dass die ADCs kombiniert sind, um einen zeitverschachtelten Analog-zu-Digital-Umsetzer (TI-ADC) zu bilden.
  • In Beispiel 1053 weist der Gegenstand von Beispiel 1052 optional auf, dass die ADCs mit einer gemeinsamen Abtastfrequenz arbeiten.
  • In Beispiel 1054 weist der Gegenstand eines oder mehrerer der Beispiele 1044-1053 optional auf, dass die Schaltung mit einer Modemschaltung für den Hochfrequenzsendeempfänger integriert ist.
  • In Beispiel 1055 weist der Gegenstand von Beispiel 1054 optional auf, dass die Modemschaltung mit dem Hochfrequenzsendeempfänger integriert ist.
  • Beispiel 1056 ist ein Verfahren zum Betreiben einer rückschleifenbasierten Zeitversatzkalibrierungsschaltung für einen zeitverschachtelten Analog-zu-Digital-Umsetzer (ADC), das ein Erzeugen, durch einen Referenzsignalgenerator, eines Referenzsignals, das für wenigstens einen von mehreren Signalkanälen bereitgestellt wird, wobei jeder Signalkanal einen Digital-zu-Analog-Umsetzer (DAC) in einem Sendepfad des Sendeempfängers und einen Analog-zu-Digital-Umsetzer (ADC), der durch einen Takt angesteuert wird, in einem Empfangspfad des Sendeempfängers umfasst, ein Kommunizieren des Referenzsignals von dem Sendepfad zu dem Empfangspfad, der dem Sendepfad des wenigstens einen Signalkanals entspricht, ein Berechnen, mit einer Phasenschätzeinheit, eines geschätzten Zeitversatzes basierend auf dem Referenzsignal und ein Korrigieren einer Taktzeit mit einer Verzögerungskorrekturschaltung, um die Taktzeit zu steuern, um den geschätzten Zeitversatz zu kompensieren, umfassen kann.
  • Beispiel 1057 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen aufweisen, die dazu dienen, um dann, wenn sie durch die Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Erzeugen, durch einen Referenzsignalgenerator, eines Referenzsignals, das für wenigstens einen von mehreren Signalkanälen bereitgestellt wird, zu konfigurieren, wobei jeder Signalkanal einen Digital-zu-Analog-Umsetzer (DAC) in einem Sendepfad des Sendeempfängers und einen Analog-zu-Digital-Umsetzer (ADC), der durch einen Takt angesteuert wird, in einem Empfangspfad des Sendeempfängers umfasst, das Referenzsignal von dem Sendepfad zu dem Empfangspfad, der dem Sendepfad des wenigstens einen Signalkanals entspricht, zu kommunizieren, mit einer Phasenschätzeinheit einen geschätzten Zeitversatz basierend auf dem Referenzsignal zu berechnen und die Taktzeit mit einer Verzögerungskorrekturschaltung zu korrigieren, um die Taktzeit zu steuern, um den geschätzten Zeitversatz zu kompensieren.
  • In Beispiel 1058 weist der Gegenstand von Beispiel 1057 optional auf, dass der Referenzsignalgenerator das Referenzsignal in dem Sendepfad aller Signalkanäle erzeugt.
  • Beispiel 1059 ist ein System, das Mittel zum Ausführen des Verfahrens von Beispiel 1056 umfasst.
  • Beispiel 1060 ist eine Einrichtung zum Betreiben einer rückschleifenbasierten Zeitversatzkalibrierungsschaltung für einen zeitverschachtelten Analog-zu-Digital-Umsetzer (ADC), die Mittel zum Erzeugen, durch einen Referenzsignalgenerator, eines Referenzsignals, das für wenigstens einen von mehreren Signalkanälen bereitgestellt wird, wobei jeder Signalkanal einen Digital-zu-Analog-Umsetzer (DAC) in einem Sendepfad des Sendeempfängers und einen Analog-zu-Digital-Umsetzer (ADC), der durch einen Takt angesteuert wird, in einem Empfangspfad des Sendeempfängers umfasst, Mittel zum Kommunizieren des Referenzsignals von dem Sendepfad zu dem Empfangspfad, der dem Sendepfad des wenigstens einen Signalkanals entspricht, Mittel zum Berechnen, mit einer Phasenschätzeinheit, eines geschätzten Zeitversatzes basierend auf dem Referenzsignal und Mittel zum Korrigieren einer Taktzeit mit einer Verzögerungskorrekturschaltung, um die Taktzeit zu steuern, um den geschätzten Zeitversatz zu kompensieren, umfassen kann.
  • In Beispiel 1061 weist der Gegenstand von Beispiel 1060 optional auf, dass der Referenzsignalgenerator das Referenzsignal in dem Sendepfad aller Signalkanäle erzeugt.
  • In Beispiel 1062 weist der Gegenstand eines oder mehrerer der Beispiele 1060-1061 optional Mittel zum Verstärken einer Zwischenfrequenzsignals in einem Sendepfad und Mittel zum Verstärken eines IF-Signals in einem Empfangspfad auf, wobei die Rückschleifenverbindung benachbart sowohl dem Sendepfadverstärker als auch dem Empfangspfadverstärker verbunden ist.
  • In Beispiel 1063 weist der Gegenstand eines oder mehrerer der Beispiele 1060-1062 optional auf, dass das Referenzsignal ein Sinussignal mit einer vordefinierten Frequenz ist.
  • In Beispiel 1064 weist der Gegenstand von Beispiel 1063 optional auf, dass das Referenzsignal eine Form s(t) = Asin(2πft + θ) aufweist, wobei f eine vordefinierte Sinusfrequenz ist, θ eine Phase des Sinus ist und A eine Amplitude des Sinus ist.
  • In Beispiel 1065 weist der Gegenstand eines oder mehrerer der Beispiele 1060-1064 optional auf, dass das Referenzsignal ein komplexes exponentielles Signal ist.
  • In Beispiel 1066 weist der Gegenstand von Beispiel 1065 optional auf, dass das Referenzsignal eine Form sI(t) = AIcos(2πft + θ), sQ(t) = AQsin(2πft + θ) aufweist, wobei f eine vordefinierte Sinusfrequenz ist, θ eine Phase des Sinus ist, AI eine Amplitude des In-Phasen-Sinus ist und AQ eine Amplitude des Quadratur-Sinus ist.
  • In Beispiel 1067 weist der Gegenstand eines oder mehrerer der Beispiele 1060-1066 optional auf, dass die ADCs kombiniert sind, um einen zeitverschachtelten Analog-zu-Digital-Umsetzer (TI-ADC) zu bilden.
  • In Beispiel 1068 weist der Gegenstand von Beispiel 1067 optional auf, dass die ADCs mit einer gemeinsamen Abtastfrequenz arbeiten.
  • In Beispiel 1069 weist der Gegenstand eines oder mehrerer der Beispiele 1060-1068 optional auf, dass die Einrichtung mit einer Modemschaltung für den Hochfrequenzsendeempfänger integriert ist.
  • In Beispiel 1070 weist der Gegenstand von Beispiel 1069 optional auf, dass die Modemschaltung mit dem Hochfrequenzsendeempfänger integriert ist.
  • Beispiel 1071 ist ein zeitverschachtelter Analog-zu-Digital-Umsetzer (TI-ADC) mit einer Verstärkungskorrekturvorrichtung, die einen Schalter zum Schalten zwischen einem Vorrichtungseingang in einer normalen Betriebsart und einem Referenzspannungseingang in einer Kalibrierungsbetriebsart, mehrere Signalkanäle, von denen jeder einen Analog-zu-Digital-Umsetzer (ADC) zum Empfangen einer Scheibe des geschalteten Signals und Bereitstellen eines digitalen Ausgabesignals umfasst, einen Multiplexer zum Erzeugen eines kombinierten Ausgabesignals aus den digitalen Eingabesignalen der ADCs, eine Mess- und Korrektureinheit zum Anpassen oder Unterstützen der Anpassung eines Signals, wenn in der in der normalen Betriebsart gearbeitet wird, zum Erzeugen eines verstärkungsangepassten Ausgabesignals und zum Bereitstellen eines Messsignals, wenn in der Kalibrierungsbetriebsart gearbeitet wird, und eine Steuereinheit zum Steuern des Schalters und der Mess- und Korrektureinheit, um in der normalen Betriebsart oder der Kalibrierungsbetriebsart zu arbeiten, Speichern von auf das Messsignal bezogenen Daten in einem Speicher für die Anpassung des kombinierten Ausgabesignals und Steuern einer Verschachtelungszeit der Signalkanäle umfassen kann.
  • In Beispiel 1072 weist der Gegenstand von Beispiel 1071 optional auf, dass die mehreren Signalkanäle jeweils ferner eine Verfolgungs- oder Abtast- und Halteschaltung vor dem ADC umfassen, die gemeinsam durch die Steuereinheit gesteuert werden, Verschachtelungszeit und Betrieb der ADCs auf kaskadierte Weise bereitzustellen.
  • In Beispiel 1073 weist der Gegenstand von Beispiel 1072 optional auf, dass der Schalter zwischen den Kanalverfolgungs- oder Abtast- und Halte-Schaltungen und entsprechenden ADCs vorgesehen ist.
  • In Beispiel 1074 weist der Gegenstand eines oder mehrerer der Beispiele 1071-1073 optional auf, dass die auf das Messsignal bezogenen Daten Verstärkungswerte sind, die für die Anpassung in entsprechenden Kanälen verwendet werden.
  • In Beispiel 1075 weist der Gegenstand von Beispiel 1074 optional auf, dass die Verstärkungswerte Verstärkungsversatzwerte sind.
  • In Beispiel 1076 weist der Gegenstand eines oder mehrerer der Beispiele 1074-1075 optional auf, dass die Verstärkungswerte auf mehreren Referenzspannungswerten, die durch die Referenzspannungseingabe bereitgestellt sind, basieren.
  • In Beispiel 1077 weist der Gegenstand von Beispiel 1076 optional auf, dass die mehreren Referenzspannungswerte Wellenformsignalwerte sind.
  • In Beispiel 1078 weist der Gegenstand von Beispiel 1077 optional auf, dass die Wellenformsignalwerte aus einem Rückkopplungssignal bereitgestellt sind, das von dem verstärkungsangepassten Ausgabesignal abgeleitet ist.
  • In Beispiel 1079 weist der Gegenstand eines oder mehrerer der Beispiele 1077-1078 optional auf, dass die Wellenformsignalwerte auf einem komplexen exponentiellen Signal basieren.
  • In Beispiel 1080 weist der Gegenstand eines oder mehrerer der Beispiele 1076-1079 optional auf, dass die Verstärkungswerte in einer Nachschlagetabelle (LUT) in dem Speicher gespeichert sind.
  • In Beispiel 1081 weist der Gegenstand eines oder mehrerer der Beispiele 1076-1080 optional auf, dass die Verstärkungswertberechnungseinheit lineare Interpolation für Werte zwischen Kalibrierungswerten benutzt.
  • In Beispiel 1082 weist der Gegenstand eines oder mehrerer der Beispiele 1071-1081 optional auf, dass die Steuereinheit dazu dient, eine analoge Anpassung innerhalb der Signalkanäle basierend auf den auf das Messsignal bezogenen Daten vorzunehmen.
  • In Beispiel 1083 weist der Gegenstand von Beispiel 1082 optional auf, dass die analoge Anpassung durch eine Steuerung der ADCs vorgenommen wird.
  • In Beispiel 1084 weist der Gegenstand eines oder mehrerer der Beispiele 1071-1083 optional eine Temperaturreferenz auf, um temperaturbezogene Informationen bereitzustellen, die den auf das Messsignal bezogenen Daten zugeordnet und mit ihnen gespeichert werden sollen.
  • Beispiel 1085 ist ein Verfahren zum Betreiben eines zeitverschachtelten Analog-zu-Digital-Umsetzers (TI-ADC) mit einer Verstärkungskorrekturvorrichtung, das Schalten zwischen einem Vorrichtungseingang in einer normalen Betriebsart und einem Referenzspannungseingang in einer Kalibrierungsbetriebsart und Ausgeben eines geschalteten Signals, Empfangen, mit mehreren Signalkanälen, von denen jeder einen Analog-zu-Digital-Umsetzer (ADC) umfasst, einer Scheibe des geschalteten Signals und Bereitstellen eines digitalen Ausgabesignals, Erzeugen, mit einem Multiplexer, eines kombinierten Ausgabesignals aus den digitalen Eingabesignalen der ADCs, Anpassen oder Unterstützen der Anpassung eines Signals, wenn in der in der normalen Betriebsart gearbeitet wird, um ein verstärkungsangepasstes Ausgabesignal zu erzeugen und ein Messsignal bereitzustellen, wenn in der Kalibrierungsbetriebsart gearbeitet wird, und Steuern des Schalters und der Mess- und Korrektureinheit, um in der normalen Betriebsart oder der Kalibrierungsbetriebsart zu arbeiten, Speichern von auf das Messsignal bezogenen Daten in einem Speicher für die Anpassung des kombinierten Ausgabesignals und Steuern einer Verschachtelungszeit der Signalkanäle umfassen kann.
  • Beispiel 1086 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Schalten zwischen einem Vorrichtungseingang in einer normalen Betriebsart und einem Referenzspannungseingang in einer Kalibrierungsbetriebsart und Ausgeben eines geschalteten Signals, Empfangen mit mehreren Signalkanälen, von denen jeder einen Analog-zu-Digital-Umsetzer (ADC) umfasst, einer Scheibe des geschalteten Signals und Bereitstellen eines digitalen Ausgabesignals, Erzeugen, mit einem Multiplexer, eines kombinierten Ausgabesignals aus den digitalen Eingabesignalen der ADCs, Anpassen oder Unterstützen der Anpassung eines Signals, wenn in der in der normalen Betriebsart gearbeitet wird, um ein verstärkungsangepasstes Ausgabesignal zu erzeugen und ein Messsignal bereitzustellen, wenn in der Kalibrierungsbetriebsart gearbeitet wird, und Steuern des Schalters und der Mess- und Korrektureinheit, um in der normalen Betriebsart oder der Kalibrierungsbetriebsart zu arbeiten, Speichern von auf das Messsignal bezogenen Daten in einem Speicher für die Anpassung des kombinierten Ausgabesignals und Steuern einer Verschachtelungszeit der Signalkanäle zu konfigurieren.
  • In Beispiel 1087 weist der Gegenstand von Beispiel 1086 optional auf, dass die mehreren Signalkanäle jeweils ferner eine Verfolgungs- oder Abtast- und Halteschaltung vor dem ADC umfassen, die gemeinsam durch die Steuereinheit gesteuert werden, um Verschachtelungszeit und Betrieb der ADCs auf kaskadierte Weise bereitzustellen.
  • Beispiel 1088 ist ein System, das Mittel zum Ausführen des Verfahrens von Beispiel 1087 umfasst.
  • Beispiel 1089 ist eine Vorrichtung zum Betreiben eines zeitverschachtelten Analog-zu-Digital-Umsetzers (TI-ADC) mit einer Verstärkungskorrekturvorrichtung, die Mittel zum Schalten zwischen einem Vorrichtungseingang in einer normalen Betriebsart und einem Referenzspannungseingang in einer Kalibrierungsbetriebsart und Ausgeben eines geschalteten Signals, Mittel zum Empfangen mit mehreren Signalkanälen, von denen jeder einen Analog-zu-Digital-Umsetzer (ADC) umfasst, einer Scheibe des geschalteten Signals und Bereitstellen eines digitalen Ausgabesignals, Mittel zum Erzeugen, mit einem Multiplexer, eines kombinierten Ausgabesignals aus den digitalen Eingabesignalen der ADCs, Mittel zum Anpassen oder Unterstützen der Anpassung eines Signals, wenn in der in der normalen Betriebsart gearbeitet wird, um ein verstärkungsangepasstes Ausgabesignal zu erzeugen und ein Messsignal bereitzustellen, wenn in der Kalibrierungsbetriebsart gearbeitet wird, und Mittel zum Steuern des Schalters und der Mess- und Korrektureinheit, um in der normalen Betriebsart oder der Kalibrierungsbetriebsart zu arbeiten, Speichern von auf das Messsignal bezogenen Daten in einem Speicher für die Anpassung des kombinierten Ausgabesignals und Steuern einer Verschachtelungszeit der Signalkanäle, umfassen kann.
  • In Beispiel 1090 weist der Gegenstand von Beispiel 1089 optional auf, dass die mehreren Signalkanäle jeweils ferner eine Verfolgungs- oder Abtast- und Halteschaltung vor dem ADC umfassen, die gemeinsam durch die Mittel zum Steuern gesteuert werden, um Verschachtelungszeit und Betrieb der ADCs auf kaskadierte Weise bereitzustellen.
  • In Beispiel 1091 weist der Gegenstand von Beispiel 1090 optional auf, dass die Mittel zum Schalten zwischen den Kanalverfolgungs- oder Abtast- und Halte-Schaltungen und entsprechenden ADCs vorgesehen sind.
  • In Beispiel 1092 weist der Gegenstand von Beispiel 1091 optional auf, dass die auf das Messsignal bezogenen Daten Verstärkungswerte sind, die für die Mittel zum Anpassen in entsprechenden Kanälen verwendet werden.
  • In Beispiel 1093 weist der Gegenstand von Beispiel 1092 optional auf, dass die Verstärkungswerte Verstärkungsversatzwerte sind.
  • In Beispiel 1094 weist der Gegenstand eines oder mehrerer der Beispiele 1092-1094 optional auf, dass die Verstärkungswerte auf mehreren Referenzspannungswerten, die durch die Referenzspannungseingabe bereitgestellt sind, basieren.
  • In Beispiel 1095 weist der Gegenstand von Beispiel 1094 optional auf, dass die mehreren Referenzspannungswerte Wellenformsignalwerte sind.
  • In Beispiel 1096 weist der Gegenstand von Beispiel 1095 optional auf, dass die Wellenformsignalwerte aus einem Rückkopplungssignal, das von dem verstärkungsangepassten Ausgabesignal abgeleitet ist, bereitgestellt sind.
  • In Beispiel 1097 weist der Gegenstand eines oder mehrerer der Beispiele 1095-1096 optional auf, dass die Wellenformsignalwerte auf einem komplexen exponentiellen Signal basieren.
  • In Beispiel 1098 weist der Gegenstand eines oder mehrerer der Beispiele 1094-1097 optional auf, dass die Verstärkungswerte in einer Nachschlagetabelle (LUT) in dem Speicher gespeichert sind.
  • In Beispiel 1099 weist der Gegenstand eines oder mehrerer der Beispiele 1094-1098 optional auf, dass die Verstärkungswertberechnungseinheit lineare Interpolation für Werte zwischen Kalibrierungswerten benutzt.
  • In Beispiel 1100 weist der Gegenstand von Beispiel 1099 optional auf, dass die Mittel zum Steuern eine analoge Anpassung innerhalb der Signalkanäle basierend auf den auf das Messsignal bezogenen Daten vornehmen.
  • In Beispiel 1101 weist der Gegenstand von Beispiel 1100 optional auf, dass die analoge Anpassung durch eine Steuerung der ADCs vorgenommen wird.
  • In Beispiel 1102 weist der Gegenstand von Beispiel 1101 optional auf, dass er ferner Mittel zum Bereitstellen temperaturbezogener Informationen umfasst, die den auf das Messsignal bezogenen Daten zugeordnet und mit ihnen gespeichert werden sollen.
  • Beispiel 1103 ist ein Sender einer phasengesteuerten Gruppe, der mehrere Sendekanäle, von denen jeder eine Antenne und einen mit der Antenne verbundenen Sendeverstärker umfasst, einen Sendeleistungs-Splitter, um ein Ausgabesignal in mehrere Ausgabekanalsignale, die für die Sendeverstärker in den Sendekanälen bereitgestellt werden, aufzuteilen, eine Basisband-zu-RF-Sendeschaltung, um digitale Sendedaten in das Ausgabesignal umzusetzen, einen externen nichtlinearen Datenprozessor zum Bestimmen von Nichtlinearitätseigenschaften eines Signals, die eine Leistungssendesignaleigenschaft eines externen Sendeempfängers einer phasengesteuerten Gruppe (EPAT) betreffen, und zum Bereitstellen von Nichtlinearitätsdaten, die zur Korrektur von Nichtlinearitäten in dem EPAT verwendet werden können, für die IF-Senderstufe zum Senden zu dem EPAT, umfassen kann.
  • In Beispiel 1104 weist der Gegenstand von Beispiel 1103 optional eine Hochfrequenz- (RF-) Modulationsstufe, um das Ausgabesignal für den Sendeleistungs-Splitter bereitzustellen, und eine Zwischenfrequenz -(IF-) Modulationsstufe, die einen Digital-zu-Analog-Umsetzer (DAC) umfasst, um ein digitales Basisbandausgabesignal in ein IF-Ausgabesignal umzusetzen, auf.
  • In Beispiel 1105 weist der Gegenstand von Beispiel 1104 optional auf, dass die Nichtlinearitätsdaten Polynomkoeffizienten einer Kurve, die die Nichtlinearität einer Kennlinie einer Eingangsleistung versus und Ausgangsleistung für den EPAT kompensiert, umfassen.
  • In Beispiel 1106 weist der Gegenstand von Beispiel 1105 optional auf, dass die Polynomkoeffizienten der Kurve von fünfter Ordnung oder weniger sind.
  • In Beispiel 1107 weist der Gegenstand eines oder mehrerer der Beispiele 1104-1106 optional auf, dass die Nichtlinearitätsdaten Nachschlagetabellen- (LUT-) Werte umfassen, die dem Kompensieren der Nichtlinearität einer Kennlinie einer Eingangsleistung versus und Ausgangsleistung für den EPAT entsprechen.
  • In Beispiel 1108 weist der Gegenstand eines oder mehrerer der Beispiele 1104-1107 optional auf, dass der Sender ein Sendeempfänger ist, der ferner einen Empfänger einer phasengesteuerten Gruppe, der mehrere Empfangskanäle aufweisen kann, von denen jeder eine Antenne und einen mit der Antenne verbundenen Empfängerverstärker aufweisen kann, einen Empfangsleistungskombinierer zum Kombinieren mehrerer Eingabekanalsignale, die durch die Empfangsverstärker in dem Empfangskanal bereitgestellt sind, in ein Eingabesignal, eine Hochfrequenz- (RF-) Demodulationsstufe zum Umsetzen des RF-Signals in ein Zwischenfrequenz-(IF-) Signal, und eine Zwischenfrequenz- (IF-) Demodulationsstufe, die einen Analog-zu-Digital-Umsetzer (ADC) umfasst, zum Umsetzen des IF-Signals in ein digitales Basisbandsignal, einen internen Nichtlinearitätsdatenprozessor zum Verarbeiten von Nichtlinearitätsdaten, die in dem digitalen Basisbandeingabesignal enthalten sind, einen digitalen Vorverzerrungs-Prozessor (DPD-Prozessor), der einen Steuereingang zum Empfangen von Steuersignalen basierend auf den verarbeiteten Nichtlinearitätsdaten und einen Dateneingang, der ein digitales Basisbanddatensignal zum Senden umfasst, und einen Datenausgang zum Bereitstellen eines Ausgabesignals, das durch den DPD modifiziert worden ist, um ein Signal auszugeben, das eine gemeinsame linearen Ausgabe der Sendeverstärker innerhalb der Sendekanäle basierend auf den Nichtlinearitätsdaten erweitern wird, umfassen kann, umfassen kann.
  • Beispiel 1109 ist ein Verfahren zum Kalibrieren eines Sendeempfängers einer phasengesteuerten Gruppe, das ein Aufteilen eines Sendesignals in Signale, die für mehrere Sendekanäle, von denen jeder eine Antenne und einen mit der Antenne verbundenen Sendeverstärker umfasst, bereitgestellt werden, ein Senden eines Ausgabesignals über die Antennen der Kanäle zu einem externen Sendeempfänger einer phasengesteuerten Gruppe (EPAT), wobei das Ausgabesignal eine kombinierte Leistungsausgabe aufweist, die eine Summe von Leistungsausgaben der Kanäle der phasengesteuerten Antennengruppe ist, ein Empfangen an einem Eingang des Sendeempfängers von Nichtlinearitätsdaten, die einer Antennenkennlinie der Summe der Leistungsausgaben der individuellen Kanäle der phasengesteuerten Antennengruppe umgekehrt proportional sind, ein Umsetzen der Nichtlinearitätsdaten in Steuerdaten eines digitalen Vorverzerrungs- (DPD-) Prozessors, so dass der DPD-Prozessor das Ausgabesignal modifiziert, um eine kollektive lineare Ausgabe der Sendeverstärker innerhalb der Sendekanäle basierend auf den Nichtlinearitätsdaten zu erweitern, und ein Senden der durch den DPD-Prozessor modifizierten Ausgabesignale über die Antennen der Kanäle umfassen kann.
  • Beispiel 1110 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Aufteilen eines Sendesignals in Signale, die für mehrere Sendekanäle, von denen jeder eine Antenne und einen mit der Antenne verbundenen Sendeverstärker umfasst, bereitgestellt werden, zum Senden eines Ausgabesignals über die Antennen der Kanäle zu einem externen Sendeempfänger einer phasengesteuerten Gruppe (EPAT), wobei das Ausgabesignal eine kombinierte Leistungsausgabe aufweist, die eine Summe von Leistungsausgaben der Kanäle der phasengesteuerten Antennengruppe ist, zum Empfangen an einem Eingang des Sendeempfängers von Nichtlinearitätsdaten, die einer Antennenkennlinie der Summe der Leistungsausgaben der individuellen Kanäle der phasengesteuerten Antennengruppe umgekehrt proportional sind, zum Umsetzen der Nichtlinearitätsdaten in Steuerdaten eines digitalen Vorverzerrungs- (DPD-) Prozessors, so dass der DPD-Prozessor die Ausgabesignal modifiziert, um eine kollektive lineare Ausgabe der Sendeverstärker innerhalb der Sendekanäle basierend auf den Nichtlinearitätsdaten zu erweitern, und zum Senden der durch den DPD-Prozessor modifizierten Ausgabesignale über die Antennen der Kanäle, zu konfigurieren.
  • In Beispiel 1111 weist der Gegenstand von Beispiel 1110 optional auf, dass die Anweisungen ferner dazu dienen, das Ausgabesignal für den Sendeleistungs-Splitter bereitzustellen und ein digitales Basisbandausgabesignal in ein IF-Ausgabesignal umzusetzen.
  • Beispiel 1112 ist ein Mittel zum Senden eines Hochfrequenzsignals, das Mittel zum Aufteilen eines Sendesignals in Signale, die für mehrere Sendekanäle, von denen jeder eine Antenne und einen mit der Antenne verbundenen Sendeverstärker umfasst, bereitgestellt werden, Mittel zum Senden eines Ausgabesignals über die Antennen der Kanäle zu einem externen Sendeempfänger einer phasengesteuerten Gruppe (EPAT), wobei das Ausgabesignal eine kombinierte Leistungsausgabe aufweist, die eine Summe von Leistungsausgaben der Kanäle der phasengesteuerten Antennengruppe ist, Mittel zum Empfangen an einem Eingang des Sendeempfängers von Nichtlinearitätsdaten, die einer Antennenkennlinie der Summe der Leistungsausgaben der individuellen Kanäle der phasengesteuerten Antennengruppe umgekehrt proportional sind, Mittel zum Umsetzen der Nichtlinearitätsdaten in Steuerdaten eines digitalen Vorverzerrungs- (DPD-) Prozessors, so dass der DPD-Prozessor die Ausgabesignal modifiziert, um eine kollektive lineare Ausgabe der Sendeverstärker innerhalb der Sendekanäle basierend auf den Nichtlinearitätsdaten zu erweitern, und Mittel zum Senden der durch den DPD-Prozessor modifizierten Ausgabesignale über die Antennen der Kanäle umfassen kann.
  • In Beispiel 1113 weist der Gegenstand von Beispiel 1112 optional auf, dass die Nichtlinearitätsdaten Polynomkoeffizienten einer Kurve umfassen, die die Nichtlinearität einer Kennlinie einer Eingangsleistung versus und Ausgangsleistung für den EPAT kompensiert.
  • In Beispiel 1114 weist der Gegenstand von Beispiel 1113 optional auf, dass die Polynomkoeffizienten der Kurve von fünfter Ordnung oder weniger sind.
  • In Beispiel 1115 weist der Gegenstand eines oder mehrerer der Beispiele 1112-1114 optional auf, dass die Nichtlinearitätsdaten Nachschlagetabellen- (LUT-) Werte umfassen, die dem Kompensieren der Nichtlinearität einer Kennlinie einer Eingangsleistung versus und Ausgangsleistung für den EPAT entsprechen.
  • In Beispiel 1116 weist der Gegenstand eines oder mehrerer der Beispiele 1112-1115 optional auf, dass der Sender ein Sendeempfänger ist, der ferner einen Empfänger einer phasengesteuerten Gruppe, der mehrere Empfangskanäle umfassen kann, von denen jede eine Antenne und einen mit der Antenne verbundenen Empfängerverstärker umfassen kann, einen Empfangsleistungskombinierer zum Kombinieren der Eingabekanalsignale, die durch die Empfangsverstärker in dem Empfangskanal bereitgestellt sind, in ein Eingabesignal, eine Hochfrequenz- (RF-) Demodulationsstufe zum Umsetzen des RF-Signals in ein Zwischenfrequenz- (IF-) Signal und eine Zwischenfrequenz- (IF-) Demodulationsstufe, die einen Analog-zu-Digital-Umsetzer (ADC) umfasst, zum Umsetzen des IF-Signals in ein digitales Basisbandsignal, einen internen Nichtlinearitätsdatenprozessor zum Verarbeiten von Nichtlinearitätsdaten, die in dem digitalen Basisbandeingabesignal enthalten sind, einen digitalen Vorverzerrungs-Prozessor (DPD-Prozessor), der einen Steuereingang zum Empfangen von Steuersignalen basierend auf den verarbeiteten Nichtlinearitätsdaten und einen Dateneingang, der ein digitales Basisbanddatensignal zum Senden umfasst, und einen Datenausgang zum Bereitstellen eines Ausgabesignals, das durch den DPD modifiziert worden ist, um ein Signal auszugeben, das eine kollektive lineare Ausgabe der Sendeverstärker innerhalb der Sendekanäle basierend auf den Nichtlinearitätsdaten erweitern wird, umfassen kann, umfassen kann.
  • In Beispiel 1117 weist der Gegenstand eines oder mehrerer der Beispiele 1112-1116 optional eine Hochfrequenz- (RF-) Modulationsstufe, um das Ausgabesignal für den Sendeleistungs-Splitter bereitzustellen, und eine Zwischenfrequenz -(IF-) Modulationsstufe, die einen Digital-zu-Analog-Umsetzer (DAC) umfasst, um ein digitales Basisbandausgabesignal in ein IF-Ausgabesignal umzusetzen, auf.
  • Beispiel 1118 ist eine Verstärkungssteuerungsvorrichtung für einen Empfänger, die einen Prozessor und einen Speicher umfasst, wobei der Prozessor konfiguriert ist, in einer Dithering-Betriebsart ein erstes Eingabesignal an einem ersten Signalleistungspegel zu empfangen, unter Verwendung eines Schalters eine erste und einer zweite AGC-Verstärkungseinstellung separat auf das Eingabesignal anzuwenden und jeweils ein erstes und ein zweites Signalqualitätsmaß (SQM) für die erste und die zweite AGC-Verstärkungseinstellung zu messen und einen optimalen Schwellenwert, der einen Leistungspegel repräsentiert, der verwendet wird, um zwischen dem Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung basierend auf dem ersten und dem zweiten SGM umzuschalten, zu bestimmen und zu speichern, und in einer normalen Betriebsart zu bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingabesignal an dem ersten Signalleistungspegel verwendet werden soll, basierend auf dem optimalen Schwellenwert.
  • In Beispiel 1119 weist der Gegenstand von Beispiel 1118 optional auf, dass das erste Eingabesignal wenigstens eines von einem Hochfrequenzeingabesignal, einem Zwischenfrequenzeingabesignal oder einem Basisbandsignal ist.
  • In Beispiel 1120 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1119 optional auf, dass der Schalter zum Arbeiten auf mehreren Eingabesignalen für einen gegebenen Eingaberahmen dient.
  • In Beispiel 1121 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1120 optional auf, dass das SQM eine Fehlervektorgröße (EVM) ist.
  • In Beispiel 1122 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1121 optional auf, dass der optimale Schwellenwert in einer Nachschlagetabelle (LUT) gespeichert ist.
  • In Beispiel 1123 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1122 optional auf, dass der Prozessor ferner konfiguriert ist, in der Dithering-Betriebsart einen weiteren Bedingungswert, der dem optimalen Schwellenwert zugeordnet ist, zu bestimmen und zu speichern, und in der normalen Betriebsart zu bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung verwendet werden soll, zusätzlich basierend auf dem weiteren Bedingungswert.
  • In Beispiel 1124 weist der Gegenstand von Beispiel 1123 optional auf, dass der weitere Bedingungswert wenigstens eines von einer Temperatur, einem Kanal, einer Arbeitsfrequenz oder einer Spannung ist.
  • In Beispiel 1125 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1124 optional einen Leistungspegeldetektor auf, der sich in einem Modem des Empfängers befindet, der benutzt wird, um einen Leistungspegel des Eingabesignals zu bestimmen.
  • In Beispiel 1126 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1125 optional auf, dass der Prozessor ferner konfiguriert ist, basierend auf einer vordefinierten Bedingung die Vorrichtung in die Dithering-Betriebsart zu versetzen.
  • In Beispiel 1127 weist der Gegenstand von Beispiel 1126 optional auf, dass die vordefinierte Bedingung der Ablauf eines Zeitgebers ist.
  • In Beispiel 1128 weist der Gegenstand von Beispiel 1127 optional auf, dass die Bestimmung des optimalen Schwellenwerts eine Differenz zwischen dem ersten und dem zweiten SQM für den bestimmten Wert benutzt.
  • In Beispiel 1129 weist der Gegenstand von Beispiel 1128 optional auf, dass die Bestimmung des optimalen Schwellenwerts ferner gespeicherte Leistung-vs.-SQM-Kurvenformen für den bestimmten Wert benutzt.
  • In Beispiel 1130 weist der Gegenstand eines oder mehrerer der Beispiele 1118-1129 optional auf, dass der Empfänger ein Empfänger einer phasengesteuerten Gruppe ist.
  • Beispiel 1131 ist ein Verfahren zum Betreiben einer Verstärkungssteuerungsvorrichtung für einen Empfänger, das in einer Dithering-Betriebsart ein Empfangen eines ersten Eingabesignals an einem ersten Signalleistungspegel, ein separates Anwenden unter Verwendung eines Schalters einer ersten und einer zweiten AGC-Verstärkungseinstellung auf das Eingabesignal und ein jeweiliges Messen eines ersten und eines zweiten Signalqualitätsmaßes (SQM) für die erste und die zweite AGC-Verstärkungseinstellung und ein Bestimmen und Speichern eines optimalen Schwellenwerts, der einen Leistungspegel repräsentiert, der verwendet wird, um zwischen dem Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung basierend auf dem ersten und dem zweiten SGM umzuschalten, und in einer normalen Betriebsart ein Bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingabesignal an dem ersten Signalleistungspegel verwendet werden soll, basierend auf dem optimalen Schwellenwert, umfassen kann.
  • In Beispiel 1132 weist der Gegenstand von Beispiel 1131 optional auf, dass das erste Eingabesignal wenigstens eines von einem Hochfrequenzeingabesignal, einem Zwischenfrequenzeingabesignal oder einem Basisbandsignal ist.
  • In Beispiel 1133 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1132 optional auf, dass der Schalter auf mehreren Eingabesignalen für einen gegebenen Eingaberahmen arbeitet.
  • In Beispiel 1134 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1133 optional auf, dass das SQM eine Fehlervektorgröße (EVM) ist.
  • In Beispiel 1135 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1134 optional auf, dass der optimale Schwellenwert in einer Nachschlagetabelle (LUT) gespeichert ist.
  • In Beispiel 1136 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1135 optional in der Dithering-Betriebsart ein Bestimmen und Speichern eines weiteren Bedingungswerts, der dem optimalen Schwellenwert zugeordnet ist, und in der normalen Betriebsart ein Bestimmen zusätzlich basierend auf dem weiteren Bedingungswert, ob die erste oder die zweite AGC-Verstärkungseinstellung verwendet werden soll, auf.
  • In Beispiel 1137 weist der Gegenstand von Beispiel 1136 optional auf, dass der weitere Bedingungswert wenigstens eines von einer Temperatur, einem Kanal, einer Arbeitsfrequenz oder einer Spannung ist.
  • In Beispiel 1138 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1137 optional ein Bestimmen mit einem Leistungspegeldetektor, der sich in einem Modem des Empfängers befindet, des Leistungspegels des Eingabesignals auf.
  • In Beispiel 1139 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1138 optional ein Versetzen der Vorrichtung in die Dithering-Betriebsart basierend auf einer vordefinierten Bedingung auf.
  • In Beispiel 1140 weist der Gegenstand von Beispiel 1139 optional auf, dass die vordefinierte Bedingung der Ablauf eines Zeitgebers ist.
  • In Beispiel 1141 weist der Gegenstand von Beispiel 1140 optional auf, dass das Bestimmen des optimalen Schwellenwerts eine Differenz zwischen dem ersten und dem zweiten SQM für den bestimmten Wert benutzt.
  • In Beispiel 1142 weist der Gegenstand von Beispiel 1141 optional auf, dass das Bestimmen des optimalen Schwellenwerts ferner gespeicherte Leistung-vs.-SQM-Kurvenformen für den bestimmten Wert benutzt.
  • In Beispiel 1143 weist der Gegenstand eines oder mehrerer der Beispiele 1131-1142 optional auf, dass der Empfänger ein Empfänger einer phasengesteuerten Gruppe ist.
  • Beispiel 1144 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung konfigurieren zum, in einer Dithering-Betriebsart, Empfangen eines ersten Eingangssignals an einem ersten Signalleistungspegel, separaten Anwenden unter Verwendung eines Schalters einer ersten und einer zweiten AGC-Verstärkungseinstellung auf das Eingabesignal und jeweiliges Messen eines ersten und eines zweiten Signalqualitätsmaßes (SQM) für die erste und die zweite AGC-Verstärkungseinstellung und Bestimmen und Speichern eines optimalen Schwellenwerts, der einen Leistungspegel repräsentiert, der verwendet wird, um zwischen dem Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung basierend auf dem ersten und dem zweiten SGM umzuschalten, und in einer normalen Betriebsart, Bestimmen basierend auf dem optimalen Schwellenwert, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingabesignal an dem ersten Signalleistungspegel verwendet werden soll.
  • In Beispiel 1145 weist der Gegenstand von Beispiel 1144 optional auf, dass das erste Eingabesignal wenigstens eines von einem Hochfrequenzeingabesignal, einem Zwischenfrequenzeingabesignal oder einem Basisbandsignal ist.
  • Beispiel 1146 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der vorstehenden Verfahrensbeispiele zu konfigurieren.
  • Beispiel 1147 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1131-1143 umfasst.
  • Beispiel 1148 ist eine Verstärkungssteuerungsvorrichtung für einen Empfänger, die Mittel zum, in einer Dithering-Betriebsart, Empfangen eines ersten Eingabesignals an einem ersten Signalleistungspegel, separaten Anwenden unter Verwendung eines Schalters einer ersten und einer zweiten AGC-Verstärkungseinstellung auf das Eingabesignal und jeweiligen Messen eines ersten und eines zweiten Signalqualitätsmaßes (SQM) für die erste und die zweite AGC-Verstärkungseinstellung und Bestimmen und Speichern eines optimalen Schwellenwerts, der einen Leistungspegel repräsentiert, der verwendet wird, um zwischen dem Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung basierend auf dem ersten und dem zweiten SQM umzuschalten, und Mittel zum, in einer normalen Betriebsart, Bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingabesignal an dem ersten Signalleistungspegel verwendet werden soll, basierend auf dem optimalen Schwellenwert, umfassen kann.
  • In Beispiel 1149 weist der Gegenstand von Beispiel 1148 optional auf, dass das erste Eingabesignal wenigstens eines von einem Hochfrequenzeingabesignal, einem Zwischenfrequenzeingabesignal oder einem Basisbandsignal ist.
  • In Beispiel 1150 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1149 optional auf, dass der Schalter auf mehreren Eingabesignalen für einen gegebenen Eingaberahmen arbeitet.
  • In Beispiel 1151 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1150 optional auf, dass das SQM eine Fehlervektorgröße (EVM) ist.
  • In Beispiel 1152 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1151 optional auf, dass der optimale Schwellenwert in einer Nachschlagetabelle (LUT) gespeichert ist.
  • In Beispiel 1153 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1152 optional Mittel zum, in der Dithering-Betriebsart, Bestimmen und Speichern eines weiteren Bedingungswerts, der dem optimalen Schwellenwert zugeordnet ist, und Mittel zum, in der normalen Betriebsart, Bestimmen zusätzlich basierend auf dem weiteren Bedingungswert, ob die erste oder die zweite AGC-Verstärkungseinstellung verwendet werden soll, auf.
  • In Beispiel 1154 weist der Gegenstand von Beispiel 1153 optional auf, dass der weitere Bedingungswert wenigstens eines von einer Temperatur, einem Kanal, einer Arbeitsfrequenz oder einer Spannung ist.
  • In Beispiel 1155 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1154 optional Mittel zum Bestimmen mit einem Leistungspegeldetektor, der sich in einem Modem des Empfängers befindet, des Leistungspegels des Eingabesignals auf.
  • In Beispiel 1156 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1155 optional Mittel zum Versetzen der Vorrichtung in die Dithering-Betriebsart basierend auf einer vordefinierten Bedingung auf.
  • In Beispiel 1157 weist der Gegenstand von Beispiel 1156 optional auf, dass die vordefinierte Bedingung der Ablauf eines Zeitgebers ist.
  • In Beispiel 1158 weist der Gegenstand von Beispiel 1157 optional auf, dass die Mittel zum Bestimmen des optimalen Schwellenwerts eine Differenz zwischen dem ersten und dem zweiten SQM für den bestimmten Wert benutzen.
  • In Beispiel 1159 weist der Gegenstand von Beispiel 1158 optional auf, dass die Mittel zum Bestimmen des optimalen Schwellenwerts ferner gespeicherte Leistung-vs.-SQM-Kurvenformen für den bestimmten Wert benutzen.
  • In Beispiel 1160 weist der Gegenstand eines oder mehrerer der Beispiele 1148-1159 optional auf, dass der Empfänger ein Empfänger einer phasengesteuerten Gruppe ist.
  • Beispiel 1161 ist ein Funk-Sendeempfänger einer phasengesteuerten Gruppe, der mehrere gekachelte und miteinander verbundene Sendeempfängerzellen umfassen kann, von denen jede einen Sender, einen Empfänger, einen digitalen Verarbeitungsblock, eine Eingabe-Ausgabe- und Phasenkombinierungseinheit, einen Multiplexer und Demultiplexer auf jedem von vier Zellenrändern zur Kommunikation mit benachbarten ähnlichen Zellen, einen Bus, der die Zellen miteinander verbindet und der ein Oszillatorsignal und Steuersignale zwischen den Zellen überträgt, umfassen kann.
  • In Beispiel 1162 weist der Gegenstand von Beispiel 1161 optional auf, dass wenigstens eines davon, dass der Sender mehrere Sender umfasst, oder dass der Empfänger mehrere Empfänger umfasst, wahr ist.
  • In Beispiel 1163 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1162 optional auf, dass der Bus ein analoger und digitaler Bus ist.
  • In Beispiel 1164 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1163 optional auf, dass eine Breite des Busses gleich einer Anzahl gleichzeitig unterstützbarer Benutzer ist.
  • In Beispiel 1165 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1164 optional auf, dass jede Zelle nur mit einem benachbarten Zellenelement auf jeder Seite ihrer Zellenränder direkt verbunden werden kann.
  • In Beispiel 1166 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1165 optional eine Antennengruppe auf, die mit einem Wafer kombiniert ist, der die mehreren gekachelten Sendeempfängerzellen umfasst.
  • In Beispiel 1167 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1166 optional auf, dass jede Zelle ferner ein selbstkonfigurierbares Element umfasst, dass es der Zelle ermöglicht, einen innerhalb der miteinander verbundenen Sendeempfängerzellen eindeutige Kennung für sich selbst zu erzeugen.
  • In Beispiel 1168 weist der Gegenstand von Beispiel 1167 optional auf, dass eine erste Zelle sich mit einem ersten Bezeichner selbst identifiziert, wenn ein vordefiniertes Kriterium erfüllt ist.
  • In Beispiel 1169 weist der Gegenstand von Beispiel 1168 optional auf, dass das vordefinierte Kriterium ist, dass die Zelle eine Eckzelle ist.
  • In Beispiel 1170 weist der Gegenstand von Beispiel 1169 optional auf, dass sich Zellen, die keine ersten Zellen sind, durch Empfangen von auf Bezeichner bezogenen Informationen von Nachbarzellen identifizieren und dann weitere auf Bezeichner bezogene Informationen zu einer weiteren Nachbarzelle senden.
  • In Beispiel 1171 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1170 optional auf, dass jede Zelle ferner eine Rückschleife umfasst, um eine durch die Zelle eingeführte Verzögerung zu messen und auszukalibrieren.
  • In Beispiel 1172 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1171 optional auf, dass jede Zelle in einer digitalen Betriebsart der phasengesteuerten Gruppe arbeiten kann und ferner ein kombinierendes Element umfasst, um ein digitalisiertes empfangenes Signal mit einem empfangenen Signal von einer Zelle, die eine unmittelbare Vorgängerzelle aufweist, wenn vorhanden, zu vektorsummieren.
  • In Beispiel 1173 weist der Gegenstand von Beispiel 1172 optional auf, dass die Vektorsumme zwischen jeder Zelle gepipelined ist.
  • In Beispiel 1174 weist der Gegenstand eines oder mehrerer der Beispiele 1172-1173 optional auf, dass jede Zelle k Busse enthält, um k Benutzer zu unterstützen.
  • In Beispiel 1175 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1174 optional auf, dass jede Zelle in einer Phasenkombinierungsbetriebsart eines lokalen Oszillators (LO) arbeiten kann, jede Zelle ihre Phasenverschiebung von einem zentralen Steuerpunkt empfängt, Mischerausgaben in einer analogen Domäne summiert werden und nur ein Analog-zu-Digital-Umsetzer (ADC) die summierten Mischerausgaben in ein digitales Signal umsetzt.
  • In Beispiel 1176 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1175 optional auf, dass jede Zelle in einer Hybridbetriebsart arbeiten kann, in der jede Reihe in einer Phasenverschiebung eines lokalen Oszillators gekachelt ist und einen einzelnen Analog-zu-Digital-Umsetzer gemeinsam verwendet.
  • In Beispiel 1177 weist der Gegenstand eines oder mehrerer der Beispiele 1161-1176 optional auf, dass jede Zelle in einer analogen Kombinierungsbetriebsart einer phasengesteuerten Gruppe arbeiten kann, in der eine erste komplexe Funktion auf ein empfangenes Eingabesignal durch die Zelle angewandt wird und ein Ergebnis mit einem weiteren Ergebnis einer zweiten komplexen Funktion, die auf eine empfangene Eingabe von einer weiteren Zelle angewandt wird, kombiniert wird.
  • Beispiel 1178 ist ein Verfahren zum Betreiben eines Funk-Sendeempfängers einer phasengesteuerten Gruppe, das ein Senden und Empfangen eines Signals mit mehreren gekachelten und miteinander verbundenen Sendeempfängerzellen, von denen jede einen Sender, einen Empfänger, einen digitalen Verarbeitungsblock, eine Eingabe-Ausgabe- und Phasenkombinierungseinheit, einen Multiplexer und Demultiplexer auf jedem von vier Zellenrändern zur Kommunikation mit benachbarten ähnlichen Zellen umfassen kann, und ein Kommunizieren zwischen den Zellen unter Verwendung eines Busses, der die Zellen miteinander verbindet und der ein Oszillatorsignal und Steuersignale zwischen den Zellen überträgt, umfassen kann.
  • In Beispiel 1179 weist der Gegenstand von Beispiel 1178 optional auf, dass wenigstens eines davon, dass der Sender mehrere Sender umfasst, oder dass der Empfänger mehrere Empfänger umfasst, wahr ist.
  • In Beispiel 1180 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1179 optional auf, dass der Bus ein analoger und digitaler Bus ist.
  • In Beispiel 1181 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1180 optional auf, dass eine Breite des Busses gleich einer Anzahl gleichzeitig unterstützbarer Benutzer ist.
  • In Beispiel 1182 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1181 optional auf, dass jede Zelle nur mit einem benachbarten Zellenelement auf jeder Seite ihrer Zellenränder direkt verbunden werden kann.
  • In Beispiel 1183 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1182 optional eine Antennengruppe auf, die mit einem Wafer kombiniert ist, der die mehreren gekachelten Sendeempfängerzellen umfasst.
  • In Beispiel 1184 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1183 optional ein Erzeugen, für jede Zelle, eines innerhalb der zusammengeschalteten Sendeempfängerzellen eindeutigen Bezeichners für sich selbst auf.
  • In Beispiel 1185 weist der Gegenstand von Beispiel 1184 optional auf, dass eine erste Zelle sich mit einem ersten Bezeichner selbst identifiziert, wenn ein vordefiniertes Kriterium erfüllt ist.
  • In Beispiel 1186 weist der Gegenstand von Beispiel 1185 optional auf, dass das vordefinierte Kriterium ist, dass die Zelle eine Eckzelle ist.
  • In Beispiel 1187 weist der Gegenstand von Beispiel 1186 optional ein Identifizieren durch Zellen, die keine ersten Zellen sind, ihrer selbst durch Empfangen von auf Bezeichner bezogenen Informationen von Nachbarzellen und dann ein Senden weiterer auf Bezeichner bezogene Informationen zu einer weiteren Nachbarzelle auf.
  • In Beispiel 1188 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1187 optional auf, dass jede Zelle ferner eine Rückschleife umfasst, um durch die Zelle eingeführte Verzögerung zu messen und auszukalibrieren.
  • In Beispiel 1189 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1188 optional ein Vektorsummieren, in einer digitalen Betriebsart der phasengesteuerten Gruppe, eines digitalisierten empfangenen Signals von einer Zelle, die eine unmittelbare Vorgängerzelle aufweist, wenn vorhanden, auf.
  • In Beispiel 1190 weist der Gegenstand von Beispiel 1189 optional auf, dass die Vektorsumme zwischen jeder Zelle gepipelined ist.
  • In Beispiel 1191 weist der Gegenstand eines oder mehrerer der Beispiele 1189-1190 optional auf, dass jede Zelle k Busse enthält, um k Benutzer zu unterstützen.
  • In Beispiel 1192 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1191 optional auf, dass jede Zelle in einer Phasenkombinierungsbetriebsart eines lokalen Oszillators (LO) arbeiten kann, jede Zelle ihre Phasenverschiebung von einem zentralen Steuerpunkt empfängt, Mischerausgaben in einer analogen Domäne summiert werden und nur ein Analog-zu-Digital-Umsetzer (ADC) die summierten Mischerausgaben in ein digitales Signal umsetzt.
  • In Beispiel 1193 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1192 optional auf, dass jede Zelle in einer Hybridbetriebsart arbeiten kann, in der jede Reihe in einer Phasenverschiebung eines lokalen Oszillators gekachelt ist und einen einzelnen Analog-zu-Digital-Umsetzer gemeinsam verwendet.
  • In Beispiel 1194 weist der Gegenstand eines oder mehrerer der Beispiele 1178-1193 optional auf, dass jede Zelle in einer analogen Kombinierungsbetriebsart einer phasengesteuerten Gruppe arbeiten kann, in der eine erste komplexe Funktion auf ein empfangenes Eingabesignal durch die Zelle angewandt wird und ein Ergebnis mit einem weiteren Ergebnis einer zweiten komplexen Funktion, die auf eine empfangene Eingabe von einer weiteren Zelle angewandt wird, kombiniert wird.
  • Beispiel 1195 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Senden und Empfangen eines Signals mit mehreren gekachelten und miteinander verbundenen Sendeempfängerzellen, von denen jede einen Sender, einen Empfänger, einen digitalen Verarbeitungsblock, eine Eingabe-Ausgabe- und Phasenkombinierungseinheit, einen Multiplexer und Demultiplexer auf jedem der vier Zellenränder, zur Kommunikation mit benachbarten ähnlichen Zellen umfassen kann, und Kommunizieren zwischen den Zellen unter Verwendung eines Busses, der die Zellen miteinander verbindet und der ein Oszillatorsignal und Steuersignale zwischen den Zellen überträgt, zu konfigurieren.
  • In Beispiel 1196 weist der Gegenstand von Beispiel 1195 optional auf, dass jede Zelle nur mit einem benachbarten Zellenelement auf jeder Seite ihrer Zellenränder direkt verbunden werden kann.
  • Beispiel 1197 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der Verfahren der Beispiele 1178-1194 zu konfigurieren.
  • Beispiel 1198 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1178-1194 umfasst.
  • Beispiel 1199 ist ein Funk-Sendeempfänger einer phasengesteuerten Gruppe, der Mittel zum Senden und Empfangen eines Signals mit mehreren gekachelten und miteinander verbundenen Sendeempfängerzellen, von denen jede einen Sender, einen Empfänger, einen digitalen Verarbeitungsblock, eine Eingabe-Ausgabe- und Phasenkombinierungseinheit, einen Multiplexer und Demultiplexer auf jedem der vier Zellenränder, zur Kommunikation mit benachbarten ähnlichen Zellen umfassen kann, und Mittel zum Kommunizieren zwischen den Zellen unter Verwendung eines Busses, der die Zellen miteinander verbindet und der ein Oszillatorsignal und Steuersignale zwischen den Zellen überträgt, umfassen kann.
  • In Beispiel 1200 weist der Gegenstand von Beispiel 1199 optional auf, dass wenigstens eines davon, dass der Sender mehrere Sender umfasst, oder dass der Empfänger mehrere Empfänger umfasst, wahr ist.
  • In Beispiel 1201 weist der Gegenstand der Beispiele 1199-1200 optional auf, dass der Bus ein analoger und digitaler Bus ist.
  • In Beispiel 1202 weist der Gegenstand der Beispiele 1199-1201 optional auf, dass eine Breite des Busses gleich einer Anzahl gleichzeitig unterstützbarer Benutzer ist.
  • In Beispiel 1203 weist der Gegenstand der Beispiele 1199-1202 optional auf, dass jede Zelle nur mit einem benachbarten Zellenelement auf jeder Seite ihrer Zellenränder direkt verbunden werden kann.
  • In Beispiel 1204 weist der Gegenstand der Beispiele 1199-1203 optional ferner ein Umfassen einer Antennengruppe, die mit einem Wafer kombiniert ist, der die mehreren gekachelten Sender/Empfänger umfasst, auf.
  • In Beispiel 1205 weist der Gegenstand der Beispiele 1199-1204 optional ein Erzeugen für jede Zelle eines innerhalb der zusammengeschalteten Sendeempfängerzellen eindeutigen Bezeichners für sich selbst auf.
  • In Beispiel 1206 weist der Gegenstand von Beispiel 1205 optional auf, dass eine erste Zelle sich mit einem ersten Bezeichner selbst identifiziert, wenn ein vordefiniertes Kriterium erfüllt ist.
  • In Beispiel 1207 weist der Gegenstand von Beispiel 1206 optional auf, dass das vordefinierte Kriterium ist, dass die Zelle eine Eckzelle ist.
  • In Beispiel 1208 weist der Gegenstand von Beispiel 1207 optional ein Identifizieren durch Zellen, die keine ersten Zellen sind, ihrer selbst durch Empfangen von auf Bezeichner bezogenen Informationen von Nachbarzellen und dann Senden weiterer auf Bezeichner bezogene Informationen zu einer weiteren Nachbarzelle auf.
  • In Beispiel 1209 weist der Gegenstand von Beispiel 1208 optional auf, dass jede Zelle ferner eine Rückschleife umfasst, um durch die Zelle eingeführte Verzögerung zu messen und auszukalibrieren.
  • In Beispiel 1210 weist der Gegenstand von Beispiel 1209 optional ein Vektorsummieren, in einer digitalen Betriebsart der phasengesteuerten Gruppe, eines digitalisierten empfangenen Signals von einer Zelle, die eine unmittelbare Vorgängerzelle aufweist, wenn vorhanden, auf.
  • In Beispiel 1211 weist der Gegenstand von Beispiel 1210 optional auf, dass die Vektorsumme zwischen jeder Zelle gepipelined ist.
  • In Beispiel 1212 weist der Gegenstand eines oder mehrerer der Beispiele 1210-1211 optional auf, dass jede Zelle k Busse enthält, um k Benutzer zu unterstützen.
  • In Beispiel 1213 weist der Gegenstand von Beispiel 1212 optional auf, dass jede Zelle in einer Phasenkombinierungsbetriebsart eines lokalen Oszillators (LO) arbeiten kann, jede Zelle ihre Phasenverschiebung von einem zentralen Steuerpunkt empfängt, Mischerausgaben in einer analogen Domäne summiert werden und nur ein Analog-zu-Digital-Umsetzer (ADC) die summierten Mischerausgaben in ein digitales Signal umsetzt.
  • In Beispiel 1214 weist der Gegenstand von Beispiel 1213 optional auf, dass jede Zelle in einer Hybridbetriebsart arbeiten kann, in der jede Reihe in einer Phasenverschiebung eines lokalen Oszillators gekachelt ist und einen einzelnen Analog-zu-Digital-Umsetzer gemeinsam verwendet.
  • In Beispiel 1215 weist der Gegenstand von Beispiel 1214 optional auf, dass jede Zelle in einer analogen Kombinierungsbetriebsart einer phasengesteuerten Gruppe arbeiten kann, in der eine erste komplexe Funktion auf ein empfangenes Eingabesignal durch die Zelle angewandt wird und ein Ergebnis mit einem weiteren Ergebnis einer zweiten komplexen Funktion, die auf eine empfangene Eingabe von einer weiteren Zelle angewandt wird, kombiniert wird.
  • Beispiel 1216 ist eine injektionsverriegelte Modulationsschaltung für einen Sendeempfänger einer phasengesteuerten Gruppe, die einen Schwingkreis, der eine Induktivität, die mit einem kapazitiven Digital-zu-Analog-Umsetzer (CAP-DAC) verbunden ist, umfasst, wobei eine Schwingkreisfrequenz durch ein Dateneingabesignal modifizierbar ist, eine Injektionsschaltung, die eine Verriegelungsinjektionsfrequenz bereitstellt, um eine Ausgabefrequenz des Schwingkreises an einer ganzzahligen Unterschwingung N einer Ausgabeträgerfrequenz zu verriegeln, wobei diese Datenwerte des Dateneingabesignals eine Phase der verriegelten Schwingkreisausgabefrequenz um einen Betrag von ± 180°/N modifizieren, und einen Frequenzmultiplizierer, der eine Trägerfrequenz durch Multiplizieren der verriegelten Schwingkreisausgabefrequenz mit N erzeugt, umfassen kann.
  • In Beispiel 1217 weist der Gegenstand von Beispiel 1216 optional auf, dass die Injektionsschaltung ein Phasenregelkreis (PLL) ist.
  • In Beispiel 1218 weist der Gegenstand eines oder mehrerer der Beispiele 1216-1217 optional auf, dass die Verriegelungsinjektionsfrequenz eine zweite ganzzahlige Unterschwingung M der Schwingkreisfrequenz ist.
  • In Beispiel 1219 weist der Gegenstand von Beispiel 1218 optional auf, dass M=3 ist.
  • In Beispiel 1220 weist der Gegenstand eines oder mehrerer der Beispiele 1216-1219 optional auf, dass N=3 ist.
  • In Beispiel 1221 weist der Gegenstand eines oder mehrerer der Beispiele 1216-1220 optional auf, dass N=2 ist und die Schaltung ferner einen Gilbert-Quad/Polaritätsschalter umfasst, der zwischen dem Frequenzmultiplizierer und einer Antenne verbunden ist.
  • In Beispiel 1222 weist der Gegenstand eines oder mehrerer der Beispiele 1216-1221 optional einen digitalen Leistungsverstärker, der mit dem Frequenzmultiplizierer verbunden ist, und eine Antenne, die mit dem Leistungsverstärker verbunden ist, um ein drahtloses Signal zu senden, auf.
  • Beispiel 1223 ist ein Verfahren zum Betreiben einer injektionsverriegelten Modulationsschaltung für einen Sendeempfänger einer phasengesteuerten Gruppe, das ein Modifizieren einer Schwingkreisfrequenz eines Schwingkreises, der eine Induktivität, die mit einem kapazitiven Digital-zu-Analog-Umsetzer (CAP-DAC) verbunden ist, umfasst, durch ein Dateneingabesignal, ein Bereitstellen einer Verriegelungsinjektionsfrequenz durch eine Injektionsschaltung, um eine Ausgabefrequenz des Schwingkreises an einer ganzzahligen Unterschwingung N einer Ausgabeträgerfrequenz zu verriegeln, wobei diese Datenwerte des Dateneingabesignals eine Phase der verriegelten Schwingkreisausgabefrequenz um einen Betrag von ± 180°/N modifizieren, und ein Erzeugen, mit einem Frequenzmultiplizierer, einer Trägerfrequenz durch Multiplizieren der verriegelten Schwingkreisausgabefrequenz mit N, umfassen kann.
  • In Beispiel 1224 weist der Gegenstand von Beispiel 1223 optional auf, dass die Injektionsschaltung ein Phasenregelkreis (PLL) ist.
  • In Beispiel 1225 weist der Gegenstand eines oder mehrerer der Beispiele 1223-1224 optional auf, dass die Verriegelungsinjektionsfrequenz eine zweite ganzzahlige Unterschwingung M der Schwingkreisfrequenz ist.
  • In Beispiel 1226 weist der Gegenstand von Beispiel 1225 optional auf, dass M=3 ist.
  • In Beispiel 1227 weist der Gegenstand eines oder mehrerer der Beispiele 1223-1226 optional auf, dass N=3 ist.
  • In Beispiel 1228 weist der Gegenstand eines oder mehrerer der Beispiele 1223-1227 optional auf, dass N=2 ist und das Verfahren ferner das Betreiben eines Gilbert-Quad/Polaritätsschalters umfasst, der zwischen dem Frequenzmultiplizierer und einer Antenne verbunden ist.
  • In Beispiel 1229 weist der Gegenstand eines oder mehrerer der Beispiele 1223-1228 optional ein Senden eines drahtlosen Signals mit einer Antenne, die mit einem Leistungsverstärker verbunden ist, auf.
  • Beispiel 1230 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Modifizieren einer Schwingkreisfrequenz eines Schwingkreises, der eine Induktivität, die mit einem kapazitiven Digital-zu-Analog-Umsetzer (CAP-DAC) verbunden ist, umfasst, durch ein Dateneingabesignal, Bereitstellen einer Verriegelungsinjektionsfrequenz durch eine Injektionsschaltung, um eine Ausgabefrequenz des Schwingkreises an einer ganzzahligen Unterschwingung N einer Ausgabeträgerfrequenz zu verriegeln, wobei diese Datenwerte des Dateneingabesignals eine Phase der verriegelten Schwingkreisausgabefrequenz um einen Betrag von ± 180°/N modifizieren, und Erzeugen, mit einem Frequenzmultiplizierer, einer Trägerfrequenz durch Multiplizieren der verriegelten Schwingkreisausgabefrequenz mit N, zu konfigurieren.
  • In Beispiel 1231 weist der Gegenstand von Beispiel 1230 optional auf, dass die Injektionsschaltung ein Phasenregelkreis (PLL) ist.
  • Beispiel 1232 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der Verfahren der Beispiele 1223-1229 zu konfigurieren.
  • Beispiel 1233 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1223-1229 umfasst.
  • Beispiel 1234 ist eine injektionsverriegelte Modulationsschaltung für einen Sendeempfänger einer phasengesteuerten Gruppe, die Mittel zum Modifizieren einer Schwingkreisfrequenz eines Schwingkreises, der eine Induktivität, die mit einem kapazitiven Digital-zu-Analog-Umsetzer (CAP-DAC) verbunden ist, umfasst, durch ein Dateneingabesignal, Mittel zum Bereitstellen einer Verriegelungsinjektionsfrequenz durch eine Injektionsschaltung, um eine Ausgabefrequenz des Schwingkreises an einer ganzzahligen Unterschwingung N einer Ausgabeträgerfrequenz zu verriegeln, wobei diese Datenwerte des Dateneingabesignals eine Phase der verriegelten Schwingkreisausgabefrequenz um einen Betrag von ± 180°/N modifizieren, und Mittel zum Erzeugen, mit einem Frequenzmultiplizierer, einer Trägerfrequenz durch Multiplizieren der verriegelten Schwingkreisausgabefrequenz mit N, umfassen kann.
  • In Beispiel 1235 weist der Gegenstand von Beispiel 1234 optional auf, dass die Injektionsschaltung ein Phasenregelkreis (PLL) ist.
  • In Beispiel 1236 weist der Gegenstand eines oder mehrerer der Beispiele 1234-1235 optional auf, dass die Verriegelungsinjektionsfrequenz eine zweite ganzzahlige Unterschwingung M der Schwingkreisfrequenz ist.
  • In Beispiel 1237 weist der Gegenstand von Beispiel 1236 optional auf, dass M=3 ist.
  • In Beispiel 1238 weist der Gegenstand eines oder mehrerer der Beispiele 1234-1237 optional auf, dass N=3 ist.
  • In Beispiel 1239 weist der Gegenstand eines oder mehrerer der Beispiele 1234-1238 optional auf, dass N=2 ist und das Verfahren ferner Mittel zum Betreiben eines Gilbert-Quad/Polaritätsschalters, der zwischen dem Frequenzmultiplizierer und einer Antenne verbunden ist, umfasst.
  • In Beispiel 1240 weist der Gegenstand eines oder mehrerer der Beispiele 1234-1239 optional Mittel zum Senden eines drahtlosen Signals mit einer Antenne, die mit einem Leistungsverstärker verbunden ist, auf.
  • Beispiel 1241 ist eine Einrichtung zum Ausführen von Takt- und Datenwiederherstellung (CDR) für ein drahtloses Quadraturamplitudenmodulations- (QAM-) Signal in einem drahtlosen Empfänger, der In-Phase- (I-) und Quadratur- (Q-) Kanäle zum Verarbeiten von QAM-Signalen, die durch den Empfänger empfangen werden, eine Modentabelle in einem Speicher der Einrichtung, die mehrere Modenwerte mit Anpassungsangaben speichert, eine Modeneinheit, die einen Prozessor umfasst, zum Empfangen von Daten von den I- und Q-Kanälen, Lesen einer aktuellen Mode aus der Modentabelle und, abhängig von der aktuellen Mode, Anpassen einer aktuellen Abtastphase des Signals, die mit der Anpassungsangabe für die aktuelle Mode konsistent ist, umfassen kann.
  • In Beispiel 1242 weist der Gegenstand von Beispiel 1241 optional auf, dass die QAM wenigstens vier Werte unterstützt.
  • In Beispiel 1243 weist der Gegenstand von Beispiel 1242 optional 16-QAM auf.
  • In Beispiel 1244 weist der Gegenstand eines oder mehrerer der Beispiele 1241-1243 optional auf, dass die aktuelle Mode während des Betriebs dynamisch angepasst wird.
  • In Beispiel 1245 weist der Gegenstand eines oder mehrerer der Beispiele 1241-1244 optional auf, dass die Modentabelle Moden aufweist, die nur den I-Kanal oder nur den Q-Kanal berücksichtigen.
  • In Beispiel 1246 weist der Gegenstand von Beispiel 1245 optional auf, dass der Prozessor ferner konfiguriert ist, ein Kommunikationsproblem in entweder dem I-Kanal oder dem Q-Kanal zu detektieren und eine Mode für einen Kanal zu verwenden, in dem das Kommunikationsproblem nicht detektiert wird.
  • In Beispiel 1247 weist der Gegenstand eines oder mehrerer der Beispiele 1241-1246 optional auf, dass die Modentabelle Moden aufweist, die sowohl den I-Kanal als auch den Q-Kanal berücksichtigen.
  • In Beispiel 1248 weist der Gegenstand eines oder mehrerer der Beispiele 1241-1247 optional auf, dass die Modentabelle wenigstens acht Moden umfasst, die wie folgt definiert sind:
    Mode Früh aus Spät aus Abtastphasenentscheidung
    0 0 0 keine Entscheidung
    1 1 0 früh
    2 0 1 spät
    3 1 1 keine Entscheidung
    4 früh I spät I I umgehen
    5 früh Q spät Q Q umgehen
    6 früh I oder früh Q spät I oder spät Q I oder Q
    7 früh I und früh Q spät I und spät Q I und Q
  • In Beispiel 1249 weist der Gegenstand von Beispiel 1248 optional auf, dass die Zeitschätzeinheitbestimmung eine Funktion eines Vorzeichens eines empfangenen Datensymbols und eines Fehlerwerts ist.
  • In Beispiel 1250 weist der Gegenstand von Beispiel 1249 optional auf, dass die Zeitschätzeinheitbestimmung auf der folgenden Formel basiert: ZK = VORZEICHEN (DK) VORZEICHEN (DK-1) (EK - EK-1), ZK > 0 FRÜH, ZK = 0 HALTEN, ZK < 0 SPÄT.
  • In Beispiel 1251 weist der Gegenstand von Beispiel 1250 optional eine Schätzertabelle auf, die durch die Zeitschätzeinheit verwendet wird, die wenigstens vier Datenwerte umfasst, von denen jeder ein zugeordnetes Vorzeichen und einen Fehlerwert oberhalb und unterhalb des Datenwerts aufweist.
  • In Beispiel 1252 weist der Gegenstand von Beispiel 1251 optional auf, dass die Fehlerwerte oberhalb des höchsten Datenwerts und unterhalb des niedrigsten Datenwerts plus eins sind und alle anderen Fehlerwerte minus eins sind.
  • In Beispiel 1253 weist der Gegenstand von Beispiel 1252 optional 16-QAM auf.
  • In Beispiel 1254 weist der Gegenstand von Beispiel 1253 optional auf, dass die Schätztabelle Folgendes umfasst:
    DK Vorzeichen(DK) EK
    +3 +1 +1
    +1 -1
    +1 +1 -1
    -1 -1
    -1 -1 -1
    -1 -1
    -3 -1 -1
    -1 +1
    wobei ZK ein Zeitschätzerwert ist, DK ein aktueller Datenwert ist, DK-1 ein vorhergehender Datenwert ist, EK ein aktueller Fehlerwert ist und EK-1 ein vorhergehender Fehlerwert ist.
  • In Beispiel 1255 weist der Gegenstand eines oder mehrerer der Beispiele 1240-1254 optional eine Zeitschätzeinheit auf, die bestimmt, ob die Abtastphase auf einen früheren Punkt angepasst werden soll, an ihrem aktuellen Punkt gehalten werden soll oder auf einen späteren Punkt angepasst werden soll.
  • Beispiel 1256 ist eine Verfahrenseinrichtung zum Ausführen von Takt- und Datenwiederherstellung (CDR) für ein drahtloses Quadraturamplitudenmodulations- (QAM-) Signal in einem drahtlosen Empfänger, das ein Verarbeiten von In-Phase- (I-) und Quadratur- (Q-) Kanälen von QAM-Signalen, die durch den Empfänger empfangen werden, ein Speichern von mehreren Modenwerten in einem Modentabellenspeicher der Einrichtung mit Anpassungsangaben, ein Empfangen von Daten aus den I- und Q-Kanälen, Lesen einer aktuellen Mode aus der Modentabelle und, abhängig von der aktuellen Mode, ein Anpassen einer aktuellen Abtastphase des Signals konsistent mit der Anpassungsangabe für die aktuelle Mode umfassen kann.
  • In Beispiel 1257 weist der Gegenstand von Beispiel 1256 optional auf, dass die QAM wenigstens vier Werte unterstützt.
  • In Beispiel 1258 weist der Gegenstand von Beispiel 1257 optional 16-QAM auf.
  • In Beispiel 1259 weist der Gegenstand eines oder mehrerer der Beispiele 1256-1258 optional ein dynamisches Anpassen der aktuellen Mode während des Betriebs auf.
  • In Beispiel 1260 weist der Gegenstand eines oder mehrerer der Beispiele 1256-1259 optional auf, dass die Modentabelle Moden aufweist, die nur den I-Kanal oder nur den Q-Kanal berücksichtigen.
  • In Beispiel 1261 weist der Gegenstand von Beispiel 1260 optional Detektieren eines Kommunikationsproblems in entweder dem I-Kanal oder dem Q-Kanal und Benutzen einer Mode für einen Kanal, in dem das Kommunikationsproblem nicht detektiert wird, auf.
  • In Beispiel 1262 weist der Gegenstand eines oder mehrerer der Beispiele 1256-1261 optional auf, dass die Modentabelle Moden aufweist, die sowohl den I-Kanal als auch den Q-Kanal berücksichtigen.
  • In Beispiel 1263 weist der Gegenstand eines oder mehrerer der Beispiele 1256-1262 optional auf, dass die Modentabelle wenigstens acht Moden umfasst, die wie folgt definiert sind:
    Mode Früh aus Spät aus Abtastphasenentscheidung
    0 0 0 keine Entscheidung
    1 1 0 früh
    2 0 1 spät
    3 1 1 keine Entscheidung
    4 früh I spät I I umgehen
    5 früh Q spät Q Q umgehen
    6 früh I oder früh Q spät I oder spät Q I oder Q
    7 früh I und früh Q spät I und spät Q I und Q
  • In Beispiel 1264 weist der Gegenstand von Beispiel 1263 optional auf, dass die Zeitschätzeinheitbestimmung eine Funktion eines Vorzeichens eines empfangenen Datensymbols und eines Fehlerwerts ist.
  • In Beispiel 1265 weist der Gegenstand von Beispiel 1264 optional auf, dass die Zeitschätzeinheitbestimmung auf der folgenden Formel basiert: ZK = VORZEICHEN(DK) VORZEICHEN (DK-1) (EK - EK-1), ZK > 0 FRÜH, ZK = 0 HALTEN, ZK < 0 SPÄT.
  • In Beispiel 1266 weist der Gegenstand von Beispiel 1265 optional eine Schätzertabelle auf, die durch die Zeitschätzeinheit verwendet wird, wenigstens vier Datenwerte umfasst, von denen jeder ein zugeordnetes Vorzeichen und einen Fehlerwert oberhalb und unterhalb des Datenwerts aufweist.
  • In Beispiel 1267 weist der Gegenstand von Beispiel 1266 optional auf, dass die Fehlerwerte oberhalb des höchsten Datenwerts und unterhalb des niedrigsten Datenwerts plus eins sind und alle anderen Fehlerwerte minus eins sind.
  • In Beispiel 1268 weist der Gegenstand von Beispiel 1267 optional 16-QAM auf.
  • In Beispiel 1269 weist der Gegenstand von Beispiel 1268 optional auf, dass die Schätztabelle Folgendes umfasst:
    DK Vorzeichen EK
    (DK)
    +3 +1 +1
    +1 -1
    +1 +1 -1
    -1 -1
    -1 -1 -1
    -1 -1
    -3 -1 -1
    -1 +1
    wobei ZK ein Zeitschätzerwert ist, DK ein aktueller Datenwert ist, DK-1 ein vorhergehender Datenwert ist, EK ein aktueller Fehlerwert ist und EK-1 ein vorhergehender Fehlerwert ist.
  • In Beispiel 1270 weist der Gegenstand eines oder mehrerer der Beispiele 1256-1269 optional ein Bestimmen, mit einer Zeitschätzeinheit, ob die Abtastphase auf einen früheren Punkt angepasst werden soll, an ihrem aktuellen Punkt gehalten werden soll oder auf einen späteren Punkt angepasst werden soll, auf.
  • Beispiel 1271 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Verarbeiten von In-Phasen- (I-) und Quadratur- (Q-) Kanälen von QAM-Signalen, die durch den Empfänger empfangen werden, Speichern von mehreren Modenwerten in einem Modentabellenspeicher der Einrichtung mit Anpassungsangaben, Empfangen von Daten aus den I- und Q-Kanälen, Lesen einer aktuellen Mode aus der Modentabelle und Anpassen, abhängig von der aktuellen Mode, einer aktuellen Abtastphase des Signals konsistent mit der Anpassungsangabe für die aktuelle Mode, zu konfigurieren.
  • In Beispiel 1272 weist der Gegenstand von Beispiel 1271 optional auf, dass die QAM wenigstens vier Werte unterstützt.
  • Beispiel 1273 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der vorstehenden Verfahrensbeispiele zu konfigurieren.
  • Beispiel 1274 ist eine Einrichtung zum Ausführen von Takt- und Datenwiederherstellung (CDR) für ein drahtloses Quadraturamplitudenmodulations- (QAM-) Signal in einem drahtlosen Empfänger, das ein Verarbeiten von In-Phasen- (I-) und Quadratur- (Q-) Kanälen von QAM-Signalen, die durch den Empfänger empfangen werden, ein Speichern von mehreren Modenwerten in einem Modentabellenspeicher der Einrichtung mit Anpassungsangaben, ein Empfangen von Daten aus den I- und Q-Kanälen Lesen einer aktuellen Mode aus der Modentabelle und, abhängig von der aktuellen Mode, ein Anpassen einer aktuellen Abtastphase des Signals konsistent mit der Anpassungsangabe für die aktuelle Mode umfassen kann.
  • In Beispiel 1275 weist der Gegenstand von Beispiel 1274 optional auf, dass die QAM wenigstens vier Werte unterstützt.
  • In Beispiel 1276 weist der Gegenstand von Beispiel 1275 optional 16-QAM auf.
  • In Beispiel 1277 weist der Gegenstand eines oder mehrerer der Beispiele 1274-1276 optional ein dynamisches Anpassen der aktuellen Mode während des Betriebs auf.
  • In Beispiel 1278 weist der Gegenstand eines oder mehrerer der Beispiele 1274-1277 optional auf, dass die Modentabelle Moden aufweist, die nur den I-Kanal oder nur den Q-Kanal berücksichtigen.
  • In Beispiel 1279 weist der Gegenstand von Beispiel 1278 optional ein Detektieren eines Kommunikationsproblems in entweder dem I-Kanal oder dem Q-Kanal und Benutzen einer Mode für einen Kanal, in dem das Kommunikationsproblem nicht detektiert wird, auf.
  • In Beispiel 1280 weist der Gegenstand eines oder mehrerer der Beispiele 1274-1279 optional auf, dass die Modentabelle Moden aufweist, die sowohl den I-Kanal als auch den Q-Kanal berücksichtigen.
  • In Beispiel 1281 weist der Gegenstand eines oder mehrerer der Beispiele 1274-1280 optional auf, dass die Modentabelle wenigstens acht Moden umfasst, die wie folgt definiert sind:
    Mode Früh aus Spät aus Abtastphasenentscheidung
    0 0 0 keine Entscheidung
    1 1 0 früh
    2 0 1 spät
    3 1 1 keine Entscheidung
    4 früh I spät I I umgehen
    5 früh Q spät Q Q umgehen
    6 früh I oder früh Q spät I oder spät Q Q
    7 früh I und früh Q spät I und spät Q I und Q
  • In Beispiel 1282 weist der Gegenstand von Beispiel 1281 optional auf, dass die Zeitschätzeinheitbestimmung eine Funktion eines Vorzeichens eines empfangenen Datensymbols und eines Fehlerwerts ist.
  • In Beispiel 1283 weist der Gegenstand von Beispiel 1282 optional auf, dass die Zeitschätzeinheitbestimmung auf der folgenden Formel basiert: ZK = VORZEICHEN(DK) VORZEICHEN(DK-1) (EK - EK-1), ZK > 0 FRÜH, ZK = 0 HALTEN, ZK < 0 SPÄT.
  • In Beispiel 1284 weist der Gegenstand von Beispiel 1283 optional eine Schätzertabelle auf, die durch die Zeitschätzeinheit verwendet wird, wenigstens vier Datenwerte aufweist, von denen jeder ein zugeordnetes Vorzeichen und einen Fehlerwert oberhalb und unterhalb des Datenwerts aufweist.
  • In Beispiel 1285 weist der Gegenstand von Beispiel 1284 optional auf, dass die Fehlerwerte oberhalb des höchsten Datenwerts und unterhalb des niedrigsten Datenwerts plus eins sind und alle anderen Fehlerwerte minus eins sind.
  • In Beispiel 1286 weist der Gegenstand von Beispiel 1285 optional -QAM auf.
  • In Beispiel 1287 weist der Gegenstand von Beispiel 1286 optional auf, dass die Schätztabelle Folgendes umfasst:
    DK Vorzeichen(DK) EK
    +3 +1 +1
    +1 -1
    +1 +1 -1
    -1 -1
    -1 -1 -1
    -1 -1
    -3 -1 -1
    -1 +1
    wobei ZK ein Zeitschätzerwert ist, DK ein aktueller Datenwert ist, DK-1 ein vorhergehender Datenwert ist, EK ein aktueller Fehlerwert ist und EK-1 ein vorhergehender Fehlerwert ist.
  • In Beispiel 1288 weist der Gegenstand eines oder mehrerer der Beispiele 1274-1287 optional ein Bestimmen, mit einer Zeitschätzeinheit, ob die Abtastphase auf einen früheren Punkt angepasst werden soll, an ihrem aktuellen Punkt gehalten werden soll oder auf einen späteren Punkt angepasst werden soll, auf.
  • Beispiel 1289 ist eine Schaltung zur automatischen Verstärkungssteuerung (AGC) für einen Hochfrequenz- (RF-) Empfänger, die einen Prozessor und einen Speicher umfasst, wobei der Prozessor zum Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal, Zuweisen der quantisierten Signale zu Gebieten einer Konstellationskarte, die aus In-Phasen- (I-) / Quadratur- (Q-) Quantisierungs-Bins aufgebaut ist, gemäß ihrem quantisierten Leistungspegel, Bestimmen eines maximale Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen, Schätzen einer Leistung basierend auf dem MLE und Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung dient.
  • In Beispiel 1290 weist der Gegenstand von Beispiel 1289 optional auf, dass der MLE berechnet ist mit der Gleichung P ^ = a r g m a x P 1 N i = 1 2 b 2 ( 2 b 1 + 1 ) n r i log ( P ( r i | P ) )
    Figure DE112017006442T5_0188
    wobei nr i die Anzahl von Proben aus N quantisiert im Bereich ri, b = log2 (2n) Bits in jeder von den I/Q-Komponenten eines empfangenen Signals ist und P die mittlere Empfangssignalleistung ist, die berechnet ist als: P = E { | h | 2 } 1 M m = 1 M | x m | 2 .
    Figure DE112017006442T5_0189
  • In Beispiel 1291 weist der Gegenstand von Beispiel 1290 optional auf, dass die Leistung durch Lösen der folgenden Gleichung geschätzt wird: i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( P ( r i | P ) ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( n r i N )
    Figure DE112017006442T5_0190
  • In Beispiel 1292 weist der Gegenstand eines oder mehrerer der Beispiele 1289-1291 optional auf, dass die quantisierten Signale Signale von einem Analog-zu-Digital-Umsetzer (ADC) mit geringer Auflösung sind.
  • In Beispiel 1293 weist der Gegenstand von Beispiel 1292 optional auf, dass der ADC mit geringer Auflösung drei oder weniger Bits erzeugt.
  • In Beispiel 1294 weist der Gegenstand eines oder mehrerer der Beispiele 1289-1293 optional auf, dass der Prozessor ferner dazu dient, alle Abtastpunkte von allen ADCs zusammen zu nutzen, um eine Latenzreduktion zu ermöglichen.
  • In Beispiel 1295 weist der Gegenstand eines oder mehrerer der Beispiele 1289-1294 optional auf, dass der Prozessor ferner dient zum Auswählen von Bereichen, die monoton ansteigende oder abfallende bedingte Verteilungen P(ri|P), aufweisen, Wählen einer Menge von Bereichen aus den ausgewählten Bereichen, so dass r i = a r g max r i | d P ( r i | P ) d P | ,
    Figure DE112017006442T5_0191
    über P von Interesse ist, und Lösen eines Optimierungsproblems min P i s t e p   2 | P ( r i | P ) n r i N |
    Figure DE112017006442T5_0192
  • In Beispiel 1296 weist der Gegenstand eines oder mehrerer der Beispiele 1289-1295 optional auf, dass der Prozessor ferner zum Konstruieren einer Nachschlagetabelle (LUT) für die geschätzte Leistung zum Gebrauch für nachfolgende Leistungsschätzungen dient.
  • In Beispiel 1297 weist der Gegenstand eines oder mehrerer der Beispiele 1289-1296 optional auf, dass der Prozessor ferner zum Nutzen eines Dithering-Algorithmus dient, um eine beste Leistungsschätzungslösung für einen spezifizierten Signal-Rausch-Verhältnis- (SNR-) Wert zu bestimmen.
  • Beispiel 1298 ist eine Funkempfängervorrichtung, die quadraturmodulierte Hochfrequenz (RF-) Signale empfängt, die mehrere Kanäle umfassen können, wobei jeder Kanal eine Antenne, die die quadraturmodulierten RF-Signale empfängt, einen Mischer, der die quadraturmodulierten RF-Signale in ein Zwischenfrequenz- (IF-) Signal umsetzt, einen Verstärker mit variabler Verstärkung (VGA), der das IF-Signal empfängt, eine Abtast- und HalteSchaltung, die eine Ausgabe des VGA abtastet und ein abgetastetes Ausgabesignal bereitstellt, und einen Analog-zu-Digital-Umsetzer (ADC), der das abgetastete Ausgabesignal empfängt und es in ein digitales Signal quantisiert, einen Prozessor und einen Speicher umfassen kann, wobei der Prozessor zum Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal, Zuweisen der quantisierten Signale in Bereiche einer Konstellationskarte, die aus In-Phasen- (I-) / Quadratur- (Q-) Quantisierung-Bins besteht, gemäß ihrem quantisierten Leistungspegel, Bestimmen eines maximale Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen, Schätzen einer Leistung basierend auf dem MLE und Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung dient.
  • In Beispiel 1299 weist der Gegenstand von Beispiel 1298 optional auf, dass die ADCs ADCs mit geringer Auflösung sind, die drei oder weniger Bits erzeugen.
  • Beispiel 1300 ist ein Verfahren zur automatischen Verstärkungssteuerung (AGC) eines Hochfrequenz- (RF-) Empfängers, das ein Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal, ein Zuweisen der quantisierten Signale zu Gebieten einer Konstellationskarte, die aus In-Phasen- (I-) / Quadratur- (Q-) Quantisierungs-Bins besteht, gemäß ihrem quantisierten Leistungspegel, ein Bestimmen eines maximale Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen, ein Schätzen einer Leistung basierend auf dem MLE und ein Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung umfassen kann.
  • In Beispiel 1301 weist der Gegenstand von Beispiel 1300 optional auf, dass der MLE berechnet wird mit der Gleichung P ^ = a r g m a x P 1 N i = 1 2 b 2 ( 2 b 1 + 1 ) n r i log ( P ( r i | P ) )
    Figure DE112017006442T5_0193
    wobei nr i die Anzahhl von Abtastpunkten aus N quantisiert im Bereich ri, b = log2 (2n) Bits in jeder der I/Q-Komponenten eines empfangenen Signals ist und P die mittlere Empfangssignalleistung ist, die berechnet ist als: P = E { | h | 2 } 1 M m = 1 M | x m | 2 .
    Figure DE112017006442T5_0194
  • In Beispiel 1302 weist der Gegenstand von Beispiel 1301 optional auf, dass die Leistung durch Lösen der folgenden Gleichung geschätzt wird: i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( P ( r i | P ) ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( n r i N )
    Figure DE112017006442T5_0195
  • In Beispiel 1303 weist der Gegenstand eines oder mehrerer der Beispiele 1330-1302 optional auf, dass die quantisierten Signale Signale von einem Analog-zu-Digital-Umsetzer (ADC) mit geringer Auflösung sind.
  • In Beispiel 1304 weist der Gegenstand von Beispiel 1303 optional auf, dass der ADC mit geringer Auflösung drei oder weniger Bits erzeugt.
  • In Beispiel 1305 weist der Gegenstand eines oder mehrerer der Beispiele 1300-1304 optional ein gemeinsames Nutzen aller Abtastpunkte von allen ADCs, um eine Latenzreduktion zu ermöglichen, auf.
  • In Beispiel 1306 weist der Gegenstand eines oder mehrerer der Beispiele 1300-1305 optional ein Auswählen von Bereichen, die monoton ansteigende oder abfallende bedingte Verteilungen P(ri|P) aufweisen, Wählen einer Menge von Bereichen aus den ausgewählten Bereichen, so dass r i = a r g max r i | d P ( r i | P ) d P | ,
    Figure DE112017006442T5_0196
    über P von Interesse ist, und ein Lösen eines Optimierungsproblems min P i s t e p   2 | P ( r i | P ) n r i N |
    Figure DE112017006442T5_0197
    auf.
  • In Beispiel 1307 weist der Gegenstand eines oder mehrerer der Beispiele 1300-1306 optional ein Konstruieren einer Nachschlagetabelle (LUT) für eine geschätzte Leistung zum Gebrauch für nachfolgende Leistungsschätzungen auf.
  • In Beispiel 1308 weist der Gegenstand eines oder mehrerer der Beispiele 1300-1307 optional ein Nutzen eines Dithering-Algorithmus zum Bestimmen einer Lösung für eine beste Leistungsschätzung für einen spezifizierten Signal-Rausch-Verhältnis- (SNR-) Wert auf.
  • Beispiel 1309 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, zum Konfigurieren der Vorrichtung zum Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal, Zuweisen der quantisierten Signale zu Gebieten einer Konstellationskarte, die aus In-Phasen- (I-) / Quadratur- (Q-) Quantisierungs-Bins besteht, gemäß ihrem quantisierten Leistungspegel, Bestimmen eines maximale Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen, Schätzen einer Leistung basierend auf dem MLE und zum Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung.
  • In Beispiel 1310 weist der Gegenstand von Beispiel 1309 optional auf, dass der MLE berechnet wird mit der Gleichung P ^ = a r g m a x P 1 N i = 1 2 b 2 ( 2 b 1 + 1 ) n r i log ( P ( r i | P ) )
    Figure DE112017006442T5_0198
    wobei nr i die Anzahl von Proben aus N quantisiert im Bereich ri, b = log2 (2n) Bits in jeder von den I/Q-Komponenten eines empfangenen Signals ist und P die mittlere Empfangssignalleistung ist, die berechnet ist als: P = E { | h | 2 } 1 M m = 1 M | x m | 2
    Figure DE112017006442T5_0199
  • Ein weiteres Beispiel ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der Verfahren der Beispiele 1300-1308 zu konfigurieren.
  • Beispiel 1311 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1300-1308 umfasst.
  • Beispiel 1312 ist eine Schaltung zur automatischen Verstärkungssteuerung (AGC) eines Hochfrequenz- (RF-) Empfängers, die Mittel zum Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal, Mittel zum Zuweisen der quantisierten Signale zu Gebieten einer Konstellationskarte, die aus In-Phasen- (I-) / Quadratur- (Q-) Quantisierungs-Bins besteht, gemäß ihrem quantisierten Leistungspegel, Mittel zum Bestimmen eines maximale Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen, Mittel zum Schätzen einer Leistung basierend auf dem MLE und Mittel zum Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung umfassen kann.
  • In Beispiel 1313 weist der Gegenstand von Beispiel 1312 optional Mittel zum Berechnen des MLE mit der Gleichung P ^ = a r g m a x P 1 N i = 1 2 b 2 ( 2 b 1 + 1 ) n r i log ( P ( r i | P ) )
    Figure DE112017006442T5_0200
    auf, wobei nr i die Anzahhl von Abtastpunkten aus N quantisiert im Bereich ri, b = log2(2n) Bits in jeder der I/Q-Komponenten eines empfangenen Signals ist und P die mittlere Empfangssignalleistung ist, die berechnet ist als: P = E { | h | 2 } 1 M m = 1 M | x m | 2
    Figure DE112017006442T5_0201
  • In Beispiel 1314 weist der Gegenstand von Beispiel 1313 optional Mittel auf zum Lösen der Leistungsschätzungsgleichung i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( P ( r i | P ) ) i = 1 2 b 2 ( 2 b 1 + 1 ) n r i N log ( n r i N )
    Figure DE112017006442T5_0202
  • In Beispiel 1315 weist der Gegenstand eines oder mehrerer der Beispiele 1312-1314 optional auf, dass die quantisierten Signale Signale von einem Analog-zu-Digital-Umsetzer (ADC) mit geringer Auflösung sind.
  • In Beispiel 1316 weist der Gegenstand von Beispiel 1315 optional auf, dass der ADC mit geringer Auflösung drei oder weniger Bits erzeugt.
  • In Beispiel 1317 weist der Gegenstand eines oder mehrerer der Beispiele 1312-1316 optional Mittel zum gemeinsamen Nutzen aller Abtastpunkte von allen ADCs, um eine Latenzreduktion zu ermöglichen, auf.
  • In Beispiel 1318 weist der Gegenstand eines oder mehrerer der Beispiele 1312-1317 optional Mittel zum Auswählen von Bereichen, die monoton ansteigende oder abfallende bedingte Verteilungen P (ri|P) aufweisen, Mittel zum Wählen einer Menge von Bereichen aus den ausgewählten Bereichen, so dass r i = a r g max r i | d P ( r i | P ) d P | ,
    Figure DE112017006442T5_0203
    über P von Interesse ist, und Mittel zum Lösen eines Optimierungsproblems min P i s t e p   2 | P ( r i | P ) n r i N |
    Figure DE112017006442T5_0204
    auf.
  • In Beispiel 1319 weist der Gegenstand eines oder mehrerer der Beispiele 1312-1318 optional Mittel zum Konstruieren einer Nachschlagetabelle (LUT) für eine geschätzte Leistung zum Gebrauch für nachfolgende Leistungsschätzungen auf.
  • In Beispiel 1320 weist der Gegenstand eines oder mehrerer der Beispiele 1312-1319 optional Mittel zum Nutzen eines Dithering-Algorithmus zum Bestimmen einer Lösung für eine beste Leistungsschätzung für einen spezifizierten Signal-Rausch-Verhältnis- (SNR-) Wert auf.
  • Beispiel 1321 ist eine Vorrichtung zum Steuern einer Antennengruppe in einem Sendeempfänger einer phasengesteuerten Antennengruppe, der mehrere Sendeempfängerscheiben umfassen kann, von denen jede ein Antennenelement, das einen Teil einer Antennengruppe der Vorrichtung bildet, einen Sende- und Empfangsschalter, der zwischen einer Sendebetriebsart (TM) und einer Empfangsbetriebsart (RM) schaltbar ist, einen Empfangspfad, der einen variablen rauscharmen Verstärker und einen Phasenschieber umfasst, wobei der Empfangspfad mit dem Antennenelement in der RM verbunden sein kann, und einen Sendepfad, der einen Verstärker mit variabler Leistung und einen Phasenschieber umfasst, wobei der Sendepfad mit der Antenne in der TM verbunden sein kann, eine Verstärkungstabelle, die Verstärkungsanpassungswerte beinhaltet, die auf eine Anzahl von oder eine Konfiguration aktiver Antennenelemente abbilden, einen Prozessor zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain und in der RM Ausführen automatischer Verstärkungssteuerung unter Verwendung der Verstärkungstabelle, und Bestimmen, wenn ein Störer vorhanden ist, und wenn er vorhanden ist, Konfigurieren der Verstärkungstabelle für eine schmalere Bandbreiteneinstellung der Antennengruppe und Zurückkehren zum Ausführen der automatischen Verstärkungssteuerung, und wenn er nicht vorhanden ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen minimaler Strom-Drain der Antennengruppe, umfassen kann.
  • In Beispiel 1322 weist der Gegenstand von Beispiel 1321 optional auf, dass der Prozessor ferner dazu dient, in der TM eine Leistungssteuerung unter Verwendung der Verstärkungstabelle auszuführen, zu bestimmen, ob Koexistenz mit anderen Signalen oder Störung von anderen Signalen vorhanden ist, wobei, wenn Koexistenz oder Störung vorhanden ist, der Prozessor ferner dazu dient, die Verstärkungstabelle für engere Strahlweiteneinstellungen der Antennengruppe zu konfigurieren und zum Ausführen der Leistungssteuerung zurückzukehren, und wenn Koexistenz und Störung nicht vorhanden sind, der Prozessor ferner dazu dient zu bestimmen, wenn eine Netzanforderung für eine engere Strahlweite vorhanden ist, wobei, wenn die Netzanforderung wahr ist, der Prozessor ferner dazu dient, zum Konfigurieren der Verstärkungstabelle für engere Strahlweiteneinstellungen der Antennengruppe zurückzukehren, und wenn die Netzanforderung nicht wahr ist, der Prozessor ferner dazu dient, zu dem Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe zurückzukehren.
  • In Beispiel 1323 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1322 optional auf, dass der Empfangspfad mit einem Kombinierer verbunden werden kann und der Sendepfad mit einem Splitter verbunden werden kann.
  • In Beispiel 1324 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1323 optional auf, dass der Prozessor ferner dazu dient zu bestimmen, ob ein empfangener Signalwert einen vordefinierten Wert übersteigt, und, wenn es wahr ist, der Prozessor ferner dazu dient, zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe zurückzukehren.
  • In Beispiel 1325 weist der Gegenstand von Beispiel 1324 optional auf, dass der empfangene Signalwert ein Empfangssignalstärkenindikator (RSSI) ist.
  • In Beispiel 1326 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1325 optional auf, dass die Bestimmung davon, wenn eine Störung vorhanden ist, durch den Prozessor vorgenommen wird, um eine Breitband- und Schmalbanddetektion auszuführen und die entsprechenden Ergebnisse zu vergleichen.
  • In Beispiel 1327 weist der Gegenstand eines oder mehrerer der Beispiele 1322-1326 optional auf, dass der Prozessor ferner konfiguriert ist zu bestimmen, ob eine Benutzernähebedingung erfüllt ist, und wenn die Bedingung erfüllt ist, zum Konfigurieren der Verstärkungstabelle für eine Einstellung für engere Strahlweite der Antennengruppe zurückzukehren.
  • In Beispiel 1328 weist der Gegenstand von Beispiel 1327 optional auf, dass die Nähebedingung ist, dass die Richtung der Kommunikationen von dem Benutzer weg ist.
  • In Beispiel 1329 weist der Gegenstand von Beispiel 1328 optional auf, dass die Nähebedingung ferner einen Abstand des Benutzers von der Vorrichtung aufweist.
  • In Beispiel 1330 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1329 optional auf, dass der Prozessor ferner konfiguriert ist, eine Geschwindigkeit der Vorrichtung relativ zu einer weiteren Vorrichtung, mit der sie kommuniziert, zu bestimmen, und wenn die Geschwindigkeit unterhalb eines vordefinierten Schwellenwerts ist, zum Konfigurieren der Verstärkungstabelle für eine Einstellung mit engerer Strahlweite der Antennengruppe zurückzukehren.
  • In Beispiel 1331 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1330 optional auf, dass die Vorrichtung eine Basisstation in einem zellularen Telefonnetz ist.
  • In Beispiel 1332 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1331 optional eine ungerichtete Antenne auf, die einen Teil der Antennengruppe bildet.
  • In Beispiel 1333 weist der Gegenstand eines oder mehrerer der Beispiele 1321-1332 optional auf, dass die Antennenelemente in einer rechteckigen Konfiguration angeordnet sind.
  • Beispiel 1334 ist ein Verfahren zum Steuern einer Antennengruppe in einem Sendeempfänger einer phasengesteuerten Gruppe, das ein Schalten eines Sende- und Empfangsschalters, der zwischen einer Sendebetriebsart (TM) und einer Empfangsbetriebsart (RM) schaltbar ist, ein Verstärken und Phasenverschieben eines Signals in einem Empfangspfad mit einem variablen rauscharmen Verstärker und Phasenschieber, wobei der Empfangspfad mit dem Antennenelement in der RM verbunden werden kann, und, ein Verstärken und Phasenverschieben eines Signals in einem Sendepfad, der einen Verstärker mit variabler Leistung und einen Phasenschieber umfasst, wobei der Sendepfad in der TM mit der Antenne verbunden werden kann, ein Speichern von Verstärkungsanpassungswerten in einer Verstärkungstabelle, die auf eine Anzahl oder Konfiguration von aktiven Antennenelementen abbildet, ein Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe, und, in der RM, ein Ausführen von automatischer Verstärkungssteuerung unter Verwendung der Verstärkungstabelle und ein Bestimmen, wann ein Störer vorhanden ist, und wenn er vorhanden ist, ein Konfigurieren der Verstärkungstabelle für eine engere Strahlweiteneinstellung der Antennengruppe und ein Zurückkehren zum Ausführen der automatischen Verstärkungssteuerung, wenn er nicht vorhanden ist, ein Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe umfassen kann.
  • In Beispiel 1335 weist der Gegenstand von Beispiel 1334 optional auf, dass der Prozessor ferner dient zum Ausführen, in der TM, einer Leistungssteuerung unter Verwendung der Verstärkungstabelle, Bestimmen, ob Koexistenz mit anderen Signalen oder Störung von anderen Signalen vorhanden ist, wenn Koexistenz oder Störung vorhanden ist, Konfigurieren der Verstärkungstabelle für Einstellungen mit engerer Strahlweite der Antennengruppe und Zurückkehren zum Ausführen der Leistungssteuerung, wenn Koexistenz und Störung nicht vorhanden sind, Bestimmen, wenn eine Netzanforderung für eine engere Strahlweite vorhanden ist, wenn die Netzanforderung wahr ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit engerer Strahlweite der Antennengruppe, und wenn die Netzanforderung nicht wahr ist, zum Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe.
  • In Beispiel 1336 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1335 optional auf, dass der Empfangspfad mit einem Kombinierer verbunden werden kann und der Sendepfad mit einem Splitter verbunden werden kann.
  • In Beispiel 1337 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1336 optional ein Bestimmen, ob ein empfangener Signalwert einen vordefinierten Wert übersteigt, und wenn das wahr ist, ein Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe auf.
  • In Beispiel 1338 weist der Gegenstand von Beispiel 1337 optional auf, dass der empfangene Signalwert ein Empfangssignalstärkenindikator (RSSI) ist.
  • In Beispiel 1339 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1338 optional auf, dass die Bestimmung davon, wann eine Störung vorhanden ist, durch den Prozessor vorgenommen wird, um eine Breitband- und Schmalbanddetektion auszuführen und die entsprechenden Ergebnisse zu vergleichen.
  • In Beispiel 1340 weist der Gegenstand eines oder mehrerer der Beispiele 1335-1339 optional ein Bestimmen, ob einer Benutzernähebedingung erfüllt ist, und wenn die Bedingung erfüllt ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für eine Einstellungen mit engerer Strahlweite der Antennengruppe auf.
  • In Beispiel 1341 weist der Gegenstand von Beispiel 1340 optional auf, dass die Nähebedingung ist, dass die Richtung der Kommunikationen von dem Benutzer weg ist.
  • In Beispiel 1342 weist der Gegenstand von Beispiel 1341 optional auf, dass die Nähebedingung ferner einen Abstand des Benutzers von der Vorrichtung aufweist.
  • In Beispiel 1343 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1342 optional ein Bestimmen einer Geschwindigkeit der Vorrichtung relativ zu einer weiteren Vorrichtung, mit der sie kommuniziert, und wenn die Geschwindigkeit unterhalb einer vordefinierten Schwellenwerts ist, ein Zurückkehren zum Konfigurieren der Verstärkungstabelle für eine Einstellung mit engerer Strahlweite der Antennengruppe auf.
  • In Beispiel 1344 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1343 optional auf, dass die Vorrichtung eine Basisstation in einem zellularen Telefonnetz ist.
  • In Beispiel 1345 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1344 optional eine ungerichtete Antenne auf, die einen Teil der Antennengruppe bildet.
  • In Beispiel 1346 weist der Gegenstand eines oder mehrerer der Beispiele 1334-1345 optional auf, dass die Antennenelemente in einer rechteckigen Konfiguration angeordnet sind.
  • Beispiel 1347 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zu konfigurieren zum Schalten eines Sende- und Empfangsschalters, der zwischen einer Sendebetriebsart (TM) und einer Empfangsbetriebsart (RM) schaltbar ist, Verstärken und Phasenverschieben eines Signals in einem Empfangspfad mit einem variablen rauscharmen Verstärker und Phasenschieber, wobei der Empfangspfad mit dem Antennenelement in der RM verbunden werden kann, und Verstärken und Phasenverschieben eines Signals in einem Sendepfad, der einen Verstärker mit variabler Leistung und Phasenschieber umfasst, wobei der Sendepfad in der TM mit der Antenne verbunden werden kann, Speichern von Verstärkungsanpassungswerten in einer Verstärkungstabelle, die auf eine Anzahl oder Konfiguration von aktiven Antennenelementen abbildet, Konfigurieren der Verstärkungstabelle für eine Einstellung mit minimalem Strom-Drain der Antennengruppe und in der RM Ausführen von automatischer Verstärkungssteuerung unter Verwendung der Verstärkungstabelle und Bestimmen, wann ein Störer vorhanden ist, und wenn er vorhanden ist, Konfigurieren der Verstärkungstabelle für eine engere Strahlweiteneinstellung der Antennengruppe und Zurückkehren zum Ausführen der automatischen Verstärkungssteuerung, wenn er nicht vorhanden ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe.
  • In Beispiel 1348 weist der Gegenstand von Beispiel 1347 optional auf, dass die Anweisungen ferner dazu dienen, zum Ausführen, in der TM, einer Leistungssteuerung unter Verwendung der Verstärkungstabelle, Bestimmen, ob Koexistenz mit anderen Signalen oder Störung von anderen Signalen vorhanden ist, wenn Koexistenz oder Störung vorhanden ist, Konfigurieren der Verstärkungstabelle für Einstellungen mit engerer Strahlweite der Antennengruppe und Zurückkehren zum Ausführen der Leistungssteuerung, wenn Koexistenz und Störung nicht vorhanden sind, Bestimmen, wenn eine Netzanforderung für eine engere Strahlweite vorhanden ist, wenn die Netzanforderung wahr ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit engerer Strahlweite der Antennengruppe, und wenn die Netzanforderung nicht wahr ist, Zurückkehren zum Konfigurieren der Verstärkungstabelle für Einstellungen mit minimalem Strom-Drain der Antennengruppe.
  • Beispiel 1349 ist ein Computerprogrammprodukt, das ein oder mehrere computerlesbare Speichermedien umfasst, die computerausführbare Anweisungen umfassen, die dazu dienen, um dann, wenn sie durch eine Verarbeitungsschaltung einer Vorrichtung ausgeführt werden, die Vorrichtung zum Ausführen eines der Verfahren der Verfahren der Beispiele 1334-1346 zu konfigurieren.
  • Beispiel 1350 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1334-1346 umfasst.
  • Beispiel 1351 ist eine Digital-zu-Analog-Schaltungsvorrichtung, die eine erste Komponente, die eine Stromquelle und wenigstens zwei schaltbare Pfade für die Stromquelle zu Drain umfassen kann, dass eine Spannungsreferenz an einem Spannungsreferenzpunkt, der den Pfaden zugeordnet ist, von einer Anzahl der angeschalteten Pfade abhängig ist, und eine zweite Komponente umfassen kann, die wenigstens zwei schaltbare Pfade umfasst, dass eine Ausgabe, die der zweiten Komponente zugeordnet ist, von einer zweiten Anzahl von angeschalteten Pfaden und dem Spannungsreferenzpunkt abhängig ist, dass der Spannungsreferenzpunkt die erste Komponente und die zweite Komponente verbindet.
  • In Beispiel 1352 weist der Gegenstand von Beispiel 1351 optional auf, dass die ersten Komponentenpfade jeweils einen Transistor umfassen, dessen Gate mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1353 weist der Gegenstand von Beispiel 1352 optional auf, dass die ersten Komponentenpfade jeweils einen zweiten Transistor als einen Schalter aufweisen, der in Reihe mit dem Transistor zwischen der Stromquelle und dem Transistor verbunden ist.
  • In Beispiel 1354 weist der Gegenstand eines oder mehrerer der Beispiele 1351-1353 optional auf, dass der Spannungsreferenzpunkt einen Schalter umfasst, der die erste Komponente schaltbar mit der zweiten Komponente verbindet.
  • In Beispiel 1355 weist der Gegenstand eines oder mehrerer der Beispiele 1351-1354 optional auf, dass jeder Pfad der zweiten Komponente einen Transistor umfasst, der ein Gate aufweist, das mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1356 weist der Gegenstand von Beispiel 1355 optional auf, dass jeder Pfad der zweiten Komponente ferner einen zweiten Transistor in Reihe mit dem Ausgang und dem Transistor, der als ein Schalter agiert, um in den Pfad einzugreifen, umfasst.
  • In Beispiel 1357 weist der Gegenstand eines oder mehrerer der Beispiele 1351-1356 optional auf, dass eine Spannung an dem Spannungsreferenzpunkt V/N ist, wobei N die Anzahl von Pfaden in der ersten Komponente ist und V eine Spannung an dem Referenzpunkt ist, wenn nur ein Pfad aktiv ist.
  • Beispiel 1358 ist ein Verfahren zum Betreiben einer Digital-zu-Analog-Schaltungsvorrichtung, das in einer ersten Komponente Bereitstellen von wenigstens zwei schaltbaren Pfaden, in denen Strom von einer Stromquelle über die wenigstens zwei schaltbaren Pfade fließt, um eine Referenzspannung an einem Referenzspannungspunkt, die von einer Anzahl der angeschalteten Pfade abhängt, aufzubauen, und in einer zweiten Komponente Bereitstellen von wenigstens zwei schaltbaren Pfaden, wobei eine Ausgabe, die der zweiten Komponente zugeordnet ist, von einer zweiten Anzahl von angeschalteten Pfaden und dem Spannungsreferenzpunkt abhängig ist, wobei der Spannungsreferenzpunkt die erste Komponente mit der zweiten Komponente verbindet, umfassen kann.
  • In Beispiel 1359 weist der Gegenstand von Beispiel 1358 optional auf, dass die ersten Komponentenpfade jeweils einen Transistor umfassen, dessen Gate mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1360 weist der Gegenstand von Beispiel 1359 optional auf, dass die ersten Komponentenpfade jeweils einen zweiten Transistor als einen Schalter aufweisen, der in Reihe mit dem Transistor zwischen der Stromquelle und dem Transistor verbunden ist.
  • In Beispiel 1361 weist der Gegenstand eines oder mehrerer der Beispiele 1358-1360 optional auf, dass der Spannungsreferenzpunkt einen Schalter umfasst, der die erste Komponente schaltbar mit der zweiten Komponente verbindet.
  • In Beispiel 1362 weist der Gegenstand eines oder mehrerer der Beispiele 1358-1361 optional auf, dass jeder Pfad der zweiten Komponente einen Transistor umfasst, der ein Gate aufweist, das mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1363 weist der Gegenstand von Beispiel 1362 optional auf, dass jeder Pfad der zweiten Komponente ferner einen zweiten Transistor in Reihe zwischen dem Ausgang und dem Transistor, der als ein Schalter agiert, um in den Pfad einzugreifen, umfasst.
  • In Beispiel 1364 weist der Gegenstand eines oder mehrerer der Beispiele 1358-1363 optional auf, dass eine Spannung an dem Spannungsreferenzpunkt V/N ist, wobei N die Anzahl von Pfaden in der ersten Komponente ist und V eine Spannung an dem Referenzpunkt ist, wenn nur ein Pfad aktiv ist.
  • Beispiel 1365 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1358-1364 umfasst.
  • Beispiel 1366 ist ein Verfahren zum Betreiben einer Digital-zu-Analog-Schaltungsvorrichtung, das ein Bereitstellen, in einer ersten Komponente, von wenigstens zwei schaltbaren Pfaden, Mittel zum Fließen von Strom von einer Stromquelle über die wenigstens zwei schaltbaren Pfade, um eine Referenzspannung an einem Referenzspannungspunkt aufzubauen, die von einer Anzahl der angeschalteten Pfade abhängt, und in einer zweiten Komponente ein Bereitstellen von wenigstens zwei schaltbaren Pfaden, wobei eine Ausgabe, die der zweiten Komponente zugeordnet ist, von einer zweiten Anzahl von angeschalteten Pfaden und dem Spannungsreferenzpunkt abhängig ist, wobei der Spannungsreferenzpunkt die erste Komponente mit der zweiten Komponente verbindet, umfassen kann.
  • In Beispiel 1367 weist der Gegenstand von Beispiel 1366 optional auf, dass die ersten Komponentenpfade jeweils einen Transistor umfassen, dessen Gate mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1368 weist der Gegenstand von Beispiel 1367 optional auf, dass die ersten Komponentenpfade jeweils einen zweiten Transistor als einen Schalter, der in Reihe mit dem Transistor zwischen der Stromquelle und dem Transistor verbunden ist, aufweisen.
  • In Beispiel 1369 weist der Gegenstand eines oder mehrerer der Beispiele 1366-1368 optional auf, dass der Spannungsreferenzpunkt ein Mittel umfasst, das die erste Komponente schaltbar mit der zweiten Komponente verbindet.
  • In Beispiel 1370 weist der Gegenstand eines oder mehrerer der Beispiele 1366-1369 optional auf, dass jeder Pfad der zweiten Komponente einen Transistor umfasst, der ein Gate aufweist, das mit dem Spannungsreferenzpunkt verbunden ist.
  • In Beispiel 1371 weist der Gegenstand von Beispiel 1370 optional auf, dass jeder Pfad der zweiten Komponente ferner einen zweiten Transistor in Reihe zwischen dem Ausgang und den Transistor, der als ein Mittel agiert, um in den Pfad einzugreifen, umfasst.
  • In Beispiel 1372 weist der Gegenstand eines oder mehrerer der Beispiele 1366-1371 optional auf, dass eine Spannung an dem Spannungsreferenzpunkt V/N ist, wobei N die Anzahl von Pfaden in der ersten Komponente ist und V eine Spannung an dem Referenzpunkt ist, wenn nur ein Pfad aktiv ist.
  • Beispiel 1373 ist eine Mischsignal-Feedforward-Rückkopplungs-Polarisator-Entzerrer- (MSFFPE-) Vorrichtung für eine Hochfrequenz-Empfängervorrichtung, die Eingänge, die mit einer In-Phasen- (I-) Signalleitung und einer Quadratur- (Q-) Signalleitung auf einer digitalen Seite des Empfängers verbunden werden können, Filter und Verarbeitungselemente, die auf Eingabesignalen an den Eingängen arbeiten, und Ausgänge, die mit einer I-Signalleitung und einer Q-Signalleitung auf einer analogen Seite des Empfängers verbunden werden können, umfassen kann.
  • In Beispiel 1374 weist der Gegenstand von Beispiel 1373 optional auf, dass die I- und Q-Signalleitungen auf der digitalen Seite und der analogen Seite des Empfängers jeweils eine vertikale Komponente VI und VQ und eine horizontale Komponente HI und HQ umfassen.
  • In Beispiel 1375 weist der Gegenstand von Beispiel 1374 optional auf, dass die Filter- und Verarbeitungselemente eine Schaltung umfassen, um Übersprechen zwischen den VI- und HI-Signalleitungen, zwischen den VI- und HQ-Signalleitungen, zwischen den VQ- und HI-Signalleitungen und zwischen den VQ- und HQ-Signalleitungen zu reduzieren.
  • In Beispiel 1376 weist der Gegenstand eines oder mehrerer der Beispiele 1373-1375 optional auf, dass die Filter- und Verarbeitungselemente eine Schaltung umfassen, um Übersprechen zwischen den I- und Q-Signalleitungen zu reduzieren.
  • In Beispiel 1377 weist der Gegenstand eines oder mehrerer der Beispiele 1373-1376 optional auf, dass die Filter- und Verarbeitungselemente eine digitale Verzögerungs- und Summierer-Schaltung umfassen.
  • In Beispiel 1378 weist der Gegenstand eines oder mehrerer der Beispiele 1373-1377 optional auf, dass die Filter- und Verarbeitungselemente rücksetzbare Kondensatoren umfassen, die eine Ausgabekondensatorspannung aufweisen, die durch ein Rücksetztaktsignal zurückgesetzt werden kann.
  • In Beispiel 1379 weist der Gegenstand von Beispiel 1378 optional auf, dass die Kondensatoren eine Ladung während einer Integrationstaktzeitspanne integrieren.
  • In Beispiel 1380 weist der Gegenstand eines oder mehrerer der Beispiele 1373-1379 optional auf, dass die Filter- und Verarbeitungselemente ferner einen Op-Amp umfassen, der eine Gleichtaktrückkopplung bereitstellt, die sich auf die Hochsetzvorrichtung zur Bandbreitenverbesserung und Versatzauslöschung bezieht.
  • In Beispiel 1381 weist der Gegenstand von Beispiel 1380 optional Rückkopplungs-Abzweigungen und einen Entscheidungs-Rückkopplungs-Entzerrer- (DFE-) Eingang auf.
  • Beispiel 1382 ist ein Verfahren zum Betreiben einer Mischsignal-Feedforward-Rückkopplungs-Polarisator-Entzerrer- (MSFFPE-) Vorrichtung für eine Hochfrequenz-Empfängervorrichtung, das Empfangen digitaler Signale für Eingänge, die mit einer In-Phasen- (I-) Signalleitung und einer Quadratur- (Q-) Signalleitung auf einer digitalen Seite des Empfängers verbunden werden kann, Filtern und Verarbeiten der empfangenen digitalen Signale mit Filter- und Verarbeitungselementen und Ausgeben analoger Signale an Ausgängen, die mit einer I-Signalleitung und einer Q-Signalleitung auf einer analogen Seite des Empfängers verbunden werden können, umfassen kann.
  • In Beispiel 1383 weist der Gegenstand von Beispiel 1382 optional auf, dass die I- und Q-Signalleitungen auf der digitalen Seite und der analogen Seite des Empfängers jeweils eine vertikale Komponente VI und VQ und eine horizontale Komponente HI und HQ umfassen.
  • In Beispiel 1384 weist der Gegenstand von Beispiel 1383 optional Benutzen der Filter- und Verarbeitungselemente, um ein Übersprechen zwischen den VI- und HI-Signalleitungen, zwischen den VI- und HQ-Signalleitungen, zwischen den VQ- und HI-Signalleitungen und zwischen den VQ- und HQ-Signalleitungen zu reduzieren, auf.
  • In Beispiel 1385 weist der Gegenstand eines oder mehrerer der Beispiele 1382-1384 optional ein Benutzen der Filter- und Verarbeitungselemente, um Übersprechen zwischen den I- und Q-Signalleitungen zu reduzieren, auf.
  • In Beispiel 1386 weist der Gegenstand eines oder mehrerer der Beispiele 1382-1385 optional auf, dass die Filter- und Verarbeitungselemente eine digitale Verzögerungs- und Summierer-Schaltung umfassen.
  • In Beispiel 1387 weist der Gegenstand eines oder mehrerer der Beispiele 1382-1386 optional auf, dass die Filter- und Verarbeitungselemente rücksetzbare Kondensatoren umfassen, die eine Ausgabekondensatorspannung aufweisen, die durch ein Rücksetztaktsignal zurückgesetzt werden kann.
  • In Beispiel 1388 weist der Gegenstand von Beispiel 1387 optional auf, dass die Kondensatoren Ladung während einer Integrationstaktzeitspanne integrieren.
  • In Beispiel 1389 weist der Gegenstand eines oder mehrerer der Beispiele 1382-1388 optional auf, dass die Filter- und Verarbeitungselemente ferner einen Op-Amp umfassen, der eine Gleichtaktrückkopplung bereitstellt, die sich auf die Hochsetzvorrichtung zur Bandbreitenverbesserung und Versatzauslöschung bezieht.
  • In Beispiel 1390 weist der Gegenstand von Beispiel 1389 optional Rückkopplungs-Abzweigungen und einen Entscheidungs-Rückkopplungs-Entzerrer- (DFE-) Eingang auf.
  • Beispiel 1391 ist ein System, das Mittel zum Ausführen eines der Verfahren der Beispiele 1382-1390 umfasst.
  • Beispiel 1392 ist eine Vorrichtung zum Betreiben einer Mischsignal-Feedforward-Rückkopplungs-Polarisator-Entzerrer- (MSFFPE-) Vorrichtung für eine Hochfrequenz-Empfängervorrichtung, die Mittel zum Empfangen digitaler Signale für Eingänge, die mit einer In-Phasen- (I-) Signalleitung und einer Quadratur- (Q-) Signalleitung auf einer digitalen Seite des Empfängers verbunden werden kann, Mittel zum Filtern und Verarbeiten der empfangenen digitalen Signale mit Filter- und Verarbeitungselementen und Mittel zum Ausgeben analoger Signale an Ausgängen, die mit einer I-Signalleitung und einer Q-Signalleitung auf einer analogen Seite des Empfängers verbunden werden können, umfassen kann.
  • In Beispiel 1393 weist der Gegenstand von Beispiel 1392 optional auf, dass die I- und Q-Signalleitungen auf der digitalen Seite und der analogen Seite des Empfängers jeweils eine vertikale Komponente VI und VQ und eine horizontale Komponente HI und HQ umfassen.
  • In Beispiel 1394 weist der Gegenstand von Beispiel 1393 optional ein Benutzen der Filter- und Verarbeitungselemente, um Übersprechen zwischen den VI- und HI-Signalleitungen, zwischen den VI- und HQ-Signalleitungen, zwischen den VQ- und HI-Signalleitungen und zwischen den VQ- und HQ-Signalleitungen zu reduzieren, auf.
  • In Beispiel 1395 weist der Gegenstand eines oder mehrerer der Beispiele 1392-1394 optional ein Benutzen der Filter- und Verarbeitungselemente, um Übersprechen zwischen den I- und Q-Signalleitungen zu reduzieren, auf.
  • In Beispiel 1396 weist der Gegenstand eines oder mehrerer der Beispiele 1392-1395 optional auf, dass die Filter- und Verarbeitungselemente eine digitale Verzögerungs- und Summierer-Schaltung umfassen.
  • In Beispiel 1397 weist der Gegenstand eines oder mehrerer der Beispiele 1392-1396 optional auf, dass die Filter- und Verarbeitungselemente rücksetzbare Kondensatoren umfassen, die eine Ausgabekondensatorspannung aufweisen, die durch ein Rücksetztaktsignal zurückgesetzt werden kann.
  • In Beispiel 1398 weist der Gegenstand von Beispiel 1397 optional auf, dass die Kondensatoren Ladung während einer Integrationstaktzeitspanne integrieren.
  • In Beispiel 1399 weist der Gegenstand eines oder mehrerer der Beispiele 1392-1398 optional auf, dass die Filter- und Verarbeitungselemente ferner Mittel für Gleichtaktrückkopplung, die sich auf die Hochsetzvorrichtung zur Bandbreitenverbesserung und Versatzauslöschung bezieht, umfassen.
  • In Beispiel 1400 weist der Gegenstand von Beispiel 1399 optional Rückkopplungs-Abzweigungen und einen Entscheidungs-Rückkopplungs-Entzerrer- (DFE-) Eingang auf.
  • Beispiel 1401 weist eine Einrichtung auf, die einen bidirektionalen Verstärker umfasst, wobei der bidirektionale Verstärker einen ersten Verstärker zum Verstärken eines Sende- (Tx-) Signals, um ein verstärktes Tx-Signal in einer Tx-Betriebsart bereitzustellen; einen zweiten Verstärker zum Verstärken eines Empfangs- (Rx-) Signals, um ein verstärktes Rx-Signal in einer Rx-Betriebsart bereitzustellen; einen ersten Transformator, um das Tx-Signal von einem ersten Eingang/Ausgang für den ersten Verstärker in der Tx-Betriebsart bereitzustellen und das verstärkte Rx-Signal von dem zweiten Verstärker an dem ersten Eingang/Ausgang in der Rx-Betriebsart auszugeben; einen zweiten Transformator, um das Rx-Signal von einem zweiten Eingang/Ausgang für den zweiten Verstärker in der Rx-Betriebsart bereitzustellen und das verstärkte Tx-Signal von dem ersten Verstärker an dem zweiten Eingang/Ausgang in der Tx-Betriebsart auszugeben; und mehrere Schalter zum, in der Tx-Betriebsart, Schalten von mehreren Aktivierungsspannungen zu dem ersten Verstärker und von mehreren Deaktivierungsspannungen zu dem zweiten Verstärker, wobei die mehreren Schalter in der Rx-Betriebsart zum Schalten der mehreren Aktivierungsspannungen zu dem zweiten Verstärker und der mehrere Deaktivierungsspannungen zu dem ersten Verstärker ausgelegt sind, umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1401 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Splitter/Kombinierer, einen bidirektionalen Mischer, PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie nachstehend mit Bezug auf die Beispiele 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1402 weist den Gegenstand von Beispiel 1401 auf und optional, dass die mehreren Aktivierungsspannungen eine Drain-Spannung umfassen, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll.
  • Beispiel 1403 weist den Gegenstand von Beispiel 1402 auf und optional, dass die mehreren Deaktivierungsspannungen eine Source-Spannung umfassen, die an den wenigstens einen Drain des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll und an den wenigstens einen Drain des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll.
  • Beispiel 1404 weist den Gegenstand von Beispiel 1402 oder 1403 auf und optional, dass die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und einer Source-Spannung in der Rx-Betriebsart; und einen zweiten Schalter zum Schalten des wenigstens einen Drain des ersten Verstärkers zwischen der Source-Spannung in der Tx-Betriebsart und der Drain-Spannung in der Rx-Betriebsart umfassen.
  • Beispiel 1405 weist den Gegenstand eines der Beispiele 1402-1404 auf und optional, dass der bidirektionale Verstärker einen ersten Kondensator zum Bereitstellen des Tx-Signals von dem ersten Transformator für einen ersten Eingang des ersten Verstärkers; einen zweiten Kondensator zum Bereitstellen des Tx-Signals von dem ersten Transformator für einen zweiten Eingang des ersten Verstärkers; einen dritten Kondensator zum Bereitstellen des Rx-Signals von dem zweiten Transformator für einen ersten Eingang des zweiten Verstärkers; und einen vierten Kondensator zum Bereitstellen des Rx-Signals von dem zweiten Transformator für einen zweiten Eingang des zweiten Verstärkers umfasst.
  • Beispiel 1406 weist den Gegenstand eines der Beispiele 1402-1405 auf und optional, dass wenigstens ein Verstärker von dem ersten und dem zweiten Verstärker einen negativen Metalloxidhalbleiter- (NMOS-) Feldeffekttransistor (FET) mit gemeinsamer Source umfasst.
  • Beispiel 1407 weist den Gegenstand von Beispiel 1401 auf und optional, dass die mehreren Aktivierungsspannungen eine Drain-Spannung, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll; eine Bias-Spannung, die an wenigstens ein Gate des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens ein Gate des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll; und eine Source-Spannung, die an wenigstens eine Source des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens eine Source des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, umfassen.
  • Beispiel 1408 weist den Gegenstand von Beispiel 1407 auf und optional, dass die mehreren Deaktivierungsspannungen die Drain-Spannung, die an das wenigstens eine Gate des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll und an das wenigstens eine Gate des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll; und die Bias-Spannung, die an die wenigstens eine Source des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll, und an die wenigstens eine Source des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll, umfassen.
  • Beispiel 1409 weist den Gegenstand von Beispiel 1407 oder 1408 auf und optional, dass die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und der Bias-Spannung in der Rx-Betriebsart; einen zweiten Schalter zum Schalten der wenigstens einen Source des ersten Verstärkers zwischen der Bias-Spannung in der Tx-Betriebsart und der Source-Spannung in der Rx-Betriebsart; einen dritten Schalter zum Schalten der wenigstens einen Source des zweiten Verstärkers zwischen der Source-Spannung in der Tx-Betriebsart und der Bias-Spannung in der Rx-Betriebsart; und einen vierten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen der Bias-Spannung in der Tx-Betriebsart und der Drain-Spannung in der RX-Betriebsart umfassen.
  • Beispiel 1410 weist den Gegenstand von Beispiel 1401 auf und optional, dass die mehreren Aktivierungsspannungen eine Drain-Spannung, die an wenigstens eine Source des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens einen Drain des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll; eine Source-Spannung, die an wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll und an wenigstens eine Source des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll; eine erste Bias-Spannung, die an wenigstens ein Gate des ersten Verstärkers in der Tx-Betriebsart angelegt werden soll; und eine zweite Bias-Spannung, die an wenigstens ein Gate des zweiten Verstärkers in der Rx-Betriebsart angelegt werden soll, umfassen.
  • Beispiel 1411 weist den Gegenstand von Beispiel 1410 auf und optional, dass die mehreren Deaktivierungsspannungen die erste Bias-Spannung, die an den wenigstens einen Drain des zweiten Verstärkers und an die wenigstens eine Source des zweiten Verstärkers in der Tx-Betriebsart angelegt werden soll; und die zweite Bias-Spannung, die an den wenigstens einen Drain des ersten Verstärkers in der Tx-Betriebsart und an die wenigstens eine Source des ersten Verstärkers in der Rx-Betriebsart angelegt werden soll, umfassen.
  • Beispiel 1412 weist den Gegenstand eines der Beispiele 1410 oder 1411 auf und optional, dass die mehreren Schalter einen ersten Schalter zum Schalten des wenigstens einen Drain des zweiten Verstärkers und des wenigstens einen Gate des ersten Verstärkers zwischen einer Source-Spannung in der Tx-Betriebsart und der zweiten Bias-Spannung in der Rx-Betriebsart; einen zweiten Schalter zum Schalten der wenigstens einen Source des ersten Verstärkers zwischen der ersten Bias-Spannung in der Tx-Betriebsart und der Source-Spannung in der Rx-Betriebsart; einen dritten Schalter zum Schalten der wenigstens einen Source des zweiten Verstärkers zwischen der Drain-Spannung in der Tx-Betriebsart und der zweiten Bias-Spannung in der Rx-Betriebsart; und einen vierten Schalter zum Schalten des wenigstens einen Drain des ersten Verstärkers und des wenigstens einen Gate des zweiten Verstärkers zwischen der ersten Bias-Spannung in der Tx-Betriebsart und der Drain-Spannung in der Rx-Betriebsart umfassen.
  • Beispiel 1413 weist den Gegenstand eines der Beispiele 1410-1412 auf und optional, dass der erste Verstärker einen oder mehrere positive Metalloxidhalbleiter- (PMOS-) FETs umfasst und der zweite Verstärker einen oder mehrere negative Metalloxidhalbleiter- (NMOS-) FET umfasst.
  • Beispiel 1414 weist den Gegenstand eines der Beispiele 1401-1413 auf, der eine Steuerschaltung umfasst, um mehrere Steuersignale bereitzustellen, um die mehreren Schalter zwischen dem ersten Verstärker und dem zweiten Verstärker basierend auf der Tx-Betriebsart oder der Rx-Betriebsart steuerbar zu schalten.
  • 1415 weist den Gegenstand eines der Beispiele 1401-1414 auf und optional, dass der erste Verstärker einen Leistungsverstärker (PA) umfasst und der zweite Verstärker einen rauscharmen Verstärker (LNA) umfasst.
  • 1416 weist den Gegenstand eines der Beispiele 1401-1415 auf und optional, dass der erste Verstärker ein erstes Paar von Feldeffekttransistoren (FET-Paar) mit gemeinsamer Source umfasst und der zweite Verstärker ein zweites Paar von Feldeffekttransistoren (FET-Paar) mit gemeinsamer Source umfasst.
  • Beispiel 1417 weist den Gegenstand eines der Beispiele 1401-1416 auf, der einen Sendeempfänger umfasst, der konfiguriert ist, das Tx-Signal zu senden und das Rx-Signal zu empfangen.
  • Beispiel 1418 weist den Gegenstand von Beispiel 1417 auf und optional, dass der Sendeempfänger einen zellularen Sendeempfänger der fünften Generation (5G) umfasst.
  • Beispiel 1419 weist den Gegenstand von Beispiel 1417 auf und optional, dass der Sendeempfänger einen 60 Gigahertz- (GHz-) Sendeempfänger umfasst, der konfiguriert ist, über ein 60 GHz-Frequenzband das Tx-Signal zu senden und das Rx-Signal zu empfangen.
  • 1420 weist den Gegenstand eines der Beispiele 1417-1419 auf und optional, dass der Sendeempfänger einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1421 weist den Gegenstand eines der Beispiele 1401-1420 auf, der eine oder mehrere Phasengruppenantennen umfasst.
  • Beispiel 1422 weist eine Einrichtung auf, die einen aktiven bidirektionalen Splitter/Kombinierer (ABDSC), der zwischen einer Kombiniererbetriebsart und einer Splitterbetriebsart schaltbar ist, wobei der ABDSC mehrere Antennenschnittstellen zum Empfangen, in der Kombiniererbetriebsart, von mehreren Empfangs- (Rx-) Signalen von entsprechenden mehreren Antennenanschlüssen und zum Ausgeben, in der Splitterbetriebsart, von mehreren Sende- (Tx-) Signalen zu den entsprechenden mehreren Antennenanschlüssen umfasst; und einen Transformator zum betriebstechnischen Koppeln des ABDSC mit einer Verstärkungsschaltung umfasst, wobei der Transformator konfiguriert ist, in der Splitterbetriebsart ein Tx-Signal von der Verstärkungsschaltung zu den mehreren Antennenschnittstellen zu übertragen und in der Kombiniererbetriebsart die mehreren Rx-Signale in ein kombiniertes Rx-Signal zu kombinieren und das kombinierte Rx-Signal für die Verstärkungsschaltung bereitzustellen.
  • In einem Beispiel kann die Einrichtung von Beispiel 1422 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1440, 1454, 1465, 1476, 1487, 1501, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1423 weist den Gegenstand von Beispiel 1422 auf, der eine Steuereinheitschaltung umfasst, um den ABDSC zwischen der Splitterbetriebsart und der Kombiniererbetriebsart steuerbar zu schalten.
  • Beispiel 1424 weist den Gegenstand von Beispiel 1422 oder 1423 auf und optional, dass eine Antennenschnittstelle aus den mehreren Antennenschnittstellen ein erstes Transistorpaar in einer Kaskoden-Verbindung, die durch einen Transistor des ersten Transistorpaars in der Splitterbetriebsart aktiviert und in der Kombiniererbetriebsart deaktiviert werden soll; und ein zweites Transistorpaar in einer Kaskoden-Verbindung umfasst, die durch einen Transistor des zweiten Transistorpaars in der Kombiniererbetriebsart aktiviert und in der Splitterbetriebsart deaktiviert werden soll.
  • Beispiel 1425 weist den Gegenstand von Beispiel 1424 auf und optional, dass das erste Transistorpaar ein erstes Paar von Feldeffekttransistoren (FETs) umfasst und das zweite Transistorpaar ein zweites Paar von FETs umfasst.
  • Beispiel 1426 weist den Gegenstand von Beispiel 1422 oder 1423 auf und optional, dass eine Antennenschnittstelle aus den mehreren Antennenschnittstellen einen ersten Transistor, der eine Verbindung mit gemeinsamer Source aufweist, die in der Kombiniererbetriebsart aktiviert werden soll und in der Splitterbetriebsart deaktiviert werden soll; und einen zweiten Transistor, der eine Verbindung mit gemeinsamer Source aufweist, die in der Splitterbetriebsart aktiviert werden soll und in der Kombiniererbetriebsart deaktiviert werden soll, umfasst.
  • Beispiel 1427 weist den Gegenstand von Beispiel 1426 auf und optional, dass der erste Transistor und der zweite Transistor Feldeffekttransistoren (FETs) umfassen.
  • Beispiel 1428 weist den Gegenstand von Beispiel 1422 oder 1423 auf und optional, dass eine Antennenschnittstelle aus den mehreren Antennenschnittstellen einen Transistor umfasst, der eine Verbindung mit gemeinsamem Gate aufweist, um in der Splitterbetriebsart eine Source-Spannung (Vs) an einer Source des Transistors und eine Gate-Spannung (Vg) an einem Gate des Transistors aufzunehmen und in der Kombiniererbetriebsart eine Drain-Spannung (Vd) an der Source des Transistors und die Source-Spannung Vs an dem Gate des Transistors aufzunehmen.
  • Beispiel 1429 weist den Gegenstand von Beispiel 1428 auf und optional, dass der Transistor einen Feldeffekttransistor (FET) umfasst.
  • Beispiel 1430 weist den Gegenstand von Beispiel 1422 oder 1423 auf und optional, dass eine Antennenschnittstelle aus den mehreren Antennenschnittstellen einen ersten Transistor, der eine Verbindung mit gemeinsamem Gate aufweist, die in der Kombiniererbetriebsart aktiviert werden soll und in der Splitterbetriebsart deaktiviert werden soll; und einen zweiten Transistor, der eine Verbindung mit gemeinsamer Source aufweist, die in der Splitterbetriebsart aktiviert werden soll und in der Kombiniererbetriebsart deaktiviert werden soll, umfasst.
  • Beispiel 1431 weist den Gegenstand von Beispiel 1430 auf und optional, dass der erste Transistor und der zweite Transistor Feldeffekttransistoren (FETs) umfassen.
  • Beispiel 1432 weist den Gegenstand eines der Beispiele 1422-1431 auf und optional, dass die mehreren Antennenschnittstellen wenigstens vier Antennenschnittstellen umfassen.
  • Beispiel 1433 weist den Gegenstand eines der Beispiele 1422-1432 auf, der die Verstärkungsschaltung umfasst, wobei die Verstärkungsschaltung einen bidirektionalen Verstärker zum Verstärken des kombinierten Rx-Signals in ein verstärktes Rx-Signal und zum Erzeugen des Tx-Signals durch Verstärken eines aufwärtsumgesetzten Tx-Signals; einen Mischer zum Aufwärtsumsetzen eines Zwischenfrequenz- (IF-) Tx-Signals in das aufwärtsumgesetzte Tx-Signal und zum Abwärtsumsetzen des verstärkten Rx-Signals in ein IF-Rx-Signal; und ein IF-Teilsystem zum Erzeugen eines ersten digitalen Signals basierend auf dem IF-Rx-Signal und zum Erzeugen des IF-Tx-Signals basierend auf einem zweiten digitalen Signal umfasst.
  • Beispiel 1434 weist den Gegenstand von Beispiel 1433 auf, der eine Steuereinheitschaltung umfasst, um die ABDSC zwischen der Splitterbetriebsart und der Kombiniererbetriebsart steuerbar zu schalten, wobei die Steuereinheitschaltung zum Steuern, Veranlassen und/oder Triggern des bidirektionalen Verstärkers dient, das aufwärtsumgesetzte Tx-Signal zu verstärken, wenn der ABDSC in der Splitterbetriebsart ist, und zum Steuern, Veranlassen und/oder Triggern des bidirektionalen Verstärkers, das kombinierte Rx-Signal zu verstärken, wenn der ABDSC in der Kombiniererbetriebsart ist, dient.
  • Beispiel 1435 weist den Gegenstand eines der Beispiele 1422-1434 auf, der einen Sendeempfänger umfasst, der konfiguriert ist, die Tx-Signale zu senden und die Rx-Signale zu empfangen.
  • Beispiel 1436 weist den Gegenstand von Beispiel 35 auf und optional, dass der Sendeempfänger einen 60 Gigahertz- (GHz-) Sendeempfänger umfasst, der konfiguriert ist, über ein 60 GHz-Frequenzband die Tx-Signale zu senden und die Rx-Signale zu empfangen.
  • Beispiel 1437 weist den Gegenstand des Beispiels 1435 oder 1436 auf und optional, dass der Sendeempfänger einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1438 weist den Gegenstand eines der Beispiele 1435-1437 auf, der einen zellularen Sendeempfänger der fünften Generation (5G) umfasst.
  • Beispiel 1439 weist den Gegenstand eines der Beispiele 1422-1438 auf, der eine oder mehrere Phasengruppenantennen umfasst.
  • Beispiel 1440 weist eine Einrichtung auf, die einen digitalen Leistungsverstärker (PA) zum steuerbaren Verstärken und Modulieren eines Eingabesignals basierend auf einem digitalen Steuersignal, wobei der digitale PA mehrere durch gestapeltes Gate gesteuerte Verstärker umfasst, die durch das digitale Steuersignal gesteuert werden können, um mehrere verstärkte modulierte Signale bereitzustellen, wobei ein durch gestapeltes Gate gesteuerter Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern einen ersten Eingang zum Empfangen des Eingabesignals, einen zweiten Eingang zum Empfangen des digitalen Steuersignals und einen Ausgang zum Bereitstellen eines verstärkten modulierten Signals aus den mehreren verstärkten modulierten Signalen umfasst; und einen Kombinierer zum Kombinieren der mehreren verstärkten modulierten Signale in ein Kombiniererausgabesignal, das einen Ausgangsleistungspegel und eine Modulation aufweist, die auf dem digitalen Steuersignal basieren, umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1440 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1454, 1465, 1476, 1487, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1441 weist den Gegenstand eines Beispiels 1440 auf und optional, dass der durch gestapeltes Gate gesteuerte Verstärker einen ersten Transistor zum Bereitstellen des verstärkten modulierten Signals durch Verstärken und Modulieren des Eingabesignals basierend auf dem digitalen Steuersignal; und einen zweiten Transistor zum digitalen Steuern eines Gate des ersten Transistors basierend auf dem digitalen Steuersignal umfasst.
  • Beispiel 1442 weist den Gegenstand von Beispiel 1440 oder 1441 auf und optional, dass der zweite Transistor konfiguriert ist, den durch gestapeltes Gate gesteuerten Transistor zwischen einem Ein-Zustand und einem Aus-Zustand basierend auf einem Bit-Wert des digitalen Steuersignals zu schalten.
  • Beispiel 1443 weist den Gegenstand eines der Beispiele 1440-1442 auf und optional, dass der erste Transistor einen ersten Feldeffekttransistor (FET) umfasst und der zweite Transistor einen zweiten FET umfasst.
  • Beispiel 1444 weist den Gegenstand eines der Beispiele 1440-1443 auf und optional, dass der erste Transistor konfiguriert ist, das Eingabesignal basierend auf einem Bit des digitalen Steuersignals mit einem Faktor zwei zu verstärken.
  • Beispiel 1445 weist den Gegenstand eines der Beispiele 1440-1444 auf und optional, dass der digitale PA zum Modulieren des Eingabesignals basierend auf dem digitalen Steuersignal gemäß einem Modulationsschema dient.
  • Beispiel 1446 weist den Gegenstand eines der Beispiele 1440-1445 auf und optional, dass das Modulationsschema ein Quadraturamplitudenmodulations- (QAM-) Schema umfasst.
  • Beispiel 1447 weist den Gegenstand von Beispiel 1446 auf und optional, dass das QAM-Schema ein 64-QAM-Schema umfasst.
  • Beispiel 1448 weist den Gegenstand eines der Beispiele 1440-1447 auf und optional, dass das digitale Signal 6 Bits umfasst.
  • Beispiel 1449 weist den Gegenstand eines der Beispiele 1440-1448 auf und optional, dass die mehreren durch gestapeltes Gate gesteuerten Verstärker sechs durch gestapeltes Gate gesteuerte Verstärker umfassen.
  • Beispiel 1450 weist den Gegenstand eines der Beispiele 1440-1449 auf, der einen Phasenmodulator zum Bereitstellen des Eingabesignals für den digitalen PA basierend auf Phasendaten; und ein Basisband zum Bereitstellen des digitalen Steuersignals für den digitalen PA basierend auf den Phasendaten umfasst.
  • Beispiel 1451 weist den Gegenstand eines der Beispiele 1440-1450 auf, der einen Millimeterwellensender zum Senden des Kombiniererausgabesignals umfasst.
  • Beispiel 1452 weist den Gegenstand eines der Beispiele 1440-1451 auf, der eine oder mehrere Phasengruppenantennen umfasst, die mit dem digitalen PA betriebstechnisch gekoppelt sind.
  • Beispiel 1453 weist den Gegenstand eines der Beispiele 1440-1452 auf, der eine oder mehrere Antennen umfasst, die mit dem digitalen PA betriebstechnisch gekoppelt sind.
  • Beispiel 1454 weist eine Einrichtung auf, die einen Zweistufen-Doherty-Verstärker, wobei der Zweistufen-Doherty-Verstärker wenigstens einen Treiberverstärker zum Verstärken eines verstärkten Treibereingabesignals umfasst, um ein Treiber-Hochfrequenz- (RF-) Signal an einer ersten Stufe bereitzustellen; wenigstens einen Hauptverstärker zum Verstärken des Treiber-RF-Signals, um ein Hauptverstärkersignal an der zweiten Stufe bereitzustellen; wenigstens einen steuerbaren Spitzenverstärker, der basierend auf einem Pegel des Treiber-RF-Signals auf einen Ein-Zustand geschaltet werden soll und in dem Ein-Zustand zum Verstärken des Treiber-RF-Signals dient, um ein Spitzenverstärkersignal bereitzustellen; und ein Balun unterhalb der Viertelwellenlänge (SQWL-Balun) zum Kombinieren des Hauptverstärkersignals mit dem Spitzenverstärkersignal umfasst, wobei das SQWL-Balun eine erste Übertragungsleitung zum Anpassen einer Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Treiberverstärkers, wenigstens einem Eingang des wenigstens einen Hauptverstärkers und wenigstens eine Eingang des wenigstens einen steuerbaren Spitzenverstärkers umfasst, wobei das SQWL-Balun eine zweite Übertragungsleitung zum Anpassen einer Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Hauptverstärkers und wenigstens einem Ausgang des wenigstens einen steuerbaren Spitzenverstärkers umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1454 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1465, 1476, 1487, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1455 weist den Gegenstand von Beispiel 1454 auf und optional, dass das SQWL-Balun eine dritte Übertragungsleitung, die eine erste Impedanz aufweist, und mehrere Stichleitungen umfasst, wobei jede Stichleitung aus den mehreren Stichleitungen eine zweite Impedanz aufweist, wobei die mehreren Stichleitungen zum betriebstechnischen Koppeln wenigstens eines Eingangs des wenigstens einen Treiberverstärkers mit der dritten Übertragungsleitung, zum betriebstechnischen Koppeln des wenigstens einen Ausgangs des wenigstens einen Treiberverstärkers mit der ersten Übertragungsleitung, zum betriebstechnischen Koppeln des wenigstens einen Eingangs des wenigstens einen Hauptverstärkers mit der ersten Übertragungsleitung, zum betriebstechnischen Koppeln des wenigstens einen Eingangs des wenigstens einen steuerbaren Spitzenverstärkers mit der ersten Übertragungsleitung, zum betriebstechnischen Koppeln des wenigstens einen Ausgangs des wenigstens einen Hauptverstärkers mit der zweiten Übertragungsleitung und zum betriebstechnischen Koppeln des wenigstens einen Ausgangs des wenigstens einen steuerbaren Spitzenverstärkers mit der zweiten Übertragungsleitung dienen.
  • Beispiel 1456 weist den Gegenstand von Beispiel 1455 auf und optional, dass die erste Impedanz etwa 50 Ohm ist und die zweite Impedanz etwa 25 Ohm ist.
  • Beispiel 1457 weist den Gegenstand von Beispiel 1455 oder 1456 auf und optional, dass eine Länge der Stichleitung auf etwa einem Achtel einer Wellenlänge des Treiber-RF-Signals basiert.
  • Beispiel 1458 weist den Gegenstand eines der Beispiele 1455-1457 auf und optional, dass die zweite Übertragungsleitung und die mehreren Stichleitungen konfiguriert sind, eine serielle Last an dem wenigstens einen Ausgang des wenigstens einen Hauptverstärkers und an dem wenigstens einen Ausgang des wenigstens einen steuerbaren Spitzenverstärkers bereitzustellen.
  • Beispiel 1459 weist den Gegenstand eines der Beispiele 1455-1458 auf und optional, dass der wenigstens eine Treiberverstärker ein erstes Anpassungsnetz, das einen ersten Eingang umfasst, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist; ein zweites Anpassungsnetz, das einen zweiten Eingang umfasst, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, wobei das erste Anpassungsnetz und das zweite Anpassungsnetz zum Anpassen von Impedanzen der ersten Stichleitung und der zweiten Stichleitungen an eine Impedanz der dritten Übertragungsleitung dienen; einen ersten Leistungsverstärker, der einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen ersten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst; und einen zweiten Leistungsverstärker, der einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst, wobei die dritte und die vierte Stichleitung zum Anpassen einer Impedanz zwischen dem ersten und dem zweiten Ausgang des ersten und des zweiten Leistungsverstärkers und der ersten Übertragungsleitung dienen, umfasst.
  • Beispiel 1460 weist den Gegenstand eines der Beispiele 1455-1459 auf und optional, dass der wenigstens eine Hauptverstärker ein erstes Anpassungsnetz, das einen ersten Eingang umfasst, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist; ein zweites Anpassungsnetz, das einen zweiten Eingang umfasst, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, wobei das erste Anpassungsnetz und das zweite Anpassungsnetz zum Anpassen von Impedanzen der ersten und der zweiten Stichleitungen an eine Impedanz der ersten Übertragungsleitung dienen; und einen ersten Leistungsverstärker, der einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen ersten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst; und einen zweiten Leistungsverstärker, der einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst, wobei die dritte und die vierte Stichleitung zum Anpassen einer Impedanz zwischen dem ersten und dem zweiten Ausgang des ersten und des zweiten Leistungsverstärkers und der zweiten Übertragungsleitung dienen, umfasst.
  • Beispiel 1461 weist den Gegenstand eines der Beispiele 1455-1460 auf und optional, dass der wenigstens eine steuerbare Spitzenverstärker ein erstes Anpassungsnetz, das einen ersten Eingang umfasst, der mit einer ersten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist; ein zweites Anpassungsnetz, das einen zweiten Eingang umfasst, der mit einer zweiten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, wobei das erste und das zweite Anpassungsnetz zum Anpassen von Impedanzen der ersten und der zweiten Stichleitungen an eine Impedanz der ersten Übertragungsleitung dienen; einen ersten Leistungsverstärker, der einen ersten Eingang, der mit einem ersten Ausgang des ersten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen ersten Ausgang, der mit einer dritten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst; und einen zweiten Leistungsverstärker, der einen zweiten Eingang, der mit einem zweiten Ausgang des zweiten Anpassungsnetzes betriebstechnisch gekoppelt ist, und einen zweiten Ausgang, der mit einer vierten Stichleitung aus den mehreren Stichleitungen betriebstechnisch gekoppelt ist, umfasst, wobei die dritte und die vierte Stichleitung zum Anpassen einer Impedanz zwischen dem ersten und dem zweiten Ausgang des ersten und des zweiten Leistungsverstärkers und der zweiten Übertragungsleitung dienen, umfasst.
  • Beispiel 1462 weist den Gegenstand eines der Beispiele 1454-1461 auf, der einen lokalen Oszillator (LO) zum Erzeugen eines LO-Signals; eine phasengleiche (I-) Mischerschaltung zum Erzeugen eines 1-Signals basierend auf dem LO-Signal; eine Quadratur-Phasen-Mischerschaltung zum Erzeugen eines Q-Signals basierend auf dem LO-Signal; und eine Kombiniererschaltung zum Kombinieren des I-Signal und des Q-Signals in das treiberverstärkte Eingabesignal umfasst.
  • Beispiel 1463 weist den Gegenstand eines der Beispiele 1454-1462 auf, der eine oder mehrere Phasengruppenantennen umfasst, die mit dem Zweistufen-Doherty-Verstärker betriebstechnisch gekoppelt sind.
  • Beispiel 1464 weist den Gegenstand eines der Beispiele 1454-1463 auf, der eine oder mehrere Antennen umfasst, die mit dem Zweistufen-Doherty-Verstärker betriebstechnisch gekoppelt sind.
  • Beispiel 1465 weist eine Einrichtung auf, die einen Phasengleich-(I-) Quadraturphasen- (Q-) (I/Q-) Generator, wobei der I/Q-Generator einen lokalen Oszillator (LO) zum Erzeugen eines LO-Signals umfasst; eine erste steuerbare Phasenmodulationskette zum, in einer Sende- (Tx-) Betriebsart, Erzeugen eines phasenmodulierten Tx-Signals basierend auf dem LO-Signal und zum, in einer Empfangs- (Rx-) Betriebsart, Erzeugen eines phasenmodulierten I-Signals basierend auf dem LO-Signal; eine zweite steuerbare Phasenmodulationskette zum Erzeugen, in der Rx-Betriebsart, eines phasenmodulierten Q-Signals basierend auf dem LO-Signal; und eine Mischerschaltung zum, in der Rx-Betriebsart, Abwärtsumsetzen eines Rx-Signals aus einem oder mehreren Antennenanschlüssen in ein I-phasenmoduliertes abwärtsumgesetztes Signal basierend auf dem phasenmodulierten 1-Signal und in ein Q-phasenmoduliertes abwärtsumgesetztes Signal basierend auf dem phasenmodulierten Q-Signal umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1465 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1476, 1487, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1466 weist den Gegenstand von Beispiel 1465 auf und optional, dass die Mischerschaltung einen ersten Mischer zum Abwärtsumsetzen des empfangenen Signals in der Rx-Betriebsart in das I-phasenmodulierte abwärtsumgesetzte Signal basierend auf dem phasenmodulierten I-Signal; und einen zweiten Mischer zum Abwärtsumsetzen des empfangenen Signals in der Rx-Betriebsart in das Q-phasenmodulierte abwärtsumgesetzte Signal basierend auf dem phasenmodulierten Q-Signal umfasst.
  • Beispiel 1467 weist den Gegenstand von Beispiel 1466 auf und optional, dass der I/Q-Generator einen ersten Schalter zum Verbinden der ersten steuerbaren Phasenmodulationskette mit dem ersten Mischer in der Rx-Betriebsart; und einen zweiten Schalter zum Verbinden der ersten steuerbaren Phasenmodulationskette mit einem Leistungsverstärker in der Tx-Betriebsart umfasst.
  • Beispiel 1468 weist den Gegenstand von Beispiel 1465 auf, der einen rauscharmen Verstärker (LNA) zum Erzeugen des empfangenen Signals basierend auf einem Signal, das von einer oder mehreren Phasengruppenantennen empfangen wird, umfasst.
  • Beispiel 1469 weist den Gegenstand von Beispiel 1465 auf und optional, dass das I-phasenmodulierte abwärtsumgesetzte Signal und das Q-phasenmodulierte abwärtsumgesetzte Signal Basisbandsignale umfassen.
  • Beispiel 1470 weist den Gegenstand von Beispiel 1465 auf und optional, dass der I/Q-Generator einen ersten Phasenmodulator zum Verschieben einer Phase des Signals des lokalen Oszillators um eine erste Phasenverschiebung, die auf ein Element der Phasengruppenantenne angewandt werden soll; und einen zweiten Phasenmodulator zum Verschieben eines Signals des lokalen Oszillators um eine zweite Phasenverschiebung, die eine 90-Grad-Drehnung der ersten Phasenverschiebung umfasst, umfasst.
  • Beispiel 1471 weist den Gegenstand von Beispiel 1470 auf und optional, dass die erste steuerbare Phasenmodulationskette den ersten Phasenmodulator; und einen Verdreifacher zum Verdreifachen einer Phase und einer Frequenz des phasenmodulierten Tx-Signals in der Tx-Betriebsart und zum Verdreifachen einer Phase und einer Frequenz des phasenmodulierten Q-Signals in der Rx-Betriebsart umfasst.
  • Beispiel 1472 weist den Gegenstand von Beispiel 1470 auf und optional, dass die zweite steuerbare Phasenmodulationskette den zweiten Phasenmodulator; und einen Verdreifacher zum Verdreifachen einer Phase und einer Frequenz des phasenmodulierten Q-Signals in der Rx-Betriebsart umfasst.
  • Beispiel 1473 weist den Gegenstand von Beispiel 1465 auf und optional, dass eine Frequenz des Signal des lokalen Oszillators ein Drittel der Trägerfrequenz (fcarier/3) ist.
  • Beispiel 1474 weist den Gegenstand von Beispiel 1465 auf, der eine oder mehrere Phasengruppenantennen umfasst.
  • Beispiel 1475 weist den Gegenstand von Beispiel 1465 auf, der einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1476 weist eine Einrichtung auf, die einen Hochfrequenz- (RF-) Verstärker, wobei der RF-Verstärker eine erste Outphasing-Verstärkerschaltung zum Bereitstellen eines ersten phasengleichen (I-) Signals basierend auf einem ersten Eingabesignal und eines ersten Quadratur-Phasen- (Q-) Signals basierend auf einem zweiten Eingabesignal; eine zweite Outphasing-Verstärkerschaltung zum Bereitstellen eines zweiten I-Signals basierend auf dem ersten Eingangssignal und eines zweiten Q-Signals basierend auf dem zweiten Eingangssignal; eine dritte Outphasing-Verstärkerschaltung zum Bereitstellen eines dritten 1-Signals basierend auf einem dritten Eingabesignal und eines dritten Q-Signals basierend auf einem vierten Eingabesignal; eine vierte Outphasing-Verstärkerschaltung zum Bereitstellen eines vierten 1-Signals basierend auf dem dritten Eingabesignal und eines vierten Q-Signals basierend auf dem vierten Eingabesignal umfasst; und ein Vierwege-Kombinierer-Balun unterhalb der Viertelwellenlänge (SQWL-Vierwege-Kombinierer-Balun), das eine erste induktive Stichleitung zum Koppeln des ersten 1-Signals und des zweiten 1-Signals mit einer ersten Übertragungsleitung, eine zweite induktive Stichleitung zum Koppeln des dritten 1-Signals und des vierten 1-Signals mit einer zweiten Übertragungsleitung, eine erste kapazitive Stichleitung zum Koppeln des ersten Q-Signals und des zweiten Q-Signals mit der ersten Übertragungsleitung und eine zweite kapazitive Stichleitung zum Koppeln des dritten Q-Signals und des vierten Q-Signals mit der zweiten Übertragungsleitung umfasst, wobei die erste Übertragungsleitung dazu dient, ein erstes RF-Signal basierend auf einer Kombination aus dem ersten I-Signal, dem zweiten I-Signal, dem ersten Q-Signal und dem zweiten Q-Signal bereitzustellen, und die zweite Übertragungsleitung dazu dient, ein zweites RF-Signal basierend auf einer Kombination aus dem dritten I-Signal, dem vierten I-Signal, dem dritten Q-Signal und dem vierten Q-Signal bereitzustellen, umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1476 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1487, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1477 weist den Gegenstand von Beispiel 1476 auf und optional, dass die erste Outphasing-Verstärkerschaltung einen ersten Outphasing-Verstärker umfasst, der mit der ersten induktiven Stichleitung und der ersten kapazitiven Stichleitung betriebstechnisch gekoppelt ist, die zweite Outphasing-Verstärkerschaltung einen zweiten Outphasing-Verstärker umfasst, der mit der ersten induktiven Stichleitung und der ersten kapazitiven Stichleitung betriebstechnisch gekoppelt ist, die dritte Outphasing-Verstärkerschaltung einen dritten Outphasing-Verstärker umfasst, der mit der zweiten induktiven Stichleitung und der zweiten kapazitiven Stichleitung betriebstechnisch gekoppelt ist, und die vierte Outphasing-Verstärkerschaltung einen vierten Outphasing-Verstärker umfasst, der mit der zweiten induktiven Stichleitung und der zweiten kapazitiven Stichleitung betriebstechnisch gekoppelt ist.
  • Beispiel 1478 weist den Gegenstand von Beispiel 1477 auf und optional, dass jeder Outphasing-Verstärker aus dem ersten, dem zweiten, dem dritten und dem vierten Outphasing-Verstärker einen I/Q-Generator zum Erzeugen eines initialen 1-Signals basierend auf einem 1-Signal eines lokalen Oszillators (LO) und zum Erzeugen eines initialen Q-Signals basierend auf einem LO-Q-Signal; eine Phasenmodulatorschaltung zum Erzeugen eines phasenmodulierten 1-Signals durch Modulieren des initialen 1-Signals basierend auf einem ersten Eingang des Outphasing-Verstärkers und zum Erzeugen eines phasenmodulierten Q-Signals durch Modulieren des initialen Q-Signals basierend auf einem zweiten Eingang des Outphasing-Verstärkers; einen ersten Verstärker zum Ausgaben eines verstärkten 1-Signals durch Verstärken des phasenmodulierten I-Signals; und einen zweiten Verstärker zum Ausgaben eines verstärkten Q-Signals durch Verstärken des phasenmodulierten Q-Signals umfasst.
  • Beispiel 1479 weist den Gegenstand von Beispiel 1478 auf und optional, dass die erste induktive Stichleitung zum Anlegen einer 25 Ohm-Impedanz an einen Ausgang des ersten Verstärkers des Outphasing-Verstärkers dient und die erste kapazitive Stichleitung zum Anlegen einer 25 Ohm-Impedanz an einen Ausgang des zweiten Verstärkers des Outphasing-Verstärkers dient.
  • Beispiel 1480 weist den Gegenstand von Beispiel 1478 oder 1479 auf und optional, dass die zweite induktive Stichleitung zum Anlegen einer 25 Ohm-Impedanz an einen Ausgang des ersten Verstärkers des Outphasing-Verstärkers dient und die zweite kapazitive Stichleitung zum Anlegen einer 25 Ohm-Impedanz an einen Ausgang des zweiten Verstärkers des Outphasing-Verstärkers dient.
  • Beispiel 1481 weist den Gegenstand eines der Beispiele 1478-1480 auf, der einen LO zum Erzeugen des LO-I-Signals und des LO-Q-Signals umfasst.
  • Beispiel 1482 weist den Gegenstand eines der Beispiele 1476-1481 auf und optional, dass das SQWL-Vierwege-Kombinierer-Balun einen Chireix-Kombinierer umfasst.
  • Beispiel 1483 weist den Gegenstand eines der Beispiele 1476-1481 auf und optional, dass das SQWL-Vierwege-Kombinierer-Balun einen nicht isolierenden Kombinierer umfasst.
  • Beispiel 1484 weist den Gegenstand eines der Beispiele 1476-1483 auf, der einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1485 weist den Gegenstand eines der Beispiele 1476-1484 auf, der eine oder mehrere Antennen, die mit dem RF-Verstärker betriebstechnisch gekoppelt sind, umfasst.
  • Beispiel 1486 weist den Gegenstand eines der Beispiele 1476-1485 auf, der eine oder mehrere Antennen einer phasengesteuerten Gruppe, die mit dem RF-Verstärker betriebstechnisch gekoppelt sind, umfasst.
  • Beispiel 1487 weist eine Einrichtung auf, die einen steuerbaren Phasenschieber umfasst, wobei der steuerbare Phasenschieber eine phasengleiche (I-) Phasenverschiebungsschaltung zum Bereitstellen eines phasenverschobenes 1-Signals basierend auf einem 1-Signal und einem Quadratur-Phasen- (Q-) Signal, wobei die I-Phasenverschiebungsschaltung konfiguriert ist, ein erstes verschobenes 1-Signal durch Verschieben einer Phase des 1-Signals gemäß einem ersten Steuersignal bereitzustellen, ein erstes verschobenes Q-Signal durch Verschieben einer Phase des Q-Signals gemäß einem zweiten Steuersignal bereitzustellen und das phasenverschobene 1-Signal durch Kombinieren des ersten verschobenen 1-Signals mit dem ersten verschobenen Q-Signal bereitzustellen; und eine Q-Phasenverschiebungsschaltung zum Bereitstellen eines phasenverschobenes Q-Signals basierend auf dem Q-Signal und dem I-Signal, wobei die Q-Phasenverschiebungsschaltung konfiguriert ist, ein zweites verschobenes I-Signal durch Verschieben der Phase des 1-Signals gemäß einem dritten Steuersignal bereitzustellen, ein zweites verschobenes Q-Signal durch Verschieben der Phase des Q-Signals gemäß einem vierten Steuersignal bereitzustellen und das phasenverschobene Q-Signal durch Kombinieren des zweiten verschobenen 1-Signals mit dem zweiten verschobenen Q-Signal bereitzustellen, umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1487 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer und/oder einen I/Q-Generator, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1500, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1488 weist den Gegenstand von Beispiel 1487 auf und optional, dass die I-Phasenverschiebungsschaltung einen ersten Spannungs-Digital/Analog-Umsetzer (VDAC) zum Umsetzen des ersten Steuersignals in eine I-Steuerspannung, wobei die I-Phasenverschiebungsschaltung zum Verschieben der Phase des 1-Signals gemäß der I-Steuerspannung dient; und einen zweiten VDAC zum Umsetzen des zweiten Steuersignals in eine Q-Steuerspannung, wobei die I-Phasenverschiebungsschaltung zum Verschieben der Phase des Q-Signals gemäß der Q-Steuerspannung dient, umfasst.
  • Beispiel 1489 weist den Gegenstand von Beispiel 1488 auf und optional, dass die I-Phasenverschiebungsschaltung erste mehrere Transistoren in einer Kaskoden-Gate-Anordnung zum Erzeugen des ersten verschobenen I-Signals gemäß der I-Steuerspannung; und zweite mehrere Transistoren in einer Kaskoden-Gate-Anordnung zum Erzeugen des ersten verschobenen Q-Signals gemäß der Q-Steuerspannung umfasst.
  • Beispiel 1490 weist den Gegenstand eines der Beispiele 1487-1489 auf und optional, dass die Q-Phasenverschiebungsschaltung einen ersten Spannungs-Digital/Analog-Umsetzer (VDAC) zum Umsetzen des dritten Steuersignals in eine I-Steuerspannung, wobei die Q-Phasenverschiebungsschaltung zum Verschieben der Phase des 1-Signals gemäß der I-Steuerspannung dient; und einen zweiten VDAC zum Umsetzen des vierten Steuersignals in eine Q-Steuerspannung, wobei die Q-Phasenverschiebungsschaltung zum Verschieben der Phase des Q-Signals gemäß der Q-Steuerspannung dient, umfasst.
  • Beispiel 1491 weist den Gegenstand von Beispiel 1490 auf und optional, dass die Q-Phasenverschiebungsschaltung erste mehrere Transistoren in einer Kaskoden-Gate-Anordnung zum Erzeugen des zweiten verschobenen I-Signals gemäß der I-Steuerspannung; und zweite mehrere Transistoren in einer Kaskoden-Gate-Anordnung zum Erzeugen des zweiten verschobenen Q-Signals gemäß der Q-Steuerspannung umfasst.
  • Beispiel 1492 weist den Gegenstand eines der Beispiele 1487-1491 auf, der einen rauscharmen Verstärker (LNA) zum Bereitstellen eines Empfangs- (Rx-) Signals durch Verstärken eines Hochfrequenz- (RF-) Signals von einer oder mehreren Antennen; einen ersten Mischer, der mit dem ersten Eingang des steuerbaren Phasenschiebers betriebstechnisch gekoppelt ist, wobei der erste Mischer zum Erzeugen des 1-Signals durch Mischen des Rx-Signals gemäß einem Sinussignal dient; und einen zweiten Mischer, der mit dem zweiten Eingang des spannungsgesteuerten Phasenschiebers betriebstechnisch gekoppelt ist, wobei der zweite Mischer zum Erzeugen des Q-Signals durch Mischen des Rx-Signals gemäß einem Kosinussignal dient, umfasst.
  • Beispiel 1493 weist den Gegenstand eines der Beispiele 1487-1492 auf, der einen ersten Mischer, der mit einem ersten Ausgang des steuerbaren Phasenschiebers betriebstechnisch gekoppelt ist, wobei der erste Mischer zum Erzeugen eines ersten Hochfrequenz- (RF-) Signals durch Mischen des phasenverschobenen 1-Signals gemäß einem Sinussignal dient; einen zweiten Mischer, der mit einem zweiten Ausgang des steuerbaren Phasenschiebers betriebstechnisch gekoppelt ist, wobei der zweite Mischer zum Erzeugen eines zweiten RF-Signals durch Mischen des phasenverschobenen Q-Signals gemäß einem Kosinussignal dient; und einen Leistungsverstärker (PA) zum Bereitstellen eines Sende- (Tx-) Signals für eine oder mehreren Antennen durch Verstärken des ersten RF-Signals und des zweiten RF-Signals umfasst.
  • Beispiel 1494 weist den Gegenstand von Beispiel 1487 auf, der ein Kalibrierungsteilsystem umfasst, das konfiguriert ist, die Linearität und Auflösung des steuerbaren Phasenschiebers basierend auf einer vordefinierten Konstellationspunktkarte zu kalibrieren.
  • Beispiel 1495 weist den Gegenstand von Beispiel 1494 auf und optional, dass das Kalibrierungsteilsystem zum Erzeugen einer Nachschlagetabelle (LTU) dient, die mehrere Paare von Spannungswerten umfasst, die entsprechenden mehreren Konstellationspunkten gemäß der vorbestimmten Konstellationspunktkarte entsprechen, wobei ein Paar von Spannungswerten einen ersten I-Spannungswert, der auf das erste Steuersignal angewandt werden soll, einen ersten Q-Spannungswert, der auf das zweiten Steuersignal angewandt werden soll, einen zweiten I-Spannungswert, der auf das dritte Steuersignal angewandt werden soll, und einen vierten Q-Spannungswert, der auf das vierte Steuersignal angewandt werden soll, umfasst.
  • Beispiel 1496 weist den Gegenstand von Beispiel 1494 oder 1495 auf und optional, dass das erste Steuersignal ein erstes digitales Signal zum Anwenden erster Daten auf die I-Phasenverschiebungsschaltung basierend auf der vordefinierten Konstellationspunktkarte umfasst, das zweite Steuersignal ein zweites digitales Signal zum Anwenden zweiter Daten auf die I-Phasenverschiebungsschaltung basierend auf der vordefinierten Konstellationspunktkarte umfasst, das dritte Steuersignal ein drittes digitales Signal zum Anwenden dritter Daten auf die Q-Phasenverschiebungsschaltung basierend auf der vordefinierten Konstellationspunktkarte umfasst, und das vierte Steuersignal ein viertes digitales Signal zum Anwenden vierter Daten auf die Q-Phasenverschiebungsschaltung basierend auf der vordefinierten Konstellationspunktkarte umfasst.
  • Beispiel 1497 weist den Gegenstand eines der Beispiele 1487-1495 auf, der einen Sendeempfänger umfasst, der mit einer oder mehreren Antennen einer phasengesteuerten Gruppe betriebstechnisch gekoppelt sein soll.
  • Beispiel 1498 weist den Gegenstand des Beispiels 1497 auf und optional, dass der Sendeempfänger einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1499 weist den Gegenstand des Beispiels 1497 auf und optional, dass der Sendeempfänger einen Vollduplex-Sendeempfänger umfasst
  • Beispiel 1500 weist eine Einrichtung auf, die eine Schnittstelle eines Leistungsverstärkers (PA) und eines rauscharmen Verstärkers (LNA) (PA-LNA-Schnittstelle) zum Bilden einer Schnittstelle zwischen einem Antennenanschluss mit einem PA und einem LNA, wobei die PA-LNA-Schnittstelle einen Sensor umfasst, um ein erfasstes Signal basierend auf einem Sende- (Tx-) Signal von dem PA bereitzustellen; einen Phasendreher zum Bereitstellen eines phasengedrehten Signals durch Drehen einer Phase des erfassten Signals; einen Verstärker mit variabler Verstärkung (VGA) zum Bereitstellen eines Tx-Leckverlustauslöschungssignals durch Verstärken des phasengedrehten Signals basierend auf einer Amplitude des Tx-Signals; und einen Kombinierer zum Kombinieren eines ersten Kombinierereingabesignals mit einem zweiten Kombinierereingabesignal umfasst, wobei das erste Kombinierereingabesignal ein Tx-Leckverlustauslöschungssignal umfasst, das zweite Kombinierereingabesignal einen Tx-Leckverlust aus dem Tx-Signal zum dem LNA umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1500 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1513, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1501 weist den Gegenstand von Beispiel 1500 auf und optional, dass in einer Empfangs- (Rx-) Betriebsart das zweite Kombinierereingabesignal eine Kombination aus einem Empfangs- (Rx-) Signal von dem Antennenanschluss und einem Tx-Leckverlust von dem Tx-Signal zu dem LNA umfasst.
  • Beispiel 1502 weist den Gegenstand von Beispiel 1501 auf und optional, dass in der Rx-Betriebsart der Kombinierer zum Bereitstellen einer Summe des ersten Kombinierereingabesignals und des zweiten Kombinierereingabesignals für den LNA dient.
  • Beispiel 1503 weist den Gegenstand eines der Beispiele 1500-1502 auf und optional, dass der Phasendreher konfiguriert ist, die Phase des erfassten Signals um 180 Grad zu drehen.
  • Beispiel 1504 weist den Gegenstand eines der Beispiele 1500-1503 auf und optional, dass der Kombinierer einen Wilkinson-Kombinierer umfasst.
  • Beispiel 1505 weist den Gegenstand eines der Beispiele 1500-1504 auf und optional, dass der Sensor einen kapazitiven Sensor umfasst.
  • Beispiel 1506 weist den Gegenstand eines der Beispiele 1500-1505 auf und optional, dass die PA-LNA-Schnittstelle konfiguriert ist, das Tx-Signal aus dem PA für den Antennenanschluss in einer Tx-Betriebsart bereitzustellen und ein Empfangs- (Rx-) Signal aus dem Antennenanschluss für den LNA in einer Rx-Betriebsart bereitzustellen.
  • Beispiel 1507 weist den Gegenstand von Beispiel 1506 auf und optional, dass die PA-LNA-Schnittstelle zum Anlegen einer hohen Impedanz an einen Eingang des LNA in der Tx-Betriebsart dient.
  • Beispiel 1508 weist den Gegenstand von Beispiel 1506 oder 1507 auf und optional, dass die PA-LNA-Schnittstelle zum Anlegen einer hohen Impedanz an einen Ausgang des PA in der Rx-Betriebsart dient.
  • Beispiel 1509 weist den Gegenstand eines der Beispiele 1500-1508 auf, der einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1510 weist den Gegenstand eines der Beispiele 1500-1509 auf, der einen Vollduplex-Sendeempfänger umfasst.
  • Beispiel 1511 weist den Gegenstand eines der Beispiele 1500-1510 auf, der eine oder mehrere Antennen, die mit dem Antennenanschluss betriebstechnisch gekoppelt sind, umfasst.
  • Beispiel 1512 weist den Gegenstand eines der Beispiele 1500-1511 auf, der eine Senderschaltung zum Senden des Tx-Signals in einer Tx-Betriebsart und eine Empfängerschaltung zum Empfangen des Rx-Signal in einer Empfangs- (Rx-) Betriebsart umfasst.
  • Beispiel 1513 weist eine Einrichtung auf, die eine Verteilungsnetzschaltung eines lokalen Oszillators (LO) umfasst, die wenigstens einen Phasengleich- (I-) Quadraturphasen- (Q-) Generator (I/Q-Generator), wobei der I/Q-Generator eine Phasenverschiebungsschaltung zum Erzeugen eines ersten phasenverschobenen Signals und eines zweiten phasenverschobenen Signals basierend auf einem LO-Signal, das eine erste Frequenz aufweist, umfasst, wobei eine Phase des zweiten phasenverschobenen Signals um 30 Grad gegen eine Phase des ersten phasenverschobenen Signals verschoben ist; eine erste Verdreifacherschaltung zum Erzeugen eines I-Signals, das eine zweite Frequenz aufweist, durch Verdreifachen der Phase des ersten phasenverschobenen Signals und Verdreifachen einer Frequenz des ersten phasenverschobenen Signals; und eine zweite Verdreifacherschaltung zum Erzeugen eines Q-Signals, das die zweite Frequenz aufweist, durch Verdreifachen der Phase des zweiten phasenverschobenen Signals und Verdreifachen einer Frequenz des zweiten phasenverschobenen Signals umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1513 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1526, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1514 weist den Gegenstand von Beispiel 1513 oder 1514 auf und optional, dass das erste phasenverschobene Signal ein erstes I-phasenverschobenes Signal und ein zweites I-phasenverschobenes Signal umfasst und das zweite phasenverschobene Signal ein erstes Q-phasenverschobenes Signal und ein zweites Q-phasenverschobenes Signal umfasst.
  • Beispiel 1515 weist den Gegenstand von Beispiel 1514 auf und optional, dass die erste Verdreifacherschaltung eine Asymmetrie- und Amplitudenschaltung zum Ausgleichen einer Amplitude des ersten I-phasenverschobenen Signals gemäß dem zweiten Q-phasenverschobenen Signal und zum Ausgleichen einer Amplitude des zweiten I-phasenverschobenen Signals gemäß dem ersten Q-phasenverschobenen Signal umfasst.
  • Beispiel 1516 weist den Gegenstand von Beispiel 1514 oder 1515 auf und optional, dass die zweite Verdreifacherschaltung eine Asymmetrie- und Amplitudenschaltung zum Ausgleichen einer Amplitude des ersten Q-phasenverschobenen Signals gemäß dem zweiten I-phasenverschobenenSignal und zum Ausgleichen einer Amplitude des zweiten Q-phasenverschobenen Signals gemäß dem ersten I-phasenverschobenenSignal umfasst.
  • Beispiel 1517 weist den Gegenstand eines der Beispiele 1513-1515 auf und optional, dass die Phasenverschiebungsschaltung eine passive Phasenverschiebungsschaltung umfasst.
  • Beispiel 1518 weist den Gegenstand eines der Beispiele 1513-1517 auf und optional, dass die Phasenverschiebungsschaltung eine erste Injektions-LO- (ILO-) Schaltung zum Erzeugen des ersten phasenverschobenen Signals; und eine zweite ILO-Schaltung zum Erzeugen des zweiten phasenverschobenen Signals umfasst.
  • Beispiel 1519 weist den Gegenstand eines der Beispiele 1513-1518 auf und optional, dass der wenigstens eine IQ-Generator einen Empfangs-(Rx-) IQ-Generator umfasst, wobei die Einrichtung einen oder mehrere rauscharme Verstärker (LNAs) zum Erzeugen eines verstärkten Rx-Signals basierend auf einem Rx-Signal; und eine Rx-Mischerschaltung zum Abwärtsumsetzen des verstärkten Rx-Signals in ein abwärtsumgesetztes 1-Signal basierend auf dem 1-Signal und dem verstärkten Rx-Signal und zum Abwärtsumsetzen des verstärkten Rx-Signals in ein abwärtsumgesetztes Q-Signal basierend auf dem Q-Signal und dem verstärkten Rx-Signal umfasst.
  • Beispiel 1520 weist den Gegenstand von Beispiel 1519 auf und optional, dass die Rx-Mischerschaltung einen ersten Mischer zum Abwärtsumsetzen des Rx-Signals in das abwärtsumgesetzte I-Signal; und einen zweiten Mischer zum Abwärtsumsetzen des Rx-Signals in das abwärtsumgesetzte Q-Signal umfasst.
  • Beispiel 1521 weist den Gegenstand eines der Beispiele 1513-1520 auf und optional, dass der wenigstens eine IQ-Generator einen Sende-(Tx-) IQ-Generator umfasst, wobei die Einrichtung eine Tx-Mischerschaltung zum Aufwärtsumsetzen eines Zwischenfrequenz- (IF-) 1-Signals in ein aufwärtsumgesetztes 1-Signal basierend auf dem 1-Signal und zum Aufwärtsumsetzen eines IF-Q-Signals in ein aufwärtsumgesetztes Q-Signal basierend auf dem Q-Signal; einen Kombinierer zum Kombinieren des aufwärtsumgesetzten 1-Signals und des aufwärtsumgesetzten Q-Signals in ein Tx-Signal; und einen Leistungsverstärker (PA) zum Verstärken des Tx-Signals umfasst.
  • Beispiel 1522 weist den Gegenstand von Beispiel 1521 auf und optional, dass die Tx-Mischerschaltung einen ersten Mischer zum Aufwärtsumsetzen des IF-I-Signals in das aufwärtsumgesetzte I-Signal; und einen zweiten Mischer zum Aufwärtsumsetzen des IF-Q-Signals in das aufwärtsumgesetzte Q-Signal umfasst.
  • Beispiel 1523 weist den Gegenstand eines der Beispiele 113-122 auf und optional, dass der wenigstens eine IQ-Generator einen ersten IQ-Generator zum Erzeugen eines ersten 1-Signals und eines ersten Q-Signals und einen zweiten IQ-Generator zum Erzeugen eines zweiten 1-Signals und eines zweiten Q-Signals umfasst.
  • Beispiel 1524 weist den Gegenstand eines der Beispiele 1513-1523 auf, der einen Sendeempfänger, der mit einer oder mehreren Antennen betriebstechnisch gekoppelt ist, umfasst.
  • Beispiel 1525 weist den Gegenstand des Beispiels 1524 auf und optional, dass der Sendeempfänger einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1526 weist eine Einrichtung auf, die eine Breitbandverstärkerschaltung umfasst, wobei die Breitbandverstärkerschaltung einen Splitter zum Aufteilen eines Hochfrequenz- (RF-) Eingangssignals in ein Hochfrequenzbandsignal und ein Tieffrequenzbandsignal, wobei der Splitter eine erste Schaltung zum Filtern des Tieffrequenzbandsignals aus dem RF-Eingabesignal und eine zweite Schaltung zum Filtern des Hochfrequenzbandsignals aus dem RF-Eingabesignal umfasst; einen Hochbandverstärker zum Verstärken des Hochfrequenzbandsignals, um ein erstes verstärktes Signal bereitzustellen; einen Tiefbandverstärker zum Verstärken des Tieffrequenzbandsignals, um ein zweites verstärktes Signal bereitzustellen; und einen Kombinierer zum Kombinieren des ersten verstärkten Signals und des zweiten verstärkten Signals in ein verstärktes RF-Signal umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1526 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1538 und/oder 1551 beschrieben ist.
  • Beispiel 1527 weist den Gegenstand von Beispiel 1526 auf und optional, dass die Breitbandverstärkerschaltung einen ersten Schalter zum Aktivieren des Tiefbandverstärkers, wenn das RF-Eingabesignal wenigstens über einem ersten Frequenzband ist; und einen zweiten Schalter zum Aktivieren des Hochbandverstärkers, wenn das RF-Eingabesignal wenigstens über einem zweiten Frequenzband ist, das höher ist als das erste Frequenzband, umfasst.
  • Beispiel 1528 weist den Gegenstand von Beispiel 1526 oder Beispiel 1527 auf, der eine Basisbandschaltung zum steuerbaren Aktivieren des ersten Schalters und des zweiten Schalters basierend auf einem oder mehreren Frequenzbändern des RF-Eingabesignals umfasst.
  • Beispiel 1529 weist den Gegenstand eines der Beispiele 1526-1528 auf und optional, dass der Kombinierer einen Transformator zum Empfangen des ersten verstärkten Signals von dem Hochbandverstärker in einem ersten Abschnitt des Transformators und zum Anpassen einer Impedanz zwischen dem ersten Abschnitt des Transformators und dem Hochbandverstärker und dem Transformator zum Empfangen des zweiten verstärkten Signals von dem Tiefbandverstärker an einem zweiten Abschnitt des Transformators und zum Anpassen einer Impedanz zwischen dem zweiten Abschnitt des Transformators und dem Tiefbandverstärker umfasst, wobei der Transformator einen dritten Abschnitt zum Kombinieren des ersten verstärkten Signals aus dem ersten Abschnitt des Transformators mit dem zweiten verstärkten Signal aus dem zweiten Abschnitt des Transformators in das verstärkte RF-Signal umfasst.
  • Beispiel 1530 weist den Gegenstand von Beispiel 1529 auf und optional, dass eine physikalische Größe des ersten Abschnitts des Transformators größer ist als eine physikalische Größe des zweiten Abschnitts des Transformators.
  • Beispiel 1531 weist den Gegenstand eines der Beispiele 1526-1530 auf und optional, dass der Splitter einen Transformator zum Empfangen des RF-Eingabesignals an einem ersten Abschnitt des Transformators, zum Bereitstellen, durch einen zweiten Abschnitt des Transformators, des Tieffrequenzbandsignals für den Tiefbandverstärker und zum Anpassen einer Impedanz zwischen dem zweiten Abschnitt des Transformators und dem Tiefbandverstärker und zum Bereitstellen, durch einen dritten Abschnitt des Transformators, des Hochfrequenzbands für den Hochbandverstärker und zum Anpassen der Impedanz zwischen dem dritten Abschnitt des Transformators und dem Hochbandverstärker umfasst.
  • Beispiel 1532 weist den Gegenstand von Beispiel 1531 auf und optional, dass die erste Schaltung den zweiten Abschnitt des Transformators und wenigstens einen Teil des ersten Abschnitts des Transformators umfasst und die zweite Schaltung den dritten Abschnitt des Transformators und wenigstens einen Teil des ersten Abschnitts des Transformators umfasst.
  • Beispiel 1533 weist den Gegenstand eines der Beispiele 1526-1532 auf, der eine Basisbandschaltung zum Erzeugen eines Zwischenfrequenz-(IF-) Eingabesignals; und eine RF-Schaltung zum Erzeugen des RF-Eingabesignals durch Aufwärtsumsetzen des IF-Eingabesignals umfasst.
  • Beispiel 1534 weist den Gegenstand von Beispiel 1533 auf und optional, dass die Basisbandschaltung und die RF-Schaltung durch ein RF-Kabel betriebstechnisch gekoppelt sind.
  • Beispiel 1535 weist den Gegenstand des Beispiels eines der Beispiele 1526-1534 auf, der einen Sender umfasst, der mit einer oder mehreren Antennen einer phasengesteuerten Gruppe betriebstechnisch gekoppelt sein soll.
  • Beispiel 1536 weist den Gegenstand eines der Beispiele 1526-1535 auf, der eine oder mehrere Antennen einer phasengesteuerten Gruppe umfasst, die mit dem Breitbandverstärker betriebstechnisch gekoppelt sind.
  • Beispiel 1537 weist den Gegenstand eines der Beispiele 1526-1536 auf und optional, dass der Breitbandverstärker einen 60 GHz-Bandverstärker umfasst.
  • Beispiel 1538 umfasst eine Einrichtung, die mehrere Impedanzanpassungsschalter zum schaltbaren Koppeln eines Modemkerns mit einem oder mehreren Funkkernen aus mehreren Funkkernen, wobei ein Impedanzanpassungsschalter aus den mehreren Impedanzanpassungsschaltern einen ersten Anschluss, um mit dem Modemkern betriebstechnisch gekoppelt zu sein; einen zweiten Anschluss, um mit einem jeweiligen Funkkern aus den mehreren Funkkernen betriebstechnisch gekoppelt zu sein, umfasst; und eine Impedanzanpassungsschaltung zum steuerbaren Anpassen einer Impedanz zwischen dem Funkkern und dem Modemkern basierend auf einer Anzahl des einen oder der mehreren Funkkerne, die mit dem Modemkern durch die mehreren Impedanzanpassungsschalter gekoppelt sein sollen, umfasst.
  • In einem Beispiel kann die Einrichtung von Beispiel 1538 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Mischer, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526 und/oder 1551 beschrieben ist.
  • Beispiel 1539 weist den Gegenstand von Beispiel 1538 auf und optional, dass die Impedanzanpassungsschaltung zwischen mehreren Impedanzanpassungsbetriebsarten gemäß einem Steuersignal aus dem Modemkern schaltbar ist, wobei die mehreren Impedanzanpassungsbetriebsarten entsprechenden mehreren unterschiedlichen Anzahlen von Funkkernen, die mit dem Modemkern gekoppelt sein können, entsprechen.
  • Beispiel 1540 weist den Gegenstand von Beispiel 1539 auf und optional, dass die Impedanzanpassungsschaltung konfiguriert ist, in einer Impedanzanpassungsbetriebsart aus den mehreren Impedanzanpassungsbetriebsarten die Impedanz zwischen dem Funkkern und dem Modemkern basierend auf einer Anzahl von Funkkernen, die der Impedanzanpassungsbetriebsart entspricht, anzupassen.
  • Beispiel 1541 weist den Gegenstand von Beispiel 1539 oder 1540 auf und optional, dass die mehreren Impedanzanpassungsbetriebsarten eine erste Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung die Impedanz zwischen dem Modemkern und einem Funkkern anpassen soll; eine zweite Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung die Impedanz zwischen dem Modemkern und zwei Funkkernen anpassen soll; und eine dritte Impedanzanpassungsbetriebsart, in der die Impedanzanpassungsschaltung die Impedanz zwischen dem Modemkern und drei Funkkernen anpassen soll, umfasst.
  • Beispiel 1542 weist den Gegenstand eines der Beispiele 1538-1541 auf und optional, dass die Impedanzanpassungsschaltung mehrere Transistoren zum Koppeln des Modemkerns mit dem einen oder den mehreren Funkkernen umfasst.
  • Beispiel 1543 weist den Gegenstand eines der Beispiele 1538-1542 auf, der mehrere Hochfrequenz- (RF-) Kabel umfasst, wobei ein RF-Kabel aus den mehreren RF-Kabeln zum Verbinden eines entsprechenden Impedanzanpassungsschalters aus den mehreren Impedanzanpassungsschaltern mit einem entsprechenden Funkkern aus den mehreren Funkkernen dient.
  • Beispiel 1544 weist den Gegenstand von Beispiel 1543 auf und optional, dass wenigstens ein RF-Kabel aus den mehreren RF-Kabeln ein Koax-Kabel umfasst.
  • Beispiel 1545 weist den Gegenstand eines der Beispiele 1538-1544 auf und optional, dass der Impedanzanpassungsschalter zum Aufrechterhalten von etwa 50 Ohm Impedanz zwischen dem Funkkern und dem einen oder den mehreren Funkkernen dient.
  • Beispiel 1546 weist den Gegenstand eines der Beispiele 1538-1545 auf, der die mehreren Funkkerne umfasst.
  • Beispiel 1547 weist den Gegenstand von Beispiel 146 auf und optional, dass der wenigstens eine Funkkern aus den mehreren Funkkernen einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1548 weist den Gegenstand eines der Beispiele 1538-1547 auf, der eine Basisbandschaltung umfasst, die den Modemkern umfasst.
  • Beispiel 1549 weist den Gegenstand eines der Beispiele 1538-1548 auf, der eine oder mehrere Antennen umfasst.
  • Beispiel 1550 weist den Gegenstand eines der Beispiele 1538-1549 auf, der eine oder mehrere Phasengruppenantennen umfasst.
  • Beispiel 1551 weist eine Einrichtung auf, die einen bidirektionalen Mischer umfasst, wobei der bidirektionale Mischer einen Hochfrequenz- (RF-) Anschluss; einen Zwischenfrequenz- (IF-) Anschluss; einen ersten Spannungsanschluss; einen zweiten Spannungsanschluss; und eine Mischschaltung umfasst, die konfiguriert ist, in einer Aufwärtsumsetzungsbetriebsart zu arbeiten, wenn eine erste Bias-Spannung an den ersten Spannungsanschluss angelegt werden soll und eine zweite Bias-Spannung an den zweite Spannungsanschluss angelegt werden soll, und in einer Abwärtsumsetzungsbetriebsart zu arbeiten, wenn die zweite Bias-Spannung an den ersten Spannungsanschluss angelegt werden soll und die erste Bias-Spannung an den zweiten Spannungsanschluss angelegt werden soll, wobei die Mischschaltung in der Abwärtsumsetzungsbetriebsart dazu dient, ein erstes RF-Signal an dem RF-Anschluss in ein erstes IF-Signal an dem IF-Anschluss abwärtsumzusetzen und in der Aufwärtsumsetzungsbetriebsart ein zweites IF-Signal an dem IF-Anschluss in ein zweites RF-Signal an dem RF-Anschluss aufwärtsumzusetzen.
  • In einem Beispiel kann die Einrichtung von Beispiel 1551 beispielsweise ein oder mehrere zusätzliche Elemente aufweisen, beispielsweise einen bidirektionalen Verstärker, einen bidirektionalen Splitter/Kombinierer, einen PA, einen LNA, einen oder mehrere Schalter, einen oder mehrere Mischer, einen I/Q-Generator und/oder einen oder mehrere Phasenschieber, z. B. wie mit Bezug auf die Beispiele 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526 und/oder 1538 beschrieben ist.
  • Beispiel 1552 weist den Gegenstand von Beispiel 1551 auf und optional, dass die Mischschaltung eine Gilbert-Zelle umfasst, die mehrere Transistoren umfasst, wobei die mehreren Transistoren zum Aufwärtsumsetzen des zweiten IF-Signals in das zweite RF-Signal in der Aufwärtsumsetzungsbetriebsart und zum Abwärtsumsetzen des ersten RF-Signals in das erste IF-Signal in der Abwärtsumsetzungsbetriebsart dienen.
  • Beispiel 1553 weist den Gegenstand von Beispiel 1552 auf und optional, dass die Mischschaltung einen ersten Transformator zum Koppeln von Drains der mehreren Transistoren mit dem RF-Anschluss und mit dem ersten Spannungsanschluss; einen zweiten Transformator zum Koppeln von Sources der mehreren Transistoren mit dem IF-Anschluss und mit dem zweiten Spannungsanschluss; und einen Anschluss des lokalen Oszillators (LO) zum Koppeln eines LO-Signals mit Gates der mehreren Transistoren umfasst.
  • Beispiel 1554 weist den Gegenstand von Beispiel 1553 auf und optional, dass in der Aufwärtsumsetzungsbetriebsart der zweite Transformator zum Bereitstellen des zweiten IF-Signals und der zweiten Bias-Spannung für die Sources der mehreren Transistoren dient und die Gilbert-Zelle zum Mischen des zweiten IF-Signals mit dem LO-Signal, um ein gemischtes RF-Signal für die Drains der mehreren Transistoren bereitzustellen, dient.
  • Beispiel 1555 weist den Gegenstand von Beispiel 1554 auf und optional, dass der erste Transformator zum Kombinieren des gemischten IF-Signals an den Drains der mehreren Transistoren in das erste RF-Signal dient.
  • Beispiel 1556 weist den Gegenstand eines der Beispiele 1553-1555 auf und optional, dass in der Abwärtsumsetzungsbetriebsart der erste Transformator zum Bereitstellen des ersten RF-Signals und der zweiten Bias-Spannung für die Drains der mehreren Transistoren dient und die Gilbert-Zelle zum Mischen des ersten RF-Signals mit dem LO-Signal, um ein gemischtes IF-Signal für die Sources der mehreren Transistoren bereitzustellen, dient.
  • Beispiel 1557 weist den Gegenstand von Beispiel 1556 auf und optional, dass der zweite Transformator zum Kombinieren des gemischten RF-Signals an den Sources der mehreren Transistoren in das zweite IF-Signal dient.
  • Beispiel 1558 weist den Gegenstand eines der Beispiele 1552-1557 auf und optional, dass die Mischschaltung einen ersten Schalter, der mit dem ersten Spannungsanschluss betriebstechnisch gekoppelt ist, um in der Aufwärtsumsetzungsbetriebsart die erste Bias-Spannung mit den Drains der mehreren Transistoren zu koppeln und in der Abwärtsumsetzungsbetriebsart die zweite Bias-Spannung mit den Drains der mehreren Transistoren zu koppeln; und einen zweiten Schalter, der mit dem zweiten Spannungsanschluss betriebstechnisch gekoppelt ist, um in der Aufwärtsumsetzungsbetriebsart die zweite Bias-Spannung mit den Sources der mehreren Transistoren zu koppeln und in der Abwärtsumsetzungsbetriebsart die erste Bias-Spannung mit den Sources der mehreren Transistoren zu koppeln, umfasst.
  • Beispiel 1559 weist den Gegenstand eines der Beispiele 1552-1558 auf, der eine Steuereinheit zum Schalten des bidirektionalen Mischers in die Aufwärtsumsetzungsbetriebsart durch Anlegen der ersten Bias-Spannung an die Drains der mehreren Transistoren und durch Anlegen der zweiten Bias-Spannung an die Sources der mehreren Transistoren umfasst.
  • Beispiel 1560 weist den Gegenstand von Beispiel 1559 auf und optional, dass die Steuereinheit zum Schalten des bidirektionalen Mischers in die Abwärtsumsetzungsbetriebsart zum Anlegen der ersten Bias-Spannung an die Sources der mehreren Transistoren und durch Anlegen der zweiten Bias-Spannung an die Drains der mehreren Transistoren dient.
  • Beispiel 1561 weist den Gegenstand eines der Beispiele 1552-1560 auf und optional, dass die mehreren Transistoren einen oder mehrere Feldeffekttransistoren (FETs) umfassen.
  • Beispiel 1562 weist den Gegenstand eines der Beispiele 1551-1561 auf, der einen bidirektionalen RF-Verstärker zum, in einer Sende- (Tx-) Betriebsart, Verstärken des zweiten RF-Signals aus dem bidirektionalen Mischer in ein Tx-RF-Signal und zum, in einer Empfangs- (Rx-) Betriebsart, Verstärken eines Rx-RF-Signals, um das erste RF-Signal für den ersten Anschluss bereitzustellen; und einen bidirektionalen IF-Verstärker zum, in der Tx-Betriebsart, Verstärken eines ersten Basisbandsignals in ein zweites IF-Signal und zum, in der Rx-Betriebsart, Verstärken des ersten IF-Signals aus dem zweiten Anschluss des bidirektionalen Mischers in ein zweites Basisbandsignal umfasst.
  • Beispiel 1563 weist den Gegenstand eines der Beispiele 1551-1561 auf, der einen ersten RF-Verstärker zum, in einer Sende- (Tx-) Betriebsart, Verstärken des zweiten RF-Signals aus dem bidirektionalen Mischer in ein Tx-RF-Signal; einen zweiten RF-Verstärker zum, in einer Empfangs- (Rx-) Betriebsart, Verstärken eines Rx-RF-Signals in das erste RF-Signal, das für den bidirektionalen Mischer bereitgestellt werden soll; einen ersten IF-Verstärker zum, in der Tx-Betriebsart, Verstärken eines ersten Basisbandsignals in das zweite IF-Signal, das für den bidirektionalen Mischer bereitgestellt werden soll; und einen zweiten IF-Verstärker zum, in der Rx-Betriebsart, Verstärken des ersten IF-Signals aus dem bidirektionalen Mischer in ein zweites Basisbandsignal umfasst.
  • Beispiel 1564 weist den Gegenstand von Beispiel 1563 auf und optional, dass der erste RF-Verstärker einen Leistungsverstärker umfasst und der zweite RF-Verstärker einen rauscharmen Verstärker (LNA) umfasst.
  • Beispiel 1565 weist den Gegenstand von Beispiel 1563 oder 1564 auf und optional, dass der erste IF-Verstärker einen Tx-IF-Verstärker umfasst und der zweite IF-Verstärker einen Rx-IF-Verstärker umfasst.
  • Beispiel 1566 weist den Gegenstand eines der Beispiele 1551-1565 auf, der einen Halbduplex-Sendeempfänger umfasst.
  • Beispiel 1567 weist den Gegenstand von Beispiel 1566 auf, der eine oder mehrere Antennen umfasst, die mit dem Sendeempfänger betriebstechnisch gekoppelt sind.
  • Beispiel 1568 weist den Gegenstand von Beispiel 1567 auf und optional, dass die eine oder die mehreren Antennen eine oder mehrere Antennen einer phasengesteuerten Gruppe umfassen.
  • In Beispiel 1569 weist der Gegenstand von Beispiel 1 optional eine Einrichtung für eine mobile Vorrichtung auf, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte, die mehrere parallele Schichten umfasst, die eine obere Schicht und eine untere Schicht aufweisen; ein Funk-Frontend-Modul, das an der Leiterplatte angebracht ist und eine integrierte Schaltung (IC) umfasst; eine an Masse gelegte Abschirmung, die an der Leiterplatte angebracht ist, wobei die an Masse gelegte Abschirmung konfiguriert ist, die IC gegen Störung abzuschirmen; eine gerichtete gestapelte Patchantenne, die ein strahlendes Element und ein parasitäres Element umfasst, wobei sich wenigstens das parasitäre Element neben der an Masse gelegten Abschirmung befindet und sich das strahlende Element auf einer der Schichten der Leiterplatte, die nicht die obere und die untere Schicht ist, befindet und durch einen Speisemechanismus, der einen mit der IC gekoppelten Speisestreifen umfasst, gespeist wird; wobei die an Masse gelegte Abschirmung als ein Reflektor und als eine Masseebene für die gerichtete gestapelte Patchantenne konfiguriert ist und wobei die gerichtete gestapelte Patchantenne konfiguriert ist, Signale einer ersten Polarisation in einer Längsstrahlungsrichtung und Signale einer zweiten Polarisation in einer Querstrahlungsrichtung zu verbreiten, und wobei die erste und die zweite Polarisation orthogonale Polarisationen sind. 2. Einrichtung nach Anspruch 1, wobei die erste Polarisation Signale umfasst, die ein elektrisches Feld aufweisen, das parallel zu den Schichten der Leiterplatte ist, und die zweite Polarisation Signale umfasst, die senkrecht zu den Schichten der Leiterplatte sind.
  • In Beispiel 1570 weist der Gegenstand von Beispiel 1569 optional auf, dass die erste Polarisation eine horizontale Polarisation ist und die zweite Polarisation vertikale Polarisation ist.
  • In Beispiel 1571 weist der Gegenstand eines oder mehrerer der Beispiele 1569-1570 optional auf, dass der Speisemechanismus ferner ein Via, die die Speiseleitung und das strahlende Element koppelt, umfasst.
  • In Beispiel 1572 weist der Gegenstand eines oder mehrerer der Beispiele 1569-1571 optional auf, dass dann, wenn das Senden in einer Längsstrahlungsrichtung ist, die gerichtete gestapelte Patchantenne konfiguriert ist, als Monopolantenne zu arbeiten.
  • In Beispiel 1573 weist der Gegenstand eines oder mehrerer der Beispiele 1569-1572 optional auf, dass die an Masse gelegte Abschirmung geradlinig ist und mehrere erste Seiten und eine zweite Seite orthogonal zu den mehreren ersten Seiten aufweist, wobei mehrere gerichtete gestapelte Patchantennen eine Antennengruppe umfassen, die sich an einer der ersten Seite der Abschirmung innerhalb der Einrichtung befindet.
  • In Beispiel 1574 weist der Gegenstand eines oder mehrerer der Beispiele 1569-1573 optional auf, dass die an Masse gelegte Abschirmung geradlinig ist und mehrere erste Seiten und eine zweite Seite orthogonal zu den mehreren ersten Seiten aufweist und mehrere der gestapelten Patchantennen mehrere Antennengruppen umfassen, wobei sich wenigstens eine der mehreren Antennengruppen an einer ersten der ersten Seiten der an Masse gelegten Abschirmung innerhalb der Einrichtung befindet und sich wenigstens eine zweite aus den mehreren Antennengruppen an einer zweiten aus den ersten Seiten der an Masse gelegten Abschirmung innerhalb der Einrichtung befindet.
  • In Beispiel 1575 weist der Gegenstand eines oder mehrerer der Beispiele 1569-1574 optional auf, dass der Speisemechanismus einen Speisepunkt in die gerichtete gestapelte Patchantenne aufweist und der Speisepunkt konfiguriert ist, die Impedanz der gerichteten gestapelten Patchantenne anzupassen.
  • Beispiel 1576 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte, die eine Oberseite und eine Unterseite umfasst; ein Funk-Frontend-Modul, das an der Oberseite der Leiterplatte angebracht ist und eine integrierte Schaltung (IC) umfasst; eine leitfähige Abschirmung, die die IC bedeckt und an der Oberseite der Leiterplatte angebracht ist, wobei die leitfähige Abschirmung vier Seiten und eine Oberseite umfasst und konfiguriert ist, die IC vor Hochfrequenzstörung zu schützen; und wenigstens eine gerichtete Antenne, die durch wenigstens einen ausgeschnittenen Abschnitt der Abschirmung gebildet ist, wobei die wenigstens eine gerichtete Antenne durch wenigstens einen Speisemechanismus, der Teil der Leiterplatte ist und mit der IC gekoppelt ist, gespeist wird, wobei die Leiterplatte eine Masseebene für die wenigstens eine gerichtete Antenne umfasst und wobei die wenigstens eine gerichtete Antenne konfiguriert ist, in einer Richtung nach außen von der IC zu strahlen.
  • In Beispiel 1577 weist der Gegenstand von Beispiel 1576 optional auf, dass die wenigstens eine gerichtete Antenne eine planare invertierte F-Antenne (PIFA) umfasst, die an dem Speisemechanismus angeschlossen ist und konfiguriert ist, an einer Viertelwellenlänge in Resonanz zu sein.
  • In Beispiel 1578 weist der Gegenstand eines oder mehrerer der Beispiele 1576-1577 optional auf, dass die wenigstens eine Antenne eine Kerbenantenne, eine Schlitzantenne oder eine Patchantenne umfasst.
  • In Beispiel 1579 weist der Gegenstand eines oder mehrerer der Beispiele 1576-1578 optional auf, dass die wenigstens eine Antenne eine Gruppe aus zwei Antennen umfasst, wobei jede Antenne der Gruppe auf der Abschirmung orthogonal zueinander angeordnet ist, und wobei der wenigstens eine Speisemechanismus zwei Speisemechanismen umfasst, von denen jeder jeweils eine der zwei Antennen speist, und wobei die Antennengruppe konfiguriert ist, zwei unterschiedliche Polarisationen zu unterstützen.
  • In Beispiel 1580 weist der Gegenstand von Beispiel 1579 optional auf, dass jede der zwei Antennen der Gruppe auf unterschiedlichen Seiten der Abschirmung konfiguriert ist oder dass eine der zwei Antennen auf einer der vier Seiten der Abschirmung konfiguriert ist und eine zweite der zwei Antennen auf der Oberseite der Abschirmung konfiguriert ist.
  • In Beispiel 1581 weist der Gegenstand eines oder mehrerer der Beispiele 1576-1580 optional auf, dass die wenigstens eine Antenne eine Gruppe aus zwei Antennen umfasst, wobei jede Antenne aus der Gruppe auf der Abschirmung orthogonal zueinander angeordnet ist, wobei der wenigstens eine Speisemechanismus zwei Speisemechanismen umfasst, von denen jeder jeweils eine der zwei Antennen speist, und wobei das Funk-Frontend-Modul konfiguriert ist, jeden der Speisemechanismen mit demselben Signal zu versorgen, um eine neue Vektorsummierung zu erzeugen, oder jeden der Speisemechanismen mit unterschiedlichen Signalen für Mehr-Ein-mehr-Aus-(MIMO-) Betriebsarten zu versorgen.
  • In Beispiel 1582 weist der Gegenstand eines oder mehrerer der Beispiele 1579-1581 optional auf, dass jeder der zwei Speisemechanismen konfiguriert ist, die zwei Antennen zu unterschiedlichen Zeiten zu aktivieren, um eine erste der zwei unterschiedlichen Polarisationen für eine erste aus den zwei Antennen und eine zweite der zwei unterschiedlichen Polarisationen für eine zweite aus den zwei Antennen bereitzustellen.
  • In Beispiel 1583 weist der Gegenstand von Beispiel 1582 optional auf, dass das Funk-Frontend-Modul konfiguriert ist, durch algorithmische Steuerung aktiviert zu werden, wobei die Aktivierung von der Orientierung einer Empfangsvorrichtung abhängig ist, die Einrichtung von der Empfangsvorrichtung Rückmeldungsinformationen empfängt, die bezeichnen, ob die erste Polarisation oder die zweite Polarisation einen besseren Empfang an einer gegebenen aus den zwei unterschiedlichen Zeiten bereitstellt, und die Rückmeldungsinformationen die algorithmische Steuerung der Aktivierung der Speiseleitungen umfassen.
  • Beispiel 1584 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: einen Sendeempfänger, der sich auf einem Substrat innerhalb der Einrichtung befindet; eine phasengesteuerte Gruppe von Antennenelementen, die mit dem Sendeempfänger gekoppelt ist und konfiguriert ist, Funkwellen innerhalb eines ersten Abdeckungswinkels zu senden, wenn die phasengesteuerte Gruppe abgetastet wird; und eine Linse, die neben der phasengesteuerten Gruppe platziert ist und konfiguriert ist, die gesendeten Funkwellen zu einem zweiten Abdeckungswinkel, der größer ist als der erste Abdeckungswinkel, abzulenken.
  • In Beispiel 1585 weist der Gegenstand von Beispiel 1584 optional auf, dass die Linse ein Prisma umfasst.
  • Beispiel 1586 ist ein Antennensystem, das Folgendes umfasst: ein Funk-Frontend-Modul, das konfiguriert ist, Funkwellen zu erzeugen; einen Reflektor; und mehrere phasengesteuerte Gruppen von Antennenelementen, wobei sich jede Gruppe an unterschiedlichen Positionen neben dem Reflektor befindet und konfiguriert ist, die erzeugten Funkwellen zu dem Reflektor zu senden, um einen Fokus des Reflektors mit den Funkwellen zu bestrahlen, wobei der Ort jeder Gruppe an unterschiedlichen Positionen Funkfrequenzstrahlung von dem Reflektor in mehreren engen Strahlen verursacht, wobei jeder Strahl in einer anderen Richtung zum Abtasten eines anderen Strahlabtastungssektors geneigt ist und wobei das Hinzufügen einer zusätzlichen phasengesteuerten Gruppe zu den mehreren phasengesteuerten Gruppen das Bilden eines zusätzlichen Strahlabtastungssektors bewirkt.
  • In Beispiel 1587 weist der Gegenstand von Beispiel 1586 optional auf, dass sich jede phasengesteuerte Gruppe an einer Seite oder an dem Boden des Reflektors befindet.
  • In Beispiel 1588 weist der Gegenstand eines oder mehrerer der Beispiele 1586-1587 optional auf, dass jedes Antennenelement der phasengesteuerten Gruppe zwei Speisemechanismen aufweist, wobei ein erster aus der Speisemechanismen konfiguriert ist, erzeugte Funkwellen für das Antennenelement in einer ersten Polarisation bereitzustellen, und ein zweiter der zwei Speisemechanismen konfiguriert ist, erzeugte Funkwellen für das Antennenelement in einer zweiten Polarisation, die zu der ersten Polarisation orthogonal ist, bereitzustellen.
  • Beispiel 1589 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Gehäuse; ein Substrat innerhalb des Gehäuses; einen konform abgeschirmten Die mit integrierter Schaltung (IC-Die), der einen Sendeempfänger umfasst, der konfiguriert ist, Hochfrequenz-(RF-) Signale zu erzeugen, wobei der IC-Die mit dem Substrat innerhalb des Gehäuses verbunden ist, einen oder mehrere Antennen-Direktoren auf oder in dem Gehäuse außerhalb des Substrats; und eine Antennengruppe, die mit dem Sendeempfänger gekoppelt ist und konfiguriert ist, die RF-Signale zu senden, um mit dem einen oder den mehreren Antennendirektoren zusammenzuwirken, wobei sich die Antennengruppe innerhalb einer ersten Seite des Substrats, auf einer oberflächenmontierten Vorrichtung (SMD), die auf einer zweiten Seite des Substrats montiert ist, oder innerhalb der SMD, die auf der zweiten Seite des Substrats montiert ist, befindet und wobei der eine oder die mehreren Antennendirektoren konfiguriert ist, die RF-Signale zu lenken.
  • In Beispiel 1590 weist der Gegenstand von Beispiel 1589 optional auf, dass die konforme Abschirmung eine Masseebene für die Antennengruppe umfasst.
  • In Beispiel 1591 weist der Gegenstand eines oder mehrerer der Beispiele 1589-1590 optional auf, dass er ferner einen Wärmeleitmechanismus umfasst, der konfiguriert ist, Wärme aus dem IC-Die auf eine Oberfläche außerhalb des Dies zu leiten.
  • In Beispiel 1592 weist der Gegenstand eines oder mehrerer der Beispiele 1589-1591 optional auf, dass der Wärmeleitmechanismus ein Wärmeverteiler ist, der mit dem IC-Die gekoppelt ist.
  • Beispiel 1593 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die einen Sendeempfänger umfasst, der konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen, wobei die IC mit dem Substrat verbunden ist; eine Dipolantenne, die zwei horizontale Zweige aufweist und innerhalb des Substrats konfiguriert ist; und eine oberflächenmontierte Vorrichtung (SMD), die ein vertikales metallisches Via umfasst, wobei die SMD auf dem Substrat neben der Dipolantenne montiert ist, wobei das vertikale metallische Via einen der zwei horizontalen Zweige der Dipolantenne kontaktiert, wobei das vertikale metallische Via einen vertikalen Zweig einer Monopolantenne umfasst und wobei dann, wenn sie mit den RF-Signalen gespeist wird, die Dipolantenne konfiguriert ist, eine erste Polarisation zu zeigen, und wenn er mit den RF-Signalen gespeist wird, der vertikale Zweig der Monopolantenne konfiguriert ist, eine zweite Polarisation zu zeigen.
  • In Beispiel 1594 weist der Gegenstand von Beispiel 1593 optional auf, dass das vertikale metallische Via eine Metallleiterbahn umfasst.
  • In Beispiel 1595 weist der Gegenstand eines oder mehrerer der Beispiele 1593-1594 optional auf, dass sich das vertikale metallische Via zu der Oberseite der SMD erstreckt und die Monopolantenne ferner eine horizontale Metallleiterbahn umfasst, die auf der Oberseite der SMD konfiguriert ist, wobei die horizontale Metallleiterbahn das vertikale metallische Via kontaktiert und senkrecht zu ihr ist und einen Teil des vertikalen Zweigs der Monopolantenne umfasst.
  • Beispiel 1596 ist eine L-förmige Dipolantenne, die Folgendes umfasst: ein Substrat, das einen horizontalen Zweig der Dipolantenne umfasst, eine Abschirmung einer integrierten Schaltung (IC-Abschirmung), die einem IC-Die abdeckt und mit dem Substrat verbunden ist, und eine oberflächenmontierte Vorrichtung (SMD), die auf dem Substrat neben der IC-Abschirmung montiert ist, wobei die SMD einen vertikalen Zweig der Dipolantenne umfasst, wobei der vertikale Zweig wenigstens teilweise innerhalb der SMD ist, wobei die IC-Abschirmung als ein Reflektor für die Dipolantenne funktioniert, wobei die Dipolantenne durch eine Speiseleitung aus dem IC-Die gespeist wird und wobei die Konfiguration des horizontalen Zweigs der Dipolantenne und des vertikalen Zweigs der Dipolantenne eine L-Form umfasst.
  • In Beispiel 1597 weist der Gegenstand von Beispiel 1596 optional auf, dass wenigstens ein Teil des vertikalen Zweigs innerhalb des Substrats ist.
  • In Beispiel 1598 weist der Gegenstand von Beispiel 1597 optional auf, dass der wenigstens eine Teil des vertikalen Zweigs, der innerhalb des Substrats ist, ein metallisiertes Via umfasst.
  • In Beispiel 1599 weist der Gegenstand von Beispiel 1598 optional auf, dass das metallisierte Via eine Metallleiterbahn umfasst.
  • In Beispiel 1600 weist der Gegenstand eines oder mehrerer der Beispiele 1596-1599 optional auf, dass sich der wenigstens eine Teil des vertikalen Zweigs, der innerhalb der SMD ist, durch die SMD erstreckt, wobei eine horizontale Metallleiterbahn auf der Oberseite der SMD konfiguriert ist und wobei die horizontale Metallleiterbahn den vertikalen Zweig kontaktiert und zu ihm senkrecht ist und ein Teil des vertikalen Zweigs ist.
  • Beispiel 1601 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine Abschirmung einer integrierten Schaltung (IC-Abschirmung), die eine IC abdeckt, die konfiguriert ist, Hochfrequenz- (RF-) Ketten zu erzeugen, wobei sowohl die Abschirmung als auch die IC mit dem Substrat verbunden sind; und eine Antennengruppe, die mehrere L-förmige Dipolantennen umfasst, wobei sich jede Dipolantenne neben der IC-Abschirmung befindet, wobei jede Dipolantenne konfiguriert ist, durch eine RF-Kette aus der IC gespeist zu werden, wobei jede Dipolantenne einen horizontalen Zweig und einen vertikalen Zweig umfasst, und wobei die Dipolantennen aus den mehreren in benachbarten Paaren angeordnet sind, wobei die horizontalen Zweige jedes benachbarten Paars in entgegengesetzten Richtungen orientiert sind.
  • In Beispiel 1602 weist der Gegenstand von Beispiel 1601 optional auf, dass dann, wenn jede Dipolantenne durch eine RF-Kette aus der IC gespeist wird, die Gruppe mehrere RF-Ketten bereitgestellt, wobei jede bereitgestellte RF-Kette sowohl eine erste Polarisation als auch eine zweite Polarisation, die zu der ersten Polarisation orthogonal ist, aufweist.
  • Beispiel 1603 ist eine Einrichtung für eine mobile Vorrichtung, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte (PCB), die eine obere Schicht und eine untere Schicht umfasst; eine Chip mit integrierter Schaltung (IC-Chip), der eine obere Ebene und eine untere Ebene umfasst, wobei der IC-Chip einen Sendeempfänger umfasst und der IC-Chip mit der oberen Schicht der PCB verbunden ist; eine Antennengruppe, die mehrere Antennenelemente umfasst, die innerhalb der unteren Ebene des IC-Chips neben der PCB konfiguriert sind und durch Speisesendeleitungen, die mit dem Sendeempfänger gekoppelt sind, gespeist werden; und eine IC-Abschirmung, die die IC abdeckt, um die IC gegen Störung abzuschirmen, und mit der PCB verbunden ist, wobei eine aus der IC-Abschirmung oder einer Masseschicht innerhalb der PCB eine Masse für die Antennengruppe umfasst.
  • In Beispiel 1604 weist der Gegenstand von Beispiel 1603 optional ferner auf, dass er einen Zwischenraum zwischen der PCB und der Antennengruppe umfasst, um zu verhindern, dass das wenigstens eine Antennenelement die PCB kontaktiert.
  • In Beispiel 1605 weist der Gegenstand eines oder mehrerer der Beispiele 1603-1604 optional auf, dass die Übertragungsspeiseleitungen Metallleiterbahnen umfassen.
  • In Beispiel 1606 weist der Gegenstand eines oder mehrerer der Beispiele 1603-1605 optional auf, dass die PCB eine Hauptplatine umfasst.
  • Beispiel 1607 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: einen Sendeempfänger, der auf einer integrierten Schaltung (IC) konfiguriert ist, die mit einer Leiterplatte (PCB) verbunden ist, wobei der Sendeempfänger konfiguriert ist, Hochfrequenz- (RF-) Signale in einem ersten Frequenzband und in einem zweiten Frequenzband zu erzeugen; eine erste Antenne, die innerhalb der PCB konfiguriert ist, und eine zweite Antenne, die innerhalb der PCB in koaxialer Beziehung zu der ersten Antenne konfiguriert ist; einen ersten Speisemechanismus, der mit dem Sendeempfänger und mit der ersten Antenne verbunden ist, wobei der erste Speisemechanismus die erste Antenne mit RF-Signalen in dem ersten Frequenzband speist; einen zweiten Speisemechanismus, der orthogonal zu dem ersten Speisemechanismus angeordnet ist, wobei der zweite Speisemechanismus mit dem Sendeempfänger und der zweiten Antenne gekoppelt ist, wobei der zweite Speisemechanismus die zweite Antenne mit RF-Signalen in dem zweiten Frequenzband speist, wobei die Verarbeitungsschaltung konfiguriert ist, jeden Speisemechanismus zu unterschiedlichen Zeiten zu betreiben, um jede aus der ersten Antenne und der zweiten Antenne zu unterschiedlichen Zeiten zu aktivieren, und wobei die erste Antenne, wenn sie zu einer ersten Zeit aktiviert ist, RF-Signale in dem ersten Frequenzband in einer ersten Polarisation sendet, und die zweite Antenne, wenn sie zu einer zweiten Zeit aktiviert ist, die RF-Signale in dem zweiten Frequenzband in einer zweiten Polarisation, die zu der ersten Polarisation orthogonal ist, sendet.
  • In Beispiel 1608 weist der Gegenstand von Beispiel 1607 optional auf, dass die erste Antenne eine Patchantenne ist, die als ein angesteuertes Antennenelement und ein parasitäres Antennenelement konfiguriert ist, und die zweite Antenne eine Schlitzantenne ist.
  • In Beispiel 1609 weist der Gegenstand von Beispiel 1608 optional auf, dass die Schlitzantenne als eine geradlinige Schlitzantenne konfiguriert ist.
  • In Beispiel 1610 weist der Gegenstand eines oder mehrerer der Beispiele 1607-1609 optional auf, dass der erste Speisemechanismus innerhalb der PCB konfiguriert ist und eine erste Menge aus zwei orthogonalen Speiseleitungen, die mit dem Sendeempfänger und dem angesteuerten Element der ersten Antenne gekoppelt sind, umfasst.
  • In Beispiel 1611 weist der Gegenstand eines oder mehrerer der Beispiele 1607-1610 optional auf, dass der zweite Speisemechanismus innerhalb der PCB konfiguriert ist und eine zweite Menge aus zwei orthogonalen Speiseleitungen umfasst, die mit dem Sendeempfänger und mit der zweiten Antenne gekoppelt sind, wobei die zweite Antenne aus den zwei orthogonalen Speiseleitungen durch Nahfeldkopplung gespeist wird.
  • In Beispiel 1612 weist der Gegenstand eines oder mehrerer der Beispiele 1607-1611 optional auf, dass die zweite Antenne eine Masse für die erste Antenne umfasst.
  • Beispiel 1613 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; einen Antennendirektor, der auf einem oder innerhalb eines Gehäuses außerhalb des Substrats konfiguriert ist, eine Antenne, die auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD) konfiguriert ist; und orthogonale Dualspeisemechanismen, die mit dem Sendeempfänger und mit der Antenne gekoppelt sind, wobei die Antenne konfiguriert ist, die RF-Signale in zwei orthogonalen Polarisationen zu senden, um mit dem Antennendirektor zusammenzuwirken, und wobei der Antennendirektor konfiguriert ist, die RF-Signale zu lenken.
  • In Beispiel 1614 weist der Gegenstand von Beispiel 1613 optional auf, dass die leitfähige Abschirmung konfiguriert ist, als eine Masseebene für die Antenne zu dienen.
  • In Beispiel 1615 weist der Gegenstand eines oder mehrerer der Beispiele 1613-1614 optional auf, dass die Antenne eine Zweielemente-Patchantenne umfasst, wobei ein erstes der zwei Elemente ein angesteuertes kapazitives Patchantennenelement ist und ein zweites der zwei Elemente ein parasitäres Patchantennenelement ist.
  • In Beispiel 1616 weist der Gegenstand von Beispiel 1615 optional auf, dass er ferner eine Masseebene für die Antenne umfasst, wobei die Masseebene innerhalb des Substrats konfiguriert ist.
  • In Beispiel 1617 weist der Gegenstand eines oder mehrerer der Beispiele 1613-1616 optional ferner auf, dass er eine schraffierte kapazitive Patchantenne und eine schraffierte Masseebene für die schraffierte kapazitive Patchantenne umfasst, wobei die schraffierte kapazitive Patchantenne und die schraffierte Masseebene auf oder innerhalb der SMD konfiguriert sind.
  • Beispiel 1618 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; einen Antennendirektor, der auf einem oder innerhalb eines Gehäuses außerhalb des Substrats konfiguriert ist, eine Antenne, die auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD) konfiguriert ist; und einen Einzelspeisemechanismus, der mit dem Sendeempfänger und mit der Antenne gekoppelt ist, wobei die Antenne konfiguriert ist, die RF-Signale in einer einzigen Polarisation zu senden, um mit dem Antennendirektor zusammenzuwirken, und wobei der Antennendirektor konfiguriert ist, die RF-Signale zu lenken.
  • In Beispiel 1619 weist der Gegenstand von Beispiel 1618 optional auf, dass die Antenne eine Spiralantenne umfasst, die innerhalb der SMD konfiguriert ist, wobei die Spiralantenne verbundene Leiterbahnen und Vias auf oder innerhalb der SMD umfasst, und wobei die Abschirmung als ein Reflektor und als eine Masseebene für die Spiralantenne konfiguriert ist.
  • In Beispiel 1620 weist der Gegenstand eines oder mehrerer der Beispiele 1618-1619 optional auf, dass die Antenne eine Patchantenne umfasst, die auf oder innerhalb der SMD konfiguriert ist, und die Abschirmung als ein Reflektor und als eine Masseebene für die Patchantenne konfiguriert ist.
  • Beispiel 1621 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; mehrere Antennendirektoren, die auf einem oder innerhalb eines Gehäuses außerhalb des Substrats konfiguriert sind; mehrere Antennenelemente, die eine Antennengruppe umfassen, die auf oder innerhalb einer entsprechenden oberflächenmontierten Vorrichtung (SMD) konfiguriert sind oder auf dem oder innerhalb des Substrats konfiguriert sind; und einen Speisemechanismus, der mit dem Sendeempfänger und mit jeder der mehreren Antennenelementen der Antennengruppe gekoppelt ist, wobei jedes der mehrere Antennenelemente der Antennengruppe konfiguriert ist, die RF-Signale zu senden, um mit den mehreren Antennendirektoren zusammenzuwirken, und wobei die mehreren Antennendirektoren konfiguriert sind, die RF-Signale zu lenken.
  • In Beispiel 1622 weist der Gegenstand von Beispiel 1621 optional auf, dass der Speisemechanismus mehrere Speiseelemente umfasst, die konfiguriert sind, die mehreren Antennenelemente mit den RF-Signalen in einer einzigen Polarität zu speisen, wobei die Antennengruppe die RF-Signale in der einzigen Polarität sendet.
  • In Beispiel 1623 weist der Gegenstand eines oder mehrerer der Beispiele 1621-1622 optional auf, dass der Speisemechanismus mehrere duale orthogonale Speiseelemente umfasst, die konfiguriert sind, die mehreren Antennenelemente mit den RF-Signalen in einer ersten Polarität und einer zweiten Polarität, die zu der ersten Polarität orthogonal ist, zu speisen, wobei die Antennengruppe die RF-Signale in der ersten Polarität und in der zweiten Polarität sendet.
  • Beispiel 1624 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat, das eine erste Schicht und eine zweite Schicht umfasst; ein Funk-Frontend-Modul (RFEM), das an der ersten Schicht des Substrats angebracht ist und eine integrierte Schaltung (IC) umfasst, die konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die die IC abdeckt, ist an der ersten Substrat angebracht und ist konfiguriert, die IC vor Störung zu schützen; eine oberflächenmontierte Vorrichtung (SMD), die mit dem Substrat gekoppelt ist, neben der leitfähigen Abschirmung; und wenigstens eine gerichtete Monopolantenne, die einen ersten Zweig aufweist, der ein metallisiertes Via umfasst, die mit dem RFEM verbunden ist und sich in die SMD senkrecht zu dem Substrat erstreckt, wobei die gerichtete Monopolantenne durch wenigstens einen Speisemechanismus gespeist wird, der Teil des Substrats ist und mit der IC gekoppelt ist, wobei die gerichtete Monopolantenne konfiguriert ist, die RF-Signale in einer ersten Polarität in einer Richtung nach außen von dem RFEM zu senden, und wobei die leitfähige Abschirmung ein Reflektor für die gerichtete Monopolantenne ist.
  • In Beispiel 1625 weist der Gegenstand von Beispiel 1624 optional auf, dass sich das Via durch die SMD zu der Oberseite der SMD erstreckt.
  • In Beispiel 1626 weist der Gegenstand von Beispiel 1625 optional auf, dass der erste Zweig ferner eine Metallleiterbahn umfasst, die auf der oberen Schicht der SMD konfiguriert ist, senkrecht zu und verbunden mit dem Via, die sich durch die SMD zu der Oberseite der SMD erstreckt.
  • Beispiel 1627 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat, das eine erste Schicht und eine zweite Schicht umfasst; eine integrierte Schaltung (IC), die an der ersten Schicht des Substrats angebracht ist und konfiguriert ist, Hochfrequenz-(RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die die IC abdeckt, ist an der ersten Substrat angebracht und ist konfiguriert, die IC vor Störung zu schützen; mehrere Antennengruppen, die jeweils mehrere gerichtete Monopolantennenelemente umfassen, neben der leitfähigen Abschirmung, die ein Reflektor für die gerichteten Monopolantennen ist; und mehrere zweite Gruppen, die jeweils mehrere gerichtete Dipolantennenelemente parallel zu der zweiten Schicht, die eine Masseebene für die mehreren gerichteten Dipolantennen ist, umfassen, wobei die mehreren Monopolantennenelemente und die mehreren Dipolantennenelemente jeweils nebeneinander angeordnet sind, und wobei jede der mehreren Monopolantennen konfiguriert ist, die RF-Signale in einer ersten Polarisation zu senden, und jede der mehreren Dipolantennen konfiguriert ist, die RF-Signale in einer zweiten Polarisation, die zu der ersten Polarisation orthogonal ist, zu senden.
  • In Beispiel 1628 weist der Gegenstand von Beispiel 1627 optional auf, dass jedes der mehreren Monopolantennenelemente einen ersten Zweig umfasst, der ein metallisiertes Via umfasst, die sich in die entsprechende oberflächenmontierte Vorrichtung (SMD) senkrecht zu dem Substrat erstreckt, wobei jede der mehreren Monopolantennen durch einen Speisemechanismus gespeist wird, der als Teil des Substrats konfiguriert ist und mit der IC gekoppelt ist, um RF-Signale den mehreren Monopolantennen zuzuführen, und wobei die gerichteten Dipolantennen durch einen Speisemechanismus gespeist werden, der als Teil des Substrats konfiguriert ist und mit der IC gekoppelt ist, um RF-Signale den mehreren Dipolantennen zuzuführen.
  • In Beispiel 1629 weist der Gegenstand von Beispiel 1628 optional auf, dass sich der vertikale Zweig wenigstens einer aus den mehreren Monopolantennen zu der Oberseite der entsprechenden SMD der wenigstens einen der mehreren Monopolantennen erstreckt und der vertikale Zweig der wenigstens einen der mehreren Monopolantennen ferner eine Metallleiterbahn umfasst, die auf der Oberseite der entsprechenden SMD konfiguriert ist, senkrecht zu und verbunden mit dem metallisierten Via.
  • Beispiel 1630 ist eine Einrichtung für eine mobile Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist, wobei die IC einen Sendeempfänger umfasst, der einen Sender (TX), der konfiguriert ist, erste Hochfrequenz- (RF-) Signale zu erzeugen, und einen Empfänger (RX), der konfiguriert ist, empfangene zweite RF-Signale zu verarbeiten, aufweist, wobei der TX und der RX zu unterschiedlichen Zeiten arbeiten, wobei der TX einen Leistungsverstärker (PA) umfasst, der mit einem ersten Speisemechanismus gekoppelt ist, und der RX einen rauscharmen Verstärker (LNA) umfasst, der mit einem zweiten Speisemechanismus gekoppelt ist; und eine dual gespeiste Antenne, die auf dem Substrat konfiguriert ist, wobei die dual gespeiste Antenne einen TX-Speiseleitungsanpassungspunkt und einen RX-Speiseleitungsanpassungspunkt aufweist, wobei der erste Speisemechanismus mit dem TX-Speiseleitungsanpassungspunkt der dual gespeisten Antenne direkt verbunden ist und der zweite Speisemechanismus mit dem RX-Speiseleitungsanpassungspunkt der dual gespeisten Antenne direkt verbunden ist, wobei die ersten RF-Signale durch die dual gespeiste Antenne gesendet werden und die zweiten RF-Signale durch die dual gespeiste Antenne empfangen werden.
  • In Beispiel 1631 weist der Gegenstand von Beispiel 1630 optional auf, dass die dual gespeiste Antenne eine Patchantenne ist, der erste Speisemechanismus eine erste Einzelpolarisationsspeiseleitung ist, die konfiguriert ist, die ersten RF-Signale in einer einzigen Polarisation zu übertragen, und der zweite Speisemechanismus eine zweite Einzelpolarisationsspeiseleitung ist, die konfiguriert ist, die zweiten RF-Signale in einer einzigen Polarisation zu empfangen.
  • In Beispiel 1632 weist der Gegenstand eines oder mehrerer der Beispiele 1630-1631 optional auf, wobei die dual gespeiste Antenne eine Patchantenne ist, der erste Speisemechanismus einen ersten dualen orthogonalen Speisemechanismus umfasst, der konfiguriert ist, die ersten RF-Signale in dualen orthogonalen Polarisationen zu übertragen, und der zweite Speisemechanismus einen zweiten dualen orthogonalen Speisemechanismus umfasst, der konfiguriert ist, die zweiten RF-Signale in dualen orthogonalen Polarisationen zu empfangen.
  • Beispiel 1633 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; mehrere Antennengruppen, die auf dem Substrat konfiguriert sind; eine Abschirmung einer integrierten Schaltung (IC-Abschirmung), die einen ersten Abschnitt, der an dem Substrat befestigt ist, und eine Abdeckung, die mit dem ersten Abschnitt verbunden ist, umfasst; und eine IC, die mit dem Substrat verbunden ist und sich innerhalb des ersten Abschnitts befindet, wobei ein Bereich der Abdeckung so konfiguriert ist, dass er ein Reflektor der Antennengruppe ist, um den Gewinn der Antenne zu verbessern, wobei sich ein Teil des ersten Abschnitts durch einen Raum in der Abdeckung erstreckt, um dem Bereich der Abdeckung zu erweitern, der so konfiguriert ist, dass er ein Reflektor der Antennengruppe ist, und wobei der erweiterte Bereich konfiguriert ist, so dass er ein Reflektor für wenigstens eine der mehreren Antennengruppen ist.
  • In Beispiel 1634 weist der Gegenstand von Beispiel 1633 optional auf, dass die mehreren Antennengruppen mehrere Patchantennenelemente und mehrere Dipolantennenelemente umfassen.
  • Beispiel 1635 ist eine Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; ein Funk-Frontend-Modul (RFEM), das mit dem Substrat verbunden ist und eine integrierte Schaltung (IC) umfasst, die konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine Antennengruppe, die durch einen Speisemechanismus, der mit der IC gekoppelt ist, gespeist wird, wobei die Antennengruppe konfiguriert ist, die RF-Signale zu senden; eine leitfähige IC-Abschirmung, die die IC abdeckt; ein Hindernis neben der Antennengruppe, das das Senden der Antennengruppe stört; und einen Interposer, der mit dem Substrat gekoppelt ist, wobei die Antennengruppe und die leitfähige IC-Abschirmung auf dem Interposer montiert sind und wobei der Interposer Höhe bereitstellt, um das Senden der Antennengruppe zu verbessern.
  • In Beispiel 1636 weist der Gegenstand von Beispiel 1635 optional auf, dass die leitfähige Abschirmung als ein Reflektor für die Antennengruppe konfiguriert ist.
  • In Beispiel 1637 weist der Gegenstand eines oder mehrerer der Beispiele 1635-1636 optional auf, dass die Antennengruppe mehrere Patchantennen umfasst.
  • In Beispiel 1638 weist der Gegenstand von Beispiel 1635 optional auf, dass die Antennengruppe mehrere Patchantennen umfasst.
  • Beispiel 1639 kann den Gegenstand eines oder mehrerer der Beispiele 1569 bis 1639 aufweisen oder kann optional mit irgendeinem Abschnitt oder irgendeiner Kombination von Abschnitten davon kombiniert sein, um den Gegenstand aufzuweisen, der Mittel zum Ausführen irgendeiner oder mehrerer der Funktionen der Beispiele 1569 bis 1639, oder ein maschinenlesbares Medium aufweisen kann, das Anweisungen aufweist, die dann, wenn sie durch eine Maschine ausgeführt werden, bewirken, dass die Maschine irgendeine oder mehrere der Funktionen der Beispiele 1569 bis 1639 ausführt.
  • Veröffentlichungen, Patente und Patentdokumente, auf die in diesem Dokument Bezug genommen ist, sind hier vollständig durch Bezugnahme mit aufgenommen, als ob sie einzeln durch Bezugnahme mit aufgenommen sind. Im Fall inkonsistenter Verwendungen zwischen diesem Dokument und jenen Dokumenten, so sie durch Bezugnahme mit aufgenommen sind, ergänzt die Verwendung in den aufgenommenen Referenz(en) dieses Dokument; für unvereinbare Inkonsistenzen hat die Verwendung in diesem Dokument Vorrang.
  • Die vorstehende Beschreibung ist als erläuternd und nicht als einschränkend vorgesehen. Beispielsweise können die vorstehend beschriebenen Beispiele (oder ein oder mehrere Aspekte davon) in Kombination mit anderen verwendet werden. Andere Aspekte können verwendet werden, wie z. B. durch einen normalen Fachmann nach Durchsehen der vorstehenden Beschreibung. Die Zusammenfassung dient dazu, dem Leser zu ermöglichen, die Beschaffenheit der technischen Offenbarung schnell zu erfassen. Sie wird mit dem Verständnis eingereicht, dass sie nicht verwendet wird, um den Schutzbereich oder die Bedeutung der Ansprüche zu interpretieren oder einzuschränken. Außerdem können in der vorstehenden genauen Beschreibung verschiedene Merkmale zusammen gruppiert sein, um die Offenbarung zu straffen. Die Ansprüche können jedoch nicht jedes hier offenbarte Merkmal darlegen, da Aspekte eine Teilmenge der Merkmale aufweisen können. Ferner können Aspekte weniger Merkmale als die in einem speziellen Beispiel offenbarten aufweisen. Somit sind die folgenden Ansprüche hiermit in die genaue Beschreibung aufgenommen, wobei ein Anspruch für sich selbst als ein separater Aspekt steht. Der Umfang hier offenbarten Aspekte soll mit Bezug auf die beigefügten Ansprüche bestimmt werden, zusammen mit dem vollständigen Umfang von Äquivalenten, für die solche Ansprüche berechtigt sind.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • FR 408 [0720]

Claims (94)

  1. Einrichtung für eine mobile Vorrichtung, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte, die eine Mehrzahl von parallelen Schichten umfasst, die eine obere Schicht und eine untere Schicht aufweisen; ein Funk-Frontend-Modul, das an der Leiterplatte angebracht ist und eine integrierte Schaltung (IC) umfasst; eine an Masse gelegte Abschirmung, die an der Leiterplatte angebracht ist, wobei die an Masse gelegte Abschirmung konfiguriert ist, die IC gegen Störung abzuschirmen; eine gestapelte gerichtete Patchantenne, die ein strahlendes Element und ein parasitäres Element umfasst, wobei das parasitäre Element neben der an Masse gelegten Abschirmung angeordnet ist und wobei das strahlende Element auf der Leiterplatte angeordnet ist und durch einen Speisemechanismus gespeist wird, der einen Zuleitungsstreifen umfasst, der mit der IC gekoppelt ist; wobei die an Masse gelegte Abschirmung als ein Reflektor und als eine Masseebene für die gestapelte gerichtete Patchantenne eingerichtet ist und wobei die gestapelte gerichtete Patchantenne konfiguriert ist, Signale einer ersten Polarisation und Signale einer zweiten Polarisation zu verbreiten, und wobei die erste und die zweite Polarisation orthogonale Polarisationen sind.
  2. Einrichtung nach Anspruch 1, wobei das strahlende Element auf der Leiterplatte anders angeordnet ist als an der oberen Schicht oder der unteren Schicht.
  3. Einrichtung nach Anspruch 1, wobei die gestapelte gerichtete Patchantenne konfiguriert ist, Signale der ersten Polarisation in einer Längsstrahlungsrichtung zu verbreiten.
  4. Einrichtung nach Anspruch 1, wobei die gestapelte gerichtete Patchantenne konfiguriert ist, Signale der zweiten Polarisation in einer Querstrahlungsrichtung zu verbreiten.
  5. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte, die eine Oberseite und eine Unterseite umfasst; ein Funk-Frontend-Modul, das an der Oberseite der Leiterplatte angebracht ist und eine integrierte Schaltung (IC) umfasst; eine leitfähige Abschirmung, die über der IC angeordnet ist und an der Oberseite der Leiterplatte angebracht ist, so dass wenigstens ein Abschnitt der Abschirmung mit der Leiterplatte koplanar ist, wobei die leitfähige Abschirmung mehrere Seiten und eine Oberseite umfasst und konfiguriert ist, die IC vor Hochfrequenzstörung zu schützen; und wenigstens eine gerichtete Antenne, die durch wenigstens einen ausgeschnittenen Abschnitt der leitfähigen Abschirmung gebildet ist, wobei die wenigstens eine gerichtete Antenne durch wenigstens einen Speisemechanismus, der Teil der Leiterplatte ist, gespeist wird und wobei der wenigstens eine Speisemechanismus mit der IC gekoppelt ist, wobei die Leiterplatte ferner eine Masseebene für die wenigstens eine gerichtete Antenne umfasst, und wobei die wenigstens eine gerichtete Antenne konfiguriert ist, in einer Richtung nach außen von der IC zu strahlen.
  6. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: einen Sendeempfänger, der auf einem Substrat innerhalb der Einrichtung angeordnet ist; eine phasengesteuerte Gruppe von Antennenelementen, die mit dem Sendeempfänger gekoppelt ist und konfiguriert ist, Funkwellen innerhalb eines ersten Abdeckungswinkels zu senden, wenn die phasengesteuerte Gruppe abgetastet wird; und eine Linse, die neben der phasengesteuerten Gruppe von Antennenelementen angeordnet ist und konfiguriert ist, die gesendeten Funkwellen zu einem zweiten Abdeckungswinkel, der größer ist als der erste Abdeckungswinkel, abzulenken.
  7. Antennensystem, das Folgendes umfasst: ein Funk-Frontend-Modul, das konfiguriert ist, Funkwellen zu erzeugen; einen Reflektor; und mehrere phasengesteuerte Gruppen von Antennenelementen, wobei jede Gruppe an unterschiedlichen Positionen neben dem Reflektor angeordnet ist und konfiguriert ist, die erzeugten Funkwellen zu dem Reflektor zu senden, um einen Fokus des Reflektors mit den Funkwellen zu bestrahlen, wobei die unterschiedlichen Orte, an denen jede Gruppe angeordnet ist, Hochfrequenzstrahlung von dem Reflektor in mehreren engen Strahlen reflektieren, wobei jeder enge Strahl in einer anderen Richtung zum Abtasten eines anderen Strahlabtastungssektors geneigt ist.
  8. Antennensystem nach Anspruch 7, wobei die mehreren phasengesteuerten Gruppen eine zusätzliche Phasengruppe umfassen, um zusätzliche Strahlabtastungssektoren zu bilden.
  9. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Gehäuse; ein Substrat, das in dem Gehäuse angeordnet ist; einen konform abgeschirmten integrierte Schaltungs- (IC) Die, der einen Sendeempfänger umfasst, der konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen, wobei der IC-Die mit dem Substrat in dem Gehäuse gekoppelt ist; einen oder mehrere Antennendirektoren, die auf dem Gehäuse oder in dem Gehäuse außerhalb des Substrats angeordnet sind; und eine Antennengruppe, die mit dem Sendeempfänger gekoppelt ist und konfiguriert ist, die RF-Signale zu senden, um mit dem einen oder den mehreren Antennendirektoren zusammenzuwirken, wobei die Antennengruppe innerhalb einer ersten Seite des Substrats angeordnet ist oder auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD), die auf einer zweiten Seite des Substrats montiert ist, angeordnet ist, und wobei der eine oder die mehreren Antennendirektoren konfiguriert sind, die RF-Signale zu lenken.
  10. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die einen Sendeempfänger umfasst, der konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen, wobei die IC mit dem Substrat gekoppelt ist; eine Dipolantenne, die mehrere horizontale Zweige umfasst und innerhalb des Substrats angeordnet ist; und eine oberflächenmontierte Vorrichtung (SMD), die ein vertikales metallisches Via umfasst, wobei die SMD auf dem Substrat neben der Dipolantenne montiert ist, wobei das vertikale metallische Via einen der mehreren horizontalen Zweige der Dipolantenne kontaktiert, wobei das vertikale metallische Via einen vertikalen Zweig einer Monopolantenne umfasst, und wobei die Dipolantenne konfiguriert ist, eine erste Polarisation zu zeigen, und der vertikale Zweig der Monopolantenne konfiguriert ist, eine zweite Polarisation beim Empfangen von RF-Signale zu zeigen.
  11. Dipolantenne, die Folgendes umfasst: ein Substrat, das einen horizontalen Zweig einer Dipolantenne umfasst, eine Abschirmung einer integrierten Schaltung (IC) über einem IC-Die und mit dem Substrat verbunden; und eine oberflächenmontierte Vorrichtung (SMD), die auf dem Substrat neben der IC-Abschirmung montiert ist, wobei die SMD einen vertikalen Zweig der Dipolantenne umfasst, wobei der vertikale Zweig wenigstens teilweise innerhalb der SMD ist, wobei die IC-Abschirmung einen Reflektor für die Dipolantenne bildet, und wobei die Dipolantenne durch eine Speiseleitung aus dem IC-Die gespeist wird.
  12. Antenne nach Anspruch 11, wobei die Konfiguration des horizontalen Zweigs der Dipolantenne und des vertikalen Zweigs der Dipolantenne eine L-Form umfasst.
  13. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine Abschirmung einer integrierten Schaltung (IC) über einer IC, die konfiguriert ist, Hochfrequenz- (RF-) Ketten zu erzeugen, wobei die Abschirmung und die IC mit dem Substrat gekoppelt sind; und eine Antennengruppe, die mehrere L-förmige Dipolantennen umfasst, wobei sich jede Dipolantenne neben der IC-Abschirmung befindet, wobei jede Dipolantenne konfiguriert ist, durch eine RF-Kette aus der IC gespeist zu werden, wobei jede Dipolantenne einen horizontalen Zweig und einen vertikalen Zweig umfasst, und wobei die mehreren Dipolantennen in benachbarten Paaren angeordnet sind, wobei die horizontalen Zweige jedes benachbarten Paars in entgegengesetzten Richtungen orientiert sind.
  14. Einrichtung nach Anspruch 13, wobei die mehreren Dipolantennen mehrere L-förmige Antennen umfassen.
  15. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: eine Leiterplatte (PCB), die eine obere Schicht und eine untere Schicht umfasst; einen Chip mit integrierter Schaltung (IC), der eine obere Ebene und eine untere Ebene umfasst, wobei der IC-Chip einen Sendeempfänger umfasst und wobei der IC-Chip mit der oberen Schicht der PCB verbunden ist; eine Antennengruppe, die mehrere Antennenelemente umfasst, die innerhalb der unteren Ebene des IC-Chips neben der PCB eingerichtet sind und durch Speisesendeleitungen, die mit dem Sendeempfänger gekoppelt sind, gespeist werden; und eine IC-Abschirmung, die über der IC angeordnet ist, um die IC gegen Störung abzuschirmen, und mit der PCB verbunden ist, wobei eine aus der IC-Abschirmung oder einer Masseschicht innerhalb der PCB eine Masse für die Antennengruppe umfasst.
  16. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: einen Sendeempfänger, der auf einer integrierten Schaltung (IC), die mit einer Leiterplatte (PCB) verbunden ist, eingerichtet ist, wobei der Sendeempfänger konfiguriert ist, Hochfrequenz- (RF-) Signale in einem ersten Frequenzband und in einem zweiten Frequenzband zu erzeugen; eine erste Antenne, die innerhalb der PCB angeordnet ist, und eine zweite Antenne, die innerhalb der PCB in koaxialer Beziehung zu der ersten Antenne angeordnet ist; einen ersten Speisemechanismus, der mit dem Sendeempfänger und mit der ersten Antenne verbunden ist, wobei der erste Speisemechanismus die erste Antenne mit RF-Signalen in dem ersten Frequenzband speist; einen zweiten Speisemechanismus, der orthogonal zu dem ersten Speisemechanismus angeordnet ist, wobei der zweite Speisemechanismus mit dem Sendeempfänger und der zweiten Antenne gekoppelt ist, wobei der zweite Speisemechanismus die zweite Antenne mit RF-Signalen in dem zweiten Frequenzband speist; und eine Verarbeitungsschaltung, die konfiguriert ist, den ersten und den zweiten Speisemechanismus zu unterschiedlichen Zeiten zu betreiben und jede aus der ersten Antenne und der zweiten Antenne zu unterschiedlichen Zeiten zu aktivieren, wobei die erste Antenne, wenn sie zu einer ersten Zeit aktiviert ist, RF-Signale in dem ersten Frequenzband in einer ersten Polarisation sendet, und die zweite Antenne, wenn sie zu einer zweiten Zeit aktiviert ist, die RF-Signale in dem zweiten Frequenzband in einer zweiten Polarisation orthogonal zu der ersten Polarisation sendet.
  17. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC eingerichtet ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; einen Antennendirektor, der auf oder innerhalb eines Gehäuses außerhalb des Substrats angeordnet ist; eine Antenne, die auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD) angeordnet ist; und duale orthogonale Speisemechanismen, die mit dem Sendeempfänger und mit der Antenne gekoppelt sind, wobei die Antenne konfiguriert ist, die RF-Signale in unterschiedlichen orthogonalen Polarisationen zu senden, um mit dem Antennendirektor zusammenzuwirken, und wobei der Antennendirektor konfiguriert ist, die RF-Signale zu lenken.
  18. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC eingerichtet ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; einen Antennendirektor, der auf einem Gehäuse oder innerhalb eines Gehäuses außerhalb des Substrats angeordnet ist; eine Antenne, die auf oder innerhalb einer oberflächenmontierten Vorrichtung (SMD) angeordnet ist; und einen Einzelspeisemechanismus, der mit dem Sendeempfänger und mit der Antenne gekoppelt ist, wobei die Antenne konfiguriert ist, die RF-Signale in einer einzigen Polarisation zu senden, um mit dem Antennendirektor zusammenzuwirken, und wobei der Antennendirektor konfiguriert ist, die RF-Signale zu lenken.
  19. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist; einen Sendeempfänger, der innerhalb der IC eingerichtet ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die mit dem Substrat verbunden ist, die die IC abdeckt und konfiguriert ist, die IC vor Störung zu schützen; mehrere Antennendirektoren, die auf einem Gehäuse oder innerhalb eines Gehäuses außerhalb des Substrats angeordnet sind; mehrere Antennenelemente, die eine Antennengruppe umfassen, die auf oder innerhalb einer entsprechenden oberflächenmontierten Vorrichtung (SMD) angeordnet sind oder auf oder innerhalb des Substrats angeordnet sind; und einen Speisemechanismus, der mit dem Sendeempfänger und mit jedem der mehreren Antennenelemente der Antennengruppe gekoppelt ist, wobei jedes der mehreren Antennenelemente der Antennengruppe konfiguriert ist, die RF-Signale zu senden, um mit den mehreren Antennendirektoren zusammenzuwirken, und wobei die mehreren Antennendirektoren konfiguriert sind, die RF-Signale zu lenken.
  20. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat, das eine erste Schicht und eine zweite Schicht umfasst; ein Funk-Frontend-Modul (RFEM), das an der ersten Schicht des Substrats angebracht ist und eine integrierte Schaltung (IC) umfasst, die konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die die IC abdeckt, an der ersten Schicht des Substrats angebracht ist und konfiguriert ist, die IC vor Störung zu schützen; eine oberflächenmontierte Vorrichtung (SMD), die mit dem Substrat neben der leitfähigen Abschirmung gekoppelt ist; und wenigstens eine gerichtete Monopolantenne, die einen ersten Zweig aufweist, der ein metallisiertes Via umfasst, die mit dem RFEM verbunden ist und sich in die SMD senkrecht zu dem Substrat erstreckt, wobei die gerichtete Monopolantenne durch wenigstens einen Speisemechanismus, der Teil des Substrats ist und mit der IC gekoppelt ist, gespeist wird, wobei die gerichtete Monopolantenne konfiguriert ist, die RF-Signale in einer ersten Polarität in einer Richtung nach außen von dem RFEM zu senden, und wobei die leitfähige Abschirmung ein Reflektor für die gerichtete Monopolantenne ist.
  21. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat, das eine erste Schicht und eine zweite Schicht umfasst; eine integrierte Schaltung (IC), die an der ersten Schicht des Substrats angebracht ist und konfiguriert ist, Hochfrequenz- (RF-) Signale zu erzeugen; eine leitfähige Abschirmung, die die IC abdeckt, an der ersten Schicht des Substrats angebracht ist und konfiguriert ist, die IC vor Störung zu schützen; mehrere erste Antennengruppen, die jeweils mehrere gerichtete Monopolantennenelemente neben der leitfähigen Abschirmung umfassen, die ein Reflektor für die mehreren gerichteten Monopolantennen ist; und mehrere zweite Antennengruppen, die jeweils mehrere gerichtete Dipolantennenelemente parallel zu der zweiten Schicht des Substrats umfassen, die eine Masseebene für die mehreren gerichteten Dipolantennenelemente ist, wobei die mehreren gerichteten Monopolantennenelemente und die mehreren gerichteten Dipolantennenelemente jeweils nebeneinander angeordnet sind, und wobei jede der mehreren gerichteten Monopolantennen konfiguriert ist, die RF-Signale in einer ersten Polarisation zu senden, und wobei jede der mehreren gerichteten Dipolantennen konfiguriert ist, die RF-Signale in einer zweiten Polarisation, die zu der ersten Polarisation orthogonal ist, zu senden.
  22. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; eine integrierte Schaltung (IC), die mit dem Substrat verbunden ist, wobei die IC einen Sendeempfänger umfasst, der einen Sender (TX), der konfiguriert ist, erste Hochfrequenz- (RF-) Signale zu erzeugen, und einen Empfänger (RX), der konfiguriert ist, empfangene zweite RF-Signale zu verarbeiten, aufweist, wobei der TX und der RX zu unterschiedlichen Zeiten arbeiten; und eine dual gespeiste Antenne, die auf dem Substrat eingerichtet ist, wobei die dual gespeiste Antenne einen TX-Speiseleitungsanpassungspunkt und einen RX-Speiseleitungsanpassungspunkt aufweist, wobei der erste Speisemechanismus mit dem TX-Speiseleitungsanpassungspunkt der dual gespeisten Antenne direkt verbunden ist und der zweite Speisemechanismus mit dem RX-Speiseleitungsanpassungspunkt der dual gespeisten Antenne direkt verbunden ist, wobei die ersten RF-Signale durch die dual gespeiste Antenne gesendet werden und die zweiten RF-Signale durch die dual gespeiste Antenne empfangen werden.
  23. Einrichtung nach Anspruch 22, wobei der TX einen Leistungsverstärker (PA) umfasst, der mit einem ersten Speisemechanismus gekoppelt ist, und der RX einen rauscharmen Verstärker (LNA) umfasst, der mit dem zweiten Speisemechanismus gekoppelt ist.
  24. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; mehrere Antennengruppen, die auf dem Substrat eingerichtet sind; eine Abschirmung einer integrierten Schaltung (IC), die einen ersten Teil, der an dem Substrat befestigt ist, und eine Abdeckung, die mit dem ersten Teil verbunden ist, umfasst; und eine IC, die mit dem Substrat verbunden ist und sich innerhalb des ersten Teils befindet, wobei ein Bereich der Abdeckung so konfiguriert ist, dass er ein Reflektor der Antennengruppe ist, um den Gewinn der Antenne zu verbessern, wobei sich ein Abschnitt des ersten Teils durch einen Raum in der Abdeckung erstreckt, um den Bereich der Abdeckung als den Reflektor der Antennengruppe zu erweitern, und wobei der erweiterte Bereich als ein Reflektor für wenigstens eine der mehreren Antennengruppen konfiguriert ist.
  25. Einrichtung einer mobilen Vorrichtung, wobei die Einrichtung Folgendes umfasst: ein Substrat; ein Funk-Frontend-Modul (RFEM), das mit dem Substrat verbunden ist und eine integrierte Schaltung (IC) umfasst, die konfiguriert ist, Hochfrequenz-(RF-) Signale zu erzeugen; eine Antennengruppe, die durch einen Speisemechanismus, der mit der IC gekoppelt ist, gespeist wird, wobei die Antennengruppe konfiguriert ist, die RF-Signale zu senden; eine leitfähige IC-Abschirmung, die die IC abdeckt; ein Hindernis neben der Antennengruppe, das das Senden der Antennengruppe stört; und einen Interposer, der mit dem Substrat gekoppelt ist, wobei die Antennengruppe und die leitfähige IC-Abschirmung auf dem Interposer montiert sind und wobei der Interposer die Höhe vergrößert, um das Senden der Antennengruppe zu verbessern.
  26. Einrichtung einer Kommunikationsvorrichtung, wobei die Einrichtung Folgendes umfasst: einen digitalen Polarsender, der Folgendes umfasst: einen Rechteckig-zu-Polar-Umsetzer, der konfiguriert ist, ein polares Ausgangssignal basierend auf einem rechteckigen Eingangssignal zu erzeugen; einen Digital-zu-Zeit-Umsetzer (DTC), der konfiguriert ist, ein Hochfrequenz- (RF-) Oszillatorsignal zu empfangen und ein DTC-Ausgangssignal basierend auf dem polaren Ausgangssignal in Reaktion auf das Empfangen des RF-Oszillatorsignals zu erzeugen; und einen Ausgangs-Oszillator, der konfiguriert ist, das DTC-Ausgangssignal und ein Ausgangs-Oszillatorsignal an einer mmWellen-Frequenz zu empfangen.
  27. Einrichtung eines Empfängers, wobei die Einrichtung Folgendes umfasst: einen Feedforward-Entzerrer (FFE), wobei der FFE Folgendes umfasst: mehrere FFE-Stufen, die in Reihe verbunden sind und vertikal und horizontal polarisierte In-Phasen- (I-) und Quadraturphasen- (Q-) Signaleingänge parallel umfassen, wobei jede FFE-Stufe Folgendes umfasst: mehrere Verzögerungen und Kreuzkopplung der vertikal und horizontal polarisierten I- und Q-Signale an einer Abzweigung neben jeder der mehreren Verzögerungen, wobei die Kreuzkopplung konfiguriert ist, kreuzgekoppelte vertikal und horizontal polarisierte I- und Q-Signale zu erzeugen.
  28. Einrichtung eines Empfängers, wobei die Einrichtung Folgendes umfasst: einen Entscheidungs-Rückkopplungs-Entzerrer (DFE), wobei der DFE Folgendes umfasst: einen Pfad, der eine serielle Kette und parallele Ketten umfasst, wobei die serielle Kette konfiguriert ist, wenigstens eine 1-Bit-Ausgabe, und eine 2-Bit-Ausgabe des höchstwertigen Bit (MSB) und des niedrigstwertigen Bit (LSB) zu erzeugen; einen Selektor, der konfiguriert ist, zwischen der seriellen und parallelen Ketten auszuwählen; und mehrere Abzweigungen, die entlang dem Pfad angeordnet sind, wobei eine Anzahl der Abzweigungen aus den mehreren Abzweigungen von der ausgewählten seriellen Kette und parallelen Ketten abhängig ist und wobei die Ausgaben aus den mehreren Abzweigungen konfiguriert sind, die Post-Cursor-Intersymbolstörung (Post-Cursor-ISI) zu kompensieren.
  29. Einrichtung einer mmWellen-Kommunikationsvorrichtung, wobei die Einrichtung wenigstens eines aus dem Folgenden umfasst: eine Empfängerhybridstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu empfangen, wobei die Empfängerhybridstrahlformungsarchitektur eine analoge Empfängerstrahlformungsstruktur und eine digitale Empfängerstrahlformungsstruktur umfasst, die eine unterschiedliche Anzahl von Analog-zu-Digital-Umsetzern (ADCs) mit unterschiedlichen Auflösungen aufweisen; oder eine Senderhybridstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu senden, wobei die Senderhybridstrahlformungsarchitektur eine analoge Senderstrahlformungsstruktur und eine digitale Senderstrahlformungsstruktur umfasst, die eine unterschiedliche Anzahl von Digital-zu-Analog-Umsetzern (DACs) mit unterschiedlichen Auflösungen aufweisen.
  30. Einrichtung einer mmWellen-Kommunikationsvorrichtung, wobei die Einrichtung Folgendes umfasst: eine Empfängerstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu empfangen, wobei die digitale Empfängerstrahlformungsarchitektur einen Analog-zu-Digital-Umsetzer (ADC) mit variabler Auflösung umfasst; und eine Senderstrahlformungsarchitektur, die konfiguriert ist, strahlgeformte mmWellen-Signale zu senden, wobei die Senderstrahlformungsarchitektur einen Digital-zu-Analog-Umsetzer (DAC) mit variabler Auflösung umfasst, wobei die Auflösung des ADC oder des DAC ausgelegt ist, den Energieverbrauch auf eine vorbestimmte Sendeempfängerleistungsverlusteinschränkung zu begrenzen ohne eine Anzahl von ADCs oder DACs, die in der Empfänger- oder Senderstrahlformungsarchitektur verwendet sind, zu reduzieren.
  31. Einrichtung einer Kommunikationsvorrichtung, wobei die Einrichtung Folgendes umfasst: eine analoge oder Hybridstrahlformungsarchitektur, die mehrere Phasenschieber umfasst, die konfiguriert sind, einen Lenkungswinkel für Antennen, die konfiguriert sind, strahlgeformte Signale zu kommunizieren, einzustellen; und einen Prozessor, der konfiguriert ist zum: Bestimmen eines Codebuchs, um Strahllenkung für die Antennen bereitzustellen, wobei das Codebuch auf eine Teilmenge von Lenkungswinkeln der Antennen beschränkt ist; und Bereitstellen von Eingaben für die Phasenschieber, um einen speziellen Lenkungswinkel außerhalb der Teilmenge von Lenkungswinkeln durch eine Bestimmung eines begrenzten Lenkungswinkels innerhalb der Teilmenge von Lenkungswinkeln und eines ganzzahligen Verschiebungswerts, um den begrenzten Lenkungswinkel zu dem speziellen Lenkungswinkel zu verschieben, einzustellen.
  32. Einrichtung einer Ladungspumpe, wobei die Einrichtung Folgendes umfasst: mehrere Schalter, die durch mehrere unterschiedliche Steuersignale gesteuert werden; und einen Ausgabekondensator, mit dem die mehreren Schalter verbunden sind, wobei eine Spannung auf dem Ausgabekondensator durch Leckkapazitäten gesteuert wird und Sub-Schwellenwert-Injektion der Schalter befreit eine Ausgabespannung der Ladungspumpe von der Verwendung einer Stromreferenz oder einer Ladungsakkumulierungsvorrichtung.
  33. Einrichtung einer Kommunikationsvorrichtung, die Folgendes umfasst: eine Empfängerschaltung, die Folgendes umfasst: mehrere erste Quantisierer, die konfiguriert sind, strahlgeformte Signale zu empfangen; und eine Feedforward-Schleife, die konfiguriert ist, den strahlgeformten Signalen vor dem Zuführen der strahlgeformten Signale zu den Quantisierern analoge Kompensationssignale zuzuführen, um kompensierte strahlgeformte Signale zu bilden, wobei die strahlgeformten Signale ein Signal von einem Sender und ein Störersignal umfassen, wobei die analogen Kompensationssignale konfiguriert sind, das Störersignal zu kompensieren.
  34. Einrichtung einer Kommunikationsvorrichtung, wobei die Einrichtung Folgendes umfasst: einen Empfänger, der Folgendes umfasst: eine Kompensationsschaltung, die konfiguriert ist, in einer analogen Domäne des Empfängers die Störung in einem Hochfrequenz-(RF-) Signal, das an jeder von mehreren strahlformenden Antennen empfangen wird, zu kompensieren und ein analoges kompensiertes Signal zu produzieren; einen Quantisierer zum Umsetzen eines analogen Eingabesignals, das von der Kompensationsschaltung abhängig ist, in eine quantisierte Ausgabe, und einen Basisbandprozessor, der konfiguriert ist zum: Empfangen eines Basisbandeingabesignals, das von der quantisierten Ausgabe abhängig ist, Anwenden einer Umkehrung der Kompensation auf das Basisbandeingabesignal, um eine digitale Version des RF-Signals rekonstruieren, und Ausführen von Signalverarbeitung auf der digitalen Version des RF-Signals.
  35. Einrichtung einer Kommunikationsvorrichtung, die Folgendes umfasst: ein Analog-zu-Digital-Umsetzersystem (ADCS), das Folgendes umfasst: eine anpassbare ADC-Konfiguration, wobei die ADC-Konfiguration Folgendes umfasst: mehrere Kern-ADCs, die zwischen Parallelbetrieb in einer Mittelwert bildenden Betriebsart und seriellem Betrieb in einer zeitverschachtelten Betriebsart anpassbar sind, wobei das ADCS in der Mittelwert bildenden Betriebsart für den Betrieb der Kommunikationsvorrichtung mit höherer Auflösung und geringerer Bandbreite und in der zeitverschachtelten Betriebsart für den Betrieb der Kommunikationsvorrichtung mit geringerer Auflösung und höherer Geschwindigkeit konfiguriert ist.
  36. Einrichtung einer Kommunikationsvorrichtung, die Folgendes umfasst: eine Empfängerschaltung, die mehrere Analog-zu-Digital-Umsetzer (ADCs) umfasst, die konfiguriert sind, strahlgeformte Signale zu empfangen, wobei die Empfängerschaltung konfiguriert ist, analoge Kompensation für die strahlgeformten Signale bereitzustellen, bevor die strahlgeformten Signale den ADCs zugeführt werden, wobei die strahlgeformten Signale ein gewünschtes Signal und ein Störersignal umfassen, wobei die Kompensation konfiguriert ist, das Störersignal zu kompensieren und die dynamische Verstärkung der ADCs zu reduzieren.
  37. Kalibrierungsschaltung für einen Analog-zu-Digital-Umsetzer (ADC), die Folgendes umfasst: mehrere Signalkanäle, die jeweils einen Digital-zu-Analog-Umsetzer (DAC) in einem Sendepfad eines Hochfrequenz-Sendeempfängers und einen ADC, der durch einen Takt angesteuert wird, in einem Empfangspfad des Sendeempfängers umfassen; einen Referenzsignalgenerator, um ein Referenzsignal in einem Sendepfad wenigstens eines Signalkanals zu erzeugen; eine Rückschleifenverbindung zum Senden des Referenzsignals zu dem Empfangspfad, der dem Sendepfad des wenigstens einen Signalkanals entspricht; eine Phasenschätzeinheit zum Bestimmen eines geschätzten Zeitversatzes, der dem Referenzsignal zugeordnet ist; und eine Verzögerungskorrekturschaltung zum Steuern der Taktzeit zum Kompensieren des geschätzten Zeitversatzes, und wobei die Verzögerungskorrekturschaltung einen Eingang für den geschätzten Zeitversatz umfasst.
  38. Analog-zu-Digital-Umsetzer (ADC) mit Verstärkungskorrekturvorrichtung, der Folgendes umfasst: einen Schalter zum Umschalten zwischen einem Vorrichtungseingang in einer normalen Betriebsart und einem Referenzspannungseingang in einer Kalibrierungsbetriebsart, um ein geschaltetes Signal auszugeben, mehrere Signalkanäle, die jeweils einen Analog-zu-Digital-Umsetzer (ADC) umfassen, zum Empfangen einer Scheibe des geschalteten Signals und Ausgeben eines digitalen Ausgabesignals; eine Auswahlschaltung zum Auswählen eines kombinierten Ausgabesignals aus den digitalen Ausgabesignalen der ADCs; eine Mess- und Korrektureinheit zum Anpassen eines Signals während der normalen Betriebsart, um ein verstärkungsangepasstes Ausgabesignal zu produzieren, und zum Erzeugen eines Messsignals während der Kalibrierungsbetriebsart; und eine Steuereinheit zum Steuern des Schalters und der Mess- und Korrektureinheit, um in der normalen Betriebsart oder in der Kalibrierungsbetriebsart zu arbeiten, zum Speichern von Messsignaldaten in einem Speicher, um das kombinierte Ausgabesignal anzupassen, und zum Steuern einer Verschachtelungszeit der Signalkanäle.
  39. Sender einer phasengesteuerten Gruppe, der Folgendes umfasst: mehrere Sendekanäle, die jeweils eine Antenne und einen Sendeverstärker, der mit der Antenne gekoppelt ist, umfassen; einen Sendeleistungs-Splitter, um ein Ausgabesignal in mehrere Ausgabekanalsignale zu den Sendeverstärkern in den Sendekanälen zur Ausgabe an einer entsprechenden Antenne aufzuteilen; eine Umsetzungsschaltung zum Umsetzen digitaler Sendedaten in das Ausgabesignal, das in die mehreren Ausgabekanalsignale aufgeteilt wird; und einen externen nichtlinearen Datenprozessor zum Bestimmen von Nichtlinearitätseigenschaften eines Signals bezüglich einer Sendesignalleistungseigenschaft eines externen Sendeempfängers einer phasengesteuerten Gruppe (EPAT) und zum Bereitstellen von Nichtlinearitätsdaten zum Korrigieren von Nichtlinearitäten in dem EPAT für eine IF-Senderstufe zum Senden zu dem EPAT.
  40. Verstärkungssteuerungsvorrichtung für einen Empfänger, die einen Prozessor und einen Speicher umfasst, wobei der Prozessor konfiguriert ist zum: in einer Dithering-Betriebsart: Empfangen eines ersten Eingabesignals an einem ersten Signalleistungspegel; separaten Anwenden, unter Verwendung eines Schalters, einer ersten und zweiten AGC-Verstärkungseinstellung auf das erste Eingabesignal und jeweiliges Messen eines ersten und zweiten Signalqualitätsmaßes (SQM) für die erste und die zweite AGC-Verstärkungseinstellung; und Bestimmen eines Schwellenwerts eines Leistungspegels, um zwischen Verwenden der ersten AGC-Verstärkungseinstellung und der zweiten AGC-Verstärkungseinstellung umzuschalten, basierend auf dem ersten und dem zweiten SQM; und in einer normalen Betriebsart: Bestimmen, ob die erste oder die zweite AGC-Verstärkungseinstellung für ein zweites Eingabesignal an dem ersten Signalleistungspegel verwendet werden soll, basierend auf dem Schwellenwert.
  41. Sendeempfänger einer phasengesteuerten Gruppe, der Folgendes umfasst: mehrere Zellen, die jeweils Folgendes umfassen: einen Sender; einen Empfänger; einen digitalen Verarbeitungsblock; eine Eingabe-Ausgabe- und Phasenkombinierungseinheit; und einen Multiplexer und Demultiplexer für jeden von mehreren Zellenrändern, um mit benachbarten Zellen zu kommunizieren; und einen Bus, der die mehreren Zellen miteinander verbindet und ein Oszillatorsignal und Steuersignale zwischen den mehreren Zellen überträgt.
  42. Injektionsverriegelte Modulationsschaltung für einen Sendeempfänger einer phasengesteuerten Gruppe, die Folgendes umfasst: einen Schwingkreis, der eine Induktivität umfasst, die mit einem kapazitiven Digital-zu-Analog-Umsetzer (CAP-DAC) verbunden ist, wobei der Schwingkreis eine Frequenz aufweist, die durch ein Dateneingabesignal modifizierbar ist, eine Injektionsschaltung, um die Injektionsfrequenz zu verriegeln, um eine Ausgabefrequenz des Schwingkreises an einer Unterschwingung einer Ausgabeträgerfrequenz zu verriegeln; und einen Frequenzgenerator, der eine Trägerfrequenz durch Multiplizieren der verriegelten Ausgabefrequenz mit einer Ganzzahl erzeugt.
  43. Einrichtung zum Ausführen von Takt- und Datenwiederherstellung (CDR) für ein drahtloses Modulationssignal in einem drahtlosen Empfänger, die Folgendes umfasst: In-Phasen- (I-) und Quadratur- (Q-) Kanäle zum Verarbeiten von durch den Empfänger empfangenen Modulationssignalen; einen Speicher zum Speichern von mehreren Modenwerten mit Anpassungsangaben; und eine Modeneinheit, die einen Prozessor umfasst zum: Empfangen von Daten aus den I- und Q-Kanälen; Lesen einer aktuellen Mode aus dem Speicher; und Anpassen einer aktuellen Abtastphase des Signals konsistent mit der Anpassungsangabe basierend auf der aktuellen Mode.
  44. Einrichtung nach Anspruch 43, wobei der Speicher eine Modentabelle umfasst.
  45. Schaltung für automatische Verstärkungssteuerung (AGC) für einen Hochfrequenz- (RF-) Empfänger, die einen Prozessor und einen Speicher umfasst, wobei der Prozessor ausgebildet ist zum: Empfangen von mehreren quantisierten Signalen aus einem quadraturmodulierten Signal; Zuweisen der mehreren quantisierten Signale zu Bereichen einer Konstellationskarte, die aus In-Phasen- (I) / Quadratur (Q-) Quantisierungs-Bins gemäß ihrer quantisierten Leistungspegel aufgebaut ist; Bestimmen eines maximale-Wahrscheinlichkeit-Schätzers (MLE) basierend auf den zugewiesenen quantisierten Signalen; Schätzen einer Leistung basierend auf dem MLE; und Anpassen eines Verstärkers mit variabler Verstärkung für weitere empfangene Signale basierend auf der geschätzten Leistung.
  46. Vorrichtung zum Steuern einer Antennengruppe in einem Sendeempfänger einer phasengesteuerten Gruppe, die Folgendes umfasst: mehrere Sendeempfängerscheiben, die jeweils Folgendes umfassen: ein Antennenelement, das einen Teil der Antennengruppe der Vorrichtung bildet; einen Sende- und Empfangsschalter, der zwischen einer Sendebetriebsart (TM) und einer Empfangsbetriebsart (RM) umschaltbar ist, einen Empfangspfad, der einen variablen rauscharmem Verstärker und einen Phasenschieber umfasst, wobei der Empfangspfad in der Empfangsbetriebsart mit dem Antennenelement gekoppelt ist; und einen Sendepfad, der einen variablen Leistungsverstärker und einen Phasenschieber umfasst, wobei der Sendepfad in der Sendebetriebsart mit der Antenne gekoppelt ist; einen Speicher, der Verstärkungsanpassungswerte umfasst, die auf eine Anzahl von Antennenelementen, die aktiv sind, abbilden; und einen Prozessor zum Konfigurieren für Einstellungen mit minimalem Strom-Drain der Antennengruppe basierend auf den Verstärkungsanpassungswerten.
  47. Vorrichtung nach Anspruch 46, wobei der Speicher eine Verstärkungstabelle umfasst, die die Verstärkungsanpassungswerte speichert.
  48. Digital-zu-Analog-Schaltungsvorrichtung, die Folgendes umfasst: eine erste Komponente, die eine Stromquelle und erste mehrere schaltbare Pfade für die Stromquelle zu Drain umfasst; wobei ein Spannungsreferenzpunkt, der mit der ersten Komponente gekoppelt ist und den ersten mehreren schaltbaren Pfaden zugeordnet ist und auf einer ersten Anzahl von Pfaden, die angeschaltet sind, basiert; und eine zweite Komponente, die mit dem Spannungsreferenzpunkt gekoppelt ist, wobei die zweite Komponente zweite mehrere schaltbare Pfade und einen Ausgang, der der zweiten Komponente zugeordnet ist, der auf der zweiten Anzahl von Pfaden, die angeschaltet sind, und dem Spannungsreferenzpunkt basiert, umfasst.
  49. Eine Entzerrer-Vorrichtung für eine Hochfrequenz-Empfängervorrichtung, die Folgendes umfasst: einen digitalen Verarbeitungsabschnitt, wobei mehrere Eingänge mit einer In-Phasen- (I-) Signalleitung und einer Quadratur- (Q-) Signalleitung auf dem digitalen Verarbeitungsabschnitt des Empfängers gekoppelt sind; und einen analogen Verarbeitungsabschnitt; und mehrere Filter und Verarbeitungselemente, die auf Eingangssignalen der mehrere Eingänge arbeiten, um Signale für mehrere Ausgänge zu erzeugen, wobei die mehreren Ausgänge mit einer I-Signalleitung und einer Q-Signalleitung an einen analogen Verarbeitungsabschnitt des Empfängers gekoppelt sind.
  50. Einrichtung, die einen bidirektionalen Verstärker umfasst, wobei der bidirektionale Verstärker Folgendes umfasst: einen ersten Verstärker zum Verstärken eines Sende- (Tx-) Signals, um ein verstärktes Tx-Signal in einer Tx-Betriebsart bereitzustellen; einen zweiten Verstärker zum Verstärken eines Empfangs- (Rx-) Signals, um ein verstärktes Rx-Signal in einer Rx-Betriebsart bereitzustellen; einen ersten Transformator, um das Tx-Signal von einem ersten Eingang oder Ausgang für den ersten Verstärker in der Tx-Betriebsart bereitzustellen und das verstärkte Rx-Signal von dem zweiten Verstärker an dem ersten Eingang oder Ausgang in der Rx-Betriebsart auszugeben; einen zweiten Transformator, um das Rx-Signal von einem zweiten Eingang oder Ausgang für den zweiten Verstärker in der Rx-Betriebsart bereitzustellen und das verstärkte Tx-Signal von dem ersten Verstärker an dem zweiten Eingang oder Ausgang in der Tx-Betriebsart auszugeben; und mehrere Schalter, in der Tx-Betriebsart, zum Schalten von mehreren Aktivierungsspannungen zu dem ersten Verstärker und von mehreren Deaktivierungsspannungen zu dem zweiten Verstärker, wobei die mehreren Schalter in der Rx-Betriebsart zum Schalten der mehreren Aktivierungsspannungen zu dem zweiten Verstärker und der mehreren Deaktivierungsspannungen zu dem ersten Verstärker ausgelegt sind.
  51. Einrichtung, die einen aktiven bidirektionalen Splitter/Kombinierer (ABDSC), der zwischen einer Kombiniererbetriebsart und einer Splitterbetriebsart schaltbar ist, umfasst, wobei der ABDSC Folgendes umfasst: mehrere Antennenschnittstellen zum Empfangen, in der Kombiniererbetriebsart, mehrerer Empfangs- (Rx-) Signale von entsprechenden mehreren Antennenanschlüssen und zum Ausgaben, in der Splitterbetriebsart, mehrerer Sende- (Tx-) Signale zu den entsprechenden mehreren Antennenanschlüssen; und einen Transformator zum betriebstechnischen Koppeln des ABDSC mit einer Verstärkungsschaltung, wobei der Transformator konfiguriert ist, in der Splitterbetriebsart ein Tx-Signal von der Verstärkungsschaltung zu den mehreren Antennenschnittstellen zu übertragen und in der Kombiniererbetriebsart die mehreren Rx-Signale in ein kombiniertes Rx-Signal, das für die Verstärkungsschaltung bereitgestellt werden soll, zu kombinieren.
  52. Einrichtung, die einen digitalen Leistungsverstärker (PA) zum steuerbaren Verstärken und Modulieren eines Eingabesignals basierend auf einem digitalen Steuersignal umfasst, wobei der digitale PA Folgendes umfasst: mehrere durch gestapeltes Gate gesteuerte Verstärker, die durch das digitale Steuersignal steuerbar sind, um mehrere verstärkte modulierte Signale bereitzustellen, wobei ein durch gestapeltes Gate gesteuerter Verstärker aus den mehreren durch gestapeltes Gate gesteuerten Verstärkern einen ersten Eingang zum Empfangen des Eingangssignals, einen zweiten Eingang zum Empfangen des digitalen Steuersignals und einen Ausgang zum Bereitstellen eines verstärkten modulierten Signals aus den mehreren verstärkten modulierten Signalen umfasst; und einen Kombinierer zum Kombinieren der mehreren verstärkten modulierten Signale in ein Kombiniererausgangssignal, das einen Ausgangsleistungspegel und eine Modulation aufweist, wobei der Ausgangsleistungspegel und die Modulation auf dem digitalen Steuersignal basieren.
  53. Einrichtung, die einen Zweistufen-Doherty-Verstärker umfasst, wobei der Zweistufen-Doherty-Verstärker Folgendes umfasst: wenigstens einen Treiberverstärker zum Verstärken eines treiberverstärkten Eingangssignals, um ein Treiber-Hochfrequenz- (RF-) Signal an einer ersten Stufe bereitzustellen; wenigstens einen Hauptverstärker zum Verstärken des Treiber-RF-Signals, um ein Hauptverstärkersignal an der zweiten Stufe bereitzustellen; wenigstens einen steuerbaren Spitzenverstärker, der basierend auf einem Pegel des Treiber-RF-Signals auf einen Ein-Zustand geschaltet werden soll und in dem Ein-Zustand das Treiber-RF-Signal verstärken soll, um ein Spitzenverstärkersignal bereitzustellen; und ein Sub-Viertelwellenlängen- (SQWL) Balun zum Kombinieren des Hauptverstärkersignals mit dem Spitzenverstärkersignal, wobei der SQWL-Balun eine erste Übertragungsleitung zum Anpassen einer Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Treiberverstärkers, wenigstens einem Eingang des wenigstens einen Hauptverstärkers und wenigstens einem Eingang des wenigstens einen steuerbaren Spitzenverstärkers umfasst, wobei der SQWL-Balun eine zweite Übertragungsleitung zum Anpassen einer Impedanz zwischen wenigstens einem Ausgang des wenigstens einen Hauptverstärkers und wenigstens einem Ausgang des wenigstens einen steuerbaren Spitzenverstärkers umfasst.
  54. Einrichtung, die einen In-Phasen- (I-) und Quadraturphasen- (Q-) Generator (I/Q-Generator) umfasst, wobei der I/Q-Generator Folgendes umfasst: einen Lokaloszillator (LO) zum Erzeugen eines LO-Signals; eine erste steuerbare Phasenmodulationskette zum, in einer Sende- (Tx-) Betriebsart, Erzeugen eines phasenmodulierten Tx-Signals basierend auf dem LO-Signal und zum, in einer Empfangs- (Rx-) Betriebsart, Erzeugen eines phasenmodulierten 1-Signals basierend auf dem LO-Signal; eine zweite steuerbare Phasenmodulationskette zum Erzeugen, in der Rx-Betriebsart, eines phasenmodulierten Q-Signals basierend auf dem LO-Signal; und eine Mischerschaltung zum, in der Rx-Betriebsart, Abwärtsumsetzen eines Rx-Signals aus einem oder mehreren Antennenanschlüssen in ein I-phasenmoduliertes abwärtsumgesetztes Signal basierend auf dem phasenmodulierten 1-Signal und in ein Q-phasenmoduliertes abwärtsumgesetztes Signal basierend auf dem phasenmodulierten Q-Signal.
  55. Einrichtung, die einen Hochfrequenz- (RF-) Verstärker umfasst, wobei der RF-Verstärker Folgendes umfasst: eine erste Outphasing-Verstärkerschaltung zum Bereitstellen eines ersten In-Phasen- (I-) Signals basierend auf einem ersten Eingangssignal und eines ersten Quadraturphasen- (Q-) Signals basierend auf einem zweiten Eingangssignal; eine zweite Outphasing-Verstärkerschaltung zum Bereitstellen eines zweiten 1-Signals basierend auf dem ersten Eingangssignal und eines zweiten Q-Signals basierend auf dem zweiten Eingangssignal; eine dritte Outphasing-Verstärkerschaltung zum Bereitstellen eines dritten 1-Signals basierend auf einem dritten Eingangssignal und eines dritten Q-Signals basierend auf einem vierten Eingangssignal; eine vierte Outphasing-Verstärkerschaltung zum Bereitstellen eines vierten 1-Signals basierend auf dem dritten Eingangssignal und eines vierten Q-Signals basierend auf dem vierten Eingangssignal; und ein Sub-Viertelwellenlängen- (SQWL) Vierwege-Kombinierer-Balun, das eine erste induktive Stichleitung zum Koppeln des ersten 1-Signals und des zweiten 1-Signals mit einer ersten Übertragungsleitung, eine zweite induktive Stichleitung zum Koppeln des dritten 1-Signals und des vierten 1-Signals mit einer zweiten Übertragungsleitung, eine erste kapazitive Stichleitung zum Koppeln des ersten Q-Signals und des zweiten Q-Signals mit der ersten Übertragungsleitung und eine zweite kapazitive Stichleitung zum Koppeln des dritten Q-Signals und des vierten Q-Signals mit der zweiten Übertragungsleitung umfasst, wobei die erste Übertragungsleitung ausgelegt ist, ein erstes RF-Signal basierend auf einer Kombination aus dem ersten I-Signal, dem zweiten I-Signal, dem ersten Q-Signal und dem zweiten Q-Signal bereitzustellen, und die zweite Übertragungsleitung ausgelegt ist, ein zweites RF-Signal basierend auf einer Kombination aus dem dritten I-Signal, dem vierten I-Signal, dem dritten Q-Signal und dem vierten Q-Signal bereitzustellen.
  56. Einrichtung, die einen steuerbaren Phasenschieber umfasst, wobei der steuerbare Phasenschieber Folgendes umfasst: eine In-Phasen- (I-) Phasenverschiebungsschaltung zum Bereitstellen eines phasenverschobenen 1-Signals basierend auf einem 1-Signal und einem Quadratur-Phasen- (Q-) Signal, wobei die I-Phasenverschiebungsschaltung konfiguriert ist, ein erstes verschobenes 1-Signal durch Verschieben einer Phase des 1-Signals gemäß einem ersten Steuersignal bereitzustellen, ein erstes verschobenes Q-Signal durch Verschieben einer Phase des Q-Signals gemäß einem zweiten Steuersignal bereitzustellen und das phasenverschobene 1-Signal durch Kombinieren des ersten verschobenen 1-Signals mit dem ersten verschobenen Q-Signal bereitzustellen; und eine Q-Phasenverschiebungsschaltung zum Bereitstellen eines phasenverschobenen Q-Signals basierend auf dem Q-Signal und dem I-Signal, wobei die Q-Phasenverschiebungsschaltung konfiguriert ist, ein zweites verschobenes 1-Signal durch Verschieben der Phase des 1-Signals gemäß einem dritten Steuersignal bereitzustellen, ein zweites verschobenes Q-Signal durch Verschieben der Phase des Q-Signals gemäß einem vierten Steuersignal bereitzustellen und das phasenverschobene Q-Signal durch Kombinieren des zweiten verschobenen 1-Signals mit dem zweiten verschobenen Q-Signal bereitzustellen.
  57. Einrichtung, die eine Leistungsverstärker- (PA) rauscharmer-Verstärker (LNA) (PA-LNA) Schnittstelle umfasst, um eine Schnittstelle zwischen einem Antennenanschluss und einem PA und einem LNA zu bilden, wobei die PA-LNA-Schnittstelle Folgendes umfasst: einen Sensor zum Bereitstellen eines erfassten Signals basierend auf einem Sende- (Tx-) Signal aus dem PA; einen Phasendreher zum Bereitstellen eines phasengedrehten Signals durch Drehen einer Phase des erfassten Signals; einen Verstärker mit variabler Verstärkung (VGA) zum Bereitstellen eines Tx-Leckverlustauslöschungssignals durch Verstärken des phasengedrehten Signals basierend auf einer Amplitude des Tx-Signals; und einen Kombinierer zum Kombinieren eines ersten Kombinierereingangssignals mit einem zweiten Kombinierereingangssignal, wobei das erste Kombinierereingangssignal das TX-Leckverlustauslöschungssignal umfasst, das zweite Kombinierereingangssignal einen Tx-Leckverlust aus dem Tx-Signal zu dem LNA umfasst.
  58. Einrichtung, die eine Verteilungsnetzschaltung des Lokaloszillators (LO) umfasst, die wenigstens einen phasengleich- (I-) Quadraturphasen- (Q-) Generator (IQ-Generator) umfasst, wobei der I/Q-Generator Folgendes umfasst: eine Phasenverschiebungsschaltung zum Erzeugen eines ersten phasenverschobenen Signals und eines zweiten phasenverschobenen Signals basierend auf einem LO-Signal, das eine erste Frequenz aufweist, wobei eine Phase des zweiten phasenverschobenen Signals um ungefähr 30 Grad von einer Phase des ersten phasenverschobenen Signals verschoben ist; eine erste Verdreifacherschaltung zum Erzeugen eines I-Signals, das eine zweite Frequenz aufweist, durch Verdreifachen der Phase des ersten phasenverschobenen Signals und Verdreifachen einer Frequenz des ersten phasenverschobenen Signals; und eine zweite Verdreifacherschaltung zum Erzeugen eines Q-Signals, das die zweite Frequenz aufweist, durch Verdreifachen der Phase des zweiten phasenverschobenen Signals und Verdreifachen einer Frequenz des zweiten phasenverschobenen Signals.
  59. Einrichtung, die eine Breitbandverstärkerschaltung umfasst, wobei die Breitbandverstärkerschaltung Folgendes umfasst: einen Splitter zum Aufteilen eines Hochfrequenz- (RF-) Eingangssignals in ein Hochfrequenzbandsignal und ein Tieffrequenzbandsignal, wobei der Splitter eine erste Schaltung zum Filtern des Tieffrequenzbandsignals aus dem RF-Eingangssignal und eine zweite Schaltung zum Filtern des Hochfrequenzbandsignals aus dem RF-Eingangssignal umfasst; einen Hochbandverstärker zum Verstärken des Hochfrequenzbandsignals, um ein erstes verstärktes Signal bereitzustellen; einen Tiefbandverstärker zum Verstärken des Tieffrequenzbandsignals, um ein zweites verstärktes Signal bereitzustellen; und einen Kombinierer zum Kombinieren des ersten verstärkten Signals und des zweiten verstärkten Signals in ein verstärktes RF-Signal.
  60. Einrichtung, die mehrere Impedanzanpassungsschalter zum schaltbaren Koppeln eines Modemkerns mit einem oder mehreren Funkkernen aus mehreren Funkkernen umfasst, wobei ein Impedanzanpassungsschalter aus den mehreren Impedanzanpassungsschaltern Folgendes umfasst: einen ersten Anschluss, um mit dem Modemkern betriebstechnisch gekoppelt zu sein; einen zweiten Anschluss, um mit einem jeweiligen Funkkern aus den mehreren Funkkernen betriebstechnisch gekoppelt zu sein; und eine Impedanzanpassungsschaltung zum steuerbaren Anpassen einer Impedanz zwischen dem Funkkern und dem Modemkern basierend auf einer Anzahl des einen oder der mehreren Funkkerne, die mit dem Modemkern durch die mehreren Impedanzanpassungsschalter gekoppelt sein sollen.
  61. Einrichtung, die einen bidirektionalen Mischer umfasst, wobei der bidirektionale Mischer Folgendes umfasst: einen Hochfrequenz- (RF-) Anschluss; einen Zwischenfrequenz- (IF-) Anschluss; einen ersten Spannungsanschluss; einen zweiten Spannungsanschluss; und eine Mischschaltung, die konfiguriert ist, in einer Aufwärtsumsetzungsbetriebsart zu arbeiten, wenn eine erste Bias-Spannung an den ersten Spannungsanschluss angelegt werden soll und eine zweite Bias-Spannung an den zweiten Spannungsanschluss angelegt werden soll, und in einer Abwärtsumsetzungsbetriebsart zu arbeiten, wenn die zweite Bias-Spannung an den ersten Spannungsanschluss angelegt werden soll und die erste Bias-Spannung an den zweiten Spannungsanschluss angelegt werden soll, wobei die Mischschaltung ausgebildet ist, in der Abwärtsumsetzungsbetriebsart ein erstes RF-Signal an dem RF-Anschluss in ein erstes IF-Signal an dem IF-Anschluss abwärtsumzusetzen und in der Aufwärtsumsetzungsbetriebsart ein zweites IF-Signal an dem IF-Anschluss in ein zweites RF-Signal an dem RF-Anschluss aufwärtsumzusetzen.
  62. Induktivitätsanordnung, die Folgendes umfasst: ein Leiterplatten- (PCB-) Substrat; einen Halbleiter-Die, wobei der Halbleiter-Die mehrere metallische Säulen umfasst, die an einer Oberfläche des Dies angebracht sind und den Die mit dem PCB-Substrat koppeln, wobei jede der mehreren metallischen Säulen mehrere überlagerte metallische Schichten umfasst; und eine induktive Struktur, wobei die induktive Struktur eine metallische Verbindung zwischen wenigstens zwei aus den mehreren metallischen Säulen umfasst, wobei die metallische Verbindung Teil einer der mehreren metallischen Schichten ist.
  63. Antennenstruktur, die Folgendes umfasst: eine Laminat-Leiterplatte, die mehrere parallele Schichten umfasst; einen Hohlraum innerhalb der Laminat-Leiterplatte; eine integrierte Hochfrequenzschaltung (RFIC), die innerhalb des Hohlraums eingerichtet ist; eine Abschirmung für die RFIC, wobei die Abschirmung wenigstens eine metallisierte Schicht des Hohlraums umfasst; und mehrere Antennenelemente, die in wenigstens einer Schicht der Leiterplatte außerhalb des Hohlraums eingerichtet und mit der RFIC zur Abstrahlung von Hochfrequenz- (RF-) Signalen, die von der RFIC empfangen werden, gekoppelt sind.
  64. Hochfrequenz- (RF-) Frontend-Modul (RFEM), das Folgendes umfasst: eine phasengesteuerte Antennengruppe, die mehrere Antennen umfasst; ein RF-Empfängerteilsystem, das konfiguriert ist, RF-Signale, die über die phasengesteuerte Antennengruppe empfangen werden, zu verarbeiten; und ein RF-Senderteilsystem, das konfiguriert ist, RF-Signale unter Verwendung von Zwischenfrequenz- (IF-) Signalen zu erzeugen, wobei die erzeugten RF-Signale zum Senden über die phasengesteuerte Antennengruppe ausgelegt sind, wobei eine erste Antennenteilmenge aus den mehreren Antennen auf einer gedruckten Seite eines PCB-Substrats angeordnet ist und eine zweite Antennenteilmenge aus den mehreren Antennen auf einer Komponentenseite des PCB-Substrats angeordnet ist, und wobei sich die erste Antennenteilmenge am gleichen Ort mit einer Nahbereichskommunikations- (NFC-) Antenne auf der gedruckten Seite des PCB-Substrats befindet.
  65. Drahtlose Kommunikationsvorrichtung, die Folgendes umfasst: ein PCB-Substrat, das eine phasengesteuerte Antennengruppe umfasst; und einen Halbleiter-Die, der mit dem PCB-Substrat gekoppelt ist, wobei der Halbleiter-Die mehrere gleiche Sendeempfängerzellen umfasst, die in einer Sendeempfängergruppe angeordnet sind, wobei eine Sendeempfängerzelle aus den mehreren Sendeempfängerzellen Folgendes umfasst: eine Empfängerschaltung, die konfiguriert ist, ein drahtloses Signal über die phasengesteuerte Antennengruppe zu empfangen; eine Lokaloszillatorschaltung, die konfiguriert ist, ein lokales Oszillatorsignal (LO-Signal) zu erzeugen; eine Senderschaltung, die konfiguriert ist, ein Basisbandsignal unter Verwendung des LO-Signals aufwärtsumzusetzen und das aufwärtsumgesetzte Signal über die phasengesteuerte Antennengruppe drahtlos zu senden; und eine Phasenanpassungsschaltung, die konfiguriert ist, die Phase des empfangenen drahtlosen Signals oder des aufwärtsumgesetzten Signals unter Verwendung eines Phasenanpassungssignals anzupassen, wobei das Phasenanpassungssignal einem gewünschten Antennengewinn der phasengesteuerten Antennengruppe zugeordnet ist.
  66. Mulit-Package-Antennengruppen, die Folgendes umfassen: ein erstes elektronisches Package, das ein erstes Substrat umfasst, das mehrere parallele Schichten aufweist, wobei eine erste Schicht eine erste Seite des ersten Substrats umfasst und eine zweite Schicht eine zweite Seite des ersten Substrats umfasst; erste mehrere Antennen, die auf der ersten Seite des ersten Substrats eingerichtet sind; ein zweites elektronisches Package, das auf das erste elektronische Package physikalisch gestapelt und mit ihm physikalisch verbunden ist, wobei das zweite elektronische Package ein zweites Substrat umfasst, das mehrere Schichten aufweist, wobei eine erste Schicht eine erste Seite des zweiten Substrats umfasst und eine zweite Schicht eine zweite Seite des zweiten Substrats umfasst; zweite mehrere Antennen, die auf der ersten Seite des zweiten Substrats eingerichtet sind; wenigstens einen Halbleiter-Die, der auf der zweiten Seite des ersten Substrats oder auf der zweiten Seite des zweiten Substrats eingerichtet ist und mit den ersten mehreren Antennen und mit den zweiten mehreren Antennen gekoppelt ist; und mehrere dicht gepackte Kontakte in elektrischem Kontakt mit der zweiten Seite des ersten Substrats und der zweiten Seite des zweiten Substrats, wobei die mehreren dicht gepackten Kontakte eingerichtet sind, als eine Abschirmung gegen Hochfrequenzstörung und elektromagnetische Störung (RFI/EMI) für den wenigstens einen Halbleiter-Die zu funktionieren.
  67. Antennenkarte, die Folgendes umfasst: eine Steckkarte, die einen inneren Abschnitt aufweist, wobei ein erster Teil des inneren Abschnitts nicht metallisiert ist und ein zweiter Teil des inneren Abschnitts eine metallisierte elektrische Verbindung aufweist; eine integrierte Schaltung auf einem Substrat, das in dem inneren Abschnitt befestigt ist; und wenigstens eine Antenne, die in dem ersten Teil eingerichtet ist und mit der integrierten Schaltung gekoppelt ist, zum Abstrahlen von Hochfrequenz-(RF-) Wellen.
  68. Antennenstruktur, die Folgendes umfasst: eine PCB, die eine erste Seite und eine zweite Seite umfasst, wobei die zweite Seite ein Gitter von Kontakten mit einem speziell erzeugten Bereich des Gitters ohne Kontakte umfasst; eine abgeschirmte integrierte Hochfrequenzschaltung (RFIC), die an der ersten Seite der PCB angebracht ist; wenigstens ein erstes Antennenelement, das auf der zweiten Seite in dem Bereich des Gitters ohne Kontakte eingerichtet und mit der RFIC gekoppelt ist, zum Abstrahlen von Hochfrequenz- (RF-) Wellen; und eine Hauptplatine, die mit der PCB über individuelle Kontakte des Gitters von Kontakten verbunden ist und einen Ausschnitt im Wesentlichen über dem Bereich des Gitters ohne Kontakte aufweist, wobei die wenigstens eine erste Antenne fähig ist, durch den Ausschnitt auszustrahlen.
  69. Selbstprüfungs-System, das Folgendes umfasst: eine Prüfumgebung, wobei die Prüfumgebung konfiguriert ist, ein zu prüfendes System zu befestigen, wobei das zu prüfende System mehrere elektronische Komponenten umfasst, die einen Sender, einen Empfänger, mehrere Sende- (TX-) Antennen, die konfiguriert sind, mit dem Sender gekoppelt zu sein, und mehrere Empfangs- (RX-) Antennen, die konfiguriert sind, mit dem Empfänger gekoppelt zu sein, aufweisen; einen Reflektor, der an der Prüfeinrichtung montiert ist und konfiguriert ist, Hochfrequenz- (RF-) Signale von dem Sender über eine TX-Antenne zu empfangen und die RF-Signale zu dem Empfänger über die RX-Antenne zu reflektieren, und einen computerlesbaren Hardware-Speicher, der Computeranweisungen speichert, die dann, wenn sie durch den Computer ausgeführt werden, das zu prüfende System gemäß vorbestimmten Prüfungen prüfen, wobei die Prüfungen eine Rückschleifenprüfung des zu prüfenden Systems umfassen, wobei die Rückschleifenprüfung das Senden eines RF-Signals von TX-Elementen, die den Sender und eine TX-Antenne umfassen, zu RX-Elementen, die den Empfänger und eine RX-Antenne umfassen, umfasst, wobei das RF-Signal über Reflexion durch den Reflektor empfangen wird, und das Bestimmen einer Eigenschaft des zu prüfenden Systems aus Ergebnissen der Rückschleifenprüfung umfasst.
  70. Drahtlose Kommunikationsvorrichtung, die Folgendes umfasst: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes umfasst: eine erste Sendeempfängerschaltung, die konfiguriert ist, ein erstes Datensignal bei einer ersten Frequenz und ein zweites Datensignal bei einer zweiten Frequenz, die mit der ersten Frequenz nicht überlappt, zu erzeugen; und einen Lokaloszillatorgenerator (LO-Generator), der konfiguriert ist, ein LO-Signal bei einer dritten Frequenz zu erzeugen, wobei die erste, die zweite und die dritte Frequenz nicht überlappende Frequenzen sind, und ein Hochfrequenz- (RF-) Frontend-Modul (RFEM), das mit dem BBS über ein einzelnes Koax-Kabel gekoppelt ist, wobei das RFEM Folgendes umfasst: eine phasengesteuerte Antennengruppe, die mehrere Antennen umfasst; und eine zweite Sendeempfängerschaltung, die konfiguriert ist, das erste Datensignal und das zweite Datensignal auf eine gewünschte Frequenz basierend auf dem LO-Signal umzusetzen und das umgesetzte erste und zweite Datensignal über die phasengesteuerte Antennengruppe zu senden, wobei das umgesetzte erste Datensignal über eine erste Untergruppe der phasengesteuerten Antennengruppe unter Verwendung eines ersten Typs von Antennenpolarisation gesendet wird und das zweite umgesetzte Datensignal über eine zweite Untergruppe der phasengesteuerten Antennengruppe unter Verwendung eines zweiten Typs von Antennenpolarisation gesendet wird.
  71. Drahtlose Kommunikationsvorrichtung, die Folgendes umfasst: eine phasengesteuerte Antennengruppe, die mehrere Antennen umfasst; ein Hochfrequenz- (RF-) Empfängerteilsystem, das konfiguriert ist, mehrere über die phasengesteuerte Antennengruppe empfangene RF-Signale zu verarbeiten, um ein einzelnes RF-Signal zu erzeugen; und ein Basisbandteilsystem (BBS), das mit dem RF-Empfängerteilsystem über ein einzelnes Koaxial- (Koax-) Kabel gekoppelt ist, wobei das BBS konfiguriert ist zum: Erzeugen eines abwärtsumgesetzten Signals basierend auf dem einzelnen RF-Signal; und Umsetzen des abwärtsumgesetzten Signals in ein digitales Datensignal zur Verarbeitung durch ein drahtloses Modem, wobei das BBS das RF-Signal von dem RF-Empfängerteilsystem über das Koax-Kabel empfängt und das RF-Empfängerteilsystem ein DC-Stromsignal von dem BBS über das Koax-Kabel empfängt.
  72. Übertragungsleitungsschaltung, die Folgendes umfasst: wenigstens eine integrierte Hochfrequenzschaltung (RFIC), die an einer Hauptplatine in einer Benutzervorrichtung befestigt ist, wobei die Benutzervorrichtung einen klappbaren Deckel aufweist; und eine Übertragungsleitung, die wenigstens einen Wellenleiter oder einen Lichtleiter umfasst, wobei die Übertragungsleitung ein erstes mit der wenigstens einen RFIC gekoppeltes Ende, eine Länge in dem Deckel, und ein zweites mit einer oder mehreren Antennen in dem Deckel gekoppeltes Ende aufweist.
  73. Drahtlose Kommunikationsvorrichtung, die Folgendes umfasst: ein Basisbandteilsystem (BBS), wobei das BBS Folgendes umfasst: einen Lokaloszillatorgenerator (LO-Generator), der konfiguriert ist, ein LO-Signal zu erzeugen; und eine Taktspreizungsschaltung, die konfiguriert ist, ein Steuersignal unter Verwendung des LO-Signals zu modulieren, um ein moduliertes Signal zu erzeugen; und ein Hochfrequenz- (RF-) Frontend-Modul (RFEM), das mit dem BBS über eine einzelne Verbindung gekoppelt ist, um das modulierte Signal und das Datensignal zu empfangen, wobei das RFEM Folgendes umfasst: eine Taktentspreizungsschaltung, die konfiguriert ist, das modulierte Signal zu demodulieren, um das LO-Signal und das Steuersignal wiederherzustellen; eine phasengesteuerte Antennengruppe, die mehrere Antennen umfasst; und eine Sendeempfängerschaltung, die konfiguriert ist, das Datensignal auf eine gewünschte Hochfrequenz (RF) basierend auf dem wiederhergestellten LO-Signal aufwärtsumzusetzen, um ein RF-Signal zu erzeugen, und eine Sendebetriebsart basierend auf dem Steuersignal zu aktivieren, um das RF-Signal über die phasengesteuerte Antennengruppe zu senden.
  74. Drahtlose Kommunikationsvorrichtung, die Folgendes umfasst: eine phasengesteuerte Antennengruppe, die mehrere Antennen umfasst; ein Hochfrequenz- (RF-) Empfängerteilsystem, das konfiguriert ist, mehrere über die phasengesteuerte Antennengruppe empfangene RF-Signale zu verarbeiten, um ein einzelnes RF-Signal zu erzeugen; und ein ergänzendes Zwischenfrequenzteilsystem (SIFS), das mit dem RF-Empfängerteilsystem über eine erste Verbindung gekoppelt ist, wobei das SIFS konfiguriert ist, ein IF-Signal basierend auf dem einzelnen RF-Signal zu erzeugen; und ein Basisbandteilsystem (BBS), das mit dem SIFS über eine zweite Verbindung gekoppelt ist, wobei das BBS konfiguriert ist zum: Erzeugen eines abwärtsumgesetzten Signals basierend auf dem IF-Signal; und Umsetzen des abwärtsumgesetzten Signals in ein digitales Datensignal zur Verarbeitung durch ein drahtloses Modem, wobei das SIFS das einzelne RF-Signal von dem RF-Empfängerteilsystem über die erste Verbindung empfängt und das SIFS das einzelne RF-Signal zu der BBS über die zweite Verbindung kommuniziert.
  75. Einrichtung, die Folgendes umfasst: einen Halbleiter-Die, wobei der Halbleiter-Die mehrere Leistungsverstärker umfasst, die konfiguriert sind, mehrere Signale über entsprechende mehrere Signalleitungen zu empfangen und mehrere verstärkte Signale basierend auf den empfangenen Signalen zu erzeugen; und ein PCB-Substrat, das mit dem Halbleiter-Die gekoppelt ist, wobei das PCB-Substrat einen Hochfrequenz- (RF-) Leistungskombinierer umfasst, der mit den mehreren Leistungsverstärkern gekoppelt ist und konfiguriert ist, die mehreren verstärkten Signale zu kombinieren, um ein einzelnes kombiniertes Signal zum Senden zu erzeugen.
  76. Funkteilsystem mit geringem Verlust, das Folgendes umfasst: wenigstens einen Silizium-Die, der eingerichtet ist, elektronische Schaltungen zu beinhalten, die betreibbar sind, um elektronische Signale zum Betrieb einer vorbestimmten Anzahl von Antennen zu erzeugen; ein laminares Substrat, das mehrere parallele Schichten umfasst, wobei der wenigstens eine Silizium- Die in das laminare Substrat eingebettet ist; die vorbestimmte Anzahl von Antennen, die konfiguriert sind, nur mit den elektronischen Signalen zu arbeiten, die auf oder innerhalb einer ersten Schicht des laminaren Substrats oder auf oder innerhalb sowohl der ersten Schicht als auch einer zweiten Schicht des laminaren Substrats konfiguriert sind; und eine leitfähige Signalzuführungsstruktur, die zwischen dem wenigstens einen Silizium-Die und der vorbestimmten Anzahl von Antennen verbunden ist und konfiguriert ist, die elektronischen Signale der vorbestimmten Anzahl von Antennen zuzuführen.
  77. Mehrschichtige gestapelte Ringresonator- (SRR) Antennenvorrichtung, die Folgendes umfasst: eine Mehrzahl von Ringresonatoren, die auf einer ersten Substratschicht eines mehrschichtigen PCB-Substrats angeordnet sind; wenigstens einen weiteren Ringresonator, der auf einer zweiten Substratschicht des PCB-Substrats angeordnet ist; und eine Antennenzuleitung, die auf einer dritten Substratschicht des PCB-Substrats angeordnet ist, wobei die Antennenzuleitung mit dem wenigstens einen weiteren Ringresonator galvanisch gekoppelt ist und die Mehrzahl von Ringresonatoren miteinander und mit dem wenigstens einen weiteren Ringresonator kapazitiv gekoppelt sind.
  78. Vorrichtung, die Folgendes umfasst: einen Wellenleiter; ein PCB-Substrat, wobei das PCB-Substrat Folgendes umfasst: eine Übertragungsleitung, die konfiguriert ist, drahtlose Signale unter Verwendung des Wellenleiters zu senden oder zu empfangen; und eine Zuleitungssonde, die mit der Übertragungsleitung gekoppelt ist und konfiguriert ist, das Senden oder den Empfang der drahtlosen Signale zu manipulieren; und einen Wellenleiteradapter, der die PCB mit dem Wellenleiter koppelt, wobei der Wellenleiter ein offenes Ende umfasst, das als eine Antenne agiert, um drahtlose Signale zu senden oder zu empfangen, und wobei die Zuleitungssonde wenigstens eine Via umfasst, das durch das PCB-Substrat und die Übertragungsleitung beschichtet ist.
  79. Dual polarisierte Antenne, die Folgendes umfasst: eine erste Dipolantenne; eine zweite Dipolantenne, wobei die erste Dipolantenne und die zweite Dipolantenne jeweils einen entsprechenden planaren Zweig aufweist, wobei der erste und der zweite Dipol jeweils einen Zweig aufweist, der im Wesentlichen senkrecht zu jedem entsprechenden planaren Arm ist, und wobei jeder Dipol konfiguriert ist, lineare Polarisation zu produzieren, die um 45 Grad zu den jeweiligen planaren Zweigen geneigt ist.
  80. Funkteilsystem, das Folgendes umfasst: einen Die, der in einem ersten Substrat eingebettet ist; wenigstens eine erste Antenne, die auf dem ersten Substrat eingerichtet ist und mit dem Die gekoppelt ist; oberflächenmontierte Vorrichtungen, die mit dem ersten Substrat verbunden sind, wobei die oberflächenmontierten Vorrichtungen wenigstens eine zweite Antenne umfassen; und ein zweites Substrat, das einen Hohlraum aufweist, wobei das zweite Substrat mit dem ersten Substrat verbunden ist, so dass die oberflächenmontierten Vorrichtungen durch den Hohlraum bedeckt sind.
  81. Antennenelement, das Folgendes umfasst: eine PCB, die mehrere parallele Schichten umfasst; und einen Wellenleiter, der Folgendes umfasst: eine plattierte Oberflächenkomponente, die ein Dielektrikum umfasst, das an einer ersten leitfähigen Schicht der PCB angebracht ist, eine Monopolantenne innerhalb der plattierten Oberflächenkomponente, wobei die Monopolantenne senkrecht zu der ersten leitfähigen Schicht der PCB ist, und eine unplattierte dielektrische Komponente, die an der plattierten Oberflächenkomponente angebracht ist oder einen Teil davon bildet, wobei die plattierte Oberflächenkomponente und die unplattierte dielektrische Komponente eine vorbestimmte Form aufweisen, wobei die unplattierte dielektrische Komponente eine Impedanzanpassung von dem Wellenleiter zu Luft bereitstellt.
  82. Dual polarisierte differentielle Antenne, die Folgendes umfasst: ein Antennenelement; und vier Antennenanschlüsse, die jeweils zur Erregung des Antennenelements konfiguriert sind, wobei ein erster und ein zweiter aus den vier Anschlüssen, die einander gegenüber liegen, wobei der erste und der zweite aus den vier Anschlüssen konfiguriert sind, jeweils durch ein Signal einer ersten Polarität und durch ein gegenphasiges Signal der ersten Polarität angesteuert zu werden, und ein dritter und ein vierter aus den vier Anschlüssen, die einander gegenüber liegen und orthogonal zu dem ersten und dem zweiten aus den vier Anschlüssen angeordnet sind, wobei der dritte und der vierte aus den vier Anschlüssen konfiguriert sind, jeweils durch ein Signal einer zweiten Polarität und durch ein gegenphasiges Signal der zweiten Polarität angesteuert zu werden.
  83. Einrichtung einer Millimeterwellen- (mmWellen-) Kommunikationsvorrichtung, wobei die Einrichtung Folgendes umfasst: mehrere phasengesteuerte Antennengruppen; eine Empfängerarchitektur, die mehrere Empfänger umfasst, wobei die Empfängerarchitektur konfiguriert ist, strahlgeformte mmWellen-Signale über eine erste phasengesteuerte Antennengruppe aus den mehreren phasengesteuerten Antennengruppen zu empfangen; und eine Senderarchitektur, die mehrere Sender umfasst, wobei die Senderarchitektur konfiguriert ist, strahlgeformte mmWellen-Signale über die erste phasengesteuerte Antennengruppe zu senden, wobei ein erster Empfänger aus den mehreren Empfängern die strahlgeformten mmWellen-Signale von einer ersten Basisstation empfängt, während wenigstens ein zweiter Empfänger aus den mehreren Empfängern nach strahlgeformten mmWellen-Signalen von einer zweiten Basisstation abtastet.
  84. Antenne, die Folgendes umfasst: ein laminares Substrat, das mehrere dielektrische Schichten aufweist; ein Via innerhalb des Substrats; und einen Speisemechanismus, der mit dem Via gekoppelt ist, wobei der Speisemechanismus konfiguriert ist, dem Via Hochfrequenz- (RF-) Signale zum Senden durch das Via zuzuführen.
  85. Dreidimensionales (3D-) Antennenelement, das Folgendes umfasst: ein laminares Substrat, das mehrere Schichten aufweist; eine 3D-Antenne auf einer der mehreren Schichten; und eine Masseebene, die eine modifizierte Masseebene ist, die unterhalb der 3D-Antenne eingerichtet ist.
  86. Empfängereinrichtung, die Folgendes umfasst: mehrere segmentierte rauscharme Verstärker (LNAs), wobei jeder segmentierte LNA mehrere LNA-Scheiben umfasst, die konfiguriert sind, ein Eingangs-Hochfrequenz- (RF-) Signal zu verstärken, um ein verstärktes RF-Signal zu erzeugen, mehrere segmentierte Abwärtsumsetzungsmischer, wobei jeder Abwärtsumsetzungsmischer mehrere Abwärtsumsetzungsmischerscheiben umfasst, die konfiguriert sind, das verstärkte RF-Signal basierend auf einem dedizierten lokalen Oszillatorsignal (LO-Signal) auf ein Basisbandsignal abwärtsumzusetzen; und eine Steuerschaltung, die konfiguriert ist zum: Empfangen einer Angabe wenigstens einer Signaleigenschaft des Eingangs-RF-Signals; und Aktivieren wenigstens einer LNA-Scheibe aus den mehreren LNA-Scheiben und wenigstens einer Mischerscheibe aus den mehreren Mischerscheiben basierend auf der empfangenen Angabe.
  87. Multi-Package-Antennengruppe, die Folgendes umfasst: ein erstes elektronisches Package, das ein erstes laminares Substrat umfasst; ein zweites elektronisches Package, das ein zweites laminares Substrat umfasst, wobei das zweite elektronische Package auf dem ersten elektronischen Package gestapelt und in physikalischem Kontakt mit ihm ist; eine erste Antennengruppe, die auf dem ersten laminaren Substrat eingerichtet ist; eine zweite Antennengruppe, die auf dem zweiten laminaren Substrat eingerichtet ist; und wenigstens einen Prozessor-Die, der in einem aus dem ersten elektronischen Package oder dem zweiten elektronischen Package eingebettet ist, wobei der wenigstens eine Die mit der ersten Antennengruppe und der zweiten Antennengruppe elektrisch gekoppelt ist, wobei der wenigstens eine Halbleiter-Die wenigstens einen Funk-Sendeempfänger umfasst, der konfiguriert ist, in einem ersten Frequenzbereich und in einem zweiten Frequenzbereich zu arbeiten.
  88. Dual-Sendeempfängersystem, das Folgendes umfasst: einen ersten Sendeempfänger, der konfiguriert ist, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu senden; und einen zweiten Sendeempfänger, der konfiguriert ist, die aufwärtsumgesetzten RF-Signale über die Übertragungsleitung zu empfangen, das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband in ein horizontal polarisiertes RF-Signal in dem zweiten Frequenzband aufwärtsumzusetzen und das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte 5G-RF-Signal in dem zweiten Frequenzband zu einem Antennenteilsystem zu senden.
  89. Dual-Umsetzungs-Hochfrequenz- (RF-) System, das Folgendes umfasst: einen Digital-zu-Analog-Umsetzer (DAC), der konfiguriert ist, Breitbandsignale zuzuführen; einen ersten digitalen Phasenregelkreis (DPLL), der konfiguriert ist, ein RF-Signal in einem ersten 5G-Frequenzband zuzuführen; einen Frequenzumsetzer, um das RF-Signal in dem ersten 5G-Frequenzband in ein RF-Signal in einem zweiten 5G-Frequenzband, das tiefer ist als das erste 5G-Frequenzband, abwärtsumzusetzen; einen ersten Mischer, der mit dem DAC und mit dem Frequenzumsetzer verbunden ist, wenigstens einen Schalter, der konfiguriert ist, das RF-Dual-Sendeempfängersystem in eine Prüfbetriebsart zu versetzen, wobei der wenigstens eine Schalter das Prüfen auf und die Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband ermöglicht; einen zweiten DPLL, der konfiguriert ist, ein RF-Signal in einem dritten 5G-Frequenzband zuzuführen; und einen zweiten Mischer, der mit einem Ausgang des ersten Mischers und mit dem zweiten DPLL verbunden ist und konfiguriert ist, das RF-Signal in dem zweiten 5G-Frequenzband in ein RF-Signal in einem vierten 5G-Frequenzband, das höher ist als das zweite 5G-Frequenzband, nach der Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband umzusetzen.
  90. Verfahren zum Senden von RF-Signalen zu einem Antennenteilsystem in mehreren Frequenzbändern, das Folgendes umfasst: Konfigurieren eines ersten Sendeempfängers, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes erstes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu senden; Konfigurieren eines zweiten Sendeempfängers, die aufwärtsumgesetzten RF-Signale über die Übertragungsleitung zu empfangen, das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband in ein horizontal polarisiertes RF-Signal in dem zweiten 5G-Frequenzband abwärtsumzusetzen und das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte 5G-RF-Signal in dem zweiten Frequenzband zu einem Antennenteilsystem zu senden; und Konfigurieren der Übertragungsleitung, so dass sie der alleinige Leiter der aufwärtsumgesetzten RF-Signale von dem ersten Sendeempfänger zu dem zweiten Sendeempfänger ist.
  91. Verfahren zur Dual-Umsetzung mit einem Hochfrequenz- (RF-) System, wobei das Verfahren Folgendes umfasst: Konfigurieren eines Digital-zu-Analog-Umsetzers (DAC), Breitbandsignale zuzuführen; Konfigurieren eines ersten digitalen Phasenregelkreises (DPLL), ein RF-Signal in einem ersten 5G-Frequenzband zuzuführen; Konfigurieren eines Frequenzumsetzers, das RF-Signal in dem ersten 5G-Frequenzband in ein RF-Signal in einem zweiten 5G-Frequenzband, das tiefer ist als das erste 5G-Frequenzband, abwärtsumzusetzen; Verbinden eines ersten Mischers mit dem DAC und dem Frequenzumsetzer; Konfigurieren des RF-Systems auf eine Rückschleifenbetriebsart, um das Prüfen auf und die Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband zu ermöglichen; Konfigurieren eines zweiten DPLL, ein RF-Signal bei einer dritten Frequenz zuzuführen; Verbinden eines zweiten Mischers mit einem Ausgang des ersten Mischers und mit dem zweiten DPLL; und Konfigurieren des zweiten Mischers, das RF-Signal in dem zweiten 5G-Frequenzband in ein RF-Signal in einem vierten 5G-Frequenzband, das höher ist als das zweite 5G-Frequenzband, nach der Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband umzusetzen.
  92. Nicht-transitorisches computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer drahtlosen Vorrichtung speichert, wobei die Anweisungen zum Konfigurieren des einen oder der mehreren Prozessoren ausgelegt sind, um zu bewirken, dass die Vorrichtung: einen ersten Sendeempfänger konfiguriert, mehrere Basisbandsignale zu empfangen, die mehreren Basisbandsignale in ein horizontal polarisiertes erstes Hochfrequenz- (RF-) Signal in einem ersten 5G-Frequenzband und ein vertikal polarisiertes RF-Signal in einem zweiten 5G-Frequenzband aufwärtsumzusetzen und die aufwärtsumgesetzten RF-Signale über eine Übertragungsleitung zu senden; einen zweiten Sendeempfänger zu konfigurieren, die aufwärtsumgesetzten RF-Signale über die Übertragungsleitung zu empfangen, das horizontal polarisierte RF-Signal in dem ersten 5G-Frequenzband in ein horizontal polarisiertes RF-Signal in dem zweiten 5G-Frequenzband abwärtsumzusetzen und das horizontal polarisierte RF-Signal in dem zweiten Frequenzband und das vertikal polarisierte 5G-RF-Signal in dem zweiten Frequenzband zu einem Antennenteilsystem zu senden; und die Übertragungsleitung zu konfigurieren, so dass sie der alleinige Leiter der aufwärtsumgesetzten RF-Signale von dem ersten Sendeempfänger zu dem zweiten Sendeempfänger ist.
  93. Nicht-transitorisches computerlesbares Speichermedium, das Anweisungen zur Ausführung durch einen oder mehrere Prozessoren einer drahtlosen Vorrichtung speichert, wobei die Anweisungen zum Konfigurieren des einen oder der mehreren Prozessoren ausgelegt sind, um zu bewirken, dass die Vorrichtung: einen Digital-zu-Analog-Umsetzer (DAC) konfiguriert, Breitbandsignale zuzuführen; einen ersten digitalen Phasenregelkreis (DPLL) konfiguriert, ein RF-Signal in einem ersten 5G-Frequenzband zuzuführen; einen Frequenzumsetzer konfiguriert, das RF-Signal in dem ersten 5G-Frequenzband in ein RF-Signal in einem zweiten 5G-Frequenzband, das tiefer ist als das erste 5G-Frequenzband, abwärtsumzusetzen; einen ersten Mischer mit dem DAC und dem Frequenzumsetzer verbindet; das RF-System auf eine Rückschleifenbetriebsart konfiguriert, um das Prüfen auf und die Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband zu ermöglichen; einen zweiten DPLL konfiguriert, ein RF-Signal bei einer dritten Frequenz zuzuführen; einen zweiten Mischer mit einem Ausgang des ersten Mischers und mit dem zweiten DPLL verbindet; und den zweiten Mischer konfiguriert, das RF-Signal in dem zweiten 5G-Frequenzband in ein RF-Signal in einem vierten 5G-Frequenzband, das höher ist als das zweite 5G-Frequenzband, nach der Korrektur von RF-Signalfehlern in dem zweiten 5G-Frequenzband umzusetzen.
  94. Nicht-transitorisches Computerprogrammmedium, das Anweisungen umfasst, die bewirken, dass eine Vorrichtung oder ein System arbeitet wie hier gezeigt und/oder beschrieben.
DE112017006442.2T 2016-12-21 2017-12-20 Drahtlose kommunikationstechnologie, einrichtungen und verfahren Pending DE112017006442T5 (de)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662437385P 2016-12-21 2016-12-21
US62/437,385 2016-12-21
US201762511398P 2017-05-26 2017-05-26
US62/511,398 2017-05-26
US201762527818P 2017-06-30 2017-06-30
US62/527,818 2017-06-30
US201762570680P 2017-10-11 2017-10-11
US62/570,680 2017-10-11
PCT/US2017/067739 WO2018119153A2 (en) 2016-12-21 2017-12-20 Wireless communication technology, apparatuses, and methods

Publications (1)

Publication Number Publication Date
DE112017006442T5 true DE112017006442T5 (de) 2019-09-19

Family

ID=62627827

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112017006442.2T Pending DE112017006442T5 (de) 2016-12-21 2017-12-20 Drahtlose kommunikationstechnologie, einrichtungen und verfahren

Country Status (8)

Country Link
US (3) US11424539B2 (de)
EP (1) EP3560111A4 (de)
JP (3) JP7089519B2 (de)
KR (1) KR102635791B1 (de)
CN (1) CN110447146A (de)
DE (1) DE112017006442T5 (de)
TW (2) TWI782936B (de)
WO (1) WO2018119153A2 (de)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200280350A1 (en) * 2018-02-26 2020-09-03 Parallel Wireless, Inc. Miniature Antenna Array With Polar Combining Architecture
WO2021076625A1 (en) * 2019-10-14 2021-04-22 Google Llc Millimeter wave radar on flexible printed circuit board
US20220069885A1 (en) * 2018-12-11 2022-03-03 Nordic Semiconductor Asa Radio devices with switchable antennas
DE102020107692B4 (de) 2019-03-20 2022-10-06 Analog Devices, Inc. Hochleistungsverstärker mit variablem verstärkungsfaktor unter verwendung von laminatübertragungsleitungsstrukturen
US20230099685A1 (en) * 2020-03-02 2023-03-30 Calterah Semiconductor Technology (Shanghai) Co., Ltd. Automatic gain control method, sensor, and radio device
US20230291104A1 (en) * 2021-02-24 2023-09-14 Bluehalo, Llc System and method for a digitally beamformed phased array feed
US11984963B2 (en) * 2018-12-11 2024-05-14 Nordic Semiconductor Asa Radio devices with switchable antennas

Families Citing this family (383)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US10965164B2 (en) 2012-07-06 2021-03-30 Energous Corporation Systems and methods of wirelessly delivering power to a receiver device
US11502551B2 (en) 2012-07-06 2022-11-15 Energous Corporation Wirelessly charging multiple wireless-power receivers using different subsets of an antenna array to focus energy at different locations
US9515690B1 (en) * 2014-08-22 2016-12-06 Interstate Electronics Corporation Receiver with multi-spectrum parallel amplification
WO2016164970A1 (en) * 2015-04-13 2016-10-20 Rfid Technologies Pty Ltd Rfid tag and reader
US10523033B2 (en) 2015-09-15 2019-12-31 Energous Corporation Receiver devices configured to determine location within a transmission field
WO2017078851A2 (en) 2015-09-18 2017-05-11 Corman David W Laminar phased array
US10038332B1 (en) 2015-12-24 2018-07-31 Energous Corporation Systems and methods of wireless power charging through multiple receiving devices
US10079515B2 (en) 2016-12-12 2018-09-18 Energous Corporation Near-field RF charging pad with multi-band antenna element with adaptive loading to efficiently charge an electronic device at any position on the pad
US10923954B2 (en) 2016-11-03 2021-02-16 Energous Corporation Wireless power receiver with a synchronous rectifier
DE102017124343B4 (de) * 2016-12-16 2021-04-08 Infineon Technologies Ag Erzeugung schneller frequenzrampen
DE112017006442T5 (de) 2016-12-21 2019-09-19 Intel Corporation Drahtlose kommunikationstechnologie, einrichtungen und verfahren
DE102017130379A1 (de) * 2017-03-30 2018-10-04 Huf Hülsbeck & Fürst Gmbh & Co. Kg Sensoreinrichtung mit kapazitivem Sensor für Kraftfahrzeuge
US10908255B2 (en) 2017-03-31 2021-02-02 Remote Sensing Solutions, Inc. Modular object-oriented digital sub-system architecture with primary sequence control and synchronization
CN110476300B (zh) * 2017-03-31 2021-03-23 三菱电机株式会社 相控阵列天线装置及测定装置、相位调整控制装置及方法
US11462949B2 (en) 2017-05-16 2022-10-04 Wireless electrical Grid LAN, WiGL Inc Wireless charging method and system
JP2018207184A (ja) * 2017-05-30 2018-12-27 パナソニックIpマネジメント株式会社 施設内伝送システム、施設内伝送方法及び基地局
US10433260B1 (en) * 2018-07-02 2019-10-01 Arris Enterprises Llc Antenna-parameter control in a distributed system
CN107765104B (zh) * 2017-09-04 2020-02-14 华为技术有限公司 一种相控阵校测的方法以及校测装置
US10425190B2 (en) * 2017-09-08 2019-09-24 Huawei Technologies Co., Ltd. Channel encoding method and apparatus in wireless communications
US10063303B1 (en) * 2017-09-18 2018-08-28 Integrated Device Technology, Inc. Fast memory access control for phase and gain
WO2019059820A1 (en) * 2017-09-19 2019-03-28 Telefonaktiebolaget Lm Ericsson (Publ) BEAM ASSIGNMENT IN A COMMUNICATIONS NETWORK
US20190103365A1 (en) * 2017-09-29 2019-04-04 Nxp Usa, Inc. Selectively shielded semiconductor package
JP7020545B2 (ja) * 2017-10-04 2022-02-16 日本電気株式会社 リモート無線ヘッド、ビームフォーミング方法及びプログラム
WO2019079341A1 (en) * 2017-10-16 2019-04-25 Huawei Technologies Co., Ltd. METHOD AND APPARATUS FOR DETERMINING DIRECT VISIBILITY (LOS)
US10985471B2 (en) * 2017-11-27 2021-04-20 Panasonic Intellectual Property Management Co., Ltd. Radar device
US10855332B2 (en) * 2017-12-06 2020-12-01 Mitsubishi Electric Corporation Signal transmission system
US11418971B2 (en) 2017-12-24 2022-08-16 Anokiwave, Inc. Beamforming integrated circuit, AESA system and method
US10615647B2 (en) 2018-02-02 2020-04-07 Energous Corporation Systems and methods for detecting wireless power receivers and other objects at a near-field charging pad
US11177567B2 (en) 2018-02-23 2021-11-16 Analog Devices Global Unlimited Company Antenna array calibration systems and methods
US11159187B2 (en) * 2018-02-26 2021-10-26 Parallel Wireless, Inc. Microcomponent massive MIMO arrays
US10847478B2 (en) * 2018-02-27 2020-11-24 Amkor Technology Singapore Holding Pte. Ltd. Method of forming an electronic device structure having an electronic component with an on-edge orientation and related structures
DE102019203172A1 (de) * 2018-04-10 2019-10-10 Globalfoundries Inc. Multibandempfänger für Millimeterwellenvorrichtungen
US11716558B2 (en) 2018-04-16 2023-08-01 Charter Communications Operating, Llc Apparatus and methods for integrated high-capacity data and wireless network services
EP3557786A1 (de) * 2018-04-16 2019-10-23 Samsung Electronics Co., Ltd. Verfahren zum testen einer integrierten hf-schaltung
KR102468136B1 (ko) * 2018-04-23 2022-11-18 삼성전자 주식회사 안테나 장치 및 이를 포함하는 전자 장치
US10998640B2 (en) 2018-05-15 2021-05-04 Anokiwave, Inc. Cross-polarized time division duplexed antenna
KR102482148B1 (ko) 2018-05-16 2022-12-29 삼성전자주식회사 안테나를 포함하는 전자 장치 및 방법
US10475786B1 (en) * 2018-05-23 2019-11-12 Texas Instruments Incorporated Packaged semiconductor device
CN112351697A (zh) * 2018-05-29 2021-02-09 尤尔实验室有限公司 具有料匣的蒸发器装置
US10581164B2 (en) * 2018-06-07 2020-03-03 Futurewei Technologies, Inc. Hybrid millimeter wave FEM architecture for mobile devices
EP3811690B1 (de) * 2018-06-20 2022-10-19 Telefonaktiebolaget LM Ericsson (publ) Verfahren und vorrichtung für massives mu-mimo
GB201810547D0 (en) * 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
GB201810548D0 (en) 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
US10496587B1 (en) * 2018-06-27 2019-12-03 Integrated Device Technology, Inc. Wide programmable gain receiver data path for single-ended memory interface application
US11349539B2 (en) 2018-06-29 2022-05-31 Apple Inc. Spatial thermal density reduction for MMWAVE antenna arrays
US11081453B2 (en) 2018-07-03 2021-08-03 Mediatek Inc. Semiconductor package structure with antenna
US20200021010A1 (en) * 2018-07-13 2020-01-16 Qualcomm Incorporated Air coupled superstrate antenna on device housing
CN109041094B (zh) * 2018-07-18 2021-06-25 Oppo广东移动通信有限公司 射频电路调试方法及相关装置
WO2020022180A1 (ja) * 2018-07-23 2020-01-30 株式会社村田製作所 高周波モジュール
DE112019003716T5 (de) * 2018-07-23 2021-06-02 Knowles Electronics, Llc Mikrofonvorrichtung mit induktiver filterung
CN113169767A (zh) 2018-07-30 2021-07-23 盈诺飞公司 大规模mimo通信系统和方法
US11038267B2 (en) 2018-07-31 2021-06-15 Skyworks Solutions, Inc. Apparatus and methods for electromagnetic shielding using an outer cobalt layer
KR20200014601A (ko) * 2018-08-01 2020-02-11 삼성전자주식회사 안테나 어레이를 포함하는 전자 장치
WO2020036690A1 (en) 2018-08-14 2020-02-20 Avx Antenna Inc. D/B/A Ethertronics Inc. Method and system for controlling a modal antenna
US10944171B2 (en) * 2018-08-22 2021-03-09 Psemi Corporation Fast transceiver front end serial control
US11196142B2 (en) 2018-08-31 2021-12-07 Micron Technology, Inc. Millimeter wave antenna and EMI shielding integrated with fan-out package
KR102526400B1 (ko) * 2018-09-06 2023-04-28 삼성전자주식회사 5g 안테나 모듈을 포함하는 전자 장치
US10978785B2 (en) * 2018-09-10 2021-04-13 Samsung Electro-Mechanics Co., Ltd. Chip antenna module
EP3853567A4 (de) * 2018-09-19 2022-06-15 Akash Systems, Inc. Systeme und verfahren zur satellitenkommunikation
US10588089B1 (en) * 2018-09-21 2020-03-10 Qualcomm Incorporated Mitigation of calibration errors
JP7066920B2 (ja) * 2018-09-28 2022-05-13 ラム リサーチ コーポレーション プラズマチャンバの電極への電力送出を最適化するシステムおよび方法
US11129213B2 (en) 2018-10-12 2021-09-21 Charter Communications Operating, Llc Apparatus and methods for cell identification in wireless networks
KR102537318B1 (ko) 2018-10-19 2023-05-26 삼성전자 주식회사 회로 기판 어셈블리 및 그것을 포함하는 전자 장치
CN109244669B (zh) * 2018-10-26 2023-12-19 北京华镁钛科技有限公司 一种可重构相控阵天线的驱动系统、驱动方法及天线装置
US11129098B2 (en) * 2018-10-26 2021-09-21 Silicon Laboratories Inc. Multiplexed signal processing system for bluetooth and WLAN transceiver
WO2020093005A1 (en) 2018-11-01 2020-05-07 Innophase, Inc. Reconfigurable phase array
CN109408986B (zh) * 2018-11-01 2022-11-18 中国电子科技集团公司第五十四研究所 一种椭圆波束卡塞格伦天线的设计方法
US11108170B2 (en) * 2018-11-01 2021-08-31 Qualcomm Incorporated Multi-band millimeter-wave (MMW) antenna array and radio-frequency integrated circuit (RFIC) module
CN111163019B (zh) * 2018-11-07 2022-10-28 中兴通讯股份有限公司 处理数据包的方法、装置和存储介质
KR102585305B1 (ko) * 2018-11-07 2023-10-05 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
DE102018219569B3 (de) * 2018-11-15 2020-02-20 Audi Ag Rundfunkempfangsvorrichtung für ein Fahrzeug
TWI681604B (zh) * 2018-11-16 2020-01-01 大陸商東莞寶德電子有限公司 可分離的充電板
CN109684665B (zh) * 2018-11-21 2024-02-02 浙江大学城市学院 基于FinFET的三值SRAM单元电路及控制方法
BE1026802B1 (de) * 2018-11-26 2020-06-25 Phoenix Contact Gmbh & Co Steckverbinder
KR20200063019A (ko) * 2018-11-27 2020-06-04 삼성전자주식회사 안테나 반사 계수를 측정하기 위한 방법 및 장치
JP7479368B2 (ja) 2018-11-29 2024-05-08 テクノロギアン トゥトキムスケスクス ヴェーテーテー オイ 無線通信装置用のアンテナアセンブリ
US10630336B1 (en) * 2018-11-30 2020-04-21 Intel Corporation Apparatus and method for operating with a radio frequency circuitry and wireless transmission and reception in a millimeter wave range
KR102612360B1 (ko) * 2018-12-04 2023-12-12 삼성전자 주식회사 안테나를 통해 송신하고 수신된 신호에 기반하여 통신 회로의 성능을 확인하는 방법
US11100193B2 (en) * 2018-12-07 2021-08-24 Samsung Electronics Co., Ltd. Dataflow accelerator architecture for general matrix-matrix multiplication and tensor computation in deep learning
US10979117B2 (en) * 2018-12-15 2021-04-13 MMRFIC Technology Pvt. Ltd. Method, system and apparatus for beam forming in a radio frequency transceiver with reduced complexity
WO2020124979A1 (en) * 2018-12-21 2020-06-25 Huawei Technologies Co., Ltd. A portable, integrated antenna test bed with built-in turntable
CN110011027A (zh) * 2018-12-28 2019-07-12 瑞声科技(新加坡)有限公司 一种天线、天线阵列和基站
WO2020154667A1 (en) 2019-01-24 2020-07-30 Wispry, Inc. Spherical coverage antenna systems, devices, and methods
US11171682B2 (en) * 2019-01-30 2021-11-09 Swiftlink Technologies Inc. Dual polarization millimeter-wave frontend integrated circuit
EP3920428A4 (de) * 2019-01-31 2022-04-13 Mitsubishi Electric Corporation Satellitensender und relaissatellitenkommunikationssystem
US10447510B1 (en) * 2019-02-04 2019-10-15 Globalfoundries Inc. On-demand feed forward equalizer with distributed arithmetic architecture and method
EP3921945A1 (de) 2019-02-06 2021-12-15 Energous Corporation Systeme und verfahren zur schätzung der optimalen phasen zur verwendung für einzelne antennen in einer antennenanordnung
US11037915B2 (en) * 2019-02-14 2021-06-15 Facebook Technologies, Llc Integrated display devices
US11169940B2 (en) * 2019-02-20 2021-11-09 Qualcomm Incorporated Trace length on printed circuit board (PCB) based on input/output (I/O) operating speed
US11281247B2 (en) * 2019-02-26 2022-03-22 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
US11137783B2 (en) * 2019-02-26 2021-10-05 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
KR102597392B1 (ko) * 2019-02-28 2023-11-03 삼성전자주식회사 이중 대역을 지원하는 안테나 모듈 및 이를 포함하는 전자 장치
WO2020179381A1 (ja) * 2019-03-07 2020-09-10 株式会社フェニックスソリューション Rfタグおよびrfタグ付き導体
US11404779B2 (en) 2019-03-14 2022-08-02 Analog Devices International Unlimited Company On-chip phased array calibration systems and methods
US10985951B2 (en) 2019-03-15 2021-04-20 The Research Foundation for the State University Integrating Volterra series model and deep neural networks to equalize nonlinear power amplifiers
CN111725605B (zh) * 2019-03-20 2022-03-15 Oppo广东移动通信有限公司 毫米波模组和电子设备
US11777764B2 (en) * 2019-03-28 2023-10-03 Qualcomm Incorporated Sounding reference signal waveform design for wireless communications
US11569886B2 (en) * 2019-04-01 2023-01-31 Qualcomm Incorporated Network-sensitive transmit diversity scheme
EP3996289A1 (de) * 2019-04-11 2022-05-11 Nexite Ltd. Drahtloses dual-modus-identifikationsetikett
US11018633B2 (en) * 2019-04-18 2021-05-25 Samsung Electronics Co., Ltd Method and apparatus for calibrating digital pre-distortion of cellular transmitter
DE102019110716B3 (de) * 2019-04-25 2020-01-16 Semikron Elektronik Gmbh & Co. Kg Leistungshalbleitermodul mit Leistungshalbleiterschaltern
US10903544B2 (en) 2019-04-25 2021-01-26 International Business Machines Corporation Magnetic balun/transformer with post processing adjustments
DE102019110840A1 (de) * 2019-04-26 2020-10-29 Infineon Technologies Ag Rf-vorrichtungen mit konformen antennen und verfahren zu deren herstellung
US11159197B2 (en) * 2019-04-29 2021-10-26 Qualcomm Incorporated Self-interference cancellation for in-band full-duplex wireless communication
JP2020184665A (ja) 2019-05-07 2020-11-12 株式会社村田製作所 送受信回路
US10978793B2 (en) * 2019-05-09 2021-04-13 Harada Industry Of America, Inc. Antenna with gain reduction
WO2020227926A1 (en) * 2019-05-14 2020-11-19 Telefonaktiebolaget Lm Ericsson (Publ) Radio network node with automatic gain control enhancement
US10778337B1 (en) * 2019-05-17 2020-09-15 Google Llc Phase noise tolerant coherent modulation formats for short reach optical communication systems
KR102121591B1 (ko) * 2019-05-21 2020-06-10 중앙대학교 산학협력단 안테나 어레이 보정 방법 및 이를 포함하는 전자 장치
TWI743744B (zh) * 2019-05-22 2021-10-21 啟碁科技股份有限公司 波束成型裝置、用於其之校正方法及校正系統
EP3742555A1 (de) 2019-05-23 2020-11-25 Nokia Solutions and Networks Oy Vorrichtung mit einer vielzahl von antennenvorrichtungen und verfahren zum betrieb solch einer vorrichtung
CN112187309A (zh) * 2019-06-13 2021-01-05 中兴通讯股份有限公司 一种毫米波收发信机
CN110247674B (zh) * 2019-06-19 2021-06-29 成都星联芯通科技有限公司 基于电源电压编码调制的一线通射频前端控制方法及系统
CN110265787A (zh) * 2019-06-21 2019-09-20 西安电子科技大学 基于基片集成波导siw的背腔缝隙圆极化毫米波天线
US11240696B2 (en) 2019-07-15 2022-02-01 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
US11108672B2 (en) * 2019-07-15 2021-08-31 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
US11050495B2 (en) * 2019-07-18 2021-06-29 Samsung Electronics Co., Ltd. Electronic device including transceiver for calibrating I/Q imbalance in millimeter wave communication system and method of operating same
JP7315829B2 (ja) * 2019-07-18 2023-07-27 株式会社バッファロー 無線lanアクセスポイント
JP2022541980A (ja) 2019-08-01 2022-09-29 エイブイエックス・アンテナ・インコーポレーテッド モーダル・アンテナの制御方法およびシステム
EP3982547A1 (de) * 2019-08-05 2022-04-13 Samsung Electronics Co., Ltd. Antennenmodul und elektronische vorrichtung zur verwendung des antennenmoduls
CN110534924B (zh) 2019-08-16 2021-09-10 维沃移动通信有限公司 天线模组和电子设备
CN113475151A (zh) * 2019-08-16 2021-10-01 联发科技股份有限公司 基于两种不同参考信号的服务小区激活的自动增益控制
US10886903B1 (en) * 2019-08-20 2021-01-05 Apple Inc. Programmable clock skewing for timing closure
US11088456B2 (en) * 2019-08-20 2021-08-10 Bae Systems Information And Electronic Systems Integration Inc. Cavity backed notch antenna with additively manufactured radome
US11637365B2 (en) 2019-08-21 2023-04-25 Ticona Llc Polymer composition for use in an antenna system
US11258184B2 (en) 2019-08-21 2022-02-22 Ticona Llc Antenna system including a polymer composition having a low dissipation factor
US11431358B2 (en) 2019-08-23 2022-08-30 Samsung Electronics Co., Ltd. Device and method for upconverting signal in wireless communication system
CN114365432B (zh) 2019-08-30 2023-04-11 华为技术有限公司 天线校正装置和天线校正方法
KR102632180B1 (ko) 2019-08-30 2024-02-01 삼성전자 주식회사 안테나 및 그것을 포함하는 전자 장치
US11474228B2 (en) 2019-09-03 2022-10-18 International Business Machines Corporation Radar-based detection of objects while in motion
US11005542B2 (en) * 2019-09-04 2021-05-11 Mano D. Judd Passive beam mechanics
US11335992B2 (en) 2019-09-05 2022-05-17 Apple Inc. Integrated millimeter wave antenna modules
US11912817B2 (en) 2019-09-10 2024-02-27 Ticona Llc Polymer composition for laser direct structuring
US11555113B2 (en) 2019-09-10 2023-01-17 Ticona Llc Liquid crystalline polymer composition
WO2021055898A1 (en) 2019-09-20 2021-03-25 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
US11381118B2 (en) 2019-09-20 2022-07-05 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
CN115104234A (zh) 2019-09-20 2022-09-23 艾诺格思公司 使用多个整流器保护无线电力接收器以及使用多个整流器建立带内通信的系统和方法
US11917753B2 (en) 2019-09-23 2024-02-27 Ticona Llc Circuit board for use at 5G frequencies
US11646760B2 (en) 2019-09-23 2023-05-09 Ticona Llc RF filter for use at 5G frequencies
US10749248B1 (en) 2019-09-23 2020-08-18 Qualcomm Incorporated Antenna module placement and housing for reduced power density exposure
US20220376403A1 (en) * 2019-09-30 2022-11-24 Macdonald, Dettwiler And Associates Corporation Direct radiating array assembly of an antenna
US10693529B1 (en) * 2019-09-30 2020-06-23 Aeroantenna Technology, Inc. Method and apparatus for multiplexing several antenna subsystem signals onto a single RF coaxial cable
MX2022004344A (es) * 2019-10-10 2022-07-19 Galactic Telecom Group Llc Tarjeta de interfaz de red de banda ancha inalámbrica móvil (mwbnic) y k-net.
US11323164B2 (en) 2019-10-24 2022-05-03 Electronics And Telecommunications Research Institute Communication method and apparatus in cloud radio access network
US11721888B2 (en) 2019-11-11 2023-08-08 Ticona Llc Antenna cover including a polymer composition having a low dielectric constant and dissipation factor
CN110795864B (zh) * 2019-11-13 2022-10-14 成都天锐星通科技有限公司 一种iq电压计算实现方法、电子设备及系统
EP3823162B1 (de) 2019-11-14 2022-07-27 Imec VZW Digitale hf-schaltung
KR20210061576A (ko) 2019-11-20 2021-05-28 삼성전기주식회사 안테나 장치
WO2021100925A1 (ko) * 2019-11-22 2021-05-27 엘지전자 주식회사 5g 안테나 모듈과 통신 모듈을 구비하는 전자 기기
US11477664B2 (en) * 2019-11-27 2022-10-18 Qualcomm Incorporated Dynamic beam sweep procedure
DE102019132332B3 (de) * 2019-11-28 2021-01-28 Infineon Technologies Ag Verfahren zum Herstellen eines Moduls, Lötkörper mit einem erhöhten Rand zum Herstellen eines Moduls und Verwenden des Lötkörpers zum Herstellen eines Leistungsmoduls
US11165416B2 (en) 2019-12-03 2021-11-02 Apple Inc. Duty cycle and skew measurement and correction for differential and single-ended clock signals
KR20210070137A (ko) * 2019-12-04 2021-06-14 에스케이하이닉스 주식회사 반도체장치 및 반도체시스템
US11476894B2 (en) 2019-12-10 2022-10-18 AR & NS Investment, LLC Edge communication system with cascaded repeater devices over wired medium
US11038666B1 (en) * 2019-12-11 2021-06-15 Qualcomm Incorporated Open-loop, super fast, half-rate clock and data recovery for next generation C-PHY interfaces
WO2020098822A2 (en) * 2019-12-12 2020-05-22 Alipay (Hangzhou) Information Technology Co., Ltd. Determining action selection policies of an execution device
KR20210074739A (ko) 2019-12-12 2021-06-22 삼성전자주식회사 무선 통신 시스템에서 복수의 대역들에서 신호들을 송신 및 수신하기 위한 장치 및 방법
US10778148B1 (en) * 2019-12-13 2020-09-15 Raytheon Company Gain and sensitivity in a Gilbert switch stage
EP4073905A4 (de) 2019-12-13 2024-01-03 Energous Corp Ladepad mit führungskonturen zum ausrichten einer elektronischen vorrichtung auf dem ladepad und zur effizienten übertragung von nahfeld-hochfrequenzenergie auf die elektronische vorrichtung
US11581640B2 (en) 2019-12-16 2023-02-14 Huawei Technologies Co., Ltd. Phased array antenna with metastructure for increased angular coverage
RU200964U1 (ru) * 2019-12-17 2020-11-20 Федеральное государственное бюджетное образовательное учреждение высшего образования "Владимирский Государственный Университет имени Александра Григорьевича и Николая Григорьевича Столетовых" (ВлГУ) Корректор межсимвольных искажений цифровых сигналов
RU200553U1 (ru) * 2019-12-18 2020-10-29 Федеральное государственное бюджетное учреждение науки Институт проблем передачи информации им. А.А. Харкевича Российской академии наук (ИППИ РАН) Устройство для передачи сигналов с помощью неортогонального множественного доступа с фазовым вращением в wi-fi сетях
US11296040B2 (en) * 2019-12-19 2022-04-05 Intel Corporation Electrostatic discharge protection in integrated circuits
EP3843098A1 (de) 2019-12-23 2021-06-30 IMEC vzw Zeichenschaltkreis
CN110968714B (zh) * 2019-12-23 2020-11-06 自然资源部国土卫星遥感应用中心 一种卫星遥感影像即时服务方法及即时服务平台
CN110839204B (zh) * 2019-12-23 2020-10-16 华中科技大学 一种irs辅助通信系统的通信优化方法及装置
CN111146536B (zh) * 2019-12-25 2021-02-02 南通大学 一种低通道频率固定高通道频率可调的双工器及设计方法
CN111077521B (zh) * 2019-12-25 2023-07-18 中国科学院上海微系统与信息技术研究所 一种动态检测对象的成像补偿方法、装置、设备和介质
US11424783B2 (en) * 2019-12-27 2022-08-23 Mediatek Inc. Transceiver having radio-frequency front-end circuit, dedicated radio-frequency front-end circuit, and switchable matching circuit integrated in same chip
CN114546029B (zh) * 2019-12-30 2022-12-02 珠海极海半导体有限公司 控制芯片、mcu芯片、mpu芯片及dsp芯片
US10985617B1 (en) * 2019-12-31 2021-04-20 Energous Corporation System for wirelessly transmitting energy at a near-field distance without using beam-forming control
CN113067665B (zh) * 2020-01-02 2022-03-11 海思光电子有限公司 一种编码方法、解码方法和装置
US11095014B2 (en) * 2020-01-07 2021-08-17 Aptiv Technologies Limited Waveguide antenna with integrated temperature management
IL293428A (en) 2020-01-24 2022-07-01 Kyocera Avx Components San Diego Inc A radio frequency (rf) amplifier circuit for antenna systems with a modal antenna
US11430710B2 (en) 2020-01-27 2022-08-30 International Business Machines Corporation Lid/heat spreader having targeted flexibility
US10680694B1 (en) * 2020-01-29 2020-06-09 The Florida International University Board Of Trustees Cross-mixing beamformer
US11374686B2 (en) * 2020-02-04 2022-06-28 Qualcomm Incorporated Parity check bits for non-coherent communication
WO2021156663A1 (en) * 2020-02-05 2021-08-12 Zeku Inc. Radio frequency chips having waveform generators for self-testing
US10892794B1 (en) * 2020-02-06 2021-01-12 Global Unichip Corporation Multi-channel transmission device
US11140633B2 (en) * 2020-02-10 2021-10-05 Samsung Electronics Co., Ltd. Method and apparatus for loopback gain step calibration on RF chain with phase shifter
CN111294003B (zh) * 2020-02-17 2023-05-12 电子科技大学 一种新型宽可变增益低相移可变增益放大器
EP3866356B1 (de) * 2020-02-17 2023-08-23 Rohde & Schwarz GmbH & Co. KG Verfahren zur messung der totalen strahlungsleistung eines prüflings sowie prüfsystem
TWI773966B (zh) * 2020-02-20 2022-08-11 瑞昱半導體股份有限公司 運作方法以及接收裝置
US11265055B2 (en) * 2020-02-21 2022-03-01 Qualcomm Incorporated Flexible beamforming using frequency-division multiplexing
JP2023515976A (ja) 2020-02-26 2023-04-17 ティコナ・エルエルシー 回路構造体
US11450952B2 (en) 2020-02-26 2022-09-20 Analog Devices International Unlimited Company Beamformer automatic calibration systems and methods
WO2021172614A1 (ko) * 2020-02-26 2021-09-02 엘지전자 주식회사 5g 통신 중계 장치
CN111327317B (zh) * 2020-03-02 2023-03-28 天津智模科技有限公司 一种数模混合神经元电路
TW202135173A (zh) * 2020-03-13 2021-09-16 力成科技股份有限公司 具局部外金屬層的半導體封裝結構及其製法
WO2021184232A1 (en) * 2020-03-18 2021-09-23 Telefonaktiebolaget Lm Ericsson (Publ) Removal of passive intermodulation in antenna systems
US11703881B2 (en) * 2020-03-19 2023-07-18 Logistics And Supply Chain Multi Tech R&D Centre Limited Method of controlling a guide machine and a navigation system
KR20210118615A (ko) 2020-03-23 2021-10-01 삼성전자주식회사 복수의 안테나 모듈을 포함하는 전자 장치 및 그 제어 방법
JP2021158425A (ja) * 2020-03-25 2021-10-07 株式会社村田製作所 高周波モジュール及び通信装置
JP7368291B2 (ja) * 2020-03-27 2023-10-24 京セラ株式会社 通信モジュール、通信システム及び通信モジュールの制御方法
JP2021164022A (ja) * 2020-03-31 2021-10-11 株式会社村田製作所 高周波モジュール及び通信装置
WO2021197645A1 (en) * 2020-04-02 2021-10-07 Telefonaktiebolaget Lm Ericsson (Publ) Antenna array grouping
JP2023519973A (ja) * 2020-04-02 2023-05-15 テレフオンアクチーボラゲット エルエム エリクソン(パブル) アナログビームステアリングのための方法および送信機
CN111490959B (zh) * 2020-04-03 2020-12-01 北京力通通信有限公司 宽带多通道射频收发机iq失衡校正方法、装置及电路
US11670605B2 (en) 2020-04-03 2023-06-06 Wolfspeed, Inc. RF amplifier devices including interconnect structures and methods of manufacturing
US11837457B2 (en) 2020-09-11 2023-12-05 Wolfspeed, Inc. Packaging for RF transistor amplifiers
US11356070B2 (en) * 2020-06-01 2022-06-07 Wolfspeed, Inc. RF amplifiers having shielded transmission line structures
KR102149887B1 (ko) * 2020-04-09 2020-09-01 주식회사 영윈 저전력 주파수 대역 감지회로
US11799324B2 (en) 2020-04-13 2023-10-24 Energous Corporation Wireless-power transmitting device for creating a uniform near-field charging area
MX2022012816A (es) 2020-04-14 2023-01-16 Johnstech Int Corporation Sistema de prueba de chip por aire (ota).
US20230204703A1 (en) * 2020-04-17 2023-06-29 Hewlett-Packard Development Company, L.P. Beacon transmission
US11422774B2 (en) 2020-04-21 2022-08-23 International Business Machines Corporation Multiply and accumulate using current division and switching
CN111901766A (zh) * 2020-04-27 2020-11-06 中兴通讯股份有限公司 承载配置、上下文信息管理、释放方法、装置和设备
US11625707B1 (en) * 2020-04-27 2023-04-11 Amazon Technologies, Inc. Mitigating near-field-communication (NFC) antenna interference
CN111504347B (zh) * 2020-04-28 2021-12-17 中国电子科技集团公司第二十八研究所 一种低噪声信号检测系统
CN111510503B (zh) * 2020-04-28 2021-03-26 苏州鱼得水电气科技有限公司 一种采用通信联网平台的指定范围信号控制系统及方法
US11470695B2 (en) * 2020-04-28 2022-10-11 Northrop Grumman Systems Corporation Filter with an enclosure having a micromachined interior using semiconductor fabrication
KR20210133799A (ko) 2020-04-29 2021-11-08 삼성전자주식회사 클럭 및 데이터 복구 장치를 포함하는 데이터 송수신 시스템 및 이의 동작방법
CN113594687B (zh) * 2020-04-30 2022-10-28 Oppo广东移动通信有限公司 天线模组及电子设备
US11652266B2 (en) * 2020-04-30 2023-05-16 Spire Global Subsidiary, Inc. Calibration system for satellite radio receivers operation
IL297262B1 (en) * 2020-04-30 2024-03-01 Kyocera Avx Components San Diego Inc Method and system for controlling an antenna array
KR20210135098A (ko) * 2020-05-04 2021-11-12 삼성전자주식회사 고주파 안테나 모듈 및 이를 이용하는 전자 장치
US11329857B2 (en) * 2020-05-05 2022-05-10 Nanya Technologies Corporation Cellular network
CN113645670A (zh) * 2020-05-11 2021-11-12 苹果公司 5g新无线电的组播广播服务
US11293968B2 (en) 2020-05-12 2022-04-05 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
CN111404785A (zh) * 2020-05-13 2020-07-10 袁野 基于云计算的5g移动网络检测系统
US11317502B2 (en) * 2020-05-15 2022-04-26 Raytheon Company PCB cavity mode suppression
US11962098B2 (en) * 2020-05-21 2024-04-16 Qualcomm Incorporated Wireless communications using multiple antenna arrays and a lens array
US11706637B2 (en) * 2020-05-28 2023-07-18 Starry, Inc. Nodes for high frequency fixed wireless access network
CN111769840B (zh) * 2020-06-04 2022-03-29 广州慧智微电子股份有限公司 具有多种工作模式的射频信号处理电路和射频前端单元
US11018688B1 (en) 2020-06-08 2021-05-25 Samsung Electronics Co., Ltd. DTC device and method based on capacitive DAC charging
US11581648B2 (en) 2020-06-08 2023-02-14 The Hong Kong University Of Science And Technology Multi-port endfire beam-steerable planar antenna
CN116325366A (zh) 2020-06-11 2023-06-23 斯凯吉格有限责任公司 多波束波束成形前端无线收发器用天线系统
CN111756418B (zh) * 2020-06-17 2021-10-26 电子科技大学 一种基于接收空间调制的无线数能同传方法
TWI743841B (zh) * 2020-06-17 2021-10-21 啟碁科技股份有限公司 抑制無線功率變化之方法及其裝置
CN111786082B (zh) * 2020-06-19 2021-09-07 深圳国人通信技术服务有限公司 一种小型化5g基站
CN111756897A (zh) * 2020-06-22 2020-10-09 联想(北京)有限公司 一种电子设备
US11283510B2 (en) * 2020-06-24 2022-03-22 AR & NS Investment, LLC Phase noise removal in a network of radio frequency (RF) repeaters
US11711126B2 (en) * 2020-06-24 2023-07-25 AR & NS Investment, LLC Wireless communication system based on mmWave RF repeaters
US11177872B1 (en) 2020-06-24 2021-11-16 AR & NS Investment, LLC Managing a network of radio frequency (RF) repeater devices
EP3930204A1 (de) * 2020-06-27 2021-12-29 Pharrowtech BV Struktur zur verteilung von hochfrequenzsignalen
TWI742755B (zh) * 2020-07-09 2021-10-11 台達電子工業股份有限公司 波束成形系統及波束產生器
US11373965B2 (en) 2020-07-17 2022-06-28 Northrop Grumman Systems Corporation Channelized filter using semiconductor fabrication
US20220029688A1 (en) * 2020-07-24 2022-01-27 Qualcomm Incorporated Switching between beamforming modes
CN111834735B (zh) * 2020-07-28 2022-09-27 武汉虹信科技发展有限责任公司 一种阵列天线及基站设备
JP7322832B2 (ja) 2020-07-29 2023-08-08 信越半導体株式会社 量子コンピュータ用半導体装置の製造方法
CN111816234B (zh) * 2020-07-30 2023-08-04 中科南京智能技术研究院 一种基于sram位线同或的电压累加存内计算电路
JP2022025914A (ja) * 2020-07-30 2022-02-10 株式会社ジャパンディスプレイ フェーズドアレイアンテナの駆動方法及び反射板の駆動方法
CN112165350B (zh) * 2020-08-24 2022-04-12 中国电子科技集团公司第二十九研究所 一种面向中低轨卫星下行相控阵捷变波束控制装置及方法
CN114143705B (zh) * 2020-09-02 2024-03-26 蓝色创源(北京)科技有限公司 测向方法、设备、系统及存储介质
CN111913162B (zh) * 2020-09-04 2023-02-24 内蒙古工业大学 射频干扰检测方法及装置、射频干扰抑制方法及装置
US11706828B2 (en) 2020-09-15 2023-07-18 Electronics And Telecommunications Research Institute Method and apparatus for supporting terminal relay
CN114285683B (zh) 2020-09-18 2023-03-24 华为技术有限公司 一种批量配置家居设备的方法及设备
US11700530B2 (en) * 2020-09-21 2023-07-11 Cogitari, Inc. Radio frequency threat detection
US11296802B1 (en) 2020-09-24 2022-04-05 Apple Inc. Wireless circuitry with self-calibrated harmonic rejection mixers
US11502391B2 (en) 2020-09-24 2022-11-15 Apple Inc. Electronic devices having differentially-loaded millimeter wave antennas
CN116235413A (zh) * 2020-09-28 2023-06-06 华为技术有限公司 带混频器的三相/六相lo发生器
CN112182892B (zh) * 2020-09-30 2024-01-02 西安空间无线电技术研究所 一种基于分段仿射的功率放大器行为建模方法及装置
US11863224B2 (en) 2020-10-02 2024-01-02 Apple Inc. Multi-layer matching structures for high frequency signal transmission
US11588225B2 (en) * 2020-10-14 2023-02-21 Bae Systems Information And Electronic Systems Integration Inc. Low profile antenna
KR20220050364A (ko) 2020-10-16 2022-04-25 한국전자통신연구원 셀-탈피 대규모 mimo 전송 방법 및 이를 위한 장치
TWI738551B (zh) * 2020-10-28 2021-09-01 中華汽車工業股份有限公司 可插拔的車載控制裝置、車輛控制系統及車輛控制方法
CN112350065A (zh) * 2020-10-30 2021-02-09 昆山国显光电有限公司 显示面板、显示装置和显示面板的制作方法
US11431422B2 (en) * 2020-11-05 2022-08-30 Electronics And Telecommunications Research Institute Calibration method for cooperative transmission of cell-free wireless network, and apparatus therefor
CA3178295A1 (en) * 2020-11-06 2022-05-12 Dejero Labs Inc. System and method for housing antennas
CN112421240B (zh) * 2020-11-09 2022-03-25 重庆两江卫星移动通信有限公司 一种基于法拉第旋转的单通道波束扫描装置及方法
WO2022099015A1 (en) * 2020-11-09 2022-05-12 Commscope Technologies Llc Systems and methods for planning and operating radios controlled by a spectrum access system
KR102519357B1 (ko) * 2020-11-18 2023-05-03 (주)에프알텍 O-RAN 프론트홀의 5G mmWave 광대역 빔포밍 MIMO 서비스 방법과 그 장치
CN112467399B (zh) * 2020-11-18 2021-12-28 厦门大学 正馈激励多频点新型圆极化毫米波宽带平面反射阵列天线
KR20220069587A (ko) * 2020-11-20 2022-05-27 삼성전자주식회사 복수의 안테나를 포함하는 전자 장치 및 그 운용 방법
FI130399B (en) * 2020-11-23 2023-08-14 Saab Ab Receiver system
US11539383B2 (en) 2020-11-23 2022-12-27 Swiftlink Technologies Co., Ltd. Bidirectional image-rejection active array with reduced LO requirement
CN112532307B (zh) * 2020-11-30 2022-07-29 中国空间技术研究院 一种高通量卫星有效载荷在轨测试系统及方法
WO2022120461A1 (en) * 2020-11-30 2022-06-16 Macdonald, Dettwiler And Associates Corporation Direct radiating array ("dra") antenna, method of assembling a dra antenna, and system for managing heat generated by a dra antenna
JP2023552381A (ja) * 2020-12-02 2023-12-15 テクトロニクス・インコーポレイテッド フェーズド・アレイ無線試験
KR20220078331A (ko) * 2020-12-03 2022-06-10 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
CN113113774A (zh) * 2020-12-04 2021-07-13 西安电子科技大学 一种宽带波束扫描反射阵天线
US11218225B1 (en) 2020-12-07 2022-01-04 Marvell Asia Pte, Ltd. Analog receiver equalizer architectures for high-speed wireline and optical applications
CN112615646B (zh) * 2020-12-09 2022-06-17 中国科学院新疆天文台 一种射电天文接收机使用的四通道中频均衡放大模块
CN112492648B (zh) * 2020-12-18 2021-07-02 深圳市微网力合信息技术有限公司 一种数据丢包处理方法、系统及终端
KR102405623B1 (ko) * 2020-12-24 2022-06-07 아주대학교산학협력단 안테나 모듈 및 그 제어 방법
TWI819264B (zh) * 2020-12-25 2023-10-21 立積電子股份有限公司 射頻裝置及其電壓產生與諧波抑制器
CN112738841B (zh) * 2020-12-28 2022-05-13 四川天邑康和通信股份有限公司 一种5g基站中ssb波束动态配置方法及5g基站
US20220209834A1 (en) * 2020-12-29 2022-06-30 Skyworks Solutions, Inc. Beamforming communication system with crossbar switch
US11070351B1 (en) * 2020-12-31 2021-07-20 Faraday Technology Corp. Controller and method for data communication
US11381266B1 (en) * 2020-12-31 2022-07-05 Iridium Satellite Llc Wireless communication with interference mitigation
JP2022107522A (ja) * 2021-01-08 2022-07-21 三星ディスプレイ株式會社 位相調整方法およびシステム
KR102433783B1 (ko) * 2021-01-15 2022-08-19 한국과학기술원 광대역 시스템의 단일 반송파 기반 하이브리드 빔포밍 방법 및 장치
US11480992B1 (en) 2021-01-21 2022-10-25 Qualcomm Incorporated Techniques for phase shift reduction in a single crystal multiple output clock system
JP2022112306A (ja) 2021-01-21 2022-08-02 富士通株式会社 無線通信装置
IT202100001301A1 (it) * 2021-01-25 2022-07-25 St Microelectronics Srl Dispositivo a semiconduttore e procedimento di fabbricazione corrispondente
CN112671383B (zh) * 2021-01-31 2022-08-23 山西大学 一种频率可连续调谐的激光相位快速锁定装置
TWI774231B (zh) * 2021-02-05 2022-08-11 瑞昱半導體股份有限公司 聲音輸入輸出系統及噪音消除電路
US11374614B1 (en) * 2021-02-12 2022-06-28 Charter Communications Operating, Llc In-device coexistence for new radio
US11728559B2 (en) * 2021-02-18 2023-08-15 Ticona Llc Polymer composition for use in an antenna system
KR20230147152A (ko) * 2021-02-18 2023-10-20 티코나 엘엘씨 안테나 시스템에 사용하기 위한 중합체 조성물
TWI754551B (zh) * 2021-02-24 2022-02-01 友達光電股份有限公司 主動相位陣列
CN112910513B (zh) * 2021-03-03 2022-04-15 立讯精密工业(昆山)有限公司 智能天线系统和通信方法
CN113028238B (zh) * 2021-03-03 2022-06-14 操璐 一种无线路由器增强定向信号传送的支架
WO2022191855A1 (en) * 2021-03-12 2022-09-15 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
US20220303106A1 (en) * 2021-03-18 2022-09-22 National Taiwan University Scalable phased-array system for wireless systems
US11843175B2 (en) 2021-03-19 2023-12-12 Google Llc Three-dimensional antenna module for transmitting and receiving electromagnetic millimeter waves
US20220311131A1 (en) * 2021-03-29 2022-09-29 M2SL Corporation Communication system with portable interface mechanism and method of operation thereof
WO2022209750A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
WO2022209737A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
WO2022214974A1 (en) * 2021-04-05 2022-10-13 Radsee Technologies Ltd Antenna arrays
CN113504508B (zh) * 2021-04-13 2023-11-17 惠州市德赛西威智能交通技术研究院有限公司 一种改善雷达低频包络及rcta镜像目标检测的方法
DK4075334T3 (da) * 2021-04-14 2024-02-12 Yocto Tech S L Fremgangsmåde til trådløs modtagelse og transmission af elektromagnetisk stråling og elektronisk udstyr dertil
US11368277B1 (en) 2021-04-21 2022-06-21 Apple Inc. Accurate sign change for radio frequency transmitters
TWI763457B (zh) * 2021-04-23 2022-05-01 新唐科技股份有限公司 波形產生電路
CN113156225B (zh) * 2021-04-25 2022-05-27 上海航天测控通信研究所 一种深空高增益天线在轨指向校准方法
KR20220149273A (ko) * 2021-04-30 2022-11-08 삼성전자주식회사 무선 주파수 집적 회로 및 상기 집적 회로를 포함하는 통신 장치
CN113282524B (zh) * 2021-05-08 2022-08-16 重庆大学 一种缓存分片的配置方法、装置以及存储介质
CN113300717B (zh) * 2021-05-19 2022-06-10 西南交通大学 一种基于码率自适应的高效化ldpc编码器电路
US11411596B1 (en) 2021-05-24 2022-08-09 Apple Inc. Transmit-receive switch with harmonic distortion rejection and electrostatic discharge protection
CN113311397B (zh) * 2021-05-25 2023-03-10 西安电子科技大学 基于卷积神经网络的大型阵列快速自适应抗干扰方法
CN113471186B (zh) * 2021-05-31 2023-08-29 西南电子技术研究所(中国电子科技集团公司第十研究所) 卫星通信多通道射频收发接口单元3d集成封装架构
US11923621B2 (en) 2021-06-03 2024-03-05 Apple Inc. Radio-frequency modules having high-permittivity antenna layers
US11646501B2 (en) 2021-06-03 2023-05-09 Apple Inc. Electronic devices having antennas with hybrid substrates
KR20220163726A (ko) * 2021-06-03 2022-12-12 삼성전자주식회사 커넥터 구조체 및 이를 포함하는 전자 장치
US20230396405A1 (en) * 2021-06-14 2023-12-07 David E. Newman Polarization Encoding for High-Density 5G/6G Communication
US20220407516A1 (en) * 2021-06-17 2022-12-22 Texas Instruments Incorporated Soft handover in a coupled dual-oscillator system
CN113552539A (zh) * 2021-06-22 2021-10-26 珠海市海米软件技术有限公司 一种提高毫米波雷达收发隔离度的谐振电磁解耦构件
CN113590418A (zh) * 2021-07-06 2021-11-02 合肥联宝信息技术有限公司 一种螺丝锁附状态的检测方法、装置及存储介质
CN113688589B (zh) * 2021-07-15 2023-05-02 电子科技大学 一种电磁热可靠性寿命分析方法
CN115642925A (zh) * 2021-07-19 2023-01-24 创未来科技股份有限公司 射频传输器以及用于发射射频输出信号的方法
CN115642928A (zh) * 2021-07-19 2023-01-24 华为技术有限公司 射频收发信机、射频收发信机用连接组件及射频收发系统
US20230057702A1 (en) * 2021-08-19 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI777741B (zh) * 2021-08-23 2022-09-11 欣興電子股份有限公司 內埋元件基板及其製作方法
US20230070175A1 (en) * 2021-09-09 2023-03-09 Mobix Labs, Inc. Dual-polarized magneto-electric dipole with simultaneous dual-band operation capability
CN113708785B (zh) * 2021-09-15 2023-06-16 许昌学院 一种大数据网络传输抗干扰系统
WO2023049662A1 (en) * 2021-09-22 2023-03-30 Jabil Inc. Double back drill via for low cost pcb mmwave phased array antennas
WO2023049663A1 (en) * 2021-09-22 2023-03-30 Jabil Inc. Horn aperture for a simplified mmwave phased array antenna
WO2023048613A1 (en) * 2021-09-24 2023-03-30 Beammwave Ab An electronic device, a method, a computer program product, and a single-chip radio
WO2023057884A2 (en) * 2021-10-05 2023-04-13 Divya Gudapati Dual polarized sub-ghz 5g base station antenna for low mobility n-urban applications
TW202316824A (zh) 2021-10-14 2023-04-16 財團法人工業技術研究院 類比式陣列天線波束成型器及其運作方法
CN114256603A (zh) * 2021-10-14 2022-03-29 南京理工大学 频率可调自振荡有源天线
CN114171885B (zh) * 2021-11-30 2023-06-27 南京国睿防务系统有限公司 一种高机动的大口径米波超轻型展开天线
US11687483B1 (en) * 2021-12-05 2023-06-27 Western Digital Technologies, Inc. Embedded physical layers with passive interfacing for configurable integrated circuits
CN113866708B (zh) * 2021-12-06 2022-03-25 青岛鼎信通讯股份有限公司 一种基于智能断路器的电能表计量误差分析方法
TWI790857B (zh) 2021-12-15 2023-01-21 財團法人工業技術研究院 差動式電容裝置與差動式電容校準方法
CN114510268B (zh) * 2021-12-24 2022-09-20 中国人民解放军战略支援部队航天工程大学 一种基于gpu实现下变频中单精度浮点数累积误差控制方法
TWI833142B (zh) 2021-12-24 2024-02-21 啓碁科技股份有限公司 通訊裝置
US11916398B2 (en) 2021-12-29 2024-02-27 Energous Corporation Small form-factor devices with integrated and modular harvesting receivers, and shelving-mounted wireless-power transmitters for use therewith
CN114334919A (zh) * 2021-12-29 2022-04-12 中国工程物理研究院电子工程研究所 一种基于晶圆级封装工艺的波导过渡结构
US11901977B2 (en) * 2022-01-14 2024-02-13 Bae Systems Information And Electronic Systems Integration Inc. Delay compensated analog beam forming network
US11891808B2 (en) 2022-01-19 2024-02-06 Oatey Co. Roof flashing
WO2023146441A1 (en) * 2022-01-26 2023-08-03 Telefonaktiebolaget Lm Ericsson (Publ) Calibration for wireless communication network
WO2023149042A1 (ja) * 2022-02-03 2023-08-10 株式会社村田製作所 アンテナモジュール、アンテナシステム、及び電波受信方法
US11736320B2 (en) * 2022-02-14 2023-08-22 Ultralogic 6G, Llc Multiplexed amplitude-phase modulation for 5G/6G noise mitigation
TWI792922B (zh) * 2022-02-16 2023-02-11 和碩聯合科技股份有限公司 測試系統
KR102566968B1 (ko) * 2022-02-16 2023-08-14 한국과학기술원 단일 믹서를 구비한 무선 송수신기
WO2023155018A1 (en) * 2022-02-18 2023-08-24 Macdonald, Dettwiler And Associates Corporation Direct radiating array antenna assembly
WO2023158842A1 (en) * 2022-02-18 2023-08-24 Georgia Tech Research Corporation Hybrid complementary bi-directional amplifier and device
CN114500200B (zh) * 2022-02-22 2023-01-17 苏州大学 数字信号处理方法、动态均衡方法、装置、介质以及设备
CN114553153A (zh) * 2022-02-28 2022-05-27 电子科技大学 一种幅相独立可调的模拟预失真线性化器
US11953639B2 (en) * 2022-03-17 2024-04-09 Halliburton Energy Services, Inc. Cross-component response interpolation for coaxially oriented antennas in an electromagnetic tool
US11515993B1 (en) * 2022-03-18 2022-11-29 UTVATE Corporation Antenna lattice for single-panel full-duplex satellite user terminals
CN114710121B (zh) * 2022-03-25 2024-01-26 北京中科飞鸿科技股份有限公司 一种超宽带小型化上下变频器
CN117157893A (zh) * 2022-03-29 2023-12-01 吉欧平台有限公司 大规模mimo无线电单元的rf前端模块的系统及设计方法
WO2023187514A1 (en) * 2022-03-29 2023-10-05 Jio Platforms Limited System and design method of high speed transceiver board (hstb) of a massive mimo radio unit
WO2023187576A1 (en) * 2022-03-31 2023-10-05 Jio Platforms Limited System and design method of integrated macro next generation radio unit
TWI806542B (zh) * 2022-04-11 2023-06-21 晶豪科技股份有限公司 用於聲音訊號處理之雜訊抑制的設備
CN114726425B (zh) * 2022-04-14 2023-06-09 哈尔滨工业大学(深圳) 基于移相器开关控制的波束成形方法、装置、无线通信系统及存储介质
KR20230150690A (ko) * 2022-04-22 2023-10-31 삼성전자주식회사 안테나 어레이의 편파들 간 디커플링을 위한 정합 네트워크 및 이를 포함하는 전자 장치
CN114978384B (zh) * 2022-04-29 2023-05-30 南京邮电大学 一种超高频频段室内办公室无线信道小尺度参数建模方法
TWI822030B (zh) * 2022-05-05 2023-11-11 律芯科技股份有限公司 雜訊整型式數位自動增益控制系統
US11901909B2 (en) * 2022-05-20 2024-02-13 Qualcomm Incorporated Dynamic range adjustment for analog-to-digital converter (ADC)
TWI804325B (zh) * 2022-05-20 2023-06-01 國立勤益科技大學 可變資料之窄頻多通道通訊系統
WO2023229170A1 (ko) * 2022-05-23 2023-11-30 삼성전자 주식회사 무선 통신 시스템에서 도허티 전력 증폭기 및 이를 포함하는 전자 장치
DE102022205483A1 (de) 2022-05-31 2023-11-30 Rolls-Royce Deutschland Ltd & Co Kg Schnelles Schalten von Transistoren in einem Wandler mit begrenzter Kapazität
CN115209519B (zh) * 2022-06-02 2024-01-26 四川大学 短帧开环结构的无线时间同步设备
WO2023245274A1 (en) * 2022-06-22 2023-12-28 Huawei Technologies Canada Co., Ltd. Tightly-coupled antenna array and method thereof
CN115225114B (zh) * 2022-07-11 2024-03-22 北京航天科工世纪卫星科技有限公司 一种弹载跳频通信体制全向电扫描射频组件
CN115133280B (zh) * 2022-07-27 2024-04-19 重庆邮电大学 一种差分宽带滤波天线
CN115455886B (zh) * 2022-08-05 2023-04-11 上海移柯通信技术股份有限公司 Pcb板设计方法、pcb板、电子设备、存储介质及终端
CN115117583B (zh) * 2022-08-09 2023-09-19 广东环波新材料有限责任公司 一种基于ltcc的小型化超宽带巴伦
CN115549789B (zh) * 2022-08-12 2023-05-12 广州致远仪器有限公司 基于光纤的信号传输系统及测量设备
CN115348689B (zh) * 2022-08-19 2024-04-30 江西山水光电科技股份有限公司 一种5g基站
CN115279038B (zh) * 2022-09-26 2022-12-27 深圳国人无线通信有限公司 一种适用于高速信号传输的布线方法和pcb板
WO2024074852A1 (en) * 2022-10-07 2024-04-11 Argo Semiconductors SA Analog front end for massive multiple input, multiple output (mmimo) communication systems
TWI823731B (zh) * 2022-12-28 2023-11-21 國立清華大學 前饋等化器及使用其的電壓模態信號發射器
CN116500549B (zh) * 2023-06-28 2023-11-07 南京邮电大学 一种基于ltcc的四通道x波段三维堆叠结构tr组件
CN116707575B (zh) * 2023-07-27 2023-09-29 为准(北京)电子科技有限公司 射频端口电路和通信装置
CN117200980B (zh) * 2023-09-08 2024-04-05 广州天力能科技有限公司 一种电数字数据传输芯片电路
CN116914447B (zh) * 2023-09-14 2023-12-22 成都锐芯盛通电子科技有限公司 一种双频段多通道sip模块
CN117039459B (zh) * 2023-10-09 2023-12-12 成都智芯雷通微系统技术有限公司 一种用于毫米波有源相控阵的高集成度t/r组件
CN117543225B (zh) * 2024-01-08 2024-04-12 成都恪赛科技有限公司 一种毫米波tr组件、吸波材料切割装置及方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR408E (fr) 1902-02-08 1902-12-29 Driancourt Georges Appareil continu pour la fabrication des vins et cidres mousseux

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4838475A (en) 1987-08-28 1989-06-13 Motorola, Inc. Method and apparatus for EMI/RFI shielding an infrared energy reflow soldered device
GB9016854D0 (en) * 1990-08-01 1994-09-21 Secr Defence Radiation sensor
US5231406A (en) 1991-04-05 1993-07-27 Ball Corporation Broadband circular polarization satellite antenna
EP0542181B1 (de) 1991-11-12 2005-05-11 Dyno Nobel Inc. Gegossene Initialladung und Sprengstoffzusammensetzung mit geringem Durchmesser und Verfahren zu deren Herstellung
US6215454B1 (en) 1998-02-20 2001-04-10 Qualcomm, Inc. Multi-layered shielded substrate antenna
JP2000307322A (ja) 1999-04-20 2000-11-02 Murata Mfg Co Ltd 高周波回路装置およびそれを用いた通信機
US7994996B2 (en) * 1999-11-18 2011-08-09 TK Holding Inc., Electronics Multi-beam antenna
JP2002033419A (ja) * 2000-07-14 2002-01-31 Sharp Corp 高周波モジュールおよび高周波モジュールの製造方法
CA2438384A1 (en) * 2001-02-14 2002-08-22 Comsat Corporation Wide-band modular mems phased array
JP2003309423A (ja) * 2002-04-15 2003-10-31 Murata Mfg Co Ltd アンテナ一体型高周波回路モジュール
US6867746B2 (en) 2002-06-03 2005-03-15 Kaga Electronics Co., Ltd. Combined EMI shielding and internal antenna for mobile products
DE10242526B4 (de) * 2002-09-12 2004-12-09 Daimlerchrysler Ag Fahrzeugteile aus Kunststoff mit integrierten Antennenelementen sowie Verfahren zu deren Herstellung und Verwendung der Fahrzeugteile
KR100846872B1 (ko) 2006-11-17 2008-07-16 한국전자통신연구원 유전체 도파관 대 전송선의 밀리미터파 천이 장치
JP5175334B2 (ja) 2007-04-05 2013-04-03 テレフオンアクチーボラゲット エル エム エリクソン(パブル) 偏波依存ビーム幅調整器
SE532279C2 (sv) * 2008-04-11 2009-12-01 Powerwave Technologies Sweden Förbättrad antennisolation
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US8759950B2 (en) 2011-05-05 2014-06-24 Intel Corporation Radio- and electromagnetic interference through-silicon vias for stacked-die packages, and methods of making same
US8901688B2 (en) * 2011-05-05 2014-12-02 Intel Corporation High performance glass-based 60 ghz / mm-wave phased array antennas and methods of making same
WO2012167283A2 (en) 2011-06-02 2012-12-06 Brigham Young University Planar array feed for satellite communications
JP5730159B2 (ja) 2011-08-25 2015-06-03 京セラ株式会社 アンテナ基板およびアンテナモジュール
US8818457B2 (en) 2011-09-21 2014-08-26 Broadcom Corporation Antenna having polarization diversity
US20130293420A1 (en) * 2012-05-07 2013-11-07 Wilocity Ltd. Techniques for maximizing the size of an antenna array per radio module
US9325056B2 (en) 2012-09-11 2016-04-26 Alcatel Lucent Radiation efficient integrated antenna
US9196951B2 (en) 2012-11-26 2015-11-24 International Business Machines Corporation Millimeter-wave radio frequency integrated circuit packages with integrated antennas
EP3020091A1 (de) 2013-07-08 2016-05-18 Qualcomm Incorporated Techniken zum betrieb phasengesteuerter gruppenantennen in millimeterwellen-funkmodulen
US10516214B2 (en) * 2013-11-05 2019-12-24 Si2 Technologies, Inc. Antenna elements and array
JP6279754B2 (ja) 2013-12-09 2018-02-14 インテル コーポレイション パッケージングされたダイ用のセラミック上アンテナ
CN203617426U (zh) * 2013-12-17 2014-05-28 北京无线电计量测试研究所 一种用于近距离主动式毫米波成像系统的天线阵列
US9620464B2 (en) * 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9917707B2 (en) 2014-09-11 2018-03-13 The Hong Kong University Of Science And Technology Adaptive cascaded equalization circuits with configurable roll-up frequency response for spectrum compensation
WO2016067969A1 (ja) 2014-10-31 2016-05-06 株式会社村田製作所 アンテナモジュール及び回路モジュール
US9461001B1 (en) 2015-07-22 2016-10-04 Advanced Semiconductor Engineering, Inc. Semiconductor device package integrated with coil for wireless charging and electromagnetic interference shielding, and method of manufacturing the same
US20170141938A1 (en) 2015-11-12 2017-05-18 Futurewei Technologies, Inc. High Performance PIM Cancellation With Feed Forward Structure
CN105356051B (zh) * 2015-11-16 2018-02-23 中国电子科技集团公司第十研究所 大功率导引头瓦式有源相控阵天线
DE112017006442T5 (de) 2016-12-21 2019-09-19 Intel Corporation Drahtlose kommunikationstechnologie, einrichtungen und verfahren

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR408E (fr) 1902-02-08 1902-12-29 Driancourt Georges Appareil continu pour la fabrication des vins et cidres mousseux

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200280350A1 (en) * 2018-02-26 2020-09-03 Parallel Wireless, Inc. Miniature Antenna Array With Polar Combining Architecture
US11923924B2 (en) * 2018-02-26 2024-03-05 Parallel Wireless, Inc. Miniature antenna array with polar combining architecture
US20220069885A1 (en) * 2018-12-11 2022-03-03 Nordic Semiconductor Asa Radio devices with switchable antennas
US11984963B2 (en) * 2018-12-11 2024-05-14 Nordic Semiconductor Asa Radio devices with switchable antennas
DE102020107692B4 (de) 2019-03-20 2022-10-06 Analog Devices, Inc. Hochleistungsverstärker mit variablem verstärkungsfaktor unter verwendung von laminatübertragungsleitungsstrukturen
WO2021076625A1 (en) * 2019-10-14 2021-04-22 Google Llc Millimeter wave radar on flexible printed circuit board
US20230099685A1 (en) * 2020-03-02 2023-03-30 Calterah Semiconductor Technology (Shanghai) Co., Ltd. Automatic gain control method, sensor, and radio device
US20230291104A1 (en) * 2021-02-24 2023-09-14 Bluehalo, Llc System and method for a digitally beamformed phased array feed
US20230352836A1 (en) * 2021-02-24 2023-11-02 Bluehalo, Llc System and method for a digitally beamformed phased array feed

Also Published As

Publication number Publication date
WO2018119153A2 (en) 2018-06-28
US20220384956A1 (en) 2022-12-01
JP7089519B2 (ja) 2022-06-22
JP7441269B2 (ja) 2024-02-29
TW201838352A (zh) 2018-10-16
EP3560111A2 (de) 2019-10-30
US20230145401A1 (en) 2023-05-11
US20200091608A1 (en) 2020-03-19
TW202345532A (zh) 2023-11-16
KR102635791B1 (ko) 2024-02-08
JP2020507230A (ja) 2020-03-05
CN110447146A (zh) 2019-11-12
JP2024059722A (ja) 2024-05-01
KR20190089955A (ko) 2019-07-31
WO2018119153A3 (en) 2019-03-14
JP2022130459A (ja) 2022-09-06
US11955732B2 (en) 2024-04-09
US11424539B2 (en) 2022-08-23
TWI782936B (zh) 2022-11-11
EP3560111A4 (de) 2020-12-02

Similar Documents

Publication Publication Date Title
JP7441269B2 (ja) 無線通信技術、装置及び方法
Huo et al. 5G cellular user equipment: From theory to practical hardware design
US9912071B2 (en) Quasi-yagi-type antenna
US9496948B2 (en) Single transmission line for connecting radio frequency modules in an electronic device
DE102018202879A1 (de) Elektronische Vorrichtungen mit Antennendiversitätsfunktionen
DE102013022167A1 (de) Eine kapazitiv gekoppelte Schleifenantenne und ein Elektronikgerät, das diese enthält
US11528066B2 (en) Non-orthogonal multiple-access and multi-finger beamforming
Li et al. Design and implementation of an active array antenna with remote controllable radiation patterns for mobile communications
US20220320712A1 (en) Package Antenna Apparatus and Wireless Communication Apparatus
Cruickshank Implementing full duplexing for 5G
US11870156B2 (en) Printed phased array antennas with extended scan range
Valkonen et al. Dual-feed, single-CCE antenna facilitating inter-band carrier aggregation in LTE-A handsets
US20230299491A1 (en) Antenna module and manufacturing method thereof
EP4216241A1 (de) Transformator für geringen verlust und vorrichtung damit
US20220345229A1 (en) System for Mitigating Unwanted Emissions in a Multi-RF Processing Chain System
DE102022130301A1 (de) Kompakte modulare aktiv-passiv-antennensysteme mit minimierter antennenblockade
TW202226773A (zh) 分散式無線電頭系統
DE102022113046A1 (de) Eine impedanzabgleichschaltung und ein impedanzabgleichelement
KR20230036791A (ko) 안테나 모듈 및 안테나 모듈을 포함하는 전자 장치

Legal Events

Date Code Title Description
R130 Divisional application to

Ref document number: 112017008407

Country of ref document: DE

Ref document number: 112017008457

Country of ref document: DE

R130 Divisional application to

Ref document number: 112017008407

Country of ref document: DE

Ref document number: 112017008457

Country of ref document: DE