KR20190089955A - 무선 통신 기술, 장치 및 방법 - Google Patents

무선 통신 기술, 장치 및 방법 Download PDF

Info

Publication number
KR20190089955A
KR20190089955A KR1020197018815A KR20197018815A KR20190089955A KR 20190089955 A KR20190089955 A KR 20190089955A KR 1020197018815 A KR1020197018815 A KR 1020197018815A KR 20197018815 A KR20197018815 A KR 20197018815A KR 20190089955 A KR20190089955 A KR 20190089955A
Authority
KR
South Korea
Prior art keywords
signal
antenna
substrate
aspects
phase
Prior art date
Application number
KR1020197018815A
Other languages
English (en)
Other versions
KR102635791B1 (ko
Inventor
에르칸 알프만
아르나우드 루크레스 아마드지크프
오메르 오사프
카메란 아자뎃
로템 바닌
미로슬라브 바야크
아나트 바조브
스테파노 브렌나
브라이언 케이 캐스퍼
제이프라카쉬 다쿠르
실파 탈바르
모셰 텝리트스키
아난다루프 차크라바르티
그레고리 찬스
데바바니 초우더리
엠마누엘 코헨
실바 클라우디오 다
시다르스 달미아
애슬 사에이드 다네쉬가르
카우쉬크 다스굽타
쿠날 다타
브랜든 데이비스
오피르 데가니
아므르 엠 파힘
아미트 프레이만
마이클 제노사르
에란 제르송
이얄 골드버거
에쉬엘 고든
메이르 고든
요세프 하근
신원 강
테 유 카오
노암 코간
미코 에스 코물라이넨
이갈 예후다 쿠쉬니르
사쿠 라티
미코 엠 람피넨
나프탈리 랜즈버그
욱 봉 이
런 레빈거
앨버트 몰리나
모레노 레스티 몬토야
타우피크 무사
나단 지 나레브스키
호세인 니코포어
오너 오르한
게오르기오스 팔라스카스
스테파노 펠레라노
론 폰그라츠
아스호크 라비
슈무엘 라비드
피터 앤드류 사가지오
에렌 사소글루
리오르 사케드
가디 쇼어
발리 싱
메나쉬 소퍼
라아난 소버
네빌 탄지
친탄 에스 다카르
아비 트사르파티
요시 트파티
마리안 베르헬스트
니르 와이즈먼
슈헤이 야마다
아나 엠 예페스
던칸 키친
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20190089955A publication Critical patent/KR20190089955A/ko
Application granted granted Critical
Publication of KR102635791B1 publication Critical patent/KR102635791B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/10Polarisation diversity; Directional diversity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • H01L23/4855Overhang structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/645Inductive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/52Means for reducing coupling between antennas; Means for reducing coupling between an antenna and another structure
    • H01Q1/526Electromagnetic shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/24Combinations of antenna units polarised in different directions for transmitting or receiving circularly and elliptically polarised waves or waves linearly polarised in any direction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q25/00Antennas or antenna systems providing at least two radiating patterns
    • H01Q25/001Crossed polarisation dual antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/24Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system varying the orientation by switching energy from one active radiating element to another, e.g. for beam switching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q5/00Arrangements for simultaneous operation of antennas on two or more different wavebands, e.g. dual-band or multi-band arrangements
    • H01Q5/40Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements
    • H01Q5/45Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device
    • H01Q5/47Imbricated or interleaved structures; Combined or electromagnetically coupled arrangements, e.g. comprising two or more non-connected fed radiating elements using two or more feeds in association with a common reflecting, diffracting or refracting device with a coaxial arrangement of the feeds
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B19/00Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source
    • H03B19/06Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes
    • H03B19/14Generation of oscillations by non-regenerative frequency multiplication or division of a signal from a separate source by means of discharge device or semiconductor device with more than two electrodes by means of a semiconductor device
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/14Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted
    • H03L7/143Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop
    • H03L7/145Details of the phase-locked loop for assuring constant frequency when supply or correction voltages fail or are interrupted by switching the reference signal of the phase-locked loop the switched reference signal being derived from the controlled oscillator output signal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/3827Portable transceivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B15/00Suppression or limitation of noise or interference
    • H04B15/02Reducing interference from electric apparatus by means located at or near the interfering apparatus
    • H04B15/04Reducing interference from electric apparatus by means located at or near the interfering apparatus the interference being caused by substantially sinusoidal oscillations, e.g. in a receiver or in a tape-recorder
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/0413MIMO systems
    • H04B7/0456Selection of precoding matrices or codebooks, e.g. using matrices antenna weighting
    • H04B7/0482Adaptive codebooks
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B7/00Radio transmission systems, i.e. using radiation field
    • H04B7/02Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas
    • H04B7/04Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas
    • H04B7/06Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station
    • H04B7/0613Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission
    • H04B7/0615Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal
    • H04B7/0619Diversity systems; Multi-antenna system, i.e. transmission or reception using multiple antennas using two or more spaced independent antennas at the transmitting station using simultaneous transmission of weighted versions of same signal using feedback from receiving side
    • H04B7/0636Feedback format
    • H04B7/0639Using selective indices, e.g. of a codebook, e.g. pre-distortion matrix index [PMI] or for beam selection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0216Reduction of cross-talk, noise or electromagnetic interference
    • H05K1/0218Reduction of cross-talk, noise or electromagnetic interference by printed shielding conductors, ground planes or power plane
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • H05K1/186Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/116Manufacturing methods by patterning a pre-deposited material
    • H01L2224/1161Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/1319Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14132Square or rectangular array being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1413Square or rectangular array
    • H01L2224/14134Square or rectangular array covering only portions of the surface to be connected
    • H01L2224/14135Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14151Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14152Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry being non uniform, i.e. having a non uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1412Layout
    • H01L2224/1415Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/14154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/14155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/48195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • H01L2224/491Disposition
    • H01L2224/4912Layout
    • H01L2224/49175Parallel arrangements
    • H01L2224/49176Wire connectors having the same loop shape and height
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81205Ultrasonic bonding
    • H01L2224/81207Thermosonic bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/8185Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/49Structure, shape, material or disposition of the wire connectors after the connecting process of a plurality of wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/07Electric details
    • H05K2201/0707Shielding
    • H05K2201/0723Shielding provided by an inner layer of PCB
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10098Components for radio transmission, e.g. radio frequency identification [RFID] tag, printed or non-printed antennas

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Physics & Mathematics (AREA)
  • Signal Processing (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Mathematical Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Waveguide Aerials (AREA)
  • Shielding Devices Or Components To Electric Or Magnetic Fields (AREA)
  • Near-Field Transmission Systems (AREA)
  • Details Of Aerials (AREA)
  • Steroid Compounds (AREA)
  • Support Of Aerials (AREA)
  • Transceivers (AREA)
  • Circuits Of Receivers In General (AREA)

Abstract

무선 통신을 위한 송수신기, 수신기 및 안테나 구조와 관련된 밀리미터 파(mmWave) 기술, 장치 및 방법이 설명된다. 다양한 양태는 다른 것 중에서도, 동일 위치에 배치된 밀리미터 파(mmWave) 및 근접장 통신(near-field communication)(NFC) 안테나, 스케일러블 위상 배열 무선 송수신기 아키텍처(scalable phased array radio transceiver architecture)(SPARTA), 단일 동축 케이블을 통한 MIMO 지지부 및 위상 잡음 동기화를 갖는 위상 배열 분배 통신 시스템, 분산 위상 배열 통신 시스템에서 케이블을 통한 RF 신호(RF signals over cable)(RFoC)의 통신, 클록 잡음 누설 저감, 하위 및 상위 호환성 및 모듈성을 위한 IF-RF 컴패니언 칩, 온-패키지 매칭 네트워크, 5G 스케일러블 수신기(RX) 아키텍처를 포함한다.

Description

무선 통신 기술, 장치 및 방법
우선권 주장
본 출원은 다음의 가출원에 대한 우선권의 이익을 주장한다:
"MILLIMETER WAVE ANTENNA STRUCTURES(밀리미터파 안테나 구조)"라는 명칭으로 2016년 12월 21일자로 출원된 미국 가출원 제 62/437,385 호;
"MILLIMETER WAVE TECHNOLOGY(밀리미터파 기술)"이라는 명칭으로 2017년 5월 26일자로 출원된 미국 가출원 제 62/511,398 호;
"ANTENNA CIRCUITS AND TRANSCEIVERS FOR MILLIMETER WAVE (MMWAVE) COMMUNICATIONS(밀리미터파(MMWAVE) 통신용 안테나 회로 및 송수신기"라는 명칭으로 2017년 6월 30일자로 출원된 미국 가출원 제 62/527,818 호; 및
"RADIO FREQUENCY TECHNOLOGIES FOR WIRELESS COMMUNICATIONS(무선 통신용 무선 주파수 기술)"이라는 명칭으로 2017년 10월 11 일자로 출원된 미국 가출원 제 62/570,680 호.
위에서 확인된 각각의 가출원은 그 전체가 본 출원에 참조로 포함된다.
기술 분야
본 개시내용의 일부 양태는 안테나 및 안테나 구조에 관한 것이다. 본 개시내용의 일부 양태는 밀리미터파 통신을 위한 안테나 및 안테나 구조에 관한 것이다. 본 개시내용의 일부 양태는 무선 신호의 통신을 위해 안테나 및 안테나 구조를 사용하는 무선 통신 디바이스(예를 들어, 이동 디바이스 및 기지국)에 관한 것이다. 본 개시내용의 일부 양태는 5 세대(5th Generation)(5G) 무선 시스템에 따라 동작하는 디바이스에 관한 것이다. 본 개시내용의 일부 양태는 무선 기가비트 연합(Wireless Gigabit Alliance)(WiGig)(예를 들어, IEEE 802.11ad) 프로토콜에 따라 동작하는 디바이스에 관한 것이다. 본 발명의 일부 양태는 멀티 스테이지 구리 필러 에칭(multi-stage copper pillar etching)을 사용하는 것에 관한 것이다. 본 개시내용의 일부 양태는 동일 위치에 배치된(co-located) 밀리미터파(millimeter wave)(mmWave) 및 근접장(near-field communication)(NFC) 안테나에 관한 것이다. 본 개시내용의 일부 양태는 스케일러블 위상 배열 무선 송수신기 아키텍처(scalable phased array radio transceiver architecture)(SPARTA)에 관한 것이다. 본 개시내용의 일부 양태는 단일 동축 케이블을 통해 MIMO 지지부 및 위상 잡음 동기화를 갖는 위상 배열 분산 통신 시스템(phased array distributed communication system)에 관한 것이다. 본 개시내용의 일부 양태는 분산 위상 배열 통신 시스템에서 케이블을 통한 무선 주파수 신호(radio frequency (RF) signals over cable)(RFoC)의 통신에 관한 것이다. 본 개시내용의 일부 양태는 클록 잡음 누설 저감(clock noise leakage reduction)에 관한 것이다. 본 개시내용의 일부 양태는 전방 및 후방 호환성(compatibility) 및 모듈성(modularity)을 위한 중간 주파수(IF)-RF-컴패니언 칩(intermediate frequency (IF)-to-RF companion chip)에 관한 것이다. 본 개시내용의 일부 양태는 온-패키지 매칭 네트워크(on-package matching network)에 관한 것이다. 본 개시내용의 일부 양태는 5G 스케일러블 수신기(RX) 아키텍처에 관한 것이다.
무선 통신을 위한 이동 디바이스 내의 물리적 공간은 이러한 디바이스의 폼 팩터 내에 포함되는 기능성의 수량 때문에 늘 품귀하다. 다른 이유 중에서도, 이동 디바이스가 다른 장소로 이동함에 따라 방사된 전파의 공간적 커버리지가 필요하고, 신호 강도를 유지해야하기 때문에, 또는 사용자가 때때로 이동 디바이스를 다른 방향으로 향하게 할 수 있기 때문에, 어려운 문제가 발생한다. 이것은 일부 양태에서, 많은 수의 안테나, 다양한 극성, 방사 방향, 다양한 시간에 방사되는 전파의 다양한 공간 다이버시티에 대한 필요성 및 관련된 필요성을 초래할 수 있다. 밀리미터파(mmWave 또는 mmW) 주파수에서 동작하는 안테나를 포함하는 패키지를 설계할 때, 공간을 효율적으로 사용하면 이러한 문제를 해결하는 데 도움이 될 수 있다.
무선 통신의 편재성(ubiquity)은 계속해서 많은 도전적인 과제를 제기하고 있다. 특히 5G 통신 시스템과 같은 이동 통신 시스템의 출현에 따라 요구가 상이한 각종 디바이스 및 사용되는 스펙트럼의 둘 모두로 인해 과제가 진화되어 왔다. 특히, 통신에 사용되는 주파수 대역의 범위는 가장 최근에 면허 대역과 비면허 대역의 캐리어 결합의 통합 및 곧 있을 mmWave 대역의 사용으로 인해 증가되었다.
mmWave 라디오 프론트엔드 모듈(radio front end module)(RFEM)에서의 과제는 완전한 또는 거의 완전한 지향성 커버리지를 제공하는 것이다. 밀리미터 파 시스템은 링크 예산을 맞추기 위해 높은 안테나 이득을 필요로 하며, 위상 배열 안테나는 빔 조향(beam steering)을 제공하는 데 사용될 수 있다. 그러나, (평면 패치 안테나의 배열과 같은) 위상 배열 안테나를 사용하면 자체적으로 제한된 각도의 커버리지를 제공한다. 빔 조향에 의하면 의도된 수신기쪽으로 에너지를 향하게 하는데 도움이 될 수 있지만 (그리고 의도된 송신기의 방향으로 수신기에서 이득을 상호적으로 증가시킬 수 있지만), 간단한 배열로는 조향 각도의 범위가 제한된다. 또한, 무선 주파수(RF) 신호의 편광은 mmWave의 주요 문제이다. 수직 편파와 수평 편파 사이에는 의미 있는 전파 차이가 있으며, 또한 둘 모두의 편파를 사용하면 공간 다이버시티를 제공하는데 사용될 수 있다. 이동 디바이스에 이러한 기술의 예상된 적용을 고려해 볼 때, 안테나에서 선택 가능한 편파를 제공하는 것이 중요해질 것이다.
증가하는 관심사의 다른 문제는 대기 감쇠 손실(atmospheric attenuation loss)이다. 대기 흡수로 인해 유발되는 높은 경로 손실 및 고체 물질을 통한 높은 감쇠로 인해, 대규모 다중 입력 다중 출력(multiple input, multiple output)(MIMO) 시스템이 mmWave 대역에서 통신에 사용될 수 있다. 비차단 지향 공간 채널(unblocked directed spatial channel)을 검색하기 위해 빔포밍(beamforming)을 사용하는 것 및 시선(line of sight)(LOS) 통신과 비가시선(non-line of sight)(NLOS) 통신 사이의 불일치는 무선 개인 영역 네트워크(wireless personal area network)(WPAN) 또는 무선 근거리 네트워크(wireless local area network)(WLAN)를 통한 통신에 사용되는 아키텍처에 비해 mmWave 아키텍처를 복잡하게 만들 수 있다.
본 출원의 일 실시예에 따른 이동 디바이스의 장치는, 상부 층 및 하부 층을 포함하는 복수의 평행한 층을 포함하는 회로 기판과, 상기 회로 기판에 부착되고 집적 회로(integrated circuit)(IC)를 포함하는 라디오 프론트 엔드 모듈과, 회로 기판에 부착된 접지된 실드(shield) - 상기 접지된 실드는 상기 IC를 간섭으로부터 차폐하도록 구성됨 - 와, 방사 요소 및 기생 요소를 포함하는 적층 패치 지향성 안테나(stacked patch directional antenna) - 상기 기생 요소는 상기 접지된 실드에 인접하여 배치되고, 상기 방사 요소는 상기 회로 기판 상에 배치되며, 상기 IC에 연결된 피드 스트립(feed strip)을 포함하는 피드 메커니즘에 의해 급전됨 - 를 포함하고, 상기 접지된 실드는 상기 적층 패치 지향성 안테나의 반사기로서 및 접지 평면으로서 구성되고, 상기 적층 패치 지향성 안테나는 제 1 편파(polarization) 신호 및 제 2 편파 신호를 전파하도록 구성되며, 상기 제 1 및 제 2 편파 신호는 직교 편파인 것을 특징으로 한다.
도 1은 일부 양태에 따른 예시적인 사용자 디바이스를 도시한다
도 1a는 일부 양태에 따른 도 1의 디바이스와 관련하여 사용될 수 있는 mmWave 시스템을 도시한다.
도 2는 일부 양태에 따른 예시적인 기지국 무선 헤드를 도시한다.
도 3a는 일부 양태에 따른 예시적인 밀리미터파 통신 회로를 도시한다.
도 3b는 일부 양태에 따른 도 3a에 도시된 예시적인 송신 회로의 양태를 도시한다.
도 3c는 일부 양태에 따른 도 3a에 도시된 예시적인 송신 회로의 양태를 도시한다.
도 3d는 일부 양태에 따른 도 3a에 도시된 예시적인 무선 주파수 회로의 양태를 도시한다.
도 3e는 일부 양태에 따른 도 3a의 예시적인 수신 회로의 양태를 도시한다.
도 4는 일부 양태에 따른 도 3a의 예시적인 사용 가능한 RF 회로를 도시한다.
도 5a는 일부 양태에 따른 예시적인 라디오 프론트엔드 모듈(RFEM)의 양태를 도시한다.
도 5b는 일부 양태에 따른 예시적인 라디오 프론트엔드 모듈의 대안적인 양태를 도시한다.
도 6은 일부 양태에 따른, 도 1 또는 도 2에서 사용 가능한 예시적인 다중-프로토콜 기저대역 프로세서를 도시한다.
도 7은 일부 양태에 따른, 예시적인 혼합 신호(mixed signal) 기저대역 서브시스템을 나타낸다.
도 8a는 일부 양태에 따른, 예시적인 디지털 기저대역 서브시스템을 도시한다.
도 8b는 일부 양태에 따른, 예시적인 기저대역 프로세싱 서브시스템의 대안적인 양태를 도시한다.
도 9는 일부 양태에 따른, 예시적인 디지털 신호 프로세서 서브시스템을 도시한다.
도 10a는 일부 양태에 따른, 가속기 서브시스템의 예를 도시한다.
도 10b는 일부 양태에 따른, 대안적인 예시적인 가속기 서브시스템을 도시한다.
도 11a 내지 도 11e는 일부 양태에 따른, 예시적인 주기적인 무선 프레임 구조를 도시한다.
도 12a 내지 도 12c는 일부 양태에 따른, 송신 또는 수신될 수 있는 단일 캐리어 변조 방식의 콘스텔레이션 설계의 예를 도시한다.
도 13a 및 도 13b는 일부 양태에 따른, 송신 및 수신될 수 있는 단일 캐리어 변조 방식의 대안적인 예시적인 콘스텔레이션 설계를 도시한다.
도 14는 일부 양태에 따른, 송신을 위한 멀티캐리어 기저대역 신호를 생성하기 위한 예시적인 시스템을 도시한다.
도 15는 일부 양태에 따른, 그리드 형태로 묘사된 예시적인 자원 요소를 도시한다.
도 16a, 도 16b, 도 16c 및 16d는 일부 양태에 따른, 코딩의 예를 도시한다.
도 17은 일부 양태에 따른 금속성 필러를 갖는 예시적인 반도체 다이의 횡단면도 및 평면도이다.
도 18a는 일부 양태에 따른 제 1 유형의 상호연결 구조를 형성하는 금속성 필러를 갖는 예시적인 반도체 다이의 단면도 및 평면도이다.
도 18b는 일부 양태에 따른 제 2 유형의 상호연결 구조를 형성하는 금속성 필러를 갖는 예시적인 반도체 다이의 단면도 및 평면도이다.
도 18c는 일부 양태에 따른 제 3 유형의 상호연결 구조를 형성하는 금속성 필러를 갖는 예시적인 반도체 다이의 횡단면도 및 평면도이다.
도 19는 일부 양태에 따른, 필러가 패키지 라미네이트에 부착되는 상호연결 구조를 형성하는 금속성 필러를 갖는 예시적인 반도체 다이의 단면도이다.
도 20a는 일부 양태에 따른, 본 개시내용에서 설명되는 바와 같은 예시적인 사용자 디바이스 서브시스템의 단면 모습의 측면도이다.
도 20b는 일부 양태에 따른, 도 20a의 라미네이트 구조의 예시적인 받침부 부분(pedestal part)을 도시한다.
도 21은 일부 양태에 따른, 도 20a의 라미네이트 구조의 캐버티 내부의 예시적인 RF 피드(RF feed)를 도시한다.
도 22는 일부 양태에 따른, 실드 케이지(shield cage)의 개구부를 관통하는 예시적인 RF 피드 트레이스를 도시한다.
도 23은 일부 양태에 따른, 동일 위치에 배치된 밀리미터파(mmWave) 안테나와 근접장 통신(NFC) 안테나를 갖는 예시적인 반도체 패키지의 여러 도면을 도시한다.
도 24는 일부 양태에 따른 위상 안테나 배열을 갖는 예시적인 무선 주파수 프론트엔드 모듈(RFEM)을 도시한다.
도 25는 일부 양태에 따른 이동 디바이스 내의 예시적인 RFEM의 예시적인 위치를 도시한다.
도 26은 일부 양태에 따른 예시적인 RFEM의 블록도이다.
도 27은 일부 양태에 따른 예시적인 매체 액세스 제어(media access control)(MAC)/기저대역(BB) 서브시스템의 블록도이다.
도 28은 일부 양태에 따른 예시적인 NFC 안테나의 다이어그램이다.
도 29는 일부 양태에 따른 다수의 인쇄 회로 보드(printed circuit board)(PCB) 기판상의 동일 위치에 배치된 mmWave 안테나와 근접장 통신(NFC) 안테나를 갖는 예시적인 반도체 패키지의 여러 도면을 도시한다.
도 30은 일부 양태에 따른 RF에서 신호를 위상 변위(phased shifting)하고 결합함으로써 빔포밍을 구현하는 예시적인 RF 위상 배열 시스템의 블록도이다.
도 31은 일부 양태에 따른 국부 발진기(local oscillator)(LO)를 위상 변위하고 IF/기저대역에서 아날로그 신호를 결합함으로써 빔포밍을 구현하는 예시적인 위상 배열 시스템의 블록도이다.
도 32는 일부 양태에 따른 디지털 위상 변위 및 결합을 수행하는 예시적인 위상 배열 시스템의 블록도이다.
도 33은 일부 양태에 따른 스케일러블 위상 배열 무선 송수신기 구조에서 사용될 수 있는 예시적인 송수신기 셀 요소의 블록도이다.
도 34는 일부 양태에 따른 다수의 송수신기 셀을 사용하는 예시적인 위상 배열 무선 송수신기 아키텍처의 블록도이다.
도 35는 일부 양태에 따른 반도체 다이를 개별 송수신기 셀로 예시적으로 다이싱하여 위상 배열 무선 송수신기를 형성하는 것을 도시한다.
도 36은 일부 양태에 따른 위상 배열 안테나로 패키징된 예시적인 위상 배열 무선 송수신기 아키텍처의 블록도이다.
도 37은 일부 양태에 따른 통신 버스를 갖는 예시적인 송수신기 셀의 블록도이다.
도 38은 일부 양태에 따른 단일 아날로그-디지털 변환기(analog-to-digital converter)(ADC)를 사용하는 LO 위상 변위 동작 모드에서 송수신기 타일을 갖는 예시적인 위상 배열 송수신기 아키텍처의 블록도이다.
도 39는 일부 양태에 따른 다수의 ADC를 사용하는 LO 위상 변위 동작 모드에서 송수신기 타일을 갖는 예시적인 위상 배열 송수신기 아키텍처의 블록도이다.
도 40은 일부 양태에 따른 다수의 ADC를 사용하여 다수의 디지털 신호를 생성하는 하이브리드 동작 모드(LO 및 디지털 위상 변위 및 결합)에서 송수신기 타일을 갖는 예시적인 위상 배열 송수신기 아키텍처의 블록도이다.
도 41은 일부 양태에 따른 단일 ADC를 사용하는 아날로그 IF/기저대역 위상 변위 및 결합 동작 모드에서 송수신기 타일을 갖는 예시적인 위상 배열 송수신기 아키텍처의 블록도이다.
도 42는 일부 양태에 따른 다수의 ADC를 사용하여 다수의 디지털 신호를 생성하는 아날로그 IF/기저대역 위상 변위 동작 모드에서 송수신기 타일을 갖는 예시적인 위상 배열 송수신기 아키텍처의 블록도이다.
도 43은 일부 양태에 따른 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 예시적인 동작 모드를 도시한다.
도 44a는 일부 양태에 따른 두 패키지 시스템 중의 하나의 패키지의 예시적인 기판의 평면도를 도시한다.
도 44b는 일부 양태에 따른 도 44a의 기판의 저면도를 도시한다.
도 44c는 일부 양태에 따른, 도 44a 및 도 44b의 두 패키지 시스템 중의 제 2 패키지의 예시적인 기판의 저면도를 도시한다.
도 44d는 일부 양태에 따른 패키지-온-패키지(package-on-package) 구현으로 적층된 도 44a 내지 도 44c의 제 1 패키지 및 제 2 패키지를 도시한다.
도 45a는 일부 양태에 따른, 다른 두 패키지 시스템 중의 하나의 패키지의 다른 예시적인 기판의 평면도이다.
도 45b는 일부 양태에 따른 도 45a의 기판의 저면도를 도시한다.
도 45c는 일부 양태에 따른 도 45a 및 도 45b의 두 패키지 시스템의 제 2 패키지의 예시적인 기판의 저면도를 도시한다.
도 45d는 일부 양태에 따른, 패키지-온-패키지 구현으로 적층된 도 45a 내지 도 45c의 제 1 패키지 및 제 2 패키지를 도시한다.
도 46a는 일부 양태에 따른, 또 다른 두 패키지 시스템 중의 하나의 패키지의 예시적인 기판의 평면도이다.
도 46b는 일부 양태에 따른 도 45a의 기판의 저면도를 도시한다.
도 46c는 일부 양태에 따른 도 45a 및 도 45b의 두 패키지 시스템 중 제 2 패키지의 예시적인 기판의 저면도를 도시한다.
도 46d는 일부 양태에 따른 패키지-온-패키지 구현으로 적층된 도 46a 내지 도 46c의 제 1 패키지 및 제 2 패키지를 도시한다.
도 47a는 일부 양태에 따른, 또 다른 두 패키지 시스템 중 하나의 패키지의 예시적인 기판의 평면도이다.
도 47b는 일부 양태에 따른 도 46a의 기판의 저면도를 도시한다.
도 47c는 일부 양태에 따른 도 47a 및 도 47b의 두 패키지 시스템 중 제 2 패키지의 예시적인 기판의 저면도를 도시한다.
도 47d는 일부 양태에 따른 패키지-온-패키지 구현으로 적층된 도 44a 내지 도 44c의 제 1 패키지 및 제 2 패키지를 도시한다.
도 48a는 일부 양태에 따른, 두 패키지, 병행(side-by-side) 패키지 시스템의 두 패키지의 평면도를 도시한다.
도 48b는 일부 양태에 따른, 도 48a의 두 패키지의 저면도를 도시한다.
도 48c는 일부 양태에 따른, 나란한 구현의 도 48a 및 도 48b의 두 패키지의 측면도를 도시한다.
도 49는 다양한 사이즈의 SD 플래시 메모리 카드의 예시적인 도면이다.
도 50은 일부 양태에 따른, mmWave 무선 통신 동작을 위해 카드를 용도에 맞게 내용 및 기능성을 변경한 예시적인 마이크로 SD 카드의 3차원 도면을 도시한다.
도 51a는 일부 양태에 따른, 도 2의 다이폴 안테나(dipole antenna)의 방사 패턴을 보여주는 도 50의 예시적인 마이크로 SD 카드를 도시한다.
도 51b는 Z-높이가 제한된 노출된 영역에서 수직방향으로 서있는 수직 편파된 모노폴 안테나 요소를 갖는 도 50의 마이크로 SD 카드를 도시한다.
도 51c는 일부 양태에 따른, 폴디드 백 다이폴 안테나(folded back dipole antenna)를 갖는 도 50의 마이크로 SD 카드를 도시한다.
도 52는 일부 양태에 따른, 마더 보드마다 복수의 카드를 제공하기 위해 위에서 논의한 바와 같이 변형된 세 개의 예시적인 마이크로 SD 카드를 도시한다.
도 53a는 일부 양태에 따른, 송수신기 서브시스템이 부착된 예시적인 분리된 볼 그리드 어레이(ball grid array)(BGA) 또는 랜드 그리드 어레이(land grid array)(LGA) 패턴 패키지 PCB 서브시스템의 측면도이다.
도 53b는 일부 양태에 따른, 도 53a의 서브시스템의 측 단면도이다.
도 53c는 일부 양태에 따른, 실드의 평면도를 도시하고 추가로 절개부(cutout)를 도시하는 도 53a의 서브시스템의 평면도이다.
도 53d는 일부 양태에 따른, 안테나가 방사할 수 있게 하는 절개부를 도시하고, 콘택을 도시하는 도 53a의 서브시스템의 평면도이다.
도 53e는 일부 양태에 따른, 실질적으로 모든 방향으로의 방사선 커버리지를 위해, 폴(pole) 주위에 원형으로 배열된 예시적인 서브시스템의 배열을 도시한다.
도 53f는 일부 양태에 따른, 코너 형상의 예시적인 서브시스템을 도시한다.
도 53g는 일부 양태에 따른 도 3a의 서브시스템을 도시한다.
도 53h는 일부 양태에 따른 예시적인 안테나 서브시스템의 측면도를 도시한다.
도 53i은 일부 양태에 따른 이중 차폐 안테나 서브시스템의 예시적인 구성의 평면도이다.
도 53j는 일부 양태에 따른, 도 53i의 안테나 서브시스템의 슬라이드 뷰(slide view)를 도시한다.
도 54a는 일부 양태에 따른 예시적인 60 GHz 위상 배열 시스템-인-패키지(System-in-Package)(SIP)를 도시한다.
도 54b는 일부 양태에 따른, 예시적인 60 GHz 위상 배열 SIP의 측면 사시도를 도시한다.
도 55는 일부 양태에 따른, 셀프-테스터(self-tester) 상에 배치된 60 GHz SIP를 도시한다.
도 56a는 일부 양태에 따른, SIP에서 원하지 않는 온-칩(on-chip) 또는 온-패키지(on-package) 크로스토크를 해결하기 위한 테스트의 제 1 부분에 대한 테스트 셋업을 도시한다.
도 56b는 일부 양태에 따른, SIP에서 원하지 않는 온-칩 또는 온-패키지 크로스토크를 해결하기 위한 테스트의 제 2 부분에 대한 예시적인 테스트 셋업을 도시한다.
도 57은 일부 양태에 따른, 60 GHz 위상 배열 SIP를 테스트하기에 적합한 예시적인 자동화된 테스트 장비를 도시한다.
도 58은 일부 양태에 따른, 도 57의 자동 테스트 장비에 추가되는 예시적인 구성요소를 도시한다.
도 59는 일부 양태에 따른 분산 위상 배열 시스템의 예시적인 RF 프론트-엔드 모듈(RFEM)을 도시한다.
도 60은 일부 양태에 따른 분산 위상 배열 시스템의 예시적인 기저대역 서브시스템(baseband sub-system)(BBS)을 도시한다.
도 61은 일부 양태에 따른 MIMO 지지부 및 단일 RFEM에 연결된 다수의 동축 케이블을 갖는 예시적인 분산 위상 배열 시스템을 도시한다.
도 62는 일부 양태에 따른 각각의 RFEM 송수신기가 별개의 동축 케이블에 연결되어 있는 MIMO 지지부를 갖는 예시적인 분산 위상 배열 시스템을 도시한다.
도 63은 일부 양태에 따른 MIMO 지지부 및 단일 RFEM에 연결된 단일 동축 케이블을 갖는 예시적인 분산 위상 배열 시스템을 도시한다.
도 64는 일부 양태에 따른 도 3의 단일 동축 케이블을 통해 전달되는 다양한 신호의 예시적인 스펙트럼 내용을 도시한다.
도 65는 일부 양태에 따른 MIMO 지지부 및 BBS와 각각의 RFEM 사이에 단일 동축 케이블을 갖는 단일 BBS 및 다중 RFEM을 갖는 예시적인 분산 위상 배열 시스템을 도시한다.
도 66은 일부 양태에 따른 분산 위상 배열 시스템의 예시적인 RF 프론트-엔드 모듈(RFEM)을 도시한다.
도 67은 일부 양태에 따른 분산 위상 배열 시스템의 예시적인 기저대역 서브시스템(BBS)을 도시한다.
도 68은 일부 양태에 따른 RFEM과 BBS 사이에서 전달되는 신호의 예시적인 주파수 다이어그램을 도시한다.
도 69는 일부 양태에 따른 RF 신호를 전달하기 위한 단일 동축 케이블을 통해 예시적인 BBS에 연결된 예시적인 RFEM을 도시한다.
도 70은 일부 양태에 따른 도 69의 BBS의 보다 상세한 다이어그램을 도시한다.
도 71은 일부 양태에 따른 단일 BBS에 연결된 다수의 RFEM을 사용하는 예시적인 대규모 안테나 배열(massive antenna array)(MAA)를 도시한다.
도 72는 일부 양태에 따른, 랩톱 컴퓨터의 리드(LID)에 도달하는 RF 신호의 예시적인 도파관을 도시하는 랩톱 컴퓨터의 분해도이다.
도 73은 일부 양태에 따른, 랩톱 컴퓨터의 무선 서브시스템으로부터 나아가서, 랩톱의 뚜껑까지 가는 도중에, 랩톱의 힌지에 있는 구멍을 통해 들어가는 하나 이상의 예시적인 동축 케이블의 예시도이다.
도 74는 일부 양태에 따른, 랩톱 컴퓨터의 무선 서브시스템으로부터 나와, 랩톱 뚜껑에 있는 안테나 또는 안테나 배열까지 가는 도중에, 뚜껑의 힌지에 있는 구멍을 빠져 나가는 하나 이상의 예시적인 동축 케이블의 예시도이다.
도 75는 일부 양태에 따른, 랩톱 컴퓨터의 마더 보드로부터 랩톱의 뚜껑 및 라디오 프론트엔드 모듈(RFEM)에 이르는 신호의 예시적인 전송 선로의 개략도이다.
도 76은 일부 양태에 따른, 랩톱 컴퓨터의 마더 보드로부터 랩톱의 뚜껑 및 복수의 RFEM에 이르는 신호의 예시적인 전송 선로의 개략도이다.
도 77a 및 도 77b는 일부 양태에 따른, 예시적인 기판 집적 도파관(substrate-integrated waveguide)(SIW)의 도면이다.
도 78은 일부 양태에 따른 클록 잡음 누설 저감이 가능한 분산 위상 배열 시스템의 예시적인 RF 프론트-엔드 모듈(RFEM)을 도시한다.
도 79는 일부 양태에 따른 클록 잡음 누설 저감이 가능한 분산 위상 배열 시스템의 예시적인 기저대역 서브시스템(BBS)을 도시한다.
도 80은 일부 양태에 따른 RFEM과 BBS 사이에서 전달되는 신호의 예시적인 주파수 다이어그램을 도시한다.
도 81은 일부 양태에 따른 클록 잡음 누설 저감과 관련하여 사용될 수 있는 클록 확산기(spreader) 및 역 확산기(despreader) 회로를 도시한다.
도 82는 일부 양태에 따른 클록 잡음 누설 저감을 사용하여 RFEM와 BBS 사이에서 전달되는 신호의 주파수 다이어그램을 도시한다.
도 83은 일부 양태에 따른 IF 프로세싱을 구비하는 분산 위상 배열 시스템의 예시적인 RF 프론트엔드 모듈(RFEM)을 도시한다.
도 84는 일부 양태에 따른 도 83의 분산 위상 배열 시스템의 예시적인 기저대역 서브시스템(BBS)을 도시한다.
도 85는 일부 양태에 따른 RFEM 내에서 IF 프로세싱을 구비하는 예시적인 다중 대역 분산 위상 배열 시스템을 도시한다.
도 86은 일부 양태에 따른 RF 신호를 전달하기 위한 단일 동축 케이블을 통해 RFEM이 BBS에 연결된 예시적인 분산 위상 배열 시스템을 도시한다.
도 87은 일부 양태에 따른 도 86의 BBS의 보다 상세한 다이어그램을 도시한다.
도 88은 일부 양태에 따른 단일 BBS에 연결된 다수의 RFEM을 사용하여, 다수의 동작 주파수 대역을 지원하는 예시적인 분산 위상 배열 시스템을 도시한다.
도 89는 일부 양태에 따른 도 88의 BBS의 보다 상세한 다이어그램을 도시한다.
도 90은 일부 양태에 따른 RFEM, 컴패니언 칩 및 BBS를 포함하는 예시적인 분산 위상 배열 시스템으로, IF 프로세싱이 컴패니언 칩으로 오프로드되는 것으로 도시된다.
도 91은 일부 양태에 따른 도 90의 컴패니언 칩 및 BBS의 보다 상세한 다이어그램을 도시한다.
도 92는 일부 양태에 따른 컴패니언 칩 내에 IF 프로세싱을 구비하는 예시적인 다중 대역 분산 위상 배열 시스템을 도시한다.
도 93은 일부 양태에 따른 양방향 전력 결합기(power combiner)의 예시적인 온-칩 구현예를 도시한다.
도 94는 일부 양태에 따른 대규모 전력 결합기의 예시적인 온-칩 구현예를 도시한다.
도 95는 일부 양태에 따른 임피던스 변환 네트워크의 예시적인 온-칩 구현예를 나타낸다.
도 96은 일부 양태에 따른 양방향 전력 결합기의 예시적인 온-패키지 구현예를 나타낸다.
도 97은 일부 양태에 따른 대규모 전력 결합기의 예시적인 온-패키지 구현예를 나타낸다.
도 98은 일부 양태에 따른 임피던스 변환 네트워크의 예시적인 온-패키지 구현예를 도시한다.
도 99는 일부 양태에 따른 도허티 전력 증폭기의 예시적인 온-패키지 구현예를 도시한다.
도 100a는 일부 양태에 따른, 커넥터를 사용하는 예시적인 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템(unmolded stacked package-on-package embedded die radio system)의 측면도이다.
도 100b는 일부 양태에 따른, 예시적인 듀얼 패치 안테나의 측면도이다.
도 100c는 일부 양태에 따른, 안테나의 부피가 증가함에 따라 도 100b의 듀얼 패치 안테나의 반사 손실(return loss)의 시뮬레이션된 그래프이다.
도 101a는 일부 양태에 따른 연성 상호연결부 (flex interconnect)를 사용하는 예시적인 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 101b는 일부 양태에 따른, 연성 상호연결부 를 이용하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도로서, 연성 상호연결부 가 사진 모양으로 도시된다.
도 102는 일부 양태에 따른, 예시적인 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템(molded stacked package-on-package embedded die radio system)의 측면도이다.
도 103은 일부 양태에 따른, 예시적인 몰딩된 패키지 온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 104는 일부 양태에 따른, 재분배 층(redistribution layer)을 사용하는 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 105는 일부 양태에 따른, z-방향으로 높이를 얻기 위해 몰딩된 층에 리세스를 구비한 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 106은 일부 양태에 따른, EMI 차폐 및 열 확산을 위해 몰드에 내장된 기계적 실드를 포함하는 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 적층된 측면도이다.
도 107은 일부 양태에 따른, 측 방향으로 배치된 안테나 또는 안테나 배열을 갖는 패키지 무선 시스템의 예시적인 적층 패키지 초박형 시스템의 사시도이다.
도 108a 내지 도 108c는 일부 양태에 따른 예시적인 임베디드 다이 패키지를 도시한다.
도 109는 일부 양태에 따른 사용되는 예시적인 적층 링 공진기(stacked ring resonators)(SRR) 안테나 패키지 셀의 측면도의 블록도를 도시한다.
도 110은 일부 양태에 따른 도 109의 안테나 패키지 셀의 하나 이상의 층에서 사용될 수 있는 예시적인 링 공진기를 도시한다.
도 111은 일부 양태에 따른 도 109의 안테나 패키지 셀의 하나 이상의 층에서 사용될 수 있는 상이한 편파를 사용하는 다수의 피드 라인(feed line)을 갖는 예시적인 링 공진기를 도시한다.
도 112는 일부 양태에 따른 도 109의 SRR 안테나의 E 평면에서의 예시적인 전기장 라인을 도시한다.
도 113은 일부 양태에 따른 도 109의 SRR 안테나 패키지 셀의 반사 계수 및 보어사이트 실현 이득(boresight realized gain)의 예시적인 그래프 예시도이다.
도 114는 일부 양태에 따른 도 109의 SRR 안테나 패키지 셀을 사용하는 예시적인 안테나 배열의 블록도를 도시한다.
도 115는 일부 양태에 따른 도 109의 예시적인 SRR 안테나 패키지 셀을 구성하는 예시적인 층의 세트를 도시한다.
도 116은 일부 양태에 따른 도 109의 SRR 안테나 패키지 셀의 예시적인 스택 업(stack up)의 블록도를 도시한다.
도 117은 일부 양태에 따른 도 109의 SRR 안테나 패키지 셀을 위한 피드 라인으로 사용될 수 있는 복수의 예시적인 스트립 라인의 블록도를 도시한다.
도 118a는 일부 양태에 따른 복수의 도파관 안테나를 사용하는 예시적인 이동 디바이스를 도시한다.
도 118b는 일부 양태에 따른 도파관 전이 요소(waveguide transition element)를 갖는 예시적인 무선 주파수 프론트엔드 모듈(RFEM)을 도시한다.
도 119a 및 도 119b는 일부 양태에 따른 PCB와 도파관 안테나 사이에서 전이를 위한 예시적인 도파관 구조의 사시도를 도시한다.
도 120a, 도 120b 및 도 120c는 일부 양태에 따른 도 119a 및 도 119b의 도파관 전이 구조의 다양한 단면도를 도시한다.
도 121a, 도 121b 및 도 121c는 일부 양태에 따른 예시적인 임피던스 매칭 공기 캐버티(impedance matching air cavity)를 포함하는 도 119a 및 도 119b의 도파관 전이 구조의 다양한 사시도를 도시한다.
도 122는 일부 양태에 따른 도 119a 및 도 119b의 도파관 전이 구조를 통해 PCB 및 도파관이 실장될 때 공기 캐버티의 다른 도면을 도시한다.
도 123은 일부 양태에 따른 에어 갭 폭에 관련한 반사 계수 값의 시뮬레이션 결과의 그래프 표현을 도시한다.
도 124는 일부 양태에 따른 예시적인 이중 편파된 안테나 구조를 도시한다.
도 125a 내지 도 125c는 일부 양태에 따른, 다층 PCB 상에 구현된 예시적인 이중 편파된 안테나 구조를 도시한다.
도 126은 일부 양태에 따른, 도 125a 내지 도 125c에 도시된 안테나 구조의 시뮬레이션된 S-파라미터를 도시한다.
도 127a 및 도 127b는 일부 양태에 따른, 도 125a 내지 도 125c에 도시된 안테나 구조의 예시적인 시뮬레이션된 원거리장 방사 패턴(far-field radiation pattern)을 도시한다.
도 128a는 일부 양태에 따른, 하나의 구성에서 표면파 구멍(surface wave hole)이 천공된 도 125a 내지 도 125c의 안테나 구조의 평면도를 도시한다.
도 128b는 일부 양태에 따른, 다른 구성에서 표면파 구멍이 천공된 도 125a 내지 도 125c의 안테나 구조의 평면도를 도시한다.
도 129는 일부 양태에 따른 예시적인 이중 편파된 안테나 구조의 다른 구현예를 도시한다.
도 130a는 일부 양태에 따른, 도 129의 안테나의 평면도를 도시한다.
도 130b 및 도 130c는 일부 양태에 따른, 도 129의 안테나의 사시도이다.
도 131a는 일부 양태에 따른, 도 130a 내지 도 130c의 안테나 구조에 대한 전체 방사 효율 대 주파수의 시뮬레이션을 도시한다.
도 131b는 일부 양태에 따른, 도 130a 내지 도 130c에 도시된 유형의 예시적인 4 x 1 안테나 배열의 평면도를 도시한다.
도 131c는 일부 양태에 따른, 도 131b에 도시된 유형의 안테나의 4 x 1 배열의 사시도이다.
도 131d 및 도 131e는 0° 페이징의, 일부 양태에 따른, 도 131b 및 도 131c의 4 x 1 안테나 배열의 예시적인 시뮬레이션 방사 패턴을 도시한다.
도 131f 및 도 131g는 120° 페이징의, 일부 양태에 따른, 도 131b 및 도 131c의 4 x 1 안테나 배열의 예시적인 시뮬레이션 방사 패턴을 도시한다.
도 132는 일부 양태에 따른, 도 131b 및 도 131c의 안테나 배열의 인접 요소 간의 최악 사례의 커플링의 예시적인 시뮬레이션을 도시한다.
도 133은 일부 양태에 따른, 0° 각도 페이징시 도 131b 및 도 131c의 4 x 1 안테나 배열에 대한 포락선 상관(envelope correlation)을 도시한다.
도 134는 일부 양태에 따른, 아래에서 설명되는 극좌표 시뮬레이션 방사 패턴(polar simulation radiation pattern)에 대한 좌표계를 도시한다.
도 135는 일부 양태에 따른, 일차 기판 내부에 내장된 다이 및 일차 기판 위의 차폐된 표면 실장 디바이스를 갖는 예시적인 무선 서브시스템을 도시한다.
도 136은 일부 양태에 따른, 이차 기판의 캐버티 내에서 일차 기판 위에 배치된 다이 및 표면 실장 디바이스를 갖는 예시적인 무선 서브시스템을 도시한다.
도 137은 일부 양태에 따른, 일차 기판 내부에 내장된 다이 및 이차 기판의 캐버티 내에서 일차 기판 위에 배치된 표면 실장 디바이스를 갖는 예시적인 무선 시스템 패키지를 도시한다.
도 138a는 일부 양태에 따른, 일차 기판 내부에 내장된 다이 및 이차 기판의 캐버티 내에서 일차 기판 위에 배치된 표면 실장 디바이스를 갖는 예시적인 무선 시스템 패키지의 절결 사시도이다.
도 138b는 일부 양태에 따른, 일차 기판의 바닥면을 도시하는 도 138a의 무선 시스템의 사시도이다.
도 139는 일부 양태에 따른, 이차 기판의 내부를 도시하는 도 138b의 무선 시스템의 사시도이다.
도 140a는 일부 양태에 따른, 기계적 연결 또는 전기적 연결을 위한 솔더 콘택을 도시하는 도 138a의 무선 시스템의 부분 평면 사시도이다.
도 140b는 일부 양태에 따른, 이차 기판상에서 도 140a의 솔더 콘택과 매칭하도록 구성된 솔더 콘택을 도시하는 도 138a의 무선 시스템의 부분 사시도이다.
도 141a는 양태에 따른, PCB에 부착된 표면 구성요소를 포함하는 예시적인 단일 요소 에지-파이어 안테나(edge-fire antenna)를 도시한다.
도 141b는 양태에 따른, 도 141a의 단일 요소 안테나의 배치 및 재료의 상세 사항을 도시한다.
도 141c는 양태에 따른, 도 141a 및 도 141b에 도시된 단일 요소 안테나의 단부도를 도시한다.
도 141d는 양태에 따른, 도 141a 및 도 141b에 도시된 유형의 안테나 요소를 포함하는 예시적인 4-안테나 요소 배열을 도시한다.
도 142는 양태에 따른, 유전체가 두 개의 상이한 길이로 연장된 경우 도 141a 및 도 141b에 도시된 안테나의 대역폭을 도시한다.
도 143은 양태에 따른, 도 141a 및 도 141b에 도시된 안테나의 주파수 범위에 걸친 전체 효율을 도시한다.
도 144는 양태에 따른, 도 143에 도시된 주파수 범위보다 큰 주파수 범위에 걸친 도 141a 및 도 141b에 안테나의 전체 효율을 도시한다.
도 145는 양태에 따른, 도 141a 및 도 141b에 도시된 안테나에 대해 주파수 범위에 걸친 최대 실현 이득을 도시한다.
도 146은 양태에 따른, 도 141a 및 도 141b에 도시된 안테나에 대해 다른 주파수 범위에 걸친 최대 실현 이득을 도시한다.
도 147은 양태에 따른, 도 141d에 도시된 안테나 배열의 두 개의 인접 안테나 요소 사이의 예시적인 격리를 도시한다.
도 148a는 양태에 따른, 제 1 연장된 유전체 길이의 경우에 도 141a 및 도 141b에 도시된 안테나 요소에 대해 주어진 주파수에서의 예시적인 3 차원 방사 패턴을 도시한다.
도 148b는 제 2 연장된 유전체 길이의 경우에 도 141a 및 도 141b에 도시된 안테나 요소에 대해 주어진 주파수에서의 예시적인 3 차원 방사 패턴을 도시한다.
도 148c는 양태에 따른, 도 141d에 도시된 4-요소 안테나 배열에 대해 주어진 주파수에서의 예시적인 3 차원 방사 패턴을 도시하는 것으로, 각각의 안테나 요소는 제 1 연장된 유전체 길이를 갖는다.
도 148d는 양태에 따른, 도 141d에 도시된 4-배열 안테나 요소에 대해 주어진 주파수에서의 예시적인 3 차원 방사 패턴을 도시하는 것으로, 각각의 안테나 요소는 제 2 연장된 유전체 길이를 갖는다.
도 149는 양태에 따른, 도 141a 및 도 141b에 도시된 안테나 요소에 대해 주어진 주파수에서의 예시적인 E-평면 교차 편파 방사 패턴(E-plane cross-polarization radiation pattern)을 도시한다.
도 150은 양태에 따른, 도 141a 및 도 141b에 도시된 안테나에 대해 주어진 주파수에서의 예시적인 E-평면 교차-편파 방사 패턴을 도시한다.
도 151은 양태에 따른, 도 141a 및 도 141b에 도시된 안테나에 대해 주어진 주파수에서의 예시적인 H-평면 동시-편광 방사 패턴(co-polarization radiation pattern)을 도시한다.
도 152는 양태에 따른, 도 141a 및 도 141b에 도시된 안테나에 대해 주어진 주파수에서의 예시적인 H-평면 교차 편파 방사 패턴을 도시한다.
도 153a는 양태에 따른, 표면 구성요소의 일부가 PCB와 병합된 도 141a 및 도 141b에 도시된 안테나와 유사한 예시적인 안테나 요소를 도시한다.
도 153b는 양태에 따른, 수직 편파 및 수평 편파 피드 포인트를 추가적으로 상세히 도시하는 도 153에 도시된 안테나 요소를 도시한다.
도 154a는 양태에 따른, PCB의 양면에 두 개의 표면 구성요소를 포함하는, 도 141a 및 도 141b 에 도시된 것과 유사한 예시적인 안테나 요소를 도시한다.
도 154b는 양태에 따른, 피드 라인의 근접 뷰(close-up view)를 포함하는 도 154a에 도시된 안테나 요소를 추가적으로 상세하게 도시한다.
도 155a는 양태에 따른, 작은 표면 구성요소 및 메인 PCB를 함께 납땜한 이후 도 153b의 이중 편파된 안테나의 사시도이다.
도 155b는 양태에 따른, 메인 PCB에 대해 병합되는 표면 구성요소가 들여다 보이는 도 155a에 도시된 안테나 요소의 투시도를 도시한다.
도 155c는 양태에 따른, 도 155a에 도시된 안테나 요소의 정면도를 추가적으로 상세하게 도시한다.
도 155d는 양태에 따른, 도 155a에 도시된 안테나 요소의 측면도를 도시한다.
도 156a는 양태에 따른, 도 155a에 도시된 안테나 요소의 이중 편파에 대한 반사 손실 S-파라미터를 도시한다.
도 156b는 일부 양태에 따른, 도 155a에 도시된 안테나 요소에 대한 수직 피드를 갖는 예시적인 3D 방사 패턴을 도시한다.
도 156c는 일부 양태에 따른, 도 155a에 도시된 안테나 요소에 대한 수평 피드를 갖는 3D 방사 패턴을 도시한다.
도 157a는 양태에 따른, 도 155a에 도시된 안테나의 수직 편파 피드, E-평면 방사 패턴을 도시한다.
도 157b는 양태에 따른, 도 155a에 도시된 안테나 요소의 수평 편파 피드, H-평면 방사 패턴을 도시한다.
도 158은 일부 양태에 따른, 도 155a의 안테나의 수평 편파 피드 E-평면 방사 패턴에 대한 예시적인 실현 이득을 도시한다.
도 159a는 일부 양태에 따른, 직교의 수직 및 수평 여기를 이용하는 예시적인 안테나 요소를 도시한다.
도 159b는 일부 양태에 따른, +45 도 및 -45 도 여기하는 예시적인 안테나 요소를 도시한다.
도 160a는 일부 양태에 따른, 도 159b의 안테나의 양쪽 포트에 대해 동 위상 여기(in-phase excitation)를 사용하여 수직(V) 편파를 얻는 것을 도시한다.
도 160b는 일부 양태에 따른, 도 159b의 안테나의 포트에서 백팔십도의 역위상 여기(out-of-phase excitation)를 사용하여 수평(H) 편파를 얻는 것을 도시한다.
도 161a는 일부 양태에 따른, 수직 및 수평 여기 포트를 갖는 도 159a의 안테나 요소를 도시한다.
도 161b는 일부 양태에 따른, 도 161b의 안테나 요소에 대한 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 162a는 일부 양태에 따른, 직교적으로 여기된 안테나 요소를 사용하는 예시적인 4 x 4 배열 개략도를 도시한다.
도 162b는 일부 양태에 따른, 이중 편파된 안테나 요소를 갖는 도 162a의 4 x 4 배열에 대한 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 162c는 일부 양태에 따른, 도 162의 배열에 대한 +45 도의 스캔 각도 여기(scan angle excitation)의 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 163a는 일부 양태에 따른, 역위상 구성(antiphase configuration)의 예시적인 이중 편파된 차동 4-포트 패치 안테나를 도시한다.
도 163b는 일부 양태에 따른 도 163a의 안테나 구성을 측면도로 도시한다.
도 163c는 일부 양태에 따른, 도 162a 및 도 162b의 안테나 구성에 대한 레벨 L1-L6을 포함하는 예시적인 라미네이트 구조 스택 업(laminated structure stack-up)을 도시한다.
도 163d는 일부 양태에 따른 예시적인 패치 안테나 극성을 도시한다.
도 163e는 일부 양태에 따른 교차-편파 레벨의 예시적인 억제를 도시한다.
도 164는 일부 양태에 따른, 도 163a 내지 도 163c의 4-포트 안테나 구성 양태에 대한 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 165a는 일부 양태에 따른, 피드 라인이 피드 소스로부터 네 개의 포트 각각으로 이어지는 예시적인 4-포트 여기 안테나 토폴로지를 도시한다.
도 165b는 일부 양태에 따른, 적층 패키지 패치 안테나의 구동된 패치가 공급 라인 상에 중첩되어 있는 도 165의 4-포트 구성에서의 피드 라인을 도시한다.
도 165c는 도 165b의 양태에 대한 예시적인 12-레벨 스택 업을 도시한다.
도 166a는 일부 양태에 따른, 급전 네트워크와 통합된 4-포트 요소를 사용하는 예시적인 4 x 4 안테나 배열 개략도를 도시한다.
도 166b 및 도 166c는 일부 양태에 따른, 도 166a의 4-포트 안테나 배열에 대한 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 167a는 일부 양태에 따른, 2-포트 이중 편파된 안테나 요소를 사용하는 예시적인 배열 구성을 도시한다.
도 167b 및 도 167c는 일부 양태에 따른, 도 167a의 안테나 배열에 대한 예시적인 시뮬레이션된 방사 패턴 결과를 도시한다.
도 168a는 일부 양태에 따른, 2-포트 이중 편파된 안테나 요소를 사용하는 다른 예시적인 배열 구성을 도시한다.
도 168b 및 도 168c는 일부 양태에 따른, 도 168a의 방사 패턴에 대한 예시적인 시뮬레이션 결과를 도시한다.
도 169는 일부 양태에 따른, 차량 사물(vehicle-to-everything)(V2X) 통신을 위한 다수의 안테나 배열을 갖는 예시적인 마스트-실장된 mmWave 안테나 블록(mast-mounted mmWave antenna block)을 도시한다.
도 170은 일부 양태에 따른, 단일의 진화된 노드-B(evolved Node-B)(eNB)와 통신하는 밀리미터파 안테나 배열에서의 예시적인 빔 조향 및 안테나 스위칭을 도시한다.
도 171은 일부 양태에 따른 다수의 eNB와 통신하는 밀리미터파 안테나 배열에서 예시적인 빔 조향 및 안테나 스위칭을 도시한다.
도 172는 일부 양태에 따른 다수의 안테나 배열을 갖는 안테나 블록을 사용하는 다수의 디바이스와의 예시적인 동시적 밀리미터파 통신(simultaneously millimeter wave communications)을 도시한다.
도 173은 일부 양태에 따른 다수의 안테나 배열을 포함하는 안테나 블록에 의한 밀리미터파 통신을 위해 사용될 수 있는 다수의 예시적인 빔을 도시한다.
도 174는 일부 양태에 따른 도 169의 다수의 안테나 배열을 갖는 안테나 블록을 사용하는 예시적인 밀리미터파 통신 디바이스의 블록도이다.
도 175a는 일부 양태에 따른, 이동 전화에 구성된 예시적인 비아-안테나 배열(via-antenna array)의 예시이다.
도 175b는 일부 양태에 따른, 랩톱에 구성된 예시적인 비아-안테나 배열의 예시이다.
도 175c는 일부 양태에 따른, 마더 보드 PCB 상에 구성된 예시적인 비아-안테나 배열의 예시이다.
도 176a는 일부 양태에 따른, 다층 PCB의 예시적인 비아-안테나의 단면도이다.
도 176b는 일부 양태에 따른, 예시적인 비아-안테나의 사시도이다.
도 177a는 일부 양태에 따른, PCB의 상부로부터 바라본 예시적인 PCB 비아-안테나 내부의 예시이다.
도 177b는 일부 양태에 따른, PCB의 하부로부터 바라본 예시적인 PCB 비아-안테나의 예시이다.
도 178a는 일부 양태에 따른, 예시적인 비아-안테나 배열의 평면도이다.
도 178b는 일부 양태에 따른, 비아-안테나에 대한 예시적인 수직 피드의 예시이다.
도 178c는 일부 양태에 따른, 비아-안테나에 대한 예시적인 수평 피드의 예시이다.
도 179a는 일부 양태에 따른, 다이폴 비아-안테나로서 구성된 예시적인 백투백 비아(back-to-back via)의 사시도이다.
도 179b는 일부 양태에 따른, PCB 라미네이트 층을 도시하는 다이폴 비아-안테나로서 구성된 예시적인 백투백 비아의 사시도이다.
도 180은 일부 양태에 따른, 도 179a 및 도 179b의 다이폴 안테나 비아-안테나 구성에 대한 안테나 반사 손실의 그래프이다.
도 181a는 일부 양태에 따른, 루트비히 정의(Ludwig definition)를 사용하여 27.5 GHz의 주파수에서 도 179a 및 도 179b의 다이폴 비아-안테나 구성에 대한 시뮬레이션된 원거리장(far field) 동평면 방사 패턴이다.
도 181b는 일부 양태에 따른, 루트비히 정의를 사용하여 주파수 28 GHz에서 도 179a 및 도 179b의 다이폴 비아-안테나 구성에 대한 예시적인 시뮬레이션된 원거리장 동평면 방사 패턴이다.
도 181c는 일부 양태에 따른, 루트비히 정의를 사용하여 주파수 29.5 GHz에서 도 179a 및 도 179b의 다이폴 비아-안테나 구성에 대한 예시적인 시뮬레이션된 원거리장 동평면 방사 패턴이다.
도 182는 일부 양태에 따른, 5G 기술을 위한 28 GHZ에서 동작하기 위한 예시적인 2 내지요소 비아-안테나 배열 설계이다.
도 183은 일부 양태에 따른, 도 182의 2-요소 비아-안테나 배열 설계에 대한 안테나 반사 손실의 시뮬레이션된 그래프이다.
도 184a는 일부 양태에 따른, 27.5 GHz의 주파수에서 동작하는 도 182의 2-요소 비아-배열의 시뮬레이션된 방사 패턴이다.
도 184b는 일부 양태에 따른, 29.5 GHz의 주파수에서 동작하는 도 182의 2-요소 비아-배열의 시뮬레이션된 방사 패턴이다.
도 185는 일부 양태에 따른, PCB에 설계된 예시적인 비아-안테나의 사시도이다.
도 186a는 일부 양태에 따른, 도 185의 비아-안테나의 접지 평면의 저면도이다.
도 186b는 일부 양태에 따른, 도 185의 비아-안테나의 측면도이다.
도 186c는 일부 양태에 따른, 도 185의 비아-안테나의 사시도이다.
도 187은 일부 양태에 따른, 도 185의 비아-안테나에 대한 예시적인 비아-안테나 반사 손실의 시뮬레이션된 그래프이다.
도 188은 일부 양태에 따른, 표면파 전파(surface wave propagation)를 낮추기 위해 PCB에서 예시적인 비아-안테나의 둘레에 뚫린 공기 구멍의 예시이다.
도 189a 내지 도 189c는 일부 양태에 따른, 3D 원뿔 안테나(cone antenna)에 대한 예시적인 변형된 접지 평면의 구성요소를 도시한다.
도 189d는 접지 평면에 다양한 결함이 있는 예시적인 원뿔 안테나를 도시한다.
도 190a 내지 도 190c는 일부 양태에 따른, 상이한 유형의 접지 평면을 갖는 원뿔 형상 모노폴 안테나 구조의 예시를 도시한다.
도 191a 및 도 191b는 일부 양태에 따른, 도 190a 내지 도 190c의 안테나 구조 간의 방사 패턴 비교를 도시한다.
도 192a 및 192b는 일부 양태에 따른, 도 190a 내지 도 190c의 안테나 구조 중 일부의 보다 상세한 예시이다.
도 193a 및 도 193b는 일부 양태에 따른, 도 190a 내지 도 190c의 예시적인 3D 안테나 구조의 평면도 및 저면도를 도시한다.
도 194는 일부 양태에 따른, 도 192a 및 도 192b의 안테나의 반사 손실의 그래프 비교이다.
도 195a 내지 도 195c는 일부 양태에 따른, 도 190a 내지 도 190c의 접지 구조에 대한 전계 분포를 도시한다.
도 196a 내지 도 196c는 일부 양태에 따른, 변형된 접지 평면이 없는 예시적인 5-요소 원뿔 안테나 배열 및 변형된 접지 평면이 있는 예시적인 5-요소 원뿔 안테나 배열을 도시한다.
도 197a 및 도 197b는 일부 양태에 따르면, 변형된 접지 평면이 있는 경우와 없는 경우의 교차 편파 방사 패턴 비교를 도시한다.
도 198a 및 도 198b는 일부 양태에 따른, 안테나 방사에 대한 접지 평면의 영향을 설명한다.
도 199는 일부 양태에 따른, 변형된 접지 평면을 갖는 예시적인 안테나 배열에 대한 반사 손실의 비교 및 격리 비교를 도시한다.
도 200은 일부 양태에 따른, 예시적인 변형되지 않은 그랜드 안테나 배열(grand antenna array)에 대한 안테나 요소 간의 반사 손실 및 격리의 비교를 도시한다.
도 201a 내지 도 201c는 일부 양태에 따른, 3D 안테나와 함께 사용될 수 있는 슬롯형 변형 접지 평면(slotted modified ground plane)을 갖는 예시적인 PCB를 도시한다.
도 202는 스위치 및 분할 모드에서 동작하는 예시적인 수신기의 블록도를 도시한다.
도 203은 일부 양태에 따른 세그멘트화된 저잡음 증폭기(segmented low-noise amplifier)(LNA) 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 204는 일부 양태에 따른, 인접한 캐리어 결합 신호를 처리하기 위해 분할 모드에서 동작하는 세그멘트화된 저잡음 증폭기(LNA) 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 205는 일부 양태에 따른 LNA 입력에서 신호를 분할하는 스위치 모드에서 동작하는 세그멘트화된 LNA 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 206은 일부 양태에 따른 LNA 입력에서 신호를 분할하는 분할 모드에서 동작하는 세그멘트화된 LNA 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 207은 일부 양태에 따른 예시적인 국부 발진기(local oscillator)(LO) 신호 생성 회로의 블록도를 도시한다.
도 208은 일부 양태에 따른 LNA 출력에서 신호를 분할하는 스위치 모드에서 동작하는 세그멘트화된 LNA 출력 LNA 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 209는 일부 양태에 따른 LNA 출력에서 신호를 분할하는 분할 모드에서 동작하는 세그멘트화된 LNA 출력 LNA 및 세그멘트화된 믹서를 사용하는 예시적인 수신기의 블록도를 도시한다.
도 210은 일부 양태에 따른 스위치 모드에서 동작하는 수신기에 대한 예시적인 LO 분배 방식을 도시한다.
도 211은 일부 양태에 따른 분할 모드에서 동작하는 수신기에 대한 예시적인 LO 분배 방식을 도시한다.
도 212는 일부 양태에 따른, 커넥터를 사용하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 213은 일부 양태에 따른, 예시적인 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 214는 일부 양태에 따른, 예시적인 몰딩된 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 215는 일부 양태에 따른, RF 프론트엔드의 스탠드얼론 구성요소를 갖는 예시적인 컴퓨팅 플랫폼의 단면을 도시한다.
도 216은 일부 양태에 따른, 라미네이트 또는 기판 내의 RF 프론트엔드의 구성요소가 집적된 예시적인 컴퓨팅 플랫폼의 단면을 도시한다.
도 217은 일부 양태에 따른, 라미네이트/기판에서 부분적으로 구현되는 예시적인 스마트 디바이스 또는 예시적인 컴퓨터 시스템 또는 시스템-온-칩(System-on-chip)(SoC)을 도시한다.
도 218은 일부 양태에 따른, 다이와 안테나(들) 사이에 구성된 초박형(ultra-thin) 구성요소를 사용하는, 예시적인 몰딩된 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 219는 일부 양태에 따른, 세 개의 패키지가 다른 패키지 위에 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다.
도 220은 일부 양태에 따른, 5G 및 WiGig에 대한 예시적인 mmWave RF 아키텍처의 하이 레벨 블록도이다.
도 221은 일부 양태에 따른, 5G 및 WiGig에 대한 예시적인 mmWave RF 아키텍처에 대한 주파수 변환 배치도를 도시한다.
도 221a는 일부 양태에 따른, 5G 40 GHz 주파수 대역에 대한 주파수 할당의 개략도이다.
도 221b는 일부 양태에 따른, 미사용 5G 주파수 대역을 가로 질러, 두 개의 주파수 대역 스트림 중 제 2 주파수 대역 스트림을 변위하는 예시적인 신시사이저 소스(synthesizer source)를 도시한다.
도 221c는 일부 양태에 따른, 주파수의 함수로서 위상 잡음 전력을 도시한다.
도 222는 일부 양태에 따른, 40 GHZ 주파수 대역에서 5G를 위한 예시적인 송신기 상향 변환 주파수 방식을 도시한다.
도 223은 일부 양태에 따른, 30 GHZ 주파수 대역에서 5G를 위한 예시적인 송신기 상향 변환 주파수 방식을 도시한다.
도 224a는 일부 양태에 따른, 예시적인 기저대역 집적 회로(baseband integrated circuit)(BBIC) 블록도의 제 1 섹션이다.
도 224b는 일부 양태에 따른, 예시적인 기저대역 집적 회로(BBIC) 블록도의 제 2 섹션이다.
도 225는 일부 양태에 따른, 예시적인 상세한 무선 주파수 집적 회로(radio frequency integrated circuit)(RFIC) 블록도이다.
도 226a 및 도 226b는 일부 양태에 따른, 예시적인 mmWave 및 5G 통신 시스템의 블록도이다.
도 227은 일부 양태에 따른, 다양한 채널 옵션 전체에 걸쳐 스위프를 위한 무선 주파수(radio frequency)(RF), 중간 주파수(intermediate frequency)(IF) 및 국부 발진기(LO) 주파수의 개략적인 할당을 도시한다.
도 228은 일부 양태에 따른, 예시적인 고정된 LO 송신기 상향 변환 방식을 도시한다.
도 229는 일부 양태에 따른, 고정 LO를 갖는 제 1 변환과, 뒤이은 가변 LO를 갖는 제 2 변환을 포함하는 예시적인 무선 시스템에서의 이중 변환을 도시한다.
도 230은 일부 양태에 따른 디지털-시간 변환기(digital-to-time converter)(DTC) 구조를 도시한다.
도 231은 일부 양태에 따른 개방 루프 교정된(open loop calibrated) DTC 구조를 도시한다.
도 232a는 일부 양태에 따른 클록 주파수를 증가시키는 DTC의 시간 인터리빙을 도시한다.
도 232b는 일부 양태에 따른 도 232a의 클록 신호를 도시한다.
도 233은 일부 양태에 따른 펄스 성형(pulse shaping)하는 직렬 주입 고정 발진기(series injection locking oscillator)를 도시한다.
도 234는 일부 양태에 따른 mmWave 주파수 신호를 제공하는 방법을 도시한다.
도 235는 일부 양태에 따른 수신기를 도시한다.
도 236은 일부 양태에 따른 피드포워드 등화기(feedforward equalizer)(FFE)의 기본 구현예를 도시한다.
도 237a 및 도 237b는 일부 양태에 따른 FFE를 도시한다.
도 238은 일부 양태에 따른 아날로그 신호 등화를 제공하는 방법을 도시한다.
도 239a 및 도 239b는 일부 양태에 따른 재구성 가능한 결정 피드백 등화기(decision feedback equalizer)(DFE)를 포함한다.
도 240a 및 240b는 일부 양태에 따른 재구성 가능한 DFE의 선택기/D 플립 플롭(DFF) 조합 구성을 나타낸다.
도 241은 일부 양태에 따른 DFE를 구성하는 방법이다.
도 242는 일부 양태에 따른 mmWave 구조를 도시한다.
도 243은 일부 양태에 따른 송신기 하이브리드 빔포밍 아키텍처를 도시한다.
도 244는 일부 양태에 따른 통신 속도의 시뮬레이션을 도시한다.
도 245는 일부 양태에 따른 신호대 잡음비(signal-to-noise ratio)(S/R)의 시뮬레이션을 도시한다.
도 246은 일부 양태에 따른 빔포밍된 mmWave 신호를 통신하는 방법을 도시한다.
도 247a 및 도 247b는 일부 양태에 따른 송수신기 구조를 도시한다.
도 248a 및 도 248b는 일부 양태에 따른 송수신기 구조를 도시한다.
도 249는 일부 양태에 따른 적응형 분해능(adaptive resolution) 아날로그-디지털 변환기(analog-to-digital converter)(ADC) 전력 소비를 도시한다.
도 250은 일부 양태에 따른 비트 오류율(bit error rate)(BER) 성능을 도시한다.
도 251은 일부 양태에 따른 빔포밍된 mmWave 신호를 전달하는 방법을 도시한다.
도 252a 및 도 252b는 일부 양태에 따른 송수신기 구조를 도시한다.
도 253은 일부 양태에 따른 배열 구조를 도시한다.
도 254는 일부 양태에 따른 격자 로브(grating lobe)의 시뮬레이션을 도시한다.
도 255는 일부 양태에 따른 최적한 위상 값의 시뮬레이션을 도시한다.
도 256은 일부 양태에 따른 최적한 위상 값의 다른 시뮬레이션을 도시한다.
도 257은 일부 양태에 따른 위상 변위기에 대한 프로세스를 도시한다.
도 258은 일부 양태에 따른 위상 값 결정을 도시한다.
도 259는 일부 양태에 따른 성능 비교를 도시한다.
도 260은 일부 양태에 따른 다른 성능 비교를 도시한다.
도 261은 일부 양태에 따른 통신 디바이스에서 빔 조정을 제공하는 방법을 도시한다.
도 262a 및 도 262b는 일부 양태에 따른 전하 펌프의 양태를 도시한다.
도 263은 일부 양태에 따른 전하 펌프의 양태를 도시한다.
도 264a는 일부 양태에 따른 전하 펌프의 출력 부분의 간략화된 구성을 도시한다. 도 264b는 일부 양태에 따른 전하 펌프의 신호의 타이밍도를 도시한다.
도 265a 내지 도 265c는 일부 양태에 따른 전하 펌프의 동작을 도시한다.
도 266a 내지 도 266c는 일부 양태에 따른 전하 펌프의 동작의 요약을 도시한다.
도 267은 일부 양태에 따른 전하 펌프에서 전하를 주입하는 방법을 도시한다.
도 268은 일부 양태에 따른 수신기 아키텍처를 도시한다.
도 269는 일부 양태에 따른 수신기의 필터 특성을 도시한다.
도 270은 일부 양태에 따른 수신기의 BER 성능을 도시한다.
도 271은 일부 양태에 따른 상이한 수신기 아키텍처를 도시한다.
도 272는 일부 양태에 따른 수신기에서 간섭자를 보상하는 방법을 도시한다.
도 273a 및 273b는 일부 양태에 따른 간섭을 도시한다.
도 274는 일부 양태에 따른 수신기 아키텍처를 도시한다.
도 275는 일부 양태에 따른 오버샘플링된 신호를 도시한다.
도 276a 및 도 276b는 일부 양태에 따른 수신기의 필터 특성을 도시한다.
도 277은 일부 양태에 따른 빔포밍 패턴을 도시한다.
도 278은 일부 양태에 따른 BER 성능을 나타낸다.
도 279는 일부 양태에 따른 수신기에서 양자화기 동적 범위를 감소시키는 방법을 도시한다.
도 280은 일부 양태에 따른 ADC 시스템(ADC system)(ADCS)을 도시한다.
도 281a 및 도 281b는 일부 양태에 따르면 ADCS의 상이한 동작 모드를 도시한다.
도 282는 일부 양태에 따른 코어 ADC 평균화(core ADC averaging)를 도시한다.
도 283은 일부 양태에 따른 평균화 시스템의 분해능 개선을 도시한다.
도 284는 일부 양태에 따른 유연한 ADC 아키텍처를 제공하는 방법을 도시한다.
도 285는 일부 양태에 따른 수신기 아키텍처를 도시한다.
도 286은 일부 양태에 따른 공간 응답의 시뮬레이션을 도시한다.
도 287은 일부 양태에 따른 BER의 시뮬레이션을 나타낸다.
도 288은 일부 양태에 따른 간섭 제거의 시뮬레이션을 도시한다.
도 289는 일부 양태에 따른 수신기에서 양자화기 동적 범위를 줄이는 방법을 도시한다.
도 290은 본 명세서에서 이용될 수 있고 일부 양태에서 M 개의 병렬 저속 ADC 채널을 사용하여 고속 변환을 달성하는 일부 양태에 따른 시간 인터리빙된 아날로그-디지털 변환기(Time-Interleaved Analog to Digital Converter)(TI-ADC) 아키텍처의 예의 블록도이다.
도 291은 예시적인 TI-ADC에 따라 모든 채널이 M 개의 균일하게 이격된 위상을 갖는 동일한 샘플링 주파수(Fs)(또는 그 역(Ts), 도 291에 도시됨)에 따라 어떻게 동작하는지를 도시하는 타이밍도(29100)이다.
도 292는 본 명세서에 개시된 예에 따른 루프백 설계를 갖는 송수신기(29200)의 예를 도시하는 블록도이다.
도 293은 본 명세서에 개시된 예에 따른 프로세스를 도시하는 흐름도이다.
도 294는 일부 양태에 따른, 예시적인 TI-ADC의 블록도이다.
도 295는 일부 양태에 따른, 고속 변환을 달성하는 TI-ADC 아키텍처의 예의 블록도이다.
도 296은 일부 양태에 따른, 모든 채널이 동일한 샘플링 주파수 Fs(또는 그 역(Ts), 도 296에 도시됨)에 따라 어떻게 동작하는지를 도시하는 타이밍도이다.
도 297은 일부 양태에 따른, 이득 보정을 적용하기 위한 프로세스의 예시적인 구현예를 도시하는 흐름도이다.
도 298은 일부 양태에 따른, AM/AM(입력 진폭 대 출력 진폭)의 PA 특성 곡선의 예를 도시하는 그래프이다.
도 299는 일부 양태에 따른, AM/PM(입력 진폭 대 출력 위상 변동)의 PA 특성 곡선의 예를 도시하는 그래프이다.
도 300은 본 개시내용의 예시적인 양태에 따른, 위상 배열 송신기의 일부에 대한 이득 모델의 예의 블록도이다.
도 301은 본 개시내용의 예시적인 양태에 따른, 위에서 설명된 송신기 모델이 표현할 수 있는 스위칭 가능한 송수신기 부분의 예의 블록도이다.
도 302는 본 개시내용의 예시적인 양태에 따른, 스위치가 수신 구성으로 돌려져 있는, 본질적으로 도 301에 도시된 송수신 부분의 복제 송수신 부분이다.
도 303a 및 도 303b는 본 개시내용의 예시적인 양태에 따른, 송수신기 부분을 포함할 수 있는 전체 송수신기 예의 블록도의 일부이다.
도 304는 본 개시내용의 예시적인 양태에 따른, 외부 위상 배열 송수신기(external phased array transceiver)(EPAT)와 통신하는 위상 배열 송수신기를 도시하는 블록도이다.
도 305는 본 개시내용의 예시적인 양태에 따른, 송수신기에 의해 사용될 수 있는 프로세스의 예를 도시하는 흐름도이다.
도 306은 본 개시내용의 예시적인 양태에 따른, 송수신에 의해 사용될 수 있는 프로세스의 다른 예를 도시하는 흐름도이다.
도 307a 및 도 307b는 일부 양태에 따른, 전체의 분산 위상 배열 송수신 시스템의 예의 블록도의 일부이다.
도 308은 일부 양태에 따른 수신기 전력 증폭기의 블록도이다.
도 309는 일부 양태에 따른 자동 이득 제어(automatic gain control)(AGC) 이득 설정이 주어진 경우, EVM 대 수신된 전력을 도표로 구성하는 그래프이다.
도 310은 일부 양태에 따른 다수의 AGC 이득 설정에 대해 EVM 대 수신 전력 곡선을 포함하는 그래프로서, AGC 이득 설정은 서로 어느 정도 중첩된다.
도 311는 일부 양태에 따른 특정 AGC 이득 설정을 활성화하기 위한 최적의 문턱 값을 도시하는 그래프이다.
도 312는 일부 양태에 따른 최적의 임계 값을 결정하는데 이용될 수 있는 예시적인 프로세스를 도시하는 흐름도이다.
도 313은 일부 양태에 따른 무선 주파수(RF) 위상 배열 시스템의 개략적인 블록도이다.
도 314는 일부 양태에 따른 국부 발진기(LO) 위상 배열 시스템이라고 지칭되는 위상 배열 무선 송수신의 다른 토폴로지를 도시하는 개략적인 블록도이다.
도 315는 일부 양태에 따른 디지털 위상 배열 시스템이라고 지칭되는, 위상 배열 무선 송수신기 설계에 대한 제 3 대안예를 도시한 블록 개략도이다.
도 316은 일부 양태에 따른, STARTA의 예시적인 셀 요소의 블록도이다.
도 317은 일부 양태에 따른 타일(tiled) SPARTA 셀을 도시하는 블록도이다.
도 318 및 도 319는 일부 양태에 따른 웨이퍼 절단(wafer dicing)의 회화 도면이다.
도 320은 일부 양태에 따른 웨이퍼 처리되고 안테나 배열와 결합될 수 있는 결합된 SPARTA 배열의 도면이다.
도 321은 일부 양태에 따른 디지털 위상 배열 타일링(digital phase array tiling)에 사용될 수 있는 (SPARTA 셀의 구현일 수 있는) SPARTA 셀을 도시하는 블록도이다.
도 322는 일부 양태에 따른 LO 위상 결합 모드에서 인접 셀 간의 LO 위상 배열 파이프라이닝(LO phased array pipelining)을 도시하는 블록도이다.
도 323은 일부 양태에 따른 LO 위상 배열을 사용하는 SPARTA 셀 타일링을 도시하고 능동 데이터 변환기(active data converter)(ADC)를 도시하는 블록도이다.
도 324는 일부 양태에 따른 하이브리드 모드의 SPARTA 배열을 도시하는 블록도로서, 각 행은 LO 위상 변위으로 타일링되고 단일 ADC를 공유한다.
도 325는 일부 양태에 따른 아날로그 위상 배열 결합 동작 모드 동안 인접한 셀 사이에서 아날로그 위상 배열 결합의 파이프라이닝을 도시하는 블록도이다.
도 326은 일부 양태에 따른, 통상의 고정 발진기의 위상 변위 특성을 이용하는 주입-고정(Injection-locked)(IL)-기반 위상 변조 회로의 구성요소를 도시하는 개략도이다.
도 327은 일부 양태에 따른, 발진기의 중심 주파수가 여전히 주입 주파수(injection frequency)에 고정되어 있으면서, 고정 주파수, 출력 위상 및 진폭 변동과 관련하여 어떻게 변화되는지를 도시하는 그래프이다.
도 328은 일부 양태에 따른, 데이터 입력으로서 기저대역 변조 비트로 캡-DAC를 제어함으로써 위상(φ1 및 φ2)이 생성되는 두 개의 심볼을 도시하는 타이밍 그래프이다.
도 329는 일부 양태에 따른, 캐리어 주파수에 대해 캐스케이드형 저조파(sub-harmonic) 주입 고정 아키텍처(cascaded sub-harmonic injection-locked architecture)를 사용하는 완전한 360° 위상 변조되는 IL 기반 위상 변조 회로에 대한 블록도이다.
도 330은 일부 양태에 따른, 요소 1 및 2가 2 개의 상이한 오프셋에서 동일한 기저대역 데이터 신호("11", "00")로 공급되는 실시간 지연 기반 빔포밍을 도시하는 결합 그래프이다.
도 331은 일부 양태에 따른, 고조파(harmonic) IL-기반 위상 변조를 실시간 지연 빔포밍과 결합하는 것을 구현하는 4-요소 위상 배열 송신기의 예시적인 아키텍처를 도시하는 개략적인 블록도이다.
도 332는 일부 양태에 따른, 캐리어 주파수의 1/3에서 동작할 때의 주입-고정 발진기의 예를 도시하는 IL-기반 위상 변조 회로에 대한 블록도이다.
도 333은 일부 양태에 따른, 캐리어 주파수의 1/2에서 동작할 때의 주입-고정 발진기의 예를 도시하는 IL-기반 위상 변조 회로에 대한 블록도이다.
도 334는 일부 양태에 따른, 가능한 I/Q 값을 도시하는 콘스텔레이션 맵(constellation map)인 그래프를 갖는 직교 위상 변위 변조(quadrature phase-shift keying)(QPSK)(PAM2-와이어라인 기반의) 변조(심볼 당 2 비트)를 도시하는 회화 도면이다.
도 335는 일부 양태에 따른, 가능한 I/Q 값을 도시하는 콘스텔레이션 맵인 그래프를 갖는 16-QAM(PAM4-와이어라인 기반의) 변조(심볼 당 네 비트)를 도시하는 회화 도면이다.
도 336은 일부 양태에 따른, PAM2(QPSK) 변조를 위한 설계의 회화 도면이다.
도 337은 일부 양태에 따른, 제공된 데이터 및 에러 값의 테이블이다.
도 338은 일부 양태에 따른, Z에 대한 방정식 및 제 1 테이블의 사용을 도시하는 그래프이다.
도 339는 일부 양태에 따른, 오류 값이 플러스 3 값 초과 및 마이너스 3 값 미만을 제외하고, 모두 마이너스 1 인 제 2 아이디어를 도시하는 테이블이다.
도 340은 일부 양태에 따른, 제 2 테이블을 사용하는 Z 함수의 그래프이다.
도 341은 일부 양태에 따른, 와이어라인에 대한 전형적인 보드 레이트(baud rate) CDR 루프의 개략적인 블록도이다.
도 342는 일부 양태에 따른, 동 위상(in-phase)(I) 및 직교(quadrature)(Q) 입력 둘 모두를 갖는 신규한 무선 CDR 루프의 개략적인 블록도이다.
도 343은 일부 양태에 따른, 도 342의 설계의 모드에 사용될 수 있는 다양한 모드 값을 포함하는 테이블이다.
도 344a는 일부 양태에 따른, 수신된 신호의 진폭이 수신기의 동작 동안 변화하는, 수신기에서 구현될 수 있는 예시적인 AGC 회로의 개략적인 블록도이다.
도 344b는 일부 양태에 따른, 수신된 신호의 진폭이 수신기의 동작 동안 변화하는, 수신기에서 구현될 수 있는 예시적인 AGC 프로세스의 흐름도이다.
도 345는 일부 양태에 따른, 단일 안테나 수신기 시스템에서 수신기 신호의 I/Q 성분 각각에서 b=log2(2) 비트인 저분해능 ADC에 대한 양자화 빈(quantization bin)을 도시하는 직교 인코딩을 위한 콘스텔레이션 그래프이다.
도 346은 일부 양태에 따른, 3-비트 ADC에 대한 양자화 영역을 도시하는 직교 인코딩을 위한 콘스텔레이션 그래프이다.
도 347은 일부 양태에 따른, rx와 r5만이 단조롭게 증가하고 감소하는 조건부 확률을 도시하는 그래프이다.
도 348은 일부 양태에 따른, 조건부 확률 분포의 도함수를 도시하는 그래프이다.
도 349는 일부 양태에 따른, 고전적인 평균 전력 결정과 비교된 제안된 전력 추정 알고리즘의 추정 성능의 예를 도시하는 그래프이다.
도 350은 일부 양태에 따른, 신규 알고리즘의 지연을 도시하는 그래프이다.
도 351은 일부 양태에 따른, 정규화된 평균 제곱 오차(normalized mean square error) (MSE)를 비교하는 그래프이다.
도 352는 일부 양태에 따른, 균일한 45° 위상 잡음을 갖는 평균 제곱 오차(MSE)를 도시하는 그래프이다.
도 353은 일부 양태에 따른, 디지털 프로세서를 갖는 MIMO 수신기의 예를 도시하는 개략적인 블록이다.
도 354는 일부 양태에 따른, N 개의 동일한 송수신기 슬라이스 및 N 개의 안테나 요소를 갖는 빔포밍 회로의 예를 도시하는 블록도이다.
도 355는 일부 양태에 따른, 안테나 배열 이득이 일정하게 유지되는 경우에 SNDR 대 안테나에서의 입력 전력을 도표로 구성한 그래프이다.
도 356은 일부 양태에 따른, 안테나 배열 이득이 이득 제어를 가능하게 하도록 변화되는 경우에 SNDR 대 안테나에서의 입력 전력을 도표로 구성한 그래프이다.
도 357은 일부 양태에 따른, 안테나 배열에서 능동 소자의 수에 대한 방사 전력 및 상대 전류 드레인을 도시하는 그래프이다.
도 358은 일부 양태에 따른, Rx에 대한 동작 조건 상쇄관계(operating condition tradeoff)를 도시하는 그래프이다.
도 359는 일부 양태에 따른, Tx에 대한 동작 조건 상쇄관계를 도시하는 그래프이다.
도 360은 일부 양태에 따른, 사용될 수 있는 수신 프로세스의 예를 도시하는 흐름도이다.
도 361은 일부 양태에 따른, 사용될 수 있는 송신 프로세스의 예를 도시하는 흐름도이다.
도 362는 일부 양태에 따른, DAC 아키텍처의 개략도이다.
도 363은 계층적으로 구조화된 본 명세서에서 설명된 디바이스의 일 구현예에 따른 개략도이다.
도 364는 일부 양태에 따른, 송신 안테나 및 수신 안테나가 정렬될 때(즉, 병렬로 정렬될 때) 동시 편파 및 교차 편파를 도시하는 한 쌍의 그래프를 포함하는 결합된 회화적 차트도이다.
도 365는 일부 양태에 따른, 송신 안테나 및 수신 안테나가 오정렬될 때(즉, 병렬로 정렬되지 않을 때), 동시 편파 및 교차 편파를 도시하는 한 쌍의 그래프를 포함하는 결합된 회화적 차트도이다.
도 366은 일부 양태에 따른, MSFFPE 설계를 사용하는 수신기의 예이다.
도 367은 통상의 합산기를 도시하는 회로도이다.
도 368은 일부 양태에 따른, 관련 차이점을 강조한 통합 DFE 합산기를 도시하는 회로도이다.
도 369는 일부 양태에 따른, DFE 합산기 설계에 관한 보다 세부 사항을 제공하는 개략도이다.
도 370은 일부 양태에 따른, 합산 증폭기 출력 신호 및 스트롱-아암-1(strong-arm-1) 신호와 관련한 클록 신호를 도시하는 DFE 합산기 디자인에 관련된 그래프이다.
도 371은 일부 실증적인 양태에 따른, RF 디바이스의 블록도의 개략도이다.
도 372는 일부 실증적인 양태에 따른 RF 디바이스의 블록도의 개략도이다.
도 373은 일부 실증적인 양태에 따른, 양방향 증폭기 회로의 개략도이다.
도 374는 일부 실증적인 양태에 따른, 양방향 증폭기 회로의 개략도이다.
도 375는 일부 실증적인 양태에 따른, 양방향 증폭기 회로의 개략도이다.
도 376은 일부 실증적인 양태에 따른, 능동 양방향 분할기 및 결합기(active bidirectional splitter and combiner)(ABDSC)의 캐스코드 토폴로지(cascode topology)를 포함하는 송수신기의 블록도의 개략도이다.
도 377은 일부 실증적인 양태에 따른, ABDSC의 공통 소스 토폴로지의 회로도의 개략도이다.
도 378은 일부 실증적인 양태에 따른, ABDSC의 공통 게이트 토폴로지의 개략도이다.
도 379는 일부 실증적인 양태에 따른, ABDSC의 공통 게이트/공통 소스(common gate/common source)(CS/CG) 토폴로지의 개략도이다.
도 380은 일부 실증적인 양태에 따른, 송신기의 아키텍처의 블록도의 개략도이다.
도 381a는 일부 실증적인 양태에 따른, 적층-게이트 제어 증폭기(stacked-gate control amplifier)의 전자 회로의 개략도이다.
도 38b는 일부 실증적인 양태에 따른, 적층-게이트 제어 증폭기의 전자 회로의 개략도이다.
도 382는 일부 실증적인 양태에 따른, 적층-게이트 변조된 디지털 전력 증폭기(Power Amplifier)(PA)를 포함하는 송신기의 블록도의 개략도이다.
도 383a 및 383b는 일부 실증적인 양태에 따른, 다중 레벨 고속 아이 다이어그램(eye diagram)의 동적 실현의 개략도이다.
도 384a 및 384b는 일부 실증적인 양태에 따른, 입력 시리즈 스위치 증폭기(input series switch amplifier)에 대응하는 성능 개선 그래프(도 384a) 및 전력 감소 그래프(도 384b)를 도시한다.
도 385a 및 도 385b는 일부 실증적인 양태에 따른, N 비트 디지털 PA에 대응하는 진폭 분해능 그래프(도 385a) 및 전력 효율 그래프(도 385b)를 도시한다.
도 386은 일부 실증적인 양태에 따른, 드라이버 증폭기가 앞단에 있는 적층 게이트 제어 증폭기의 전력 포화에 대한 드레인 효율을 도시한다.
도 387은 일부 실증적인 양태에 따른, 송신기의 블록도의 개략도이다.
도 388은 일부 실증적인 양태에 따른, 서브-쿼터 파장(Sub-Quarter Wavelength)(SQWL) 발룬(balun)을 이용할 수 있는 2-스테이지 도허티 증폭기의 블록도의 개략도이다.
도 389는 일부 실증적인 양태에 따른, 송수신기의 블록도의 개략도이다.
390은 일부 실증적인 양태에 따른, 송신기의 블록도의 개략도이다.
도 391은 일부 실증적인 양태에 따른, SQWL 발룬을 부하로서 사용하는 아웃페이징 증폭기(outphasing amplifier)의 블록도의 개략도이다.
도 392는 일부 실증적인 양태에 따른, 송수신기의 블록도의 개략도이다.
도 393은 일부 실증적인 양태에 따른, 위상 변위 회로의 전자 회로 평면의 개략도이다.
도 394는 일부 실증적인 양태에 따른, 콘스텔레이션 포인트 맵의 제 1 사분면의 개략도이다.
도 395는 일부 실증적인 양태에 따른, 콘스텔레이션 포인트 대 이상적인 위상 변위된 콘스텔레이션 포인트의 이득 변동을 도시하는 그래프의 개략도이다.
도 396은 일부 실증적인 양태에 따른, 송수신기의 블록도의 개략도이다.
도 397은 일부 실증적인 양태에 따른, 송수신기의 블록도의 개략도이다.
도 398은 일부 실증적인 양태에 따른, 직교 국부 발진기(LO) 생성기의 개략도이다.
도 399는 일부 실증적인 양태에 따른, 수동 직교(passive quadrature) LO 발생기의 개략도이다.
도 400은 일부 실증적인 양태에 따른, 송신기의 블록도의 개략도이다.
도 401은 일부 실증적인 양태에 따라 구현될 수 있는 복수의 채널 대역폭에 대응하는 복수의 채널의 대역 계획의 개략도이다.
도 402는 일부 실증적인 양태에 따른, 저 대역 증폭기 및 고 대역 증폭기의 이득 응답을 도시하는 그래프의 개략도이다.
도 403은 일부 실증적인 양태에 따른, 변압기의 개략도이다.
도 404는 일부 실증적인 양태에 따른, 무선 통신 장치의 블록도의 개략도이다.
도 405는 일부 실증적인 양태에 따른, 임피던스 매칭 스위치의 개략도이다.
도 406은 일부 실증적인 양태에 따른, 송수신기의 블록도의 개략도이다.
도 407은 일부 실증적인 양태에 따른, 반이중 송수신기의 블록도의 개략도이다.
도 408은 일부 실증적인 양태에 따른, 양방향 믹서의 개략도이다.
도 409a는 본 개시내용의 일부 양태에 따른 위상 배열 송수신기를 도시한다.
도 409b는 본 개시내용의 일부 양태에 따른, 원래 감소된 커버리지 각도를 갖는 안테나 배열을 도시한다.
도 409c는 본 개시내용의 일부 양태에 따른, 방사된 빔을 편향시키고 커버리지 각도를 확장하기 위해 위상 배열 안테나와 관련하여 사용되는 렌즈를 도시한다.
도 409d는 본 개시내용의 일부 양태에 따른, 방사된 빔을 편향하고 커버리지의 각도를 확장하기 위해 위상 배열과 관련하여 사용되는 오목 반사기를 도시한다.
도 410은 본 개시내용의 일부 양태에 따른, 제 1 구성에서 인쇄된 반사기와 관련하여 사용되는 복수의 위상 배열을 도시한다.
도 411은 본 개시내용의 일부 양태에 따른, 제 1 구성에서 카세그레인 안테나(Cassegrain antenna)와 관련하여 사용되는 복수의 위상 배열을 도시한다.
도 412는 본 개시내용의 일부 양태에 따른, 제 2 구성에서 인쇄된 반사기와 관련하여 사용되는 복수의 위상 배열을 도시한다.
도 413은 본 개시내용의 일부 양태에 따른, 제 2 구성에서 카세그레인 안테나와 관련하여 사용되는 복수의 위상 배열을 도시한다.
도 414는 본 개시내용의 일부 양태에 따른, 제 3 구성에서 인쇄된 반사기와 관련하여 사용되는 다수의 위상 배열을 도시한다.
도 415는 본 개시내용의 일부 양태에 따른, 제 3 구성에서 카세그레인 안테나와 관련하여 사용되는 다수의 위상 배열을 도시한다.
도 416은 본 개시내용의 일부 양태에 따른, 반사 안테나와 관련하여 사용되는 복수의 위상 배열로부터 생성되는 섹터화의 평면도를 도시한다.
도 417은 본 개시내용의 일부 양태에 따른, 섹터화된 스캔 영역의 각 섹터에서의 스캐닝을 도시한다.
도 418은 본 개시내용의 일부 양태에 따른, 안테나가 사용자 디바이스 내에서 구현될 수 있는 패키지를 도시한다.
도 419는 본 개시내용이 일부 양태에 따른, 도 418의 패키지에 구현된 1 x 4 다이폴 배열의 구현된 이득의 그래프를 도시한다.
도 420은 본 개시내용의 일부 양태에 따른, 도 419의 그래프와 연관된 방사 패턴을 도시한다.
도 421은 본 명세서의 일부 양태에 따른, 집적 회로(IC) 실드를 적층 패치 안테나용 안테나 접지 평면 및 반사기로서 사용하는 것을 도시한다.
도 422는 본 개시내용의 일부 양태에 따른, 비대칭 비아 피딩 메커니즘(unsymmetrical via feeding mechanism)을 도시하는 도 421에 도시된 모노폴 안테나의 측면도를 도시한다.
도 422a 내지 도 422c는 본 개시내용의 일부 양태에 따른, 도 421에 도시된 모노폴 안테나의 특정 치수를 도시한다.
도 423은 본 개시내용의 일부 양태에 따른, 이동 플랫폼을 갖는 안테나 배열 구성에서 도 421 및 도 422의 모노폴 안테나의 패치 요소를 도시한다.
도 424a는 본 개시내용의 일부 양태에 따른, 다이폴 안테나를 모노폴을 구비한 다이폴로 전이시키는 표면 실장 디바이스(surface mounted device)(SMD) 안테나를 갖는 다이폴 안테나를 도시한다.
도 424b는 본 개시내용의 일부 양태에 따른, 도 424a의 안테나의 다이폴 부분의 사시도이다.
도 424c는 본 개시내용의 일부 양태에 따른, 결합된 다이폴 안테나 및 모노폴 안테나를 도시한다.
도 424d는 본 개시내용의 일부 양태에 따른, 도 424a의 안테나의 모노폴 부분의 사시도이다.
도 424e는 본 개시내용의 일부 양태에 따른, 도 424a 및 도 424d의 안테나의 측면도이다.
도 425는 본 개시내용의 일부 양태에 따른, 도 424a의 안테나의 방사 패턴을 도시한다.
도 426a는 도 424a의 안테나의 방사 패턴의 엘레베이션 컷(elevation cut)을 도시한다.
도 426b는 본 개시내용의 일부 양태에 따른, 도 424b의 안테나의 방사 패턴을 도시한다.
도 427a는 본 개시내용의 일부 양태에 따른, 반사기로서 사용되는 IC 실드를 갖는 SMD L-형상 다이폴의 측면도를 도시한다.
도 427b는 본 개시내용의 일부 양태에 따른, 도 427a에 도시된 반사기로서 사용되는 IC 실드를 갖는 SMD L-형상 다이폴의 사시도를 도시한다.
도 428은 양태에 따른, 네 개의 SMD L-형상 다이폴의 배열의 사시도를 도시한다..
도 429a는 본 개시내용의 일부 양태에 따른, 필드를 상쇄시킨 수직 편파를 위한 도 428의 배열을 도시한다.
도 429b는 본 개시내용의 일부 양태에 따른, 필드를 합산시킨 수직 편파를 위한 도 428의 배열을 도시한다.
도 430a는 본 개시내용의 일부 양태에 따른, 필드를 합산시킨 수평 편파를 위한 도 428의 배열을 도시한다.
도 430b는 본 개시내용의 일부 양태에 따른, 필드를 상쇄시킨 수평 편파를 위한 도 428의 배열을 도시한다
도 431은 본 개시내용의 일부 양태에 따른, 수직(세타(theta)) 편파를 위한 3 차원 방사 패턴을 도시한다.
도 432는 본 개시내용의 일부 양태에 따른, 수평(파이(phi)) 편파를 위한 3 차원 방사 패턴을 도시한다.
도 433은 본 개시내용의 일부 양태에 따른, 단일 SMD 모노폴 안테나를 도시한다.
도 434는 본 개시내용의 일부 양태에 따른, 3 차원 방사 패턴을 도시한다.
도 435는 본 개시내용의 일부 양태에 따른, 단일 모노폴의 임피던스 도표 구성을 도시한다.
도 436은 본 개시내용의 일부 양태에 따른, 주파수에 걸쳐 단일 모노폴의 반사 손실을 도시한다.
도 437은 본 개시내용의 일부 양태에 따른, 단일 모노폴로부터의 x-Z 평면에서 실현된 수직 편파(θ)를 도시한다.
도 438은 본 개시내용의 일부 양태에 따른, 단일 모노폴로부터의, 엔드파이어(endfire)보다 15° 높은 주파수에서 실현된 수직 편파(θ) 이득을 도시한다.
도 439는 본 개시내용의 일부 양태에 따른, 2-요소 모노폴 및 2-요소 다이폴 배열을 도시한다.
도 440은 본 개시내용의 일부 양태에 따른, 60 GHz에서 도 439의 2-다이폴 배열의 3 차원 방사 패턴을 도시한다.
도 441은 본 개시내용의 일부 양태에 따른, 도 439의 2-다이폴 배열로부터의 엔드파이어 방향으로 주파수에 걸쳐 실현된 수평 극성(Φ) 이득을 도시한다.
도 442는 본 개시내용의 일부 양태에 따른, 60 GHz에서 도 439의 2-모노폴 배열의 3 차원 방사 패턴을 도시한다.
도 443은 본 개시내용의 일부 양태에 따른, 실현된 수직 극성(θ)을 도시한다.
도 444는 본 개시내용의 일부 양태에 따른, 단일 패치, 이중 피드, 이중 편파 수직 SMD 패치 안테나를 도시한다.
도 445는 본 개시내용의 일부 양태에 따른, 적층 패치, 단일 피드, 단일 편파 수직 SMD 패치 안테나를 도시한다.
도 446은 본 개시내용의 일부 양태에 따른, 수평 SMD 패치 안테나를 도시한다.
도 447은 본 개시내용의 일부 양태에 따른, 크로스-해치 패턴(cross-hatch pattern)을 사용하는 수직 SMD 패치 안테나를 도시한다.
도 448은 본 개시내용의 일부 양태에 따른, 원형 편파를 갖는 SMD 나선형 안테나를 도시한다.
도 449는 본 개시내용의 일부 양태에 따른, SMD 내의 나선형 안테나의 구현예를 도시한다.
도 450은 본 개시내용의 일부 양태에 따른, 새시상의 디렉터를 향한 커플링 방사(coupling radiation)를 도시한다.
도 451a는 본 개시내용의 일부 양태에 따른, 안테나를 형성하는 IC 차폐 벽 절개부(shield wall cut-out)의 사시도이다.
도 451b는 본 개시내용의 일부 양태에 따른, 도 451b에 도시된 안테나를 포함하는 벽 절개부의 측면도이다.
도 451c는 본 개시내용의 일부 양태에 따른, 안테나 배열의 안테나 요소를 포함하는, 벽이 절개되고 상부가 절개된 IC 실드의 사시도이다.
도 451d는 본 개시내용의 일부 양태에 따른, 안테나 배열의 안테나 요소를 포함하는, 제 1 벽 절개부 및 제 2 벽 절개부를 갖는 IC 실드의 사시도이다.
도 452a는 본 개시내용의 일부 양태에 따른, 단일 편파 설계를 위한 송신/수신(transmit/receive)(TR) 스위치를 포함하는 패치 안테나 및 RF 피드 라인 연결부를 도시한다.
도 452b는 본 개시내용의 일부 양태에 따른, 이중 편파된 설계를 위한 TR 스위치를 포함하는 패치 안테나 및 RF 피드 라인 연결부를 도시한다.
도 452c는 본 개시내용의 일부 양태에 따른, Rx 피드 라인 매칭 포인트에 대한 안테나 피드 라인이 Tx 피드 라인 매칭 포인트와 비교하여 일측으로 약간 오프셋되어 있는, 단일 편광 설계의 패치 안테나를 도시한다.
도 452d는 본 개시내용의 일부 양태에 따른, RX 피드 라인 매칭 포인트에 대한 안테나 피드 라인이 TX 피드 라인 매칭 포인트와 비교하여 일측으로 약간 오프셋되어 있는, 듀얼 편파 설계의 패치 안테나를 도시한다.
도 453a는 본 개시내용의 일부 양태에 따른, 안테나 피드 라인 매칭 포인트에 직접 연결된 TX 피드 라인 및 RX 피드 라인의 단일 편파 구현예를 도시한다.
도 453b는 본 개시내용의 일부 양태에 따른, 안테나 피드 라인 매칭 포인트에 직접 연결된, 수평 편파 TX 피드 라인과 RX 피드 라인 및 수직 편파 TX 피드 라인 및 RX 피드 라인의 듀얼 편파 구현예를 도시한다.
도 454a는 본 개시내용의 일부 양태에 따른, IC 실드를 도시한다.
도 454b는 본 개시내용의 일부 양태에 따른, 안테나 이득 및 지향성을 강화하는 벌지(bulge) 또는 확장부를 갖는 IC 실드를 도시한다.
도 454c는 본 개시내용의 일부 양태에 따른, 다이폴 안테나 요소의 배열의 이득을 개선하기 위해 IC 실드를 갖는 접힌 확장부(folded extension)를 사용하는 것을 도시한다.
도 454d는 본 개시내용의 일부 양태에 따른, 벌지 때문에 실드 구조에서 발생하는 구멍을 도시한다.
도 454e는 본 개시내용의 일부 양태에 따른, 도 454d의 벌지 및 구멍의 확대 사시도이다.
도 455는 본 개시내용의 일부 양태에 따른, 실드 반사기를 구비한 결합된 패치 안테나 및 다이폴 안테나 배열의 평면도이다.
도 456은 본 개시내용의 일부 양태에 따른, 도 455의 안테나 배열의 측면도이다.
도 457은 본 개시내용의 일부 양태에 따른, 사용자 디바이스에서 큰 장애물을 우회하기 위해 패치 배열와 함께 사용되는 인터포저의 사시도이다.
도 458a는 본 개시내용의 일부 양태에 따른, IC 실드 리드(lid)를 도시하는 도 457의 사시도이다.
도 458b는 본 개시내용의 일부 양태에 따르면, 엔드파이어 방향이 마이너스 구십(-90)도로 예시된, 도 458a의 다이폴 안테나 배열에 대한 방사 패턴의 수직도(vertical view)이다.
도 459는 본 개시내용의 일부 양태에 따른, 다양한 방향에서의 인터포저의 높이의 함수로서, 도 457 및 도 458a의 패치 안테나 배열의 실현된 이득을 도시한다.
도 460a는 본 개시내용의 일부 양태에 따른, 듀얼 대역, 듀얼 편파 동작을 위한 결합된 패치 및 슬롯 안테나의 사시도이다.
도 460b는 본 개시내용의 일부 양태에 따른, 도 460a의 결합된 패치 및 슬롯 안테나의 측면도이다.
도 461a는 본 개시내용의 일부 양태에 따른, 안테나-온-칩(antenna-on-a-chip)(AOC)의 분해도이다.
도 461b는 본 개시내용의 일부 양태에 따른, 도 461a의 AOC를 포함하는 안테나의 저면도이다.
도 461c는 본 개시내용의 일부 양태에 따른, 도 461a의 AOC의 측면도이다.
도 462는 본 개시내용의 일부 양태에 대한 치수를 포함하는 도 461a의 AOC의 다른 저면도이다.
도 463은 본 개시내용의 일부 양태에 따른, 도 461a 내지 도 461c 및 도 462의 칩상의 안테나에 대한 방사 패턴이다.
도 464a는 본 개시내용의 일부 양태에 따른, 패키지-온-패키지 구현예의 임베디드 다이에 대한 AOC의 다른 도면을 도시한다.
도 464b는 본 개시내용의 일부 양태에 따른, 패치의 높이로 나누어진 실리콘의 높이의 함수로서의 방사 효율의 예시이다.
도 464c는 본 개시내용의 일부 양태에 따른, 패치의 높이로 나누어진 실리콘의 높이의 함수로서 dBi 단위의 실현된 이득을 도시한다.
도 465는 본 개시내용의 일부 양태에 따른, 칩 개관을 기호적으로 도시하고 칩 상의 안테나와 회로의 관계를 포함하는 AOC의 다른 예시이다.
도 466은 본 개시내용의 일부 양태에 따른, 본 명세서에서 논의된 임의의 하나 이상의 기술 또는 방법론이 수행될 수 있는 예시적인 머신의 블록도를 도시한다.
도 467은 본 개시내용의 일부 양태에 따른, 무선 통신 디바이스에서 구현될 수 있는 프로토콜 기능을 도시한다.
도 468은 본 개시내용의 일부 양태에 따른, 무선 통신 디바이스 또는 무선 통신 시스템과 관련하여 구현될 수 있는 다양한 프로토콜 엔티티를 도시한다.
도 469는 본 개시내용의 일부 양태에 따른, 매체 액세스 제어 계층 기능을 구현하는데 사용될 수 있는 매체 액세스 제어(medium access control)(MAC) 엔티티를 도시한다.
도 470a 및 도 470b는 본 개시내용의 일부 양태에 따른, 도 469의 MAC 엔티티에 의해 인코딩되고 디코딩될 수 있는 PDU의 포맷을 도시한다.
도 470c, 도 470d, 도 470e는 본 개시내용의 일부 양태에 따른, 도 469의 MAC 엔티티와 관련하여 사용될 수 있는 다양한 서브헤더를 도시한다.
도 471은 본 개시내용의 일부 양태에 따른, 무선 링크 제어(radio link control)(RLC) 계층 엔티티 내에 포함된 기능을 도시한다.
도 472a는 본 개시내용의 일부 양태에 따른, TMD PDU를 도시한다.
도 472b 및 도 472c는 본 개시내용의 일부 양태에 따른, HMD PDU를 도시한다.
도 472d 및 도 472e는 본 개시내용의 일부 양태에 따른, AMD PDU를 도시한다.
도 472f는 본 개시내용의 일부 양태에 따른, STATUS PDU를 도시한다.
도 473은 본 개시내용의 일부 양태에 따른, 패킷 데이터 수렴 프로토콜(packet data convergence protocol)(PDCP) 계층 엔티티 내에 포함될 수 있는 기능의 양태를 도시한다.
도 474는 본 개시내용의 일부 양태에 따른, PDCP 엔티티에 의해 송신 및 수신될 수 있는 PDCP PDU를 도시한다.
도 475는 본 개시내용의 일부 양태에 따른, 무선 자원 제어(radio resource control)(RRC) 계층의 인스턴스 사이의 통신의 양태를 도시한다.
도 476은 본 개시내용의 일부 양태에 따른, 사용자 장비(user equipment)(UE)에서 구현될 수 있는 RRC의 상태를 도시한다.
5G mmWave 기반 통신의 발전에 따라, 제한된 통신 범위, 안테나 시스템의 지향성, 대규모 안테나 배열로 원하는 지향성 및 빔포밍의 달성, 대기 감쇠 손실(atmospheric attenuation loss)로 인한 신호 감쇠 및 고형 재료를 통한 높은 감쇠와 같은 몇 가지 과제가 진전되어 왔다. 본 명세서에 설명되는 기술은 5G mmWave 기반 통신과 연관된 과제를 해결하기 위해 디지털 기저대역 회로, 송신 회로, 수신 회로, 무선 주파수 회로, 프로토콜 프로세싱 회로 및 안테나 배열와 관련하여 사용될 수 있다.
본 명세서에서, 예를 들어, "프로세싱", "연산하기", "계산하기", "결정하기", "설정하기", "분석하기", "확인하기" 등과 같은 용어를 이용하는 설명은 컴퓨터의 동작 및/또는 프로세스를 지칭할 수 있으며, 컴퓨터의 레지스터 및/또는 메모리 내의 물리적(예를 들어, 전자적) 양으로서 표현된 데이터를 컴퓨터의 레지스터 및/또는 메모리 또는 동작 및/또는 프로세스를 수행하는 명령어를 저장할 수 있는 다른 정보 저장 매체 내의 물리량으로서 유사하게 표현되는 다른 데이터로 조작 및/또는 변환하는 컴퓨터, 컴퓨팅 플랫폼, 컴퓨팅 시스템, 또는 다른 전자 컴퓨팅 디바이스의 동작(들) 및/또는 프로세스(들)을 지칭할 수 있다.
본 명세서에서 사용되는 "복수" 및 "복수의"라는 용어는 예를 들어 "다수" 또는 "두 개 이상"을 포함한다. 예를 들면, "복수의 항목"은 두 개 이상의 항목을 포함한다.
"하나의 양태", "양태", "예시적인 양태", "일부 양태", "실증적인 양태", "다양한 양태" 등으로 언급하는 것은 그렇게 설명된 양태(들)이 특정한 특징, 구조 또는 특성을 포함할 수 있지만, 그렇다고 모든 양태가 필연적으로 특정한 특징, 구조 또는 특성을 포함한다는 것은 아니라는 것을 표시한다. 또한, "하나의 양태에서"라는 문구를 반복적으로 사용한다고 해서 그럴 수도 있겠지만, 반드시 동일한 양태를 지칭하는 것은 아니다.
본 명세서에 사용된 바와 같이, 달리 명시되지 않는 한, 공통의 대상을 서술하려는 서수 형용사 "제 1", "제 2", "제 3" 등의 사용은 단지 유사한 대상의 상이한 인스턴스가 참조되고 있다는 것을 표시할 뿐이지, 그렇게 서술된 대상이 시간적으로, 공간적으로, 순위적으로 또는 다른 방식으로 주어진 순서대로 있어야 한다는 것을 암시하려는 것은 아니다.
일부 양태는 다양한 디바이스 및 시스템, 예를 들어, 사용자 장비(User Equipment)(UE), 이동 디바이스(Mobile Device)(MD), 무선 스테이션(wireless station)(STA), 퍼스널 컴퓨터(Personal Computer)(PC), 데스크톱 컴퓨터, 모바일 컴퓨터, 랩톱 컴퓨터, 노트북 컴퓨터, 태블릿 컴퓨터, 서버 컴퓨터, 핸드헬드 컴퓨터, 센서 디바이스, 사물 인터넷(Internet of Things)(IoT) 디바이스, 웨어러블 디바이스, 핸드헬드 디바이스, 개인 휴대 정보 단말기(Personal Digital Assistant)(PDA) 디바이스, 핸드헬드 PDA 디바이스, 온-보드 디바이스, 오프-보드 디바이스, 하이브리드 디바이스, 차량 디바이스, 비-차량 디바이스, 이동 또는 휴대용 디바이스, 소비자 디바이스, 비-이동 또는 비-휴대용 디바이스, 무선 통신 스테이션, 무선 통신 디바이스, 무선 액세스 포인트(Access Point)(AP), 유선 또는 무선 라우터, 유선 또는 무선 모뎀, 비디오 디바이스, 오디오 디바이스, 오디오-비디오(audio-video)(A/V) 디바이스, 유선 또는 무선 네트워크, 무선 영역 네트워크, 무선 비디오 영역 네트워크(Wireless Video Area Network)(AWAN), 근거리 네트워크(Local Area Network)(LAN), 무선 랜(Wireless LAN)(WLAN), 개인 영역 네트워크(Personal Area Network)(PAN), 무선 PAN(Wireless PAN)(WPAN) 등과 함께 사용될 수 있다.
일부 양태는, 예를 들어, 현존 IEEE 802.11 표준(IEEE 802.11-2016 (IEEE 802.11-2016, IEEE 정보 기술 표준 - 시스템 사이의 - 지역과 도시 영역 네트워크 사이의 - 원격통신 및 정보 교환(Telecommunications and information exchange between systems Local and metropolitan area networks) - 특정 요건 파트 11: 무선 LAN 매체 액세스 제어(Medium Access Control)(MAC) 및 물리 계층(Physical Layer)(PHY) 사양, 2016년 12월 7일); IEEE802.11ay (P802.11ay 정보 기술 표준 - 시스템 사이의 - 지역과 도시 영역 네트워크 사이의 - 원격통신 및 정보 교환 - 특정 요건 파트 11: 무선 LAN 매체 액세스 제어(MAC) 및 물리 계층(PHY) 사양 - 수정안: 45 GHz보다 높은 면허-제외 대역에서 동작을 위한 강화된 처리량(Enhanced Throughput for Operation in License-Exempt Bands Above 45 GHz)을 포함) 및/또는 이것의 미래 버전 및/또는 파생 버전에 따라 동작하는 디바이스 및/또는 네트워크와, 현존 WiFi 연맹(WiFi Alliance)(WFA) 피어-투-피어(Peer-to-Peer)(P2P) 사양(WiFi P2P 기술 사양, 버전 1.5, 2015년 8월 4일을 포함) 및/또는 이것의 미래 버전 및/또는 파생 버전에 따라 동작하는 디바이스 및/또는 네트워크와, 현존 무선-기가비트-연맹(Wireless-Gigabit-Alliance)(WGA) 사양(무선 기가비트 연맹, Inc WiGig MAC 및 PHY 사양 버전 1.1, 2011년 4월, 최종 사양을 포함) 및/또는 이것의 미래 버전 및/또는 파생 버전에 따라 동작하는 디바이스 및/또는 네트워크와, 현존 셀룰러 사양 및/또는 프로토콜, 예를 들어, 3세대 파트너십 프로젝트(3rd Generation Partnership Project)(3GPP), 3GPP 롱텀 에볼루션(Long-Term Evolution)(LTE) 및/또는 이것의 미래 버전 및/또는 파생 버전에 따라 동작하는 디바이스 및/또는 네트워크와, 위의 네트워크의 일부인 유닛 및/또는 디바이스 등과 함께 사용될 수 있다.
일부 양태는 일방향 및/또는 양방향 무선 통신 시스템, 셀룰러 무선 전화 통신 시스템, 이동 전화, 셀룰러 전화, 무선 전화, 개인 통신 시스템(Personal Communication System)(PCS) 디바이스, 무선 통신 디바이스를 통합한 PDA 디바이스, 이동 또는 휴대용 위성위치확인 시스템(Global Positioning System)(GPS) 디바이스, GPS 수신기 또는 송수신기 또는 칩을 통합한 디바이스, RFID 요소 또는 칩을 통합한 디바이스, 다중입력 다중출력(Multiple-Input Multiple-Output)(MIMO) 송수신기 또는 디바이스, 단일 입력 다중 출력(Single Input Multiple Output, SIMO) 송수신기 또는 디바이스, 다중 입력 단일 출력(Multiple Input Single Output, MISO) 송수신기 또는 디바이스, 하나 이상의 내부 안테나 및/또는 외부 안테나를 갖는 디바이스, 디지털 비디오 브로드캐스트(Digital Video Broadcast)(DVB) 디바이스 또는 시스템, 다중 표준 무선 디바이스 또는 시스템, 유선 또는 무선 핸드헬드 디바이스, 예를 들어, 스마트폰, 무선 애플리케이션 프로토콜(Wireless Application Protocol)(WAP) 디바이스 등과 함께 사용될 수 있다.
일부 양태는 하나 이상의 유형의 무선 통신 신호 및/또는 시스템, 예를 들어, 무선 주파수(Radio Frequency)(RF), 적외선(Infra Red)(IR), 주파수 분할 다중화(Frequency-Division Multiplexing)(FDM), 직교 FDM(Orthogonal FDM)(OFDM), 직교 주파수 분할 다중 접속(Orthogonal Frequency-Division Multiple Access)(OFDMA), 공간 분할 다중 접속(Spatial Divisional Multiple Access)(SDMA), FDM 시분할 다중화(FDM Time-Division Multiplexing)(TDM), 시분할 다중 접속(Time-Division Multiple Access)(TDMA), 다중 사용자 MIMO(Multi-User MIMO)(MU-MINO), 확장된 TDMA(Extended TDMA)(E-TDMA), 범용 패킷 무선 서비스(General Packet Radio Service)(GPRS), 확장된 GPRS, 코드 분할 다중 접속(Code-Division Multiple Access)(CDMA), 광대역 CDMA(Wideband CDMA)(WCDMA), CDMA 2000, 단일-캐리어 CDMA, 다중-캐리어 CDMA, 다중-캐리어 변조(Multi-Carrier Modulation)(MDM), 이산 다중-톤(Discrete Multi-Tone)(DMT), 블루투스, 위성위치확인 시스템(Global Positioning System)(GPS), Wi-Fi, Wi-Max, 지그비(ZigBeeTM), 초광대역(Ultra-Wideband)(UWB), 세계 이동 통신 시스템(Global System for Mobile communication)(GSM), 2G, 2.5G, 3G, 3.5G, 4G, 5세대(5G) 이동 네트워크, 3GPP, 롱텀 에볼루션(LTE), LTE 어드밴스드, GSM 진화를 위한 강화된 데이터 레이트(Enhanced Data rates for GSM Evolution)(EDGE) 등과 함께 사용될 수 있다. 다른 양태는 다양한 다른 디바이스, 시스템 및/또는 네트워크에서 사용될 수 있다.
본 명세서에서 사용되는 "무선 디바이스"라는 용어는 예를 들어, 무선 통신 가능한 디바이스, 무선 통신 가능한 통신 디바이스, 무선 통신 가능한 통신 스테이션, 무선 통신 가능한 휴대용 또는 비휴대용 디바이스 등을 포함한다. 일부 실증적인 양태에서, 무선 디바이스는 컴퓨터와 통합된 주변기기 또는 컴퓨터에 부착된 주변기기일 수 있거나 이를 포함할 수도 있다. 일부 실증적인 양태에서, "무선 디바이스"라는 용어는 선택적으로 무선 서비스를 포함할 수 있다.
통신 신호와 관련하여 본 명세서에서 사용되는 "통신하는"이라는 용어는 통신 신호를 송신하는 것 및/또는 통신 신호를 수신하는 것을 포함한다. 예를 들어, 통신 신호를 전달할 수 있는 통신 유닛은 통신 신호를 적어도 하나의 다른 통신 유닛에 송신하는 송신기 및/또는 적어도 하나의 다른 통신 유닛으로부터 통신 신호를 수신하는 통신 수신기를 포함할 수 있다. 동사 통신하는은 송신 행위 또는 수신 행위를 지칭하는데 사용될 수 있다. 하나의 예로, "신호를 통신하는"이라는 문구는 제 1 디바이스에 의해 신호를 송신하는 행위를 지칭할 수 있으며, 반드시 제 2 디바이스에 의해 신호를 수신하는 행위를 포함하지 않을 수도 있다. 다른 예로, "신호를 통신하는"이라는 문구는 제 1 디바이스에 의해 신호를 수신하는 행위를 지칭할 수 있으며, 반드시 제 2 디바이스에 의해 신호를 송신하는 행위를 포함하지 않을 수도 있다.
일부 실증적인 양태는 WLAN, 예를 들어, WiFi 네트워크와 함께 사용될 수 있다. 다른 양태는 임의의 다른 적합한 무선 통신 네트워크, 예를 들어, 무선 영역 네트워크, "피코넷", WPAN, WVAN 등과 함께 사용될 수 있다.
일부 실증적인 양태는 45 기가헬쯔(GHz)보다 높은, 예를 들어, 60 GHz의 주파수 대역을 통해 통신하는 무선 통신 네트워크와 함께 사용될 수 있다. 그러나 다른 양태는 임의의 다른 적합한 무선 통신 주파수 대역, 예를 들어, 극고주파(Extremely High Frequency)(EHF) 대역 (밀리미터 파(mmWave) 주파수 대역), 예를 들어, 20 GHz와 300 GHz 사이의 주파수 대역 내의 주파수 대역, 45 GHz보다 높은 주파수 대역, 20 GHz보다 낮은 주파수 대역, 예를 들어, 서브 1 GHz(Sub 1 GHz)(S1G) 대역, 2.4 GHz 대역, 5 GHz 대역, WLAN 주파수 대역, WPAN 주파수 대역, WGA 사양에 따른 주파수 대역 등을 이용하여 구현될 수 있다.
본 명세서에 사용된 바와 같이, "회로"라는 용어는, 예를 들어, 하나 이상의 소프트웨어 또는 펌웨어 프로그램을 실행하는, 주문형 집적 회로(Application Specific Integrated Circuit)(ASIC), 집적 회로, 전자 회로, 프로세서(공유, 전용, 또는 그룹), 조합 로직 회로 및/또는 메모리(공유, 전용, 또는 그룹), 및/또는 설명된 기능성을 제공하는 다른 적합한 하드웨어 구성요소의 일부를 지칭하거나 이를 포함할 수 있다. 일부 양태에서, 회로는 하드웨어에서 적어도 부분적으로 동작 가능한 로직을 포함할 수 있다. 일부 양태에서, 회로는 무선 가상 머신(radio virtual machine)(RVM)의 일부로서 및/또는 그 형태로, 예를 들어, 하나 이상의 무선 구성요소의 하나 이상의 동작 및/또는 기능성을 구성하는 코드를 실행하도록 구성된 무선 프로세서(Radio processor)(RP)의 일부로서 구현될 수 있다.
"로직"이라는 용어는, 예를 들어, 컴퓨팅 장치의 회로에 내장된 컴퓨팅 로직 및/또는 컴퓨팅 장치의 메모리에 저장된 컴퓨팅 로직을 지칭할 수 있다. 예를 들어, 로직은 컴퓨팅 로직을 실행하여 컴퓨팅 기능 및/또는 동작을 수행시키는 컴퓨팅 장치의 프로세서에 의해 액세스 가능할 수 있다. 하나의 예로, 로직은 다양한 유형의 메모리 및/또는 펌웨어, 예를 들어 다양한 칩 및/또는 프로세서의 실리콘 블록에 내장될 수 있다. 로직은 다양한 회로, 예를 들어 무선 회로, 수신기 회로, 제어 회로, 송신기 회로, 송수신기 회로, 프로세서 회로 등에 포함될 수 있고 및/또는 그의 일부로서 구현될 수 있다. 하나의 예로, 로직은 랜덤 액세스 메모리, 판독 전용 메모리, 프로그래머블 메모리, 자기 메모리, 플래시 메모리, 영구 메모리 및/또는 기타 등을 비롯한 휘발성 메모리 및/또는 비 휘발성 메모리에 내장될 수 있다. 로직은, 예를 들어 필요에 따라 로직을 실행하는 하나 이상의 프로세서에 연결된 메모리, 예를 들면, 레지스터, 버퍼, 스택 등을 사용하는 하나 이상의 프로세서에 의해 실행될 수 있다.
본 명세서에서 사용되는 "안테나"라는 용어는 하나 이상의 안테나 요소, 구성요소, 유닛, 어셈블리 및/또는 배열의 임의의 적합한 구성, 구조 및/또는 배열을 포함할 수 있다. 일부 양태에서, 안테나는 별도의 송신 및 수신 안테나 요소를 사용하여 송신 및 수신 기능성을 구현할 수 있다. 일부 양태에서, 안테나는 공통의 및/또는 통합된 송신/수신 요소를 사용하여 송신 및 수신 기능성을 구현할 수 있다. 안테나는 예를 들어, 위상 배열 안테나, 단일 요소 안테나, 한 세트의 스위치드 빔 안테나 및/또는 기타의 것을 포함할 수 있다.
본 명세서에서 사용되는 "피어 투 피어(PTP) 통신"이라는 문구는 디바이스 간의 무선 링크("피어-투-피어 링크")를 통한 디바이스 대 디바이스 통신과 관련될 수 있다. PTP 통신은, 예를 들어, WiFi 다이렉트(WiFi Direct)(WFD) 통신, 예를 들어, WFD 피어 투 피어(P2P) 통신, 품질 서비스(Quality of Service)(QOS) 기본 서비스 세트(basic service set)(BSS) 내의 직접 링크를 통한 무선 통신, 터널링된 직접 링크 셋업(tunneled direct-link setup)(TDLS) 링크, 독립 기본 서비스 세트(independent basic service set)(IBSS)에서의 STA 대STA 통신 등을 포함할 수 있다.
일부 실증적인 양태는 본 명세서에서 WiFi 통신에 대하여 설명된다. 그러나, 다른 양태는 임의의 다른 통신 방식, 네트워크, 표준 및/또는 프로토콜에 대하여 구현될 수 있다.
일부 실증적인 양태에서, 무선 통신 디바이스는 예를 들어, 아래에서 설명되는 바와 같이 밀리미터파(mmWave) 라디오 프론트엔드 모듈(radio front end module)(RFEM)을 구현할 수 있다.
밀리미터파는 약 30 GHz 내지 약 300 GHz를 이어주는 주파수 범위로서 정의될 수 있으며, 실제로는 현재 여러 개의 이산적인 면허 및 비면허 주파수 대역을 커버한다.
현재 사용 가능한 비면허 mmWave 주파수 대역은 60 GHz 부근에 있다. 면허 주파수 대역은 28 GHz, 39 GHz, 73 GHz 및 120 GHz를 포함할 것 같다. 이들 대역의 이용 가능성 및 각 대역의 특정 주파수 범위는 규제 관할 지역에 따라 다르며, 경우에 따라 (특히 면허 대역 운영을 위해) 일부 국가에서는 규제에 대해 여전히 중요한 불확실성이 존재한다. mmWave 기반 셀룰러 통신과 연관된 도전 과제는 제한된 범위, 그 범위의 안테나의 지향성, 트레이스 대신 일반 케이블의 사용으로 인한 신호 손실, 빔포밍을 위한 다수의 안테나를 통합시키는 도전 과제를 포함한다. 이러한 도전 과제는 일부 양태에 따라 아래에서 논의되는 바와 같이 본 특허에서 다루어지며, 편파 혁신(polarization innovation)의 사용, 신호 손실을 회피하기 위해 트레이스 및 다른 회선의 사용, 빔포밍에 사용하기 위한 개선된 기능을 포함할 수 있다.
도 1은 일부 양태에 따른 예시적인 사용자 디바이스를 도시한다. 사용자 디바이스(100)는 일부 양태에서 이동 디바이스일 수 있고 애플리케이션 프로세서(105), 기저대역 프로세서(110)(기저대역 서브시스템이라고도 지칭됨), 라디오 프론트 엔드 모듈(RFEM)(115), 메모리(120), 연결성 서브시스템(125), 근접장 통신(near field communication)(NFC) 제어기(130), 오디오 드라이버(135), 카메라 드라이버(140), 터치 스크린(145), 디스플레이 드라이버(150), 센서(155), 착탈식 메모리(160), 전력 관리 집적 회로(power management integrated circuit)(PMIC)(165) 및 스마트 배터리(170)를 포함한다.
일부 양태에서, 애플리케이션 프로세서(105)는 예를 들어, 하나 이상의 중앙 프로세싱 유닛(central processing unit)(CPU) 코어 및 하나 이상의 캐시 메모리, 저(low) 드롭-아웃 전압 조정기(drop-out voltage regulator)(LDO), 인터럽트 제어기, SPI, I2C 또는 범용 프로그래머블 직렬 인터페이스 서브시스템과 같은 직렬 인터페이스, 실시간 클록(real time clock)(RTC), 인터벌 및 워치독 타이머를 포함하는 타이머-카운터, 범용 IO, SD/MMC 또는 이와 유사한 것과 같은 메모리 카드 제어기, USB 인터페이스, MIPI 인터페이스 및/또는 조인트 테스트 액세스 그룹(Joint Test Access Group)(JTAG) 테스트 액세스 포트를 포함할 수 있다.
일부 양태에서, 기저대역 프로세서(110)는 예를 들어, 하나 이상의 집적 회로, 주 회로 기판에 납땜된된 단일 패키지형 집적 회로, 및/또는 2 개 이상의 집적 회로를 포함하는 다중-칩 모듈을 포함하는 솔더-다운 기판(solder-down substrate)으로서 구현될 수 있다.
mmWave 기술의 애플리케이션은 예를 들어, WiGig 및 미래 5G를 포함할 수 있지만, mmWave 기술은 다양한 통신 시스템에 적용 가능하다. mmWave 기술은 단거리 통신 시스템(short-range telecommunications system)에 특히 매력적일 수 있다. WiGig 디바이스는 비면허 60 GHz 대역에서 동작하는 반면, 5G mmWave는 초기에는 면허 28 GHz 및 39 GHz 대역에서 동작하는 것으로 예상된다. mmWave 시스템에서 예시적인 기저대역 서브시스템(110) 및 RFEM(115)의 블록도가 도 1a에 도시된다.
도 1a는 본 개시내용의 일부 양태에 따른 도 1의 디바이스(100)와 함께 사용될 수 있는 mmWave 시스템(100A)을 도시한다. 시스템(100A)은 두 개의 구성요소: 기저대역 서브시스템(110) 및 하나 이상의 라디오 프론트 엔드 모듈(RFEM)(115)을 포함한다. RFEM(115)은 변조된 중간 주파수(intermediate frequency)(IF) 신호, DC 전력, 클록킹 신호 및 제어 신호를 공급하는 단일 동축 케이블(190)에 의해 기저대역 서브시스템(110)에 연결될 수 있다.
기저대역 서브시스템(110)은 그 전체가 도시되지 않지만, 오히려 도 1a는 아날로그 프론트 엔드의 구현예를 도시한다. 이것은 중간 주파수(IF)(현재 구현예에서는 약 10 GHz)로의 상향 변환기(up-converter)(173)를 갖는 송신기(TX) 섹션(191A), IF로부터 기저대역으로의 하향 변환(175)을 갖는 수신기(RX) 섹션(191B), 신호 케이블(190) 상의 송신 및 수신 신호를 다중화/역다중화하는 결합기를 포함하는 제어 및 멀티플렉싱 회로(177)를 포함한다. 또한, 기저대역 회로 기판 상에는 DC 전력을 RFEM(115)에 제공하는 (이산적인 구성요소를 포함하는) 전력 티 회로(power tee circuitry)(192)가 포함된다. 일부 양태에서, TX 섹션 및 RX 섹션의 조합은 송수신기라고 지칭될 수 있으며, 이 송수신기에는 본 명세서에 설명된 유형의 하나 이상의 안테나 또는 안테나 배열이 연결될 수 있다.
RFEM(115)은 밀리미터파 주파수로의 상향 변환/하향 변환(174), 전력 결합기/분배기(176), 프로그램 가능한 위상 변위(178) 및 전력 증폭기(power amplifier(PA)(180), 저잡음 증폭기(low noise amplifier)(LNA)(182) 뿐만 아니라, 제어 및 전력 관리 회로(184A 및 184B)를 비롯하여, 다수의 인쇄된 안테나 및 다수의 무선 체인을 포함하는 하나 이상의 RF 디바이스를 포함하는 소형의 회로 보드일 수 있다. 이러한 배열은 일반적으로 모든 RF 및 기저대역 기능성을 단일 유닛으로 통합하고 안테나만을 동축 케이블을 통해 원격으로 연결시킨 Wi-Fi 또는 셀룰러 구현예와 다를 수 있다.
이러한 아키텍처적 차이는 밀리미터파 주파수에서 동축 케이블에서 매우 큰 전력 손실에 의해 주도될 수 있다. 이러한 전력 손실은 안테나에서 송신 전력을 감소시키고 수신 감도를 감소시킬 수 있다. 이러한 문제를 회피하기 위해, 일부 양태에서, PA(180) 및 LNA(182)는 통합된 안테나를 갖는 RFEM(115)으로 바뀌어질 수 있다. 또한, RFEM(115)은 동축 케이블(190)을 통한 IF 신호가 보다 낮은 주파수에 있을 수 있도록 상향 변환/하향 변환(174)을 포함할 수 있다. mmWave 5G 장치, 기술 및 특징에 대한 추가적인 시스템 컨텍스트는 본 명세서의 아래에서 설명한다.
도 2는 일부 양태에 따른, 예시적인 기지국 또는 인프라 장비 무선 헤드를 도시한다. 기지국 무선 헤드(200)는 애플리케이션 프로세서(205), 기저대역 프로세서(210), 하나 이상의 라디오 프론트 엔드 모듈(215), 메모리(220), 전력 관리 집적 회로(power management integrated circuitry)(PMIC)(225), 전력 티 회로부(230), 네트워크 제어기(235), 네트워크 인터페이스 커넥터(240), 위성 네비게이션 수신기(예컨대, GPS 수신기)(245) 및 사용자 인터페이스(250) 중 하나 이상을 포함한다.
일부 양태에서, 애플리케이션 프로세서(205)는 하나 이상의 CPU 코어 및 하나 이상의 캐시 메모리, 저 드롭-아웃 전압 조정기(LDO), 인터럽트 제어기, SPI, I2C 또는 범용 프로그래머블 직렬 인터페이스와 같은 직렬 인터페이스, 실시간 클록(RTC), 인터벌 및 워치독 타이머를 포함하는 타이머-카운터, 범용 I/O, SD/MMC 또는 이와 유사한 것과 같은 메모리 카드 제어기, USB 인터페이스, MIPI 인터페이스 및 조인트 테스트 액세스 그룹(JTAG) 테스트 액세스 포트를 포함할 수 있다.
일부 양태에서, 기저대역 프로세서(210)는 예를 들어, 하나 이상의 집적 회로, 주 회로 기판에 납땜된 단일 패키지형 집적 회로 또는 두 개 이상의 집적 회로를 포함하는 다중-칩 서브시스템을 포함하는 솔더-다운(solder-down) 기판으로서 구현될 수 있다.
일부 양태에서, 메모리(220)는 동적 랜덤 액세스 메모리(dynamic random access memory)(DRAM) 및/또는 동기식 DRAM(synchronous DRAM)(SDRAM)을 포함하는 휘발성 메모리, 및 고속의 전기적으로 소거 가능한 메모리(보통 플래시 메모리라고 지칭함), 상전이 랜덤 액세스 메모리(phase-change random access memory)(PRAM), 자기저항 랜덤 액세스 메모리(magnetoresistive random access memory)(MRAM), 및/또는 3차원 크로스포인트 메모리를 포함하는 비휘발성 메모리(nonvolatile memory)(NVM) 중 하나 이상을 포함할 수 있다. 메모리(220)는 솔더 다운 패키지형 집적 회로, 소켓형 메모리 모듈 및 플러그-인 메모리 카드 중 하나 이상으로서 구현될 수 있다.
일부 양태에서, 전력 관리 집적 회로(225)는 하나 이상의 전압 조정기, 서지 보호기, 전력 경보 검출 회로부 및 배터리 또는 캐패시터와 같은 하나 이상의 백업 전력원을 포함할 수 있다. 전력 경보 검출 회로는 브라운 아웃(brown out)(부족 전압) 및 서지(과전압) 상태 중 하나 이상을 검출할 수 있다.
일부 양태에서, 전력 티 회로(230)는 네트워크 케이블로부터 인출된 전력을 제공할 수 있다. 전력 티 회로(230)는 단일 케이블을 사용하여 기지국 라디오 헤드(200)에 전력 공급 및 데이터 연결성을 둘 모두 제공할 수 있다.
일부 양태에서, 네트워크 제어기(235)는 이더넷과 같은 표준 네트워크 인터페이스 프로토콜을 사용하여 네트워크에 연결성을 제공할 수 있다. 네트워크 연결성은 전기적(일반적으로 구리 상호연결이라고 지칭함), 광학적 또는 무선 중 하나인 물리적 연결을 사용하여 제공될 수 있다.
일부 양태에서, 위성 항법 수신기(245)는 위성 위치 확인 시스템(global positioning system)(GPS), 범지구 위성항법 시스템(Globalnaya Navigatsionnaya Sputnikovaya Sistema)(GLONASS), 갈릴레오(Galileo) 및/또는 베이더우(BeiDou)와 같은 하나 이상의 항법 위성 콘스텔레이션에 의해 전송된 신호를 수신하고 디코딩하는 회로를 포함할 수 있다. 수신기(245)는 위치 데이터 또는 시간 데이터 중 하나 이상을 포함할 수 있는 데이터를 애플리케이션 프로세서(205)에 제공할 수 있다. 시간 데이터는 애플리케이션 프로세서(205)에 의해 다른 무선 기지국 또는 인프라스트럭처 장비와 동작을 동기화하는데 사용될 수 있다.
일부 양태에서, 사용자 인터페이스(250)는 하나 이상의 버튼을 포함할 수 있다. 버튼은 리셋 버튼을 포함할 수 있다. 사용자 인터페이스(250)는 또한 LED 및 디스플레이 스크린과 같은 하나 이상의 표시기를 포함할 수 있다.
도 3a는 일부 양태에 따른, 예시적인 mmWave 통신 회로를 도시하고; 도 3b 및 도 3c는 일부 양태에 따른 도 3a에 도시된 송신 회로의 양태를 도시하고; 도 3d는 일부 양태에 따른 도 3a에 도시된 무선 주파수 회로의 양태를 도시하고; 도 3e는 일부 양태에 따른 도 3a에 도시된 수신 회로의 양태를 도시한다. 도 3a에 도시된 밀리미터파 통신 회로(300)는 대안적으로 기능에 따라 그룹화될 수 있다. 도 3a에 도시된 구성요소는 본 명세서에서 예시적인 목적으로 제공되며 도 3a에 도시되지 않은 다른 구성요소를 포함할 수 있다.
밀리미터파 통신 회로(300)는 프로토콜 프로세싱 회로(305)(또는 프로세서) 또는 프로세싱을 위한 다른 수단을 포함할 수 있다. 프로토콜 프로세싱 회로(305)는 다른 것들 중에서도 매체 액세스 제어(medium access control)(MAC), 무선 링크 제어(radio link control)(RLC), 패킷 데이터 컨버전스 프로토콜(packet data convergence protocol)(PDCP), 무선 자원 제어(radio resource control)(RRC) 및 비-액세스 계층(non-access stratum)(NAS) 기능 중 하나 이상을 구현할 수 있다. 프로토콜 프로세싱 회로(305)는 명령어를 실행하는 하나 이상의 프로세싱 코어 및 프로그램 및 데이터 정보를 저장하는 하나 이상의 메모리 구조를 포함할 수 있다.
밀리미터파 통신 회로(300)는 디지털 기저대역 회로(310)를 더 포함할 수 있다. 디지털 기저대역 회로(310)는 하이브리드 자동 반복 요청(hybrid automatic repeat request)(HARQ) 기능, 스크램블링 및/또는 디스크램블링, 코딩 및/또는 디코딩, 계층 매핑 및/또는 디매핑, 변조 심볼 매핑, 수신된 심볼 및/또는 비트 메트릭 결정, 공간-시간, 공간-주파수 또는 공간 코딩 중 하나 이상을 포함할 수 있는 다중-안테나 포트 프리-코딩 및/또는 디코딩, 참조 신호 생성 및/또는 검출, 프리앰블 시퀀스 생성 및/또는 디코딩, 동기화 시퀀스 생성 및/또는 검출, 제어 채널 신호 블라인드 디코딩 및 다른 관련된 기능 중 하나 이상을 포함하는 물리 계층(physical layer)(PHY) 기능을 구현할 수 있다.
밀리미터파 통신 회로(300)는 송신 회로(315), 수신 회로(320) 및/또는 안테나 배열 회로(330)를 더 포함할 수 있다. 밀리미터파 통신 회로(300)는 RF 회로(325)를 더 포함할 수 있다. 일부 양태에서, RF 회로(325)는 송신 및/또는 수신을 위한 하나 또는 다수의 RF 체인을 포함할 수 있다. 각각의 RF 체인은 안테나 배열 회로(330)의 하나 이상의 안테나에 연결될 수 있다.
일부 양태에서, 프로토콜 프로세싱 회로(305)는 제어 회로의 하나 이상의 인스턴스를 포함할 수 있다. 제어 회로는 디지털 기저대역 회로(310), 송신 회로(315), 수신 회로(320) 및/또는 RF 회로(325) 중 하나 이상에 제어 기능을 제공할 수 있다.
도 3b 및 도 3c는 일부 양태에 따른 도 3a에 도시된 송신 회로의 양태를 도시한다. 도 3b에 도시된 송신 회로(315)는 디지털-아날로그 변환기(digital to analog converter)(DAC)(340), 아날로그 기저대역 회로(345), 상향 변환 회로(350) 및/또는 필터링 및 증폭 회로(355) 중 하나 이상을 포함할 수 있다. DAC(340)는 디지털 신호를 아날로그 신호로 변환할 수 있다. 아날로그 기저대역 회로(345)는 아래에서 시사하는 바와 같이 다수의 기능을 수행할 수 있다. 상향 변환 회로(350)는 아날로그 기저대역 회로(345)로부터의 기저대역 신호를 RF 주파수(예를 들어, mmWave 주파수)로 상향 변환할 수 있다. 필터링 및 증폭 회로(355)는 아날로그 신호를 필터링 및 증폭할 수 있다. 제어 신호는 프로토콜 프로세싱 회로(305)와 DAC(340), 아날로그 기저대역 회로(345), 상향 변환 회로(350) 및/또는 필터링 및 증폭 회로(355) 중 하나 이상의 사이에서 공급될 수 있다.
도 3c에 도시된 송신 회로(315)는 디지털 송신 회로(365) 및 RF 회로(370)를 포함할 수 있다. 일부 양태에서, 필터링 및 증폭 회로(355)로부터의 신호는 디지털 송신 회로(365)에 제공될 수 있다. 위와 같이, 제어 신호는 프로토콜 프로세싱 회로(305)와 디지털 송신 회로(365) 및 RF 회로(370) 중 하나 이상의 사이에서 공급될 수 있다.
도 3d는 일부 양태에 따른 도 3a에 도시된 무선 주파수 회로의 양태를 도시한다. 무선 주파수 회로(325)는 무선 체인 회로(372)의 하나 이상의 인스턴스를 포함할 수 있는데, 일부 양태에서 무선 체인 회로는 하나 이상의 필터, 전력 증폭기, 저잡음 증폭기, 프로그램 가능한 위상 변위기 및 전력 공급 장치를 포함할 수 있다.
무선 주파수 회로(325)는 또한 일부 양태에서 전력 결합 및 분배 회로(374)를 포함할 수 있다. 일부 양태에서, 전력 결합 및 분배 회로(374)는 양방향으로 동작할 수 있어서, 동일한 물리적 회로가 디바이스가 송신 중일 때는 전력 분배기로서 동작하고, 디바이스가 수신 중일 때는 전력 결합기로서 동작한다. 일부 양태에서, 전력 결합 및 분배 회로(374)는 디바이스가 송신 중일 때 전력 분할을 수행하고 디바이스가 수신 중일 때 전력 결합을 수행하는 하나 이상의 전체적으로 또는 부분적으로 별개의 회로를 포함할 수 있다. 일부 양태에서, 전력 결합 및 분배 회로(374)는 트리 형태로 배열된 하나 이상의 양방향 전력 분배기/결합기를 포함하는 수동 회로를 포함할 수 있다. 일부 양태에서, 전력 결합 및 분배 회로(374)는 증폭기 회로를 포함하는 능동 회로를 포함할 수 있다.
일부 양태에서, 무선 주파수 회로(325)는 도 3a의 송신 회로(315) 및 수신 회로(320)에 연결될 수 있다. 무선 주파수 회로(325)는 하나 이상의 무선 체인 인터페이스(376) 및/또는 결합된 무선 체인 인터페이스(378)를 통해 송신 회로(315) 및 수신 회로(320)에 연결될 수 있다. 일부 양태에서, 하나 이상의 무선 체인 인터페이스(376)는 단일 안테나 구조와 각기 연관되는 하나 이상의 수신 또는 송신 신호에 하나 이상의 인터페이스를 제공할 수 있다. 일부 양태에서, 결합된 무선 체인 인터페이스(378)는 각기 안테나 구조의 그룹과 연관되는 하나 이상의 수신 또는 송신 신호에 단일 인터페이스를 제공할 수 있다.
도 3e는 일부 양태에 따른 도 3a의 수신 회로의 양태를 도시한다. 수신 회로(320)는 하나 이상의 병렬 수신 회로(382) 및 하나 이상의 결합된 수신 회로(384)를 포함한다. 일부 양태에서, 하나 이상의 병렬 수신 회로(382) 및 하나 이상의 결합된 수신 회로(384)는 하나 이상의 중간 주파수(IF) 하향 변환 회로(386), IF 프로세싱 회로(388), 기저대역 하향 변환 회로(390), 기저대역 프로세싱 회로(392) 및 아날로그-디지털 변환기(ADC) 회로(394)를 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, "중간 주파수"라는 용어는 송신, 수신 및/또는 신호 프로세싱 시의 중간 단계에서와 같이 캐리어 주파수(또는 주파수 신호)가 변위되는 주파수를 지칭한다. IF 하향 변환 회로(386)는 수신된 RF 신호를 IF로 변환할 수 있다. IF 프로세싱 회로(388)는 IF 신호를 예를 들어, 필터링 및 증폭을 통해 처리할 수 있다. 기저대역 하향 변환 회로(390)는 IF 프로세싱 회로(388)로부터의 신호를 기저대역으로 변환할 수 있다. 기저대역 프로세싱 회로(392)는 기저대역 신호를 예를 들어 필터링 및 증폭을 통해 처리할 수 있다. ADC 회로(394)는 처리된 아날로그 기저대역 신호를 디지털 신호로 변환할 수 있다.
도 4는 일부 양태에 따른 도 3a의 예시적인 RF 회로를 도시한다. 양태에서, (참조 번호(425)를 사용하여 도 4에 도시된) 도 3a의 RF 회로(325)는 IF 인터페이스 회로(405), 필터링 회로(410), 상향 변환 및 하향 변환 회로(415), 신시사이저 회로(420), 필터링 및 증폭 회로(424), 전력 결합 및 분배 회로(430) 및 무선 체인 회로(435) 중 하나 이상을 포함할 수 있다.
도 5a 및 도 5b는 일부 양태에 따른 도 1 및 도 2에 도시된 회로에서 사용 가능한 라디오 프론트 엔드 모듈의 양태를 도시한다. 도 5a는 일부 양태에 따른 라디오 프론트 엔드 모듈(RFEM)의 양태를 도시한다. RFEM(500)은 밀리미터파 RFEM(505) 및 하나 이상의 6 기가헤르츠 초과(above-six gigahertz) 무선 주파수 집적 회로(radio frequency integrated circuits)(RFIC)(515) 및/또는 하나 이상의 6 기가헤르츠 미만(sub-six gigahertz) RFIC(522)를 통합한다. 이러한 양태에서, 하나 이상의 6 기가헤르츠 초과RFIC(515) 및/또는 하나 이상의 6 기가헤르츠 미만 RFIC(522)는 밀리미터파 RFEM(505)과 물리적으로 분리될 수 있다. RFIC(515 및 522)는 하나 이상의 안테나(520)와의 연결을 포함할 수 있다. RFEM(505)은 다수의 안테나(510)를 포함할 수 있다.
도 5b는 일부 양태에 따른, 라디오 프론트 엔드 모듈의 대안의 양태를 도시한다. 이러한 양태에서, 밀리미터파 및 6 기가헤르츠 미만 무선 기능 둘 모두는 동일한 물리적 라디오 프론트 엔드 모듈(RFEM)(530)에서 구현될 수 있다. RFEM(530)은 밀리미터파 안테나(535) 및 6 기가헤르츠 미만 안테나(540)를 둘 모두 포함할 수 있다.
도 6은 일부 양태에 따른, 도 1 또는 도 2에 도시된 시스템 및 회로에서 사용 가능한 다중 프로토콜 기저대역 프로세서(600)를 도시한다. 양태에서, 기저대역 프로세서는 본 명세서에서 일괄하여 디지털 기저대역 서브시스템(640)이라고도 지칭하는 하나 이상의 디지털 기저대역 서브시스템(640A, 640B, 640C, 640D)을 포함할 수 있다.
양태에서, 하나 이상의 디지털 기저대역 서브시스템(640A, 640B, 640C, 640D)은 상호연결 서브시스템(665)을 통해 CPU 서브시스템(670), 오디오 서브시스템(675) 및 인터페이스 서브시스템 중 하나 이상에 연결될 수 있다. 양태에서, 하나 이상의 디지털 기저대역 서브시스템(640)은 상호연결 서브시스템(645)을 통해 각각의 디지털 기저대역 인터페이스(660A, 660B) 및 혼합 신호 기저대역 서브시스템(635A, 635B) 중 하나 이상에 연결될 수 있다.
양태에서, 상호연결 서브시스템(665 및 645) 각각은 각각의 버스 포인트-투-포인트 연결부 및 네트워크-온-칩(network-on-chip)(NOC) 구조 중 하나 이상을 포함할 수 있다. 양태에서, 오디오 서브시스템(675)은 디지털 신호 프로세싱 회로, 버퍼 메모리, 프로그램 메모리, 음성 프로세싱 가속기 회로, 아날로그-디지털 및 디지털-아날로그 변환기 회로와 같은 데이터 변환기 회로, 및 하나 이상의 증폭기 및 필터를 포함하는 아날로그 회로 중 하나 이상을 포함할 수 있다.
도 7은 일부 양태에 따른, 예시적인 혼합 신호 기저대역 서브시스템(700)를 도시한다. 양태에서, 혼합 신호 기저대역 서브시스템(700)은 IF 인터페이스(705), 아날로그 IF 서브시스템(710), 하향 변한기 및 상향 변한기 서브시스템(720), 아날로그 기저대역 서브시스템(730), 데이터 변환기 서브시스템(735), 신시사이저(725) 및 제어 서브시스템(740) 중 하나 이상을 포함한다.
도 8a는 일부 양태에 따른, 디지털 기저대역 프로세싱 서브시스템(801)을 도시한다. 도 8b는 일부 양태에 따른, 디지털 기저대역 프로세싱 서브시스템(802)의 다른 양태를 도시한다.
도 8a의 양태에서, 디지털기저대역 프로세싱 서브시스템(801)은 각각의 디지털 신호 프로세서(DSP) 서브시스템(805A, 805B,...805N), 상호연결 서브시스템(835), 부트 로더(boot loader) 서브시스템(810), 공유 메모리 서브시스템(815), 디지털 I/O 서브시스템(820) 및 디지털 기저대역 인터페이스 서브시스템(825) 중 하나 이상을 포함한다.
도 8b의 양태에서, 디지털기저대역 프로세싱 서브시스템(802)은 각각의 가속기 서브시스템(845A, 845B,... 845N), 버퍼 메모리(850A, 850B,... 850N), 상호연결 서브시스템(835), 공유 메모리 서브시스템(815), 디지털 I/O 서브시스템(820), 제어기 서브시스템(840) 및 디지털 기저대역 인터페이스 서브시스템(825) 중 하나 이상을 포함한다.
양태에서, 부트 로더 서브시스템(810)은 프로그램 메모리의 구성 및 하나 이상의 DSP 서브시스템(805) 각각과 연관된 실행 상태를 수행하도록 구성된 디지털 로직 회로를 포함할 수 있다. 하나 이상의 DSP 서브시스템(805) 각각의 프로그램 메모리의 구성은 디지털 기저대역 프로세싱 서브시스템(801 및 802) 외부의 저장소로부터 실행 가능한 프로그램 코드를 로딩하는 것을 포함할 수 있다. 하나 이상의 DSP 서브시스템(805) 각각과 연관된 실행 상태의 구성은: 하나 이상의 DSP 서브시스템(805) 각각에 통합될 수 있는 적어도 하나의 DSP 코어의 상태를 적어도 하나의 DSP 코어가 실행하고 있지 않은 상태로 설정하는 단계, 및 하나 이상의 DSP 서브시스템(805)에 통합될 수 있는 적어도 하나의 DSP 코어의 상태를 적어도 하나의 DSP 코어가 미리 정의된 메모리 위치로부터 시작하는 프로그램 코드를 실행하기 시작하는 상태로 설정하는 단계 중 하나 이상을 포함할 수 있다.
양태에서, 공유 메모리 서브시스템(815)은 판독 전용 메모리(read-only memory)(ROM), 정적 랜덤 액세스 메모리(static random access memory)(SRAM), 임베디드 동적 랜덤 액세스 메모리(embedded dynamic random access memory)(eDRAM) 및/또는 비휘발성 랜덤 액세스 메모리(non- volatile random access memory)(NVRAM) 중 하나 이상을 포함할 수 있다.
양태에서, 디지털 I/O 서브시스템(820)은 I2C(Inter-Integrated Circuit), 직렬 주변 인터페이스(Serial Peripheral Interface)(SPI) 또는 다른 1, 2 또는 3-와이어 직렬 인터페이스와 같은 직렬 인터페이스, 병렬 범용 입출력(general-purpose input-output)(GPIO), 레지스터 액세스 인터페이스와 같은 병렬 인터페이스 및 직접 메모리 액세스(direct memory access)(DMA) 중 하나 이상을 포함할 수 있다. 양태에서, 디지털 I/O 서브시스템(820)에 구현된 레지스터 액세스 인터페이스는 디지털 기저대역 프로세싱 서브시스템(801)의 외부에 있는 마이크로프로세서 코어가 제어 및 데이터 레지스터 및 메모리 중 하나 이상을 판독 및/또는 기입하게 할 수 있다. 양태에서, 디지털 I/O 서브시스템(820)에 구현된 DMA 로직 회로는 연속적인 데이터 블록이 디지털 기저대역 프로세싱 서브시스템(801)의 내부 및 외부에 있는 메모리 위치를 비롯한 메모리 위치 사이에서 전달되게 할 수 있다.
양태에서, 디지털 기저대역 인터페이스 서브시스템(825)은 디지털 기저대역 프로세싱 서브시스템(801) 외부의 기저대역 프로세싱 서브시스템과 혼합 신호 기저대역 또는 무선 주파수 회로 사이에서 디지털 기저대역 샘플의 전송을 제공할 수 있다. 양태에서, 디지털 기저대역 인터페이스 서브시스템(825)에 의해 전송된 디지털 기저대역 샘플은 동상(in-phase) 및 직교(quadrature)(I/Q) 샘플을 포함할 수 있다.
양태에서, 제어기 서브시스템(840)은 각각의 제어 및 상태 레지스터 및 제어 상태 머신 중 하나 이상을 포함할 수 있다. 양태에서, 제어 및 상태 레지스터는 레지스터 인터페이스를 통해 액세스될 수 있고, 제어 상태 머신의 동작의 시작 및 중지, 제어 상태 머신을 디폴트 상태로 리셋, 임의적인 프로세싱 특징의 구성, 및/또는 인터럽트 생성의 구성 및 동작 상태의 보고 중 하나 이상을 제공할 수 있다. 양태에서, 하나 이상의 제어 상태 머신 각각은 하나 이상의 가속기 서브시스템(845) 각각의 동작의 시퀀스를 제어할 수 있다. 도 8a 및 도 8b 둘 모두의 구현예는 동일한 기저대역 서브시스템에서 구현될 수 있다.
도 9는 일부 양태에 따른, 디지털 신호 프로세서(DSP) 서브시스템(900)을 도시한다.
양태에서, DSP 서브시스템(900)은 각각의 DSP 코어 서브시스템(905), 로컬 메모리(910), 직접 메모리 액세스(DMA) 서브시스템(915), 가속기 서브시스템(920A, 920B,... 920N), 외부 인터페이스 서브시스템(925), 전력 관리 회로(930) 및 상호연결 서브시스템(935) 중 하나 이상을 포함한다.
양태에서, 로컬 메모리(910)는 각각의 판독 전용 메모리, 정적 랜덤 액세스 메모리 또는 임베디드 동적 랜덤 액세스 메모리 중 하나 이상을 포함할 수 있다.
양태에서, DMA 서브시스템(915)은 DSP 서브시스템(900)의 내부 및 외부에 있는 메모리 위치를 비롯한 메모리 위치 사이에서 데이터의 블록을 전달하도록 적응된 레지스터 및 제어 상태 머신 회로를 제공할 수 있다.
양태에서, 외부 인터페이스 서브시스템(925)은 DSP 서브시스템(900) 외부에 있는 마이크로프로세서 시스템에 의해, DSP 서브시스템(900)에서 구현될 수 있는 메모리, 제어 레지스터 및 상태 레지스터 중 하나 이상으로의 액세스를 제공할 수 있다. 양태에서, 외부 인터페이스 서브시스템(925)은 DMA 서브시스템(915) 및 DSP 코어 서브시스템(905) 중 하나 이상의 제어하에 DSP 서브시스템(900)의 외부에 있는 로컬 메모리(910)와 저장 디바이스 사이에서 데이터의 전달을 제공할 수 있다.
도 10a는 일부 양태에 따른, 가속기 서브시스템(1000)의 예를 도시한다. 도 10b는 일부 양태에 따른, 가속기 서브시스템(1000)의 예를 도시한다.
양태에서, 가속기 서브시스템(1000)은 제어 상태 머신(1005), 제어 레지스터(1010), 메모리 인터페이스(1020), 스크래치패드 메모리(1025), 연산 엔진(1030A,... 1030N) 및 데이터플로우 인터페이스(1035A, 1035B) 각각 중의 하나 이상을 포함할 수 있다.
양태에서, 제어 레지스터(1010)는: 인에이블 레지스터 비트에 의해 동작을 인에이블 또는 디스에이블하는 것, 중단 레지스터 비트(halt register bit)에 기입함으로써 인-프로세스(in-process) 동작을 중단시키는 것, 연산 동작을 구성하는 파라미터를 제공하는 것, 하나 이상의 제어 및 데이터 구조의 위치를 식별하는 메모리 어드레스 정보를 제공하는 것, 인터럽트의 생성을 구성하는 것, 또는 기타 제어 기능 중 하나 이상을 포함할 수 있는, 가속기 서브시스템(1000)의 동작을 구성하고 제어할 수 있다.
양태에서, 제어 상태 머신(1005)은 가속기 서브시스템(1000)의 동작 시퀀스를 제어할 수 있다.
도 11a 내지 도 11d는 일부 양태에 따른, 프레임 포맷을 예시한다.
도 11a는 일부 양태에 따른, 주기적인 무선 프레임 구조(1100)를 도시한다. 무선 프레임 구조(1100)는 미리 결정된 지속기간을 가지며 미리 결정된 지속기간과 동일한 반복 간격이 있는 주기적인 방식으로 반복한다. 무선 프레임 구조(1100)는 두 개 이상의 서브프레임(1105)으로 분할된다. 양태에서, 서브프레임(1105)은 동일하지 않을 수 있는 미리 결정된 지속기간을 가질 수 있다. 대안적인 양태에서, 서브프레임(1105)은 동적으로 결정되는 그리고 반복되는 후속 무선 프레임 구조(1100) 사이에서 변하는 지속기간을 가질 수 있다.
도 11b는 일부 양태에 따른, 주파수 분할 듀플렉싱(frequency division duplexing)(FDD)을 사용하는 주기적인 무선 프레임 구조를 도시한다. FDD의 양태에서, 다운링크 무선 프레임 구조(1110)는 기지국 또는 인프라스트럭처 장비에 의해 하나 이상의 이동 디바이스로 송신되고, 업링크 무선 프레임 구조(1115)는 하나 이상의 이동 디바이스의 조합에 의해 기지국으로 송신된다.
일부 양태에서 사용될 수 있는 무선 프레임 구조의 또 다른 예가 도 11d에 도시된다. 이 예에서, 무선 프레임(1100)은 10 ms의 지속기간을 갖는다. 무선 프레임(1100)은 슬롯(1125, 1135)으로 분할되고, 각각의 슬롯은 지속기간이 0.1 ms이고 0부터 99까지 번호가 매겨진다. 또한, (2i 및 2i+l)로 번호 매겨진 인접한 슬롯(1125, 1135)의 각 쌍은 서브프레임이라 지칭되며, 여기서 i는 정수이다.
일부 양태에서, 시간 간격은 Ts의 단위로 나타낼 수 있고, 여기서 Ts는 1/(75,000 x 2048) 초로 정의된다. 도 11d에서, 무선 프레임은 1,536,600 x Ts의 지속기간을 갖는 것으로 정의되며, 슬롯은 15,366 x Ts 로 정의된다.
도 11d의 무선 프레임 포맷을 사용하는 일부 양태에서, 각 서브프레임은 다운링크 제어 정보, 다운링크 데이터 정보, 업링크 제어 정보 및/또는 업링크 데이터 정보 중 하나 이상의 조합을 포함할 수 있다. 정보 유형과 방향의 조합은 각 서브프레임마다 독립적으로 선택할 수 있다.
일부 양태에서 사용될 수 있는 무선 프레임 구조의 예가 다운링크 프레임(1150) 및 업링크 프레임(1155)을 도시하는 도 11e에 도시된다. 일부 양태에 따르면, 다운링크 프레임(1150) 및 업링크 프레임(1155)은 10 ms의 지속기간을 가질 수 있고, 업링크 프레임(1155)은 다운링크 프레임(1150)와 관련하여 타이밍 어드밴스(1160)와 함께 전송될 수 있다.
일부 양태에 따르면, 다운링크 프레임(1150) 및 업링크 프레임(1155)은 각각 지속기간이 1 ms일 수 있는 두 개 이상의 서브프레임(1165)으로 분할될 수 있다. 일부 양태에 따르면, 각각의 서브프레임(1165)은 하나 이상의 슬롯(1170)으로 구성될 수 있다.
일부 양태에서, 도 11d 및 도 11e의 예에 따르면, 시간 간격은 Ts의 단위로 표현될 수 있다.
도 11d에 도시된 예의 일부 양태에 따르면, Ts는 1/(30,720 x 1000) 초로 정의될 수 있다. 도 11d의 일부 양태에 따르면, 무선 프레임은 30,720 Ts의 지속기간을 갖는 것으로 정의될 수 있으며, 슬롯은 15,360 Ts의 지속기간을 갖는 것으로 정의될 수 있다.
도 11e에 도시된 예의 일부 양태에 따르면, Ts는
Figure pct00001
로 정의될 수 있으며, △fmax = 480x103 이며 Nf = 4,096이다.
도 11e에 도시된 예의 일부 양태에 따르면, 슬롯의 수는 전송에 사용되는 멀티캐리어 신호의 서브캐리어 간의 주파수 이격과 관련될 수 있는 수비학 파라미터에 기초하여 결정될 수 있다.
도 12a 내지 도 12c는 일부 양태에 따른 송신 또는 수신될 수 있는 단일 캐리어 변조 방식의 콘스텔레이션 설계의 예를 도시한다. 콘스텔레이션 포인트(1200)는 캐리어 주파수에서 사인 곡선(sinusoid)의 진폭을 각각 나타내고 서로 90도만큼 위상이 분리된 직교 동위상(orthogonal in-phase) 및 직교위상(quadrature axe) 축 상에 도시된다.
도 12a는 이진 위상 변위 키잉(binary phase shift keying)(BPSK)으로 알려진 두 개의 포인트(1200)를 포함하는 콘스텔레이션을 나타낸다. 도 12b는 직교 위상 변위 변조(quadrature phase shift keying)(QPSK)으로 알려진 네 개의 포인트(1200)를 포함하는 콘스텔레이션을 나타낸다. 도 12c는 16 포인트(16QAM 또는 QAM 16)를 갖는 직교 진폭 변조(quadrature amplitude modulation)(QAM)로 알려진, 16 개의 포인트(1200)를 포함하는 콘스텔레이션을 나타낸다. 예를 들어, 64, 256 또는 1024 개의 포인트를 포함하는 고차 변조 콘스텔레이션이 유사하게 구성될 수 있다.
도 12a 내지 도 12c에 도시된 콘스텔레이션에서, 이진 코드(1200)는 가장 가까운 이웃 포인트(1200), 즉, 최소 유클리드 거리만큼 서로 떨어진 포인트 쌍(1200)이 단지 하나의 이진수만으로 다르게 할당된 이진 코드(1220)를 갖도록 하는 방식을 이용하여 콘스텔레이션의 포인트(1200)에 할당된다. 예를 들어, 도 12c에서, 포인트 할당 코드(1000)는 단지 1 비트에 의해 1000과 각기 상이한 코드(1001, 0000, 1100 및 1010)에 할당된 가장 가까운 이웃 포인트를 갖는다.
도 13a 및 도 13b는 일부 양태에 따른, 송신 및 수신될 수 있는 단일 캐리어 변조 방식의 대안적인 콘스텔레이션 설계의 예를 도시한다. 도 13a의 콘스텔레이션 포인트(1300, 1315)는 캐리어 주파수에서 사인 곡선의 진폭을 각각 나타내고 서로 90도만큼 위상이 분리된 직교 동위상 및 직교위상 축 상에서 도시된다.
양태에서, 도 13a에 도시된 예의 콘스텔레이션 포인트(1300)는 정사각형 그리드 내에 배열될 수 있고, 가장 가까운 이웃 콘스텔레이션 포인트의 각 쌍 사이의 동 위상 및 직교위상 평면 상에서 동일한 거리가 존재하도록 배열될 수 있다. 양태에서, 콘스텔레이션 포인트(1300)는 임의의 허용된 콘스텔레이션 포인트의 동위상 및 직교위상 평면의 원점으로부터의 미리 결정된 최대 거리, 즉, 원(1310)에 의해 표현된 최대 거리에 있도록 선택될 수 있다. 양태에서, 허용된 콘스텔레이션 포인트의 세트는 정사각형 그리드의 코너에서 정사각형 영역(1305)에 속하는 콘스텔레이션 포인트를 배제할 수 있다.
도 13b의 콘스텔레이션 포인트(1300 및 1315)는 캐리어 주파수에서 사인 곡선의 진폭을 각각 나타내고 서로 90도만큼 위상이 분리된 직교 동위상 및 직교위상 축상에서 도시된다. 양태에서, 콘스텔레이션 포인트(1315)는 둘 이상의 콘스텔레이션 포인트의 세트로 그룹화되고, 각 세트의 포인트는 동위상 및 직교위상 평면의 원점까지 동일한 거리를 갖도록 배열되며, 원점을 중심으로 하는 한 세트의 원(1320) 중 하나의 원 상에 놓여 있다.
도 14는 일부 양태에 따른 송신을 위한 멀티캐리어 기저대역 신호를 생성하기 위한 시스템의 예를 도시한다. 양태에서, 데이터(1430)는 인코더(1400)에 입력되어 인코딩된 데이터(1435)를 생성할 수 있다. 인코더(1400)는 에러 검출, 에러 정정, 레이트 매칭 및 인터리빙 중 하나 이상의 조합을 수행할 수 있다. 인코더(1400)는 또한 스크램블링을 수행할 수 있다.
양태에서, 인코딩된 데이터(1435)는 변조 맵퍼(modulation mapper)(1405)에 입력되어 복소수 값의 변조 심볼(1440)을 생성할 수 있다. 변조 맵퍼(1405)는 인코딩된 데이터(1435)로부터 선택된 하나 이상의 이진 숫자를 포함하는 그룹을 하나 이상의 매핑 테이블에 따라 복소수 값의 변조 심볼에 매핑할 수 있다.
양태에서, 복소수 값의 변조 심볼(1440)은 계층 맵퍼(1410)에 입력되어 하나 이상의 계층 매핑된 변조 심볼 스트림(1445)에 매핑될 수 있다. 복소수 값의 변조 심볼(1440)의 스트림을 d(i)로 표현되며, 여기서 i는 시퀀스 번호 인덱스를 나타내며, 계층 매핑된 심볼의 하나 이상의 스트림(1445)은 xk(i)로서 표현되고, 여기서 k는 스트림 번호 인덱스를 나타내고, i는 시퀀스 번호 인덱스를 나타내며, 단일 계층에 대한 계층 매핑 함수는 다음과 같이 표현될 수 있고:
Figure pct00002
두 개의 계층에 대한 계층 매핑은 다음과 같이 표현될 수 있다:
Figure pct00003
계층 매핑은 두 개 초과의 레이어에 대해 유사하게 표현될 수 있다.
양태에서, 계층 매핑된 변조 심볼 스트림(1445) 중 하나 이상의 스트림은 프리코딩된 심볼(1450)의 하나 이상의 스트림을 생성하는 프리코더(1415)에 입력될 수 있다. 계층 매핑된 심볼의 하나 이상의 스트림(1445)을 벡터 블록으로 나타내면 다음과 같고:
Figure pct00004
여기서, i는 0 내지
Figure pct00005
범위의 시퀀스 번호 인덱스를 나타내고, 출력은 벡터 블록으로 표현하면 다음과 같고:
Figure pct00006
여기서, i는 0 내지
Figure pct00007
범위의 시퀀스 번호 인덱스를 나타낸다.
프리코딩 동작은 단일 안테나 포트를 사용하는 다이렉트 매핑, 공간-시간 블록 코딩을 사용하는 전송 다이버시티 또는 공간 멀티플렉싱 중 하나를 포함하도록 구성될 수 있다.
양태에서, 프리코딩된 심볼(1450)의 각각의 스트림은 자원 매핑된 심볼의 스트림을 생성하는 자원 맵퍼(1420)에 입력될 수 있다. 자원 맵퍼(1420)는 인접 블록 매핑, 랜덤화된 매핑 또는 매핑 코드에 따른 스파스 매핑을 포함할 수 있는 매핑에 따라 프리코딩된 심볼을 주파수 도메인 서브캐리어 및 시간 도메인 심볼에 매핑할 수 있다.
양태에서, 자원 매핑된 심볼(1455)은 시간 도메인 기저대역 심볼(1460)을 생성하는 멀티캐리어 생성기(1425)에 입력될 수 있다. 멀티캐리어 생성기(1425)는 예를 들어 역(inverse) 고속 푸리에 변환(fast Fourier transform)(FFT)으로서 주로 구현되는 역 이산 푸리에 변환(inverse discrete Fourier transform)(DFT) 또는 하나 이상의 필터를 포함하는 필터 뱅크를 사용하여 시간 도메인 심볼을 생성할 수 있다. 양태에서, 자원 매핑된 심볼(1455)이 Sk(i)로 표현 - 여기서 k는 서브캐리어 인덱스이고 i는 심볼 번호 인덱스임 - 되는 경우, 시간 도메인 복소수 기저대역 심볼 x(t)는
Figure pct00008
Figure pct00009
으로 나타낼 수 있고, 여기서 pT(t)는 프로토타입 필터 함수이고, Tsym은 심볼 기간의 시작 시간이고, τk는 서브캐리어 종속 시간 오프셋(subcarrier dependent time offset)이고, fk는 서브캐리어 k의 주파수이다.
프로토타입 함수 pT(t)는 예를 들어, 직사각형 시간 도메인 펄스(rectangular time domain pulse), 가우스 시간 도메인 펄스 또는 임의의 다른 적절한 함수일 수 있다.
일부 양태에서, 주파수 도메인에서 서브캐리어 및 시간 도메인에서 심볼 간격을 포함하는 송신 신호의 서브-구성요소는 자원 요소(resource element)라고 지칭될 수 있다.
도 15는 일부 양태에 따른, 그리드 형태로 묘사된 자원 요소(1505)를 도시한다. 일부 양태에서, 자원 요소는 주파수 도메인에서 복수의 서브캐리어(예를 들어, 12 개의 서브캐리어) 및 시간 도메인에서 하나의 슬롯에 포함된 P 개의 심볼을 포함하는 직사각형 블록으로 그룹화될 수 있다. 개수(P)는 6, 7 또는 임의의 다른 적절한 수의 심볼일 수 있다. 도 15의 묘사에서, 자원 블록(1500) 내의 각 자원 요소(1505)는 (k, 1)로서 인덱싱될 수 있고, 여기서 k는 0 내지 NxM-1 범위 내의 서브캐리어의 인덱스 번호이고, N은 자원 블록 내의 서브캐리어의 개수이고, M은 자원 블록의 개수이다.
도 16a, 도 16b, 도 16c 및 도 16d는 일부 양태에 따른, 코딩의 예를 도시한다. 도 16a는 일부 양태에서 사용될 수 있는 코딩 프로세스(1600)의 예를 도시한다. 코딩 프로세스(1600)는 데이터 또는 제어 정보를 인코딩할 수 있는 물리적 채널에 대한 코딩을 제공하는데 사용될 수 있는 하나 이상의 물리적 코딩 프로세스(1605)를 포함할 수 있다. 코딩 프로세스(1600)는 데이터 정보 및 제어 정보 중 하나 이상을 포함할 수 있고 그리고 하나 이상의 물리적 코딩 프로세스에 의해 인코딩되었을 수 있는, 하나 이상의 소스로부터의 정보를 결합함으로써 결합된 코딩된 정보를 생성하는 멀티플렉싱 및 인터리빙(1635)을 또한 포함할 수 있다. 결합된 코딩된 정보는 스크램블링된 코딩된 정보를 생성할 수 있는 스크램블러(1640)에 입력될 수 있다.
물리적 코딩 프로세스(1605)는 CRC 첨부 블록(1610), 코드 블록 세그멘테이션(1615), 채널 코딩(1620), 레이트 매칭(1625) 및 코드 블록 연접(code block concatenation)(1630) 중 하나 이상을 포함할 수 있다. CRC 첨부 블록(1610)은
Figure pct00010
로 표시된 입력 비트로부터
Figure pct00011
로 표시된 패리티 비트를 계산하여 출력 비트의 시퀀스
Figure pct00012
를 생성하고, 이에 따라 순서(L)의 미리 결정된 생성 다항식(g(D))에 의해 나누어질 때 출력 시퀀스 비트를 계수(즉, 다항식
Figure pct00013
으로서 사용하는 변수(D)의 유한 필드(GF(2))에 걸쳐 다항식이 미리 결정된 나머지를 갖는다. 양태에서, 미리 결정된 나머지는 0일 수 있고, L은 24일 수 있고, 미리 결정된 다항식 g(D)는
Figure pct00014
Figure pct00015
Figure pct00016
일 수 있다.
일부 양태에서, 코드 블록 세그멘테이션(1615)의 프로세스는 하나 이상의 세그멘트화된 코드 블록을 생성할 수 있고, 각각의 세그멘트화된 코드 블록은 코드 분할(1615)에 입력되는 데이터의 일부를 포함한다. 코드 블록 세그멘테이션(1615)은 선택된 채널 코딩 방식에 따라 결정되는 파라미터로서 최소 및 최대 블록 사이즈 제한을 가질 수 있다. 코드 블록 세그멘테이션(1615)은 최소 블록 사이즈 제한이 충족되는 것을 보장하기 위해, 필러 비트(filler bit)를 하나 이상의 출력 세그멘트화된 코드 블록에 추가할 수 있다. 코드 블록 세그멘테이션(1615)은 최대 블록 사이즈 제한이 충족되는 것을 보장하기 위해 프로세스에 입력된 데이터를 블록으로 분할할 수 있다. 일부 양태에서, 코드블록 세그멘테이션(1615)은 각각의 세그멘트화된 코드 블록에 패리티 비트를 첨부할 수 있다. 이러한 패리티 비트의 첨부는 하나 이상의 선택된 코딩 방식 및 생성될 세그멘트화된 코드 블록의 수가 1을 초과하는지에 기초하여 결정될 수 있다.
일부 양태에서, 채널 코딩(1620)의 프로세스는 다수의 코딩 방식 중 하나 이상에 따라 세그멘트화된 코드 블록으로부터 코드 워드를 생성할 수 있다. 예로서, 채널 코딩(1620)은 컨볼루션 코딩(convolutional coding), 테일 바이팅 컨볼루션 코딩(tail biting convolutional coding), 병렬 연접된 컨벌루션 코딩(parallel concatenated convolutional coding) 및 폴라 코딩(polar coding) 중 하나 이상을 이용할 수 있다.
일부 양태에 따른, 컨볼루션 코드 및 테일 바이팅 컨볼루션 코드 중 하나에 따라 데이터를 인코딩하는데 사용될 수 있는 인코더(1620)가 도 16b에 도시된다.
일부 양태에 따르면, 입력 데이터(1645)는 두 개 이상의 지연 요소(1650) 각각에 의해 연속적으로 지연되어, 현재 입력 데이터 및 현재 입력 데이터의 두 개 이상의 사본을 포함하는 요소로 구성된 데이터 워드를 생성하고, 각각의 사본은 상이한 수의 시간 유닛에 의해 각각 지연된다. 일부 양태에 따르면, 인코더(1620)는 하나 이상의 출력(1660, 1665 및 1670)을 생성할 수 있고, 각각의 출력은 입력 데이터(1645)와 두 개 이상의 지연 요소(1650)의 출력을 결합함으로써 생성된 데이터 워드의 요소의 선형 조합을 계산하여 생성된다.
일부 양태에 따르면, 입력 데이터는 이진 데이터일 수 있으며, 선형 조합은 하나 이상의 배타적 논리합 함수(1655)를 사용하여 계산될 수 있다. 일부 양태에 따르면, 인코더(1620)는 프로세서상에서 실행되는 소프트웨어를 사용하여 구현될 수 있으며 지연 요소(1650)는 입력 데이터(1645)를 메모리에 저장함으로써 구현될 수 있다.
일부 양태에 따르면, 컨볼루션 코드는 컨벌루션 인코더(1620)를 사용하고 지연 요소(1650)를 모든 제로 또는 임의의 다른 적합한 값일 수 있는 미리 결정된 값으로 초기화함으로써 생성될 수 있다. 일부 양태에 따르면, 테일 바이팅 컨볼루션 코드는 컨벌루션 인코더(1620)를 사용하고 지연 요소(1650)를 데이터 블록의 마지막 N 비트로 초기화함으로써 생성될 수 있으며, 여기서 N은 지연 요소(1650)의 수이다.
터보 코드라고 지칭될 수 있는 병렬 연접 컨볼루션 코드(parallel concatenated convolutional code)(PCCC)에 따라 데이터를 인코딩하는데 사용될 수 있는 일부 양태에 따른 인코더(16C100)가 도 16c에 도시된다.
일부 양태에 따르면, 인코더(16C100)는 인터리버(16C110), 상위 구성 인코더(16C115) 및 하위 구성 인코더(16C117)를 포함할 수 있다. 일부 양태에 따르면, 상위 구성 인코더(16C115)는 입력 데이터(16C105)로부터 하나 이상의 인코딩된 데이터 스트림(16C140 및 16C145)을 생성할 수 있다. 일부 양태에 따르면, 인터리버(16C110)는 입력 데이터(16C105)로부터 인터리빙된 입력 데이터(16C119)를 생성할 수 있다. 일부 양태에 따르면, 하위 구성 인코더(16C117)는 인터리빙된 입력 데이터(16C105)로부터 하나 이상의 인코딩된 데이터 스트림(16C150 및 16C155)을 생성할 수 있다.
일부 양태에 따르면, 인터리버(16C110)는 입력 데이터(16C105)에 포함된 데이터와 일대일 관계를 갖되, 데이터가 상이한 시간 순서로 배열되는 인터리빙된 출력 데이터(16C19)를 출력할 수 있다. 일부 양태에 따르면, 인터리버(16C110)는
Figure pct00017
- 여기서 각각의 ci는 입력 데이터 비트이며 K는 각각의 블록 내의 비트의 수임 - 으로 표현될 수 있는 입력 데이터(16C105)의 하나 이상의 블록을 입력으로 취하고, 하나 이상의 그러한 입력 블록 각각에 대응하는 출력을 생성하는 블록 인터리버이며, 그러한 입력 블록은
Figure pct00018
으로서 나타낼 수 있다.
Figure pct00019
는 이차 형식을 가질 수 있고
Figure pct00020
Figure pct00021
로 나타낼 수 있는 순열 함수이고, 여기서 f1 및 f2는 블록 사이즈(K)의 값에 종속할 수 있는 상수이다.
일부 양태에 따르면, 상위 구성 인코더(16C115) 및 하부 구성 인코더(16C117) 각각은 데이터 인코딩 단계 동안의 인코더 입력 비트 스트림 및 트렐리스 종료 단계 동안의 저장된 비트의 선형 조합 중 하나로부터 선택될 수 있는 선택된 입력 비트 스트림(16C119)을 생성할 수 있는 입력 비트 선택기(16C118)를 포함할 수 있다. 일부 양태에 따르면, 상부 구성 인코더(16C115) 및 하부 구성 인코더(16C117) 각각은 변위 레지스터로서 기능하도록 배열된 두 개 이상의 지연 요소(16C120)에 비트를 저장할 수 있고, 변위 레지스터로의 입력은 선택된 입력 비트 스트림(16C119)로부터의 비트와 이전에 저장된 비트의 선형 조합으로 이루어지고, 저장된 비트는 인코딩 단계 이전에 미리 결정된 값으로 초기화되고 트렐리스 종료 단계의 마지막에서 미리 결정된 값을 갖는다. 일부 양태에 따르면, 상위 구성 인코더(16C1(15) 및 하위 구성 인코더(16C117) 각각은 하나 이상의 출력(16C140 및 16C145)을 생성할 수 있고, 각각의 출력은 선택된 입력 비트 스트림(16C119) 및 저장된 비트의 선형 조합 중 하나일 수 있다.
일부 양태에 따르면, 상위 구성 인코더(16C115) 및 하부 구성 인코더(16C117) 각각은 인코딩 단계 동안
Figure pct00022
Figure pct00023
으로서 나타낼 수 있는 전달 함수를 가질 수 있다.
일부 양태에 따르면, 인코더(16C100)는 인터리버(16C110)에 입력되는 데이터 및 상부 구성 인코더(16C115) 및 하부 구성 인코더(16C117) 각각의 저장된 비트를 저장하는 메모리와 조합하여 프로세서상에서 실행되는 소프트웨어 명령어로서 구현될 수 있다.
일부 양태에 따른, 저밀도 패리티 검사(low density parity check)(LDPC) 코드에 따라 데이터 비트를 인코딩하는데 사용될 수 있는 인코더(16D200)가 도 16d에 도시된다.
일부 양태에 따르면, 인코더(16D200)에 입력되는 데이터 비트(16D230)는 데이터 저장소(16D210)에 저장될 수 있고, 저장된 데이터 비트는 패리티 비트 발생기(16D220)에 입력될 수 있고 인코딩된 비트(16D240)가 패리티 비트 발생기(16D220)에 의해 출력될 수 있다.
일부 양태에 따르면, LDPC 인코더(16D200)에 입력되는 데이터 비트는
Figure pct00024
으로 나타낼 수 있고, 인코딩된 데이터 비트(16D240)는
Figure pct00025
으로 나타낼 수 있으며, 패리티 비트(pi)
Figure pct00026
이 되도록 선택될 수 있고, 여기서 H는 패리티 검사 매트릭스이고, K는 인코딩될 블록 내 비트의 수이고, D는 인코딩된 비트의 수, D-K는 패리티 검사 비트의 수이다.
양태에 따르면, 패리티 검사 행렬(H)는 다음과 같이 표현될 수 있다:
Figure pct00027
여기서 Pai,j 는 ai,j에 의해 열을 주기적으로 오른쪽으로 변위함으로써 Z x Z 항등 행렬(identity matrix)로부터 얻은 제로 행렬(zero matrix) 또는 순환 순열 행렬(cyclic permutation matrix) 중 하나이고, Z는 구성 순열 행렬의 사이즈이고, 부호화된 비트 수(D)는 ZM과 동일하며, 인코딩될 블록 내의 비트 수(K)는 ZN과 동일하다.
입력이 진폭 및 위상일 수 있는 디지털 극변조 송신기(digital polar transmitter)(DTx)는 차세대 시스템을 통해 통신하는 디바이스가 예를 들어, 더 높은 효율 및 시스템-온-칩(System-on-a-Chip)(SoC) 통합의 잠재력을 제공하기 때문에 이러한 디바이스에 사용되는 집적된 상보 금속 산화물 반도체(Complementary Metal-Oxide-Semiconductor)(CMOS) 라디오의 유망한 아키텍처일 수 있다. DTx는 출력 신호의 진폭 변동 및 위상 변동을 사용하여 데이터를 제공할 수 있다. 그러나 다른 송신기와 마찬가지로 DTx는 차세대 시스템에서 사용되는 mmWave 주파수에서 광대역 위상 변조기를 구현하는 과제뿐만 아니라 DTx를 mmWave 속도로 구현하는 과제로 인해 더 낮은 주파수(전형적으로 <6GHz)로 제한되었다. 차세대 시스템에 필요한 채널 대역폭은 대략 100 MHz-GHz일 수 있으며, 단일 캐리어(single carrier)(SC) 및 직교 주파수-분할 멀티플렉싱(Orthogonal frequency-division multiplexing)(OFDM) 기반 변조 중 하나 또는 둘 모두를 사용한다. 즉, 다양한 채널 주파수에 걸쳐 기본 발진이 발생될 수 있지만, 더 높은 주파수에서 진폭 및 위상을 조정하는 것이 고려 사항이다.
또한, mmWave 주파수를 사용하는 경우, 진폭 변동의 불일치 및 mmWave 주파수 신호와 더 낮은 주파수 신호 사이의 대응하는 피크 전력 효율로 인해, DTx의 전력 효율은 그러한 주파수에서 실질적으로 감소될 수 있다. OFDM은 DTx에 의해 생성된 위상 변조 신호에 추가적인 스펙트럼 제한을 부과할 수 있다. 더 높은 mmWave 주파수에서 전파 손실이 더 높은 링크 예산을 충족시키려면, 이러한 링크는 다수의 사용자에 걸쳐 공간 채널의 사용을 최적화하기 위해 위상 배열(phased array) 및 다중 사용자 다중 입력 다중 출력(MIMO)에 의존할 수 있다. 실질적인 측면에서, 위상 배열(phased array)를 사용하면 각 디바이스에서 다수의 송신 및 수신 체인이 사용되어, 위의 전력 비효율성에 맞닥뜨리는 것 이외에 사용되는 송신 전력을 더욱 증가시킨다는 것을 의미할 수 있다. 그러므로 mmWave 주파수에서 DTx 효율을 개선하는 것이 유용할 수 있다.
양태에서, 이러한 문제를 개선하는데 도움을 주기 위해, 단일 캐리어 및 OFDM 기반 mmWave DTx 둘 모두에 적합할 수 있는 광대역 위상 변조기 아키텍처가 제공된다. 광대역 위상 변조기 아키텍처는 위상 배열 및 MIMO/MU-MIMO를 위한 다수의 병렬 전송 체인을 포함할 수 있다. 위상 변조기는 위상 배열을 구현하기 위해 위상 변위를 포함할 수 있다.
양태에서, DTx는 광대역 RF 신호의 낮은 연산자-합 표현법(operator-sum representation)(OSR) 극좌표 분해(polar decomposition)를 지원하는 위상 및 진폭 추출법을 사용할 수 있다. 실용적인 고려 사항(실현 가능성, 타이밍 마진, 전력 손실(power dissipation) 등)을 위해 낮은 GHz 주파수 대역에서 클록되는 디지털-대-시간 변환기(digital-to-time converter)(DTC) 기반 위상 변조기가 사용될 수 있다. 시간 인터리빙이 다수의 DTC 사이에서 사용되어 클록 주파수를 최대 약 10 GHz까지 증가시킬 수 있다. 또한, 변조 주파수를 RF 주파수로 상향 변환하기 위해 저조파 시리즈를 mmWave LC 발진기에 주입하는 것이 사용될 수 있다.
RF 통신 시스템은 종종 반도체 다이 상에 형성된 서브시스템(예를 들어, 전압 제어 발진기(voltage controlled oscillator)(VCO), 전력 증폭기)을 이용한다. 보다 구체적으로는, 이러한 서브시스템의 다양한 전자 요소(예를 들어, 캐패시터 및 인덕터)가 반도체 다이 상에 인쇄된다. 그러나 반도체 다이의 실리콘에 있는 본래의 저항은 다이에 인쇄된 인덕터의 품질(Q) 인자(인덕턴스를 저항으로 나눈 비율)를 현저히 감소시킨다.
도 17은 일부 양태에 따른 금속성 필러를 갖는 반도체 다이의 단면도(1702) 및 평면도(1704)이다. 도 17을 참조하면, 반도체 다이(1706)는 복수의 필러(1708)를 포함한다. 반도체 다이(1706)는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 통합될 수 있지만, 반도체 다이(1706)는 이것으로 제한되지 않는다.
양태에서, 필러(1708)는 다이와의 RF 연결을 위해 사용될 수 있는 구리 필러일 수 있다. 보다 구체적으로, 구리 필러는 반도체 다이(1706)를 반도체 다이 패키징(도시되지 않음)에 연결하는 금속 구조로서 사용될 수 있다. 일부 양태에서, 땜납 기반 범프 및 볼과 같은 다른 금속 구조가 필러(1708)로서 사용될 수 있다. 구리 필러(1708)는 금속화 콘택 패드(또는 콘택)(1710)를 통해 반도체 다이(106)에 부착될 수 있다. 일부 양태에서, 구리 필러(1708)는 원하지 않는 구리가 에칭되어 다이 금속화 콘택(1710)에 부착된 구리 필러(1708)만 남기는 하나의 연속 에칭 프로세스에서 만들어 질 수 있다.
도 18a는 일부 양태에 따른 상호연결 구조의 제 1 유형을 형성하는 금속성 필러(1808)를 갖는 반도체 다이(1806)의 단면도(1802A) 및 평면도(1804A)를 제공한다. 단면도(1802A)를 참조하면, 금속성 필러(1808)는 다단계 빌드 업(build up) 및 에칭 프로세스에 따라 형성될 수 있다. 보다 구체적으로, 금속성 필러(1808)는 다이 금속화 콘택(1810) 상에 단계적으로 빌드업되어 에칭될 수 있으며, 여기서 각각의 빌드 업 및 에칭 단계 동안 별도의 금속화 층이 생성된다. 도 18a에 도시된 바와 같이, 제 1 에칭 단계 동안, 금속화 층(1812)이 생성된다. 추가적인 빌드 업 및 에칭 단계 동안, 적어도 두 개의 필러 사이에 상호연결 구조가 생성될 수 있다. 예를 들어, 금속화 층(1814)을 생성하는 에칭 단계 동안, 층(1814)에 사용되는 금속화 재료에 의해 상호연결 구조(1822A)가 형성될 수 있다. 이러한 에칭 단계 동안, 층(1814)을 위한 금속화 재료가 적어도 두 개의 필러 사이에서 에칭되지 않으므로 적어도 두 개의 필러를 연결하는 층(1814)에 의해 상호연결 구조가 형성된다.
후속 빌드 업 및 에칭 단계 동안, 금속화 층(1816)이 층(1814)의 상부에 배치된다(금속화 상호연결 구조는 층(1816)과 결합되지 않는다). 금속화 층(1818)을 생성하는 후속 빌드 업 및 에칭 단계 동안, 층(1818)에 사용되는 금속화 재료에 의해 상호연결 구조(1824A)가 형성될 수 있다. 최종 에칭 단계 동안, 금속화 층(1820)이 층(1818)의 상부에 배치되며, 층 상부에서 금속화되지 않은 상호연결 구조가 층(1820)과 결합된다.
일부 양태에서, 상호연결 구조(1822A 및 1824A)는 반도체 다이(1806) 콘택에 직접 연결되는 고품질(Q) 인자의 유도성 요소로서 기능할 수 있고, 그러한 하이-Q 인덕터로부터 이익을 얻을 수 있는 RF 회로를 제공할 수 있다. 예시적인 RF 회로는 반도체 다이(1806) 내에 부분적으로 또는 완전히 통합될 수 있는, 발진기, 전력 증폭기, 저잡음 증폭기 및 다른 회로를 포함할 수 있다.
일부 양태에서, 상호연결 구조(1822A)는 상호연결 구조(1824A)로부터 멀리 떨어져 위치(1832)에 위치될 수 있다. 다른 예에서, 상호연결 구조(1822A)는 위치(1830)에서 보았을 때, 나란하게 및/또는 부분적으로 겹쳐서 위치될 수 있다. 일부 양태에서, 위치(1830 또는 1832)에 존재하는 상호연결 구조의 선택은 상호연결 구조(1822A 및 1824A)와 결합된 결과적인 커플링 및 상호 인덕턴스를 기초로 할 수 있다. 이 경우, 두 개의 상호연결부 가 나란하게 및/또는 부분적으로 겹쳐서 위치될 때, 상호연결 구조 사이에는 커플링 영역(1826)이 생성된다. 이러한 커플링 영역은 금속성 필러(1808)와 결합된 상호연결 구조에 의해 적어도 부분적으로 구현되는 하이-Q 유도성 요소를 설계하는데 사용될 수 있다.
일부 양태에서, 상호연결 구조(예를 들어, (1824A) 및 (1822A))가 동일한 필러 층(또는 에칭 단계)을 사용하여 생성될 때, 또는 상호연결 구조가 상이한 필러 층을 사용하여 생성될 때, 횡방향으로 평행한 커플링(예를 들어, (1826))이 생성될 수 있다.
일부 양태에서, 필러(1808)와 결합된 하나 이상의 층(1812 내지 1820)을 사용하여 두 개 초과의 상호연결 구조가 형성될 수 있다. 또한, 상호연결 구조는 도 18a에 도시된 바와 같이 에어 갭에 의해 분리될 수 있다. 보다 구체적으로, 상호연결 구조(1822A)는 에어 갭(1807)에 의해 반도체 다이(1806)로부터 분리된다. 상호연결 구조(1822A)는 또한 층(1816) 내에 형성된 다른 에어 갭(1809)에 의해 상호연결 구조(1824A)로부터 분리된다.
일부 양태에서, 상호연결 구조는 필러(1808)의 마지막 층을 사용하여 형성될 수 있다. 이와 관련하여, 상호연결 구조가 마지막 층(1820) 상에 배치될 때, 상호연결 구조는 다이가 부착되는 (도 19에서 (1902)로 도시된) 패키지 라미네이트와 직접 접촉할 것이고, 또는 상호연결 구조는 라미네이트로부터 격리될 수 있고 다이 상에서 직접 회로를 덮을 수 있다.
도 18b는 일부 양태에 따른 제 2 유형의 상호연결 구조를 형성하는 금속성 필러(1808)를 갖는 반도체 다이(1806)의 단면도(1802B) 및 평면도(1804B)이다. 도 18b에 도시된 금속화 필러를 생성하는 프로세스는 상호연결 구조(1822B 및 1824B)가 상호연결 구조(1822A 및 1824A)와 비교하여 반도체 다이(1806) 상에 상이한 형상 및 위치를 가질 수 있다는 점을 제외하고는 도 18a를 참조하여 설명된 바와 동일할 수 있다.
도 18b를 참조하면, 상호연결 구조(1822B 및 1824B)는 변압기 구현예를 포함하는 다양한 유도성 구현예와 함께 사용될 수 있는 권선형 유도성 요소를 형성할 수 있다. 일부 양태에서, 상호연결 구조(1824B 및 1822B)는 변압기의 1 차 권선 및/또는 2 차 권선 내의 요소일 수 있다. 또한, 상호연결 구조(1822B 및 1824B)는 커플링 구역(1834)이 생성되도록 부분적으로 또는 완전히 중첩될 수 있다.
도 18c는 일부 양태에 따른 제 3 유형의 상호연결 구조(1822C 및 1824C)를 형성하는 금속성 필러를 갖는 반도체 다이(1806)의 단면도(1802C) 및 평면도(1804C)이다. 보다 구체적으로는, 상호연결 구조(1822C 및 1824C)는 도 18a에 도시된 바와 같이 각각 동일한 층(1814 및 1818) 상에 배치될 수 있다. 그러나, 상호연결 구조(1822C 및 1824C)는 서로 교차할 수 있다.
도 19는 일부 양태에 따른 필러가 패키지 라미네이트에 부착되는 상호연결 구조를 형성하는 금속성 필러를 갖는 반도체 다이의 단면도(1900)이다. 보다 구체적으로, 반도체 다이(1906)는 층(1912, 1914, 1916, 1918 및 1920)에 의해 형성된 금속성 필러(1808)를 포함할 수 있다. 반도체 다이(1906)는 도 18a에 도시된 바와 같이 형성된 상호연결 구조(1822A 및 1824A)를 포함할 수 있다. 금속성 필러(1808)는 연결 경로(1910)를 사용하여 반도체 다이(1906)에 부착될 수 있다. 또한, 금속성 필러(1808)는 커넥터 패드(1904)를 사용하여 패키지 라미네이트(1902)에 부착될 수 있다.
무선 통신을 위한 이동 디바이스의 물리적 공간은 그러한 디바이스의 폼 팩터 내에 포함되는 기능성의 몫 때문에 확보하기가 어렵다. 다른 이유 중에서도, 방사된 전파의 공간 커버리지를 제공해야 하고 이동 디바이스가 다른 장소로 이동함에 따라 신호 강도를 유지해야 하기 때문에, 그리고 또한 사용자가 이따금 이동 디바이스를 다른 방향으로 향하게 할 수 있어, 일부 양태에서는 극성을 변동시켜야 하고 방사된 전파의 다양한 공간 다이버시티를 시시각각 변동시켜야 하기 때문에, 어려운 문제가 발생한다.
밀리미터파(mmWave) 주파수에서 동작하는 안테나를 포함하는 패키지를 설계할 때, 공간의 효율적인 사용은 필요한 안테나의 개수, 안테나의 방사 방향, 안테나의 편파 및 이와 유사한 요구와 같은 문제를 해결하는데 도움을 줄 수 있다. 무선 통신 이동 디바이스의 섀시 내의 PCB와 같은 다층 라미네이트 구조를 효율적으로 사용하려면 RFIC 송수신기 다이의 배치 및 아마도 디바이스의 이산적인 구성요소의 배치를 위해 라미네이트 구조 내부에 캐버티를 포함시킴으로써 효과적으로 사용될 수 있다. 일부 양태에서, 다이는 플립-칩(flip-chip)(FC) 다이일 수 있다. 라미네이트 구조는 안테나가 층 구조에 매립될 수 있는 서브시스템을 포함할 수 있고 더 넓은 공간 커버리지를 위해 서브시스템의 상부, 하부 및 측면 상에서 구현될 수 있다.
도 20a는 일부 양태에 따른, 본 개시내용에서 설명된 바와 같은 사용자 디바이스 서브시스템의 횡단면도이다. 사용자 디바이스 서브시스템은 2000으로 표시되어 있다. 사용자 디바이스 서브시스템(2000)은 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325) 및 안테나 배열 회로(330)에 통합될 수 있지만, 사용자 디바이스 서브시스템(2000)은 이것으로 제한되지 않는다.
일부 양태에서, 라미네이트 구조(2001)는 캐버티(2003)를 포함한다. RFIC 및 동반하는 구성요소가 상주할 수 있는 캐버티는 FC 다이 및 이산적 구성요소 위의 원하는 높이 간격에 도달할 까지 윈도우 개구부가 있는 라미네이트 층을 FC 다이 및 이산적 구성요소를 가진 다른 라미네이트 층에 차례로 적층함으로써 형성될 수 있다. 그런 다음 캐버티는 캐버티를 닫아 캐버티를 "지붕"으로 만드는 하나 이상의 전체 층으로 덮일 수 있다. "상부", "하부", "측면" 및 "지붕"과 같은 방향성 용어는 본 명세서에서 도면의 방향에 대해 사용된다. 캐버티는 제조 설계 규칙(예를 들면, 어셈블리 정확성)을 또한 감안하면서 FC 다이 및 임의의 이산적인 구성요소가 캐버티 내부에 들어맞을 수 있을 정도로 충분히 클 수 있다. 각 어셈블리 하우스는 관련된 실제 재료의 함수일 수도 있는 상이한 설계 규칙을 가질 수 있다. 예를 들어 비스말레이미드 트리아진(bismaleimide triazine) (BT) 라미네이트 재료의 규칙은 FR4 라미네이트 재료의 규칙과 아주 상이하다.
일부 양태에서, RFIC 다이(2006)는 캐버티(2003) 내에 구현되고, 일부 양태에서는 일부 양태에서 리플로우 솔더 범프일 수 있는 솔더 범프(2005)에 의해 캐버티의 바닥에 고정된다. 열 음향(thermosonic), 열 압착(thermocompression) 및 접착식 접합 범프(adhesively bonded bump)와 같은 다른 유형의 범프가 사용될 수 있다. 일부 양태에서, 이들은 또한 RFIC 다이(2006)의 라미네이트 인쇄 회로와의 전기적 인터페이스로서도 작용한다. 일부 양태에서, RFIC를 라미네이트의 인쇄 회로에 전기적으로 연결하기 위해 상향 와이어 본딩(up-facing wire bonding)이 또한 사용될 수도 있다. 이산적인 구성요소(2007)는 또한 구현예를 위해 적절하다면 캐버티 내에 포함될 수 있다.
일부 양태에서, 다이 및 이산적인 구성요소를 둘러싸는 것은 무선 주파수 간섭(radio frequency interference)(RFI) 및 전자기장 간섭(electromagnetic interference)(EMI)으로부터 회로를 보호하는 실드(shield)로서 사용될 수 있는 이하에서 추가로 설명되는 접지 케이지(2008)이다. 캐버티에 배치되는 RFIC는 층 사이에 이어지는 금속화 접지 층, 접지 평면 및 비아의 도움으로 설명된 접지 케이지에 넣어져서 RFI/EMI로부터 보호 받을 것이다. 전형적으로 RF 칩 및 회로는 규제 요건을 충족시키기 위해 RFI/EMI 관점에서 차폐되어야 한다. 여기서 이 구현은 해당한다면 RF 회로가 라미네이트 디바이스 및 비아의 층을 이용한 금속화에 의해 둘러싸일 수 있는 캐버티 내에 내장되어 실드인 패러데이 케이지(Faraday Cage)를 만드는 이점을 이용한다.
차폐된 캐버티 내에 구성요소가 내장되는 경우, 아래에서 논의되는 바와 같이 안테나는 차폐된 인클로저(shielded enclosure)의 외부 주위에 구현될 수 있고, 이에 따라 이들 안테나가 여러 측면으로부터 PCB 상에 또는 PCB 내에 내장/인쇄 또는 조립되어 안테나의 공간 커버리지를 더 크게 해줄 수 있다는 사실을 이용할 수 있다. 안테나의 관점에서 보면, 라미네이트 구조 내의 실드 케이지는 안테나 접지로서 또는 반사기로서 작용하여 안테나 이득을 증가시키고 보다 지향적인 방사 패턴을 생성할 수 있다. 또한, 캐버티는 RFIC 자체뿐만 아니라 캐버티 내부의 임의의 다른 회로를 물리적으로 보호한다.
안테나 요소(2011A 내지 2011G)는 일부 양태에 따른, 서브시스템 내에서 구현된다. 안테나는 다양한 유형일 수 있다. 예를 들어, 패치 안테나가 (2011G)에서와 같이 측면에 다이폴 안테나를 사용하여, 구조의 상부와 하부에서 각각 위와 아래로 향하게 하여 구현할 수 있다. 다른 안테나 유형도 가능한다. 일부 양태에서, 아래에서 더 상세히 설명되는 바와 같이 노출된 전기 콘택이 일측면에 있을 수 있기 때문에 측면 안테나는 세 개의 측면에서 구현될 것이다.
일부 양태에서, 안테나 요소(2011A 내지 2011C)는 "아래로" 향하여 구현된다. 안테나 요소(2011D 내지 2011F)는 구조의 상부에서 "위"로 향하여 배치된다. 안테나(2011A 내지 2011G)의 각각은 복수의 안테나 요소일 수 있다. 예를 들어, (2011A1 내지 2011AN)은 안테나 요소(2011A)를 일부 양태에서 배열일 수 있는 N 개의 안테나 요소로서 지정하는데 사용될 수 있다. 다시 말해서, 일부 양태에서, 예를 들어, (2011A)로 도시된 안테나는 또한 (2011A-1, . . ., 2011AN)과 같은 N 개 요소의 안테나 배열일 수 있다. 또한, 배열(2011D1 내지2011DN)가 있을 수 있다. 또한, 이러한 배열 내의 안테나 요소는 라미네이트 구조(2001)의 상부 및 하부 표면 상에서 단일 배열 내에 있는 안테나 요소(2011C1 내지 2011CN 및 2011E1 내지 2011EN)의 일부와 같이, 상이한 형성으로 분포될 수 있다.
일부 양태에서, 안테나 요소(2011G)는 측방에 배치될 수 있고 에지-파이어(edge-fire) 또는 엔드 파이어(end fire) 방사를 위해 구성될 수 있다. (2011G1 내지 2011GN)이라는 명명은 배열 내에 있을 수 있는 (페이지 "안쪽으로" 또는 페이지 밖에서 보았을 때, 절단함으로 인해 숨겨진) N 개의 안테나 요소(2011G)를 표시하는데 사용될 수 있다. 전송 선로(2009A 내지 2009G)는 RFIC 다이로부터 안테나로/로부터의 RF 연결을 제공하는 트레이스일 수 있다. 급전되는 안테나가 실제로 안테나 배열, 예를 들면, (2011A1 내지 2011AN)이면, 배열에 급전하는 RF 트레이스는 일부 양태에서 (2009A1, . . . ., 2009AN)으로 지정될 수 있는 RF 트레이스의 배열일 수 있다. RFIC로부터의 RF 트레이스는 주어진 층을 따라 양 옆으로 층 구조를 통해 또는 다른 층에 도달하는 비아를 통해 다양한 안테나 요소에 급전할 수 있다. RF 트레이스는 마이크로 스트립, 스트립 라인 또는 다른 적합한 컨덕터일 수 있다. 안테나에 이르는 RF 트레이스는 일부 양태에서 차폐된 캐버티(2003)의 개구부를 통해 도달할 수 있다. 이러한 RF 피드의 일부 섹션은 캐버티 내부에 있을 수 있으며 일부 양태에서는 일부 외부에 있을 수 있다. 본 명세서에서는 캐버티(2003) 외부에서 이어지는 것으로 도시 되지만, 대안적인 양태는 RF 트레이스가 일차로 캐버티(2003) 내부에서 심지어 수직으로도 이어지고, 그런 다음 상부 (또는 측면)에서 실드 케이지의 개구부(비아 홀 또는 횡방향 트레이스)를 통과하여 안테나 요소에 도달하게 할 수 있다. 이것은 도 20b 및 도 21와 관련하여 아래에서 추가로 상세하게 논의된다.
다층 라미네이트 구조의 층(2013)은 일부 양태에 따른, RFIC를 캐버티 외부에 있는 시스템의 적절한 부분에 전기적으로 연결하는 전기 콘택이 구현될 수 있는 층을 표시한다. 이들 콘택은 도 20b와 관련하여 아래에서 논의된다. 이러한 사례에서, 전기 콘택(도 20a에서 (2013)에서 도시되지 않음)은 페이지의 안쪽 또는 바깥쪽에 존재할 것이다(예를 들어, 단면도 뒤에 숨겨져 있을 것이다).
도 20b는 일부 양태에 따른, 도 20a의 라미네이트 구조의 받침부 부분을 도시한다. 도 20b는 위에서 간략하게 논의한 받침부(2021)를 도시한다. 도 20a의 절단도는 도 20b에 도시된 절단선(20A-20A)과 관련하여 절취된다. 도 20b에 도시된 전기 콘택(2023)은 일부 양태에서 도 20a의 층(2013)에서 구현된 것과 동일한 논의된 전기 콘택이다. 이러한 구현을 위해 다른 층이 사용될 수 있다.
캐버티(2003)는 받침부(2021) 내에 구성된 것으로 도시된 라미네이트 구조 내에 배치된 바와 같이 숨은 선(hidden line)으로 도시된다. 받침부는 전기 콘택을 위한 표면으로서의 역할을 할 수 있고, 라미네이트 구조가 연결될 수 있는 마더보드(motherboard)(MB)에 부착하는 방법으로서 사용될 수 있다. 전기 콘택(2023)은 또한 서브시스템으로부터 MB까지의 열 도관으로서의 역할을 할 수 있다. MB는 도 20a의 (하나의 예로서) 층(2013)과 관련하여 위에서 논의한 바와 같이 배치된 적절한 상보적 콘택을 가질 것이므로, 일부 양태에 따라, 서브시스템은 MB에 쉽게 부착될 수 있고 전기적 및 열적으로 MB에 적절한 인터페이스를 만들어 줄 수 있다. 적절한 소켓에 연결되어 있는 전기 콘택은 일부 양태에서, RFIC 다이로부터 MB 로의 유일한 기계적 연결부이다. 대안적으로, 이들은 적절한 상보적 콘택을 통해 MB에 직접 납땜 부착될 수 있다. 일반적으로, 열은 전도할 양호한 금속을 필요로 하고, 이러한 노출된 전기 콘택(2023)은 일부 양태에서, 다층 구조의 접지 층을 사용하는 많은 사례에서, 라우팅의 금속화를 따라 캐버티 내부의 다이로부터 열을 끌어 당기는 열 싱크 경로로서의 역할을 할 수 있다. 특정 양의 열이 PCB 재료를 통해 전도되지만, 이러한 열 교환 방식은 열 전달을 위한 금속화 콘택만큼 효율적이지 않다.
위에서 간략히 논의된 바와 같이, 안테나에 급전하는 RF 트레이스는 차폐된 캐버티(2003) 내의 개구를 통과할 수 있다. 이들 RF 피드의 일부 섹션은 캐버티 내부 및 일부는 외부에 있을 수 있다. 본 명세서에서는 캐버티 외부에서 이어지는 것으로 도시되지만, 일부 양태에 따르면, 대안적인 양태는 RF 트레이스가 먼저 캐버티(2003) 내부에서 수직으로도 이어지고, 그런 다음 상부(또는 측면)에서 실드 케이지의 개구부(비아 홀 또는 횡방향 트레이스)를 통과하여 안테나 요소에 도달하게 할 수 있다. 이것은 도 21 및 도 22에서 볼 수 있다. 도 21은 일부 양태에 따른, 도 20a의 라미네이트 구조의 캐버티 내부의 RF 피드를 도시한다. 캐버티(2103)는 도 20a의 라미네이트 구조의 캐버티(2003)와 유사하다. 실드(2108)를 접지할 수 있는 접지 평면 층(2113)은 도면에 도시된 구조의 상부의 접지 층이며, 이 접지 평면 층은 명확함을 위해 도시되지 않은 수직 비아와 접촉을 이룬다. 접지 층(2108)은 도시된 라미네이트 구조에서 자신의 존재를 표시하기 위해 점선으로 도시된다.
일부 양태에서, 수직 접지 비아(2110)는 캐버티(2103)의 주변 둘레에 위치되고 위에서 논의한 패러데이 케이지의 일부일 수 있다. RF 트레이스(2109A, 2109B, 2109C, 2109D 및 2109E)는 RFIC 다이(2106)에 전기적으로 연결되어 구성되며, RFIC 다이(2106)는 캐버티(2103) 내부에서 다른 층의 접지 평면 아래에 있을 수 있다. RF 트레이스는 도 20a의 라미네이트 구조(2001) 상에 구성되거나 그 내부에 구성된 안테나(2001)용 RF 피드를 포함한다. RF 트레이스(2009A, 2009B 및 2009C)는 캐버티(2003) 내부로 이어질 수 있고 안테나 요소(2011A, 2011B 및 2011C)에 급전하는 비아 사이의 접지 케이지(도 20a에서 설명됨)의 측방향 외부로 빠져 나간다.
이들 안테나 요소(2011A, 2011B, 및 2011C)는 일 예에서 다이폴로서 도시된 에지-파이어 안테나 요소일 수 있다. RF 트레이스(2109D 및 2109E)는 일부 양태에 따른, 비아(2112D 및 2112E)를 사용하여 접지 실드를 통과한다. 이것은 도 2에서 보다 명확하게 도시된다. 도 22는 일부 양태에 따른, 접지 평면 층을 통해 수직으로 전이하는 RF 피드 트레이스를 도시한다. RF 트레이스(2209D 및 2209E) 각각 금속화 내의 구멍 또는 개구부(2212D2, 2212E2)를 거쳐 접지 평면 층을 통과하여 신호 비아가 (일부 양태에서는 비아(2212D1 및 2212E1)를 통해) 지나가서 다이(2206)로부터 안테나 또는 안테나 요소(2211D 및 2211E)에 도달할 수 있게 한다. 안테나, 또는 안테나 요소(2211D 및 2211E)는 일부 양태에 따른, 라미네이트 구조(2001)의 적절한 레벨에 있을 수 있음을 표시하기 위해 점선으로 도시된다. 안테나 또는 안테나 요소(2211D 및 2211E)는 패치 안테나로 도시되지만, 임의의 적절한 안테나 또는 안테나 요소일 수 있다. 비아(2212D1, 2212E1)는 각 비아가 라미네이트 구조(2001)의 적절한 레벨에 연결되어, 직접적으로 또는 일부 양태에서는 비아를 안테나에 연결하는 추가의 RF 트레이스를 통해, 안테나(221ID 및 221IE)에 급전할 수 있음을 표시하기 위해 과도하게 큰 사이즈로 도시된다.
RF 통신 시스템은 종종 반도체 다이 상에 형성된 서브시스템(예를 들어, 전압 제어 발진기(VCO), 전력 증폭기, 송수신기, 모뎀 등)을 이용한다. 종종, 패키징된 칩은 안테나 요소를 위치시키는 공간을 제한 받는데, 특히 다수의 유형의 신호 통신 시스템이 단일 칩 상에 구현되는 경우에 그러하다.
도 23은 일부 양태에 따른, 동일 위치에 배치된 mmWave 안테나 및 근접장 통신(near field communication)(NFC) 안테나를 갖는 반도체 패키지(2300)의 여러 도면을 도시한다. 반도체 패키지(2300)는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 반도체 패키지(2300)는 이러한 것으로 제한되지 않는다.
도 23을 참조하면, 반도체 패키지(2300)는 PCB 기판(2302) 상에 구현될 수 있다. PCB 기판은 구성요소 면(2302A) 및 인쇄 면(2302B)을 포함할 수 있다. 일부 양태에서, 구성요소 면(2302A)은 신호 프로세싱 기능을 수행하는 하나 이상의 회로(또는 서브시스템)를 포함할 수 있다. 예를 들어, 구성요소 면(2302A)은 RF 프론트 엔드 모듈(RFEM)(2310) 및 기저대역 서브시스템(BBS)(2312)을 포함할 수 있다. RFEM(2310) 및 BBS(2312)는 각각 도 26 및 도 27에서 보다 상세하게 도시된다. 일부 양태에서, PCB 기판은 또한 NFC 신호를 수신하고 송신하도록 구성될 수 있는 근접장 통신(NFC) 서브시스템(2318)을 포함할 수 있다.
일부 양태에서, RFEM(2310)은 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고 위상 안테나 배열을 사용하여 송신하기 위해 BBS(2312)에 의해 생성된 하나 이상의 중간 주파수(IF) 신호를 처리하도록 구성될 수 있다. RFEM(2310)은 또한 위상 안테나 배열을 통해 하나 이상의 RF 신호를 수신하고, BBS(2312)에 의한 추가 처리를 위해 RF 신호를 IF 신호로 변환하도록 구성될 수 있다.
일부 양태에서, RFEM(2310)은 하나 이상의 mmWave 대역의 mmWave 신호를 처리하도록 구성될 수 있다. 또한, 위상 안테나 배열(또는 위상 안테나 배열의 서브세트)는 PCB 기판(2302)의 인쇄 면(2302B) 상에서 안테나 배열(2316)로서 구현될 수 있다. 네 개의 패치 안테나가 위상 안테나 배열(2316)로서 도시되지만, 본 개시내용은 이것으로 제한되지 않으며, 다른 유형 (및 상이한 수의) 안테나가 위상 안테나 배열(2316)로서 사용될 수 있다. 또한, 위상 안테나 배열(2316)는 mmWave 신호 또는 다른 유형의 무선 신호를 송신 및 수신하는데 사용될 수 있다.
일부 양태에서, 위상 안테나 배열(2316)는 근접장 통신(NFC) 안테나(2314)와 동일 위치에 배치될 수 있다. 도 23에 도시된 바와 같이, NFC 안테나(2314)는 PCB 기판(2302)의 인쇄 면(2302B) 상에서 위상 안테나 배열(2316) 주위에 배치된 인덕터 요소로서 구현될 수 있다. 일부 양태에서, NFC 안테나(2314)는 위상 안테나 배열(2316)과 동일 위치에 배치될 수 있는 다수의 인덕터 요소(예를 들면, 다중 층 인덕터)을 포함할 수 있다.
일부 양태에서, RFEM(2310) 및 BBS(2312)는 하나 이상의 통신 네트워크에서 하나 이상의 무선 표준 또는 프로토콜과 관련하여 무선 신호를 처리하기 위해 사용될 수 있다. 예시적인 통신 네트워크는 근거리 통신망(local area network)(LAN), 광역 네트워크(wide area network)(WAN), 패킷 데이터 네트워크(packet data network)(예를 들어, 인터넷), 이동 전화 네트워크(예를 들어, 셀룰러 네트워크), 기존 전화(Plain Old Telephone)(POTS) 네트워크 및 무선 데이터 네트워크(예를 들어, 그 중에서도, Wi-Fi®로 알려진 전기전자 기술자 협회(Institute of Electrical and Electronics Engineers)(IEEE) 802.11 표준 패밀리, WiMax®로 알려진 IEEE 802.16 표준 패밀리, 롱텀 에볼루션(Long-Term Evolution)(LTE) 표준 패밀리, IEEE 802.15.4 표준 패밀리, 5G 무선 통신 표준 또는 프로토콜(28 GHz, 37 GHz 및 39 GHz 통신 대역의 통신을 포함함), 범용 이동 통신 시스템(Universal Mobile Telecommunications System)(UMTS) 표준 패밀리, 피어-투-피어(P2P) 네트워크)를 포함할 수 있다.
도 24는 일부 양태에 따른 위상 배열 안테나 배열을 갖는 무선 주파수 프론트 엔드 모듈(RFEM)을 도시한다. 도 24를 참조하면, PCB 기판(2302)의 양 측상에서 구현된 예시적인 위상 안테나 배열을 사용하는 RFEM(2310)이 도시된다. 보다 구체적으로, 위상 안테나 배열(2400)은 제 1 복수의 안테나(2402 내지 2408), 제 2 복수의 안테나(2410 내지 2414), 제 3 복수의 안테나(2416 내지 2422), 제 4 복수의 안테나(2424 내지 2428), 제 5 복수의 안테나(2432) 및 제 6 복수의 안테나(2434)를 포함할 수 있다.
일부 양태에서, 안테나(2402 내지 2428 및 2432)는 PCB 기판(2302)의 일 측면 상에 배치될 수 있다. 제 6 복수의 안테나(2434)는 (도 23에 도시된 안테나 배열(2316)와 유사하게) PCB 기판(2302)의 대향 측면 상에 배치될 수 있다. 일부 양태에서, 제 1, 제 2, 제 3 및 제 4 복수의 안테나(2402 내지 2428)는 (도 24에 도시된 바와 같이) PCB 기판(2302)의 네 개의 대응하는 에지를 따라 배치될 수 있다(도 24 참조). 제 5 복수의 안테나(2432)는 PCB 기판(2302)의 에지로부터 먼 영역에 배치될 수 있다. PCB 기판(2302)은 또한 위상 안테나 배열(2400) 용 피드 라인으로서 사용될 수 있는 연결 단자(2430)를 포함할 수 있다. 이와 관련하여, 안테나(2402 내지 2428, 2432 및 2434)를 포함하는 위상 안테나 배열은 PCB 기판(2302)에 대해 북쪽, 남쪽, 서쪽, 동쪽, 위쪽 및 아래 방향의 신호 커버리지를 제공할 수 있다.
일부 양태에서, 안테나(2402 내지 2428, 2432 및 2434)를 포함하는 위상 안테나 배열은 다이폴 안테나 및 패치 안테나와 같은 상이한 유형의 안테나를 포함할 수 있다. 일부 양태에서, 위상 안테나 배열도 다른 유형의 안테나를 사용하여 구현될 수 있다. 일부 양태에서, 위상 안테나 배열(2400)의 하나 이상의 안테나는 RFEM(2310)의 일부로서 구현될 수 있다. 또한, PCB 기판(2302)은 NFC 안테나(도 24에 도시되지 않음)를 포함할 수 있고, NFC 안테나는 위상 안테나 배열(2400)의 하나 이상의 안테나와 동일 위치에 배치될 수 있다. 예를 들어, NFC 안테나는 PCB 기판(2302)의 동일한 측면상에서 안테나(2434)와 동일 위치에 배치될 수 있다.
도 25는 일부 양태에 따른, 이동 디바이스 내의 RFEM의 예시적인 위치를 도시한다. 도 25를 참조하면, 복수의 RFEM(2502)을 포함하는 이동 디바이스(2500)가 도시된다. 각각의 RFEM(2502)은 예를 들어 도 23에 도시된 바와 같이 동일 위치에 배치된 NFC 안테나 및 mmWave 위상 배열 안테나를 포함할 수 있다. 도 25에서 알 수 있는 바와 같이, 각각의 RFEM(2502)은 하나의 RFEM이 인간의 손에 의해 덮이는 경우에 다른 RFEM으로부터 안테나 커버리지가 제공되도록 스크린 영역(예를 들어, 베젤 영역)으로부터 떨어져 있을 수 있다.
도 26은 일부 양태에 따른, 예시적인 RFEM의 블록도이다. 도 26을 참조하면, RFEM(2610)은 동축 케이블(2612)을 통해 BBS(2612)에 연결된다. RFEM(2610)은 위상 안테나 배열(3724), RF 수신기(2604), RF 송신기(2606), LO 생성기(2608), 트리플렉서(2610) 및 스위치(2603)를 포함할 수 있다. RF 수신기(2604)는 복수의 전력 증폭기(2616), 복수의 위상 변위기(2618) 및 가산기(2620), 및 증폭기(2622) 및 증폭기(2626) 및 체배기(2624)를 포함할 수 있다. RF 송신기(2606)는 체배기(2638), 증폭기(2638), 증폭기(2636 및 2640), 가산기(2634), 복수의 위상 변위기(2632) 및 복수의 증폭기(2630)를 포함한다. RFEM(2310)은 중간 주파수(IF) 증폭기(2627 및 2641)를 더 포함할 수 있다.
예시적인 수신 동작에서, 스위치(2603)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 위상 안테나 배열(2602)은 복수의 신호(2614)를 수신하기 위해 사용될 수 있다. 수신 신호(2614)는 증폭기(2616)에 의해 증폭될 수 있고 위상은 상응하는 위상 변위기(2618)에 의해 조정될 수 있다. 각각의 위상 변위기(2618)는 제어 회로로부터 개개의 위상 조정 신호(도 26에 도시되지 않음)를 수신할 수 있는데, 개개의 위상 조정 신호는 위상 안테나 배열(2602)를 통해 수신된 신호를 처리할 때 원하는 신호 방향성((signal directionality))에 기초할 수 있다. 위상 변위기(2618)의 출력에서 위상 조정된 신호는 가산기(2620)에 의해 합해진 다음 증폭기(2622)에 의해 증폭될 수 있다. LO 생성기(2608)는 증폭기(2626)에 의해 증폭될 수 있는 LO 신호를 생성할 수 있고, LO 신호는 증폭기(2626)에 의해 증폭된 다음 IF 출력 신호를 생성하기 위해 체배기(2624)를 사용하여 증폭기(2622)의 출력과 곱해질 수 있다. IF 출력 신호는 증폭기(2627)에 의해 증폭될 수 있고, 트리플렉서(2610) 및 동축 케이블(2612)을 통해 BBS(2312)에 전달될 수 있다.
예시적인 송신 동작에서, 스위치(2603)는 송신기 체인 프로세싱을 활성화할 수 있다. RFEM(2310)은 동축 케이블(2612) 및 트리플렉서(2610)를 통해 BBS(2312)로부터 IAF 신호를 수신할 수 있다. IAF 신호는 증폭기(2641)에 의해 증폭된 다음 체배기(2638)에 전달될 수 있다. 체배기(2638)는 LO 생성기(2608) 및 증폭기(2640)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭된 LO 신호는 체배기(2638)에 의해 수신된 IF 신호와 곱해진다. 그 다음에 곱해진 신호는 증폭기(2636)에 의해 증폭되어 가산기(2634)에 전달된다. 가산기(2634)는 증폭된 신호 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(2632)에 전달한다. 복수의 위상 변위기(2632)는 상이한 위상 조정 신호를 적용하여 복수의 증폭기(2630)에 의해 증폭될 수 있는 복수의 위상 조정된 신호를 생성할 수 있다. 복수의 증폭기(2630)는 위상 안테나 배열(2602)에 의해 송신하기 위한 복수의 신호(2628)를 생성한다.
일부 양태에서, LO 생성기(2608)는 RFEM(2310)에 의한 mmWave 무선 신호(또는 다른 유형의 신호)를 처리하는 것과 NFC 서브시스템(2318)에 의해 NFC 신호를 처리하는 것 사이에 공유될 수 있다. 예를 들어, NFC 서브시스템(2318)은 필요에 따라, LO 생성기(2608)의 출력에서 이러한 LO 생성 신호를 (분할한 후에) 상향 변환 또는 하향 변환을 위해 사용할 수 있다. 다른 예에서, NFC 서브시스템(2318)은 LO 신호를 사용함으로써 (예를 들어, LO 신호에 NFC 데이터를 승산함으로써) NFC 데이터의 직접 생성을 위해 LO 생성 신호를 사용할 수 있다.
일부 양태에서, RFEM(2310) 또는 BBS(2312) 내의 다른 회로/서브시스템은 NFC 서브시스템(2318)과 공유될 수 있다. 예를 들어, RFEM(2310) 또는 BBS(2312)는 NFC 서브시스템(2318)과 공유될 수 있는 전력 관리 유닛(PMU)(도시되지 않음)을 포함할 수 있다. 일부 양태에서, PMU는 NFC 서브시스템(2318)과 공유될 수 있는 DC-DC 서브시스템(예를 들어, DC 레귤레이터), 전압 레귤레이터, 밴드갭 전압 기준 및 전류 소스 등을 포함할 수 있다.
RF 수신기(2604) 및 RF 송신기(2606)가 각각 중간 주파수(IF) 신호를 출력 및 수신하는 것으로 도시되어 있지만, 본 개시내용은 이러한 관점으로 제한되지 않는다. 보다 구체적으로, RF 수신기(2604) 및 RF 송신기(2606)는 각각 RF 신호를 출력 및 수신하도록 구성될 수 있다(예를 들어, 수퍼-헤테로다인 또는 직접 변환 아키텍처).
도 27은 일부 양태에 따른 매체 액세스 제어(MAC)/기저대역(BB) 서브시스템의 블록도이다. 도 27을 참조하면, BBS(2312)는 트리플렉서(2702), IF 수신기(2704), 모뎀(2724), 수정 발진기(2730), 신시사이저(2728) 및 분주기(2726)를 포함할 수 있다. 신시사이저(2728)는 수정 발진기(2730)로부터의 신호를 사용하여 클록 신호를 생성하고, 클록 신호는 분주기(2726)에 의해 나누어져 RFEM(2310)에 전달하기 위한 출력 클록 신호를 생성할 수 있다. 일부 양태에서, 생성된 클록 신호는 1.32 GHz의 주파수를 가질 수 있다.
IF 수신기(2704)는 증폭기(2708), 믹서(2710), 필터(2712) 및 ADC 블록(2714)을 포함할 수 있다. IF 송신기(2706)는 DAC 블록(2722), 저역 통과 필터(2720), 믹서(2718) 및 IF 증폭기(2716)를 포함할 수 있다.
예시적인 수신 동작에서, IF 신호는 RFEM(2310)으로부터 트리플렉서(2702)를 통해 수신되고 증폭기(2708)에 의해 증폭된다. 증폭된 IF 신호는 믹서(2710)에 의해 기저대역 신호로 하향 변환된 다음, 저역 통과 필터(2712)에 의해 필터링되고, ADC 블록(2714)에 의해 디지털 신호로 변환된 다음 모뎀(2724)에 의해 처리된다.
예시적인 송신 동작에서, 모뎀(2724)에 의해 출력된 디지털 신호는 DAC 블록(2722)에 의해 아날로그 신호로 변환될 수 있다. 그 다음, 아날로그 신호는 저역 통과 필터(2720)에 의해 필터링된 다음 이것을 믹서(2718)에 의해 IF 신호로 상향 변환한다. 그 다음에 IF 신호는 IF 증폭기(2716)에 의해 증폭된 다음 트리플렉서(2702) 및 동축 케이블(2612)을 통해 RFEM(2310)으로 송신된다.
일부 양태에서, 동축 케이블은 IF 신호 또는 RF 신호(예를 들어, RF-오버-동축 케이블(RF-over-Coax), 또는 RFoC 통신신호)를 전달하기 위해 사용될 수 있다. 이와 관련하여, 추가적인 신호 처리를 위해 IF 또는 RF 신호를 처리하기 위한 하나 이상의 다른 서브시스템이 RFEM(2310)과 BBS(2312) 사이에 배치될 수 있다.
일부 양태에서, RFEM(2310), BBS(2312), NFC 서브시스템(2318), 위상 안테나 배열(2316) 및 NFC 안테나(2314)는 동일한 패키지 내에 위치될 수 있고, 또는 하나 이상의 서브시스템이 별개의 패키지상에서 구현될 수 있는 경우에는 분산식 접근법이 사용될 수 있다.
도 28은 일부 양태에 따른 예시적인 NFC 안테나 구현예의 다이어그램이다. 도 23 및 도 28을 참조하면, 동일 위치에 배치된 안테나 배열(2316) 및 NFC 안테나(2314)로 구현되는 RFEM(2310)은 또한 신호 차폐 커버(2802)를 포함할 수 있다. 일부 양태에서, NFC 안테나(2314)는 신호 차폐 커버(2802) 상에 배치될 수 있다. 도 28에 도시된 바와 같이, NFC 안테나(2314)는 유도성 코일(2808)로서 구현될 수 있다. 보다 구체적으로, 신호 차폐 커버(2802)에는 다음과 같은 스택: 폴리에스테르 테이프(2814), 자기 판 형성(magnetic sheeting)(2812) 및 접착 테이프(2810), 유도성 코일(2808), 베이스 필름(2806) 및 접착 테이프(2804)가 도포될 수 있다. 도 28은 코일(2808)을 포함하는 특정 테이프 스택을 도시하고 있지만, 본 개시내용은 이러한 관점으로 제한되지 않고, 밀리미터파 위상 안테나 배열을 갖는 동일 위치에 배치된 NFC 안테나의 다른 양태가 또한 가능하며, 다른 유형의 층/판 형성 및 층 배열이 또한 도 28에 도시된 층 및 배열 대신에 사용될 수 있다.
도 29는 일부 양태에 따른 다수의 PCB 기판상에서 동일 위치에 배치된 mmWave 안테나와 근접장 통신(NFC) 안테나를 갖는 반도체 패키지의 여러 도면을 도시한다. 도 29를 참조하면, 반도체 패키지(2902)는 다수의 PCB 기판을 포함할 수 있다. 예를 들어, 반도체 패키지(2902)는 제 1 기판(2904) 및 제 2 기판(2906)을 포함할 수 있다. 제 1 기판(2904)은 제 1 면(2904A)(예를 들면, 인쇄 면) 및 제 2면(2904B)(예를 들면, 구성요소 면)을 포함할 수 있다. 구성요소 면(2904B)은 RFEM(예를 들어, (2310)), BBS(예를 들어, (2312)) 및 NFC 서브시스템(예를 들어, (2318))과 같은 하나 이상의 구성요소(2908)를 포함할 수 있다. 인쇄 면(2904A)은 위상 안테나 배열(2910)을 포함할 수 있다. 예를 들어, 위상 안테나 배열(2910)은 구성요소 면(2904B) 상에서 구현된 RFEM에 의해 사용될 수 있다. 일부 양태에서, 인쇄 면(2904A)은 동일 위치에 배치된 NFC 안테나(2914)를 포함할 수 있다. NFC 안테나(2914)는 (위상 안테나 배열(2910) 옆의) NFC 안테나(2914A)로서 또는 위상 안테나 배열(2910) 주위에 배치된 NFC 안테나(2914B)로서 구현될 수 있다.
일부 양태에서, 기판(2904) 상에 구현된 RFEM에 의해 사용되는 위상 안테나 배열의 서브세트가 제 2 기판(2906) 상에 배치될 수 있다. 예를 들어, 도 29에 도시된 바와 같이, 기판(2906)은 위상 안테나 배열(2912)을 포함할 수 있다. 위상 안테나 배열(2910) 및 위상 안테나 배열(2912)은 둘 모두 수평 및/또는 수직 편파를 갖는 안테나를 포함할 수 있다. 일부 양태에서, 제 2 기판(2906)은 위상 안테나 배열(2912)의 옆에 배치될 수 있는 동일 위치에 배치된 NFC 안테나(2914C)를 포함할 수 있다. 대안적으로, NFC 안테나는 위상 안테나 배열(2912) 주위에 배치된 인덕터인 안테나(2914D)로서 구현될 수 있다.
일부 양태에서, 제 1 기판(2904)은 제 1 기판(2904)과 제 2 기판(2906) 사이의 연결을 위해 사용될 수 있는 솔더 볼(2916)을 포함할 수 있다.
위상 배열 무선 송수신기는 이러한 주파수에서 더 작은 안테나 개구(aperture)와 연관된 상당한 경로 손실을 해결하기 위해, 밀리미터파 무선 통신 회로에서 안테나 이득을 증가시키는데 사용될 수 있다. 그러나 위상 배열 무선 송수신기는 모든 위상 배열 수신기(또는 송신기) 신호의 합이 결합되는 재결합 지점(recombination point)을 이용한다. 이러한 결합 노드는 성능 및 복잡성 측면에서 종종 위상 배열 수신기에서의 병목 지점이다. 또한 상이한 사이즈의 위상 배열이 필요한 애플리케이션에서, 결합 노드가 재 설계되어야 할 수 있고, 이로 인해 설계 복잡성이 크게 증가하고 위상 배열의 확장성에 장애가 된다.
도 30은 일부 양태에 따른 RF에서 신호를 위상 변위하고 결합함으로써 빔포밍을 구현하는 RF 위상 배열 시스템의 블록도이다. 도시된 RF 위상 배열 시스템은 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 통합될 수 있지만, RF 위상 배열 시스템은 이것으로 제한되는 것은 아니다.
도 30을 참조하면, 위상 배열 무선 송수신기(3000)가 도시된다. 송수신기는 송신된(또는 수신된) 신호가 수 개의 (진폭이) 미약한 신호의 코히어런트 벡터 합으로부터 형성되는 방식으로 각각의 수신된 요소의 이득 및 위상을 변경함으로써 동작한다. 송수신기(3000)는 RF 위상 배열 시스템으로서 동작한다. 보다 구체적으로, 송수신기(3000)는 안테나(3002_1 내지 3002_N), 증폭기(3004_1 내지 3004_N), 위상 변위기(3006_1 내지 3006_N), 가변 이득 증폭기(3008_1 내지 3008_N), 가산기(또는 결합기)(3010), 믹서(3012), 필터(3016) 및 아날로그-디지털 변환기(ADC)(3018)를 비롯한 N개의 수신기/송신기 체인을 포함한다. 신호가 송신을 위해 처리되는 경우, 블록(3018)은 디지털-아날로그 변환기일 수 있다.
동작시, 위상 변위기(3006_1 내지 3006_N) 및 가변 이득 증폭기(3008_1 내지 3008_N)는 각각의 송신된 또는 수신된 신호를 조정하는데 사용된다. 도 30의 RF 위상 배열 시스템의 장점은 단지 하나의 믹서 및 기저대역 체인이 요구될 수 있기 때문에 간단하다는 것이다. 도 30의 RF 위상 배열 시스템의 단점은 확장성의 부족(RF 주파수에서 여러 경로를 추가하면 대역폭 병목 현상이 발생한다), (잡음이 있는 위상 배열 및 가변 이득 증폭기가 안테나 근처에 추가되기 때문에) 수신기에 잡음 지수가 추가되는 것 및 전력 소비가 추가되는 것(위상 및 이득 조정 블록은 밀리미터파 주파수에서 동작하고 가외의 신호 손실을 추가할 수 있음)을 포함할 수 있다.
도 31은 일부 양태에 따른 국부 발진기(LO)를 위상 변위시키고 IF/기저대역에서 아날로그 신호를 결합함으로써 빔포밍을 구현하는 위상 배열 시스템의 블록도이다. 도 31을 참조하면, 국부 발진기(LO) 위상 변위 위상 배열 시스템으로서 구성된 위상 배열 무선 송수신기(3100)가 도시된다. 송수신기(3100)는 안테나(3102_1 내지 3102_N), 증폭기(3104_1 내지 3104_N), 가변 이득 증폭기(3106_1 내지 3106_N), 믹서(3108_1 내지 3108_N), 위상 변위기(3110-1 내지 3110_N), 가산기(또는 결합기)(3114), 필터(3116) 및 ADC(3118)를 포함한다. 도 31에 도시된 바와 같이, LO 위상 배열 시스템(3100)은 신호 경로에서 가변 이득 증폭기를 사용하지만, 위상 변위기(3110)는 국부 발진기 경로 내에서 LO 신호(3112)의 위상을 변위하기 위해 사용된다. 도 30의 RF 위상 배열 시스템보다 우수한 이러한 토폴로지의 장점은 줄어든 잡음 프로파일이다. 그러나, LO 위상 배열 시스템(3100)은 더 많은 믹서를 사용한다. 또한, 밀리미터파 주파수에서 동작하는 LO 신호를 라우팅하는 것은 어려운 과제일 수 있다.
일부 양태에서, LO 위상 배열 시스템(3100)은 올 디지털(all digital) PLL(ADPLL)을 사용하여 위상 변위를 수행하도록 구성될 수 있으며 위상 변위는 ADPLL 루프 내에서 디지털적으로 달성될 수 있다. 이것은 전력 소비 측면에서 비용이 많이 들고 신호 경로에서 왜곡 및 삽입 손실을 도입시키는 RF 위상 변위기가 필요하지 않게 할 수 있다. ADPLL 내에서의 위상 변위는 LO 신호 경로에 추가된 표출된 위상 변위기에 대한 필요성을 또한 없애준다.
도 32는 일부 양태에 따른 디지털 위상을 변위하고 결합하는 위상 배열 시스템의 블록도이다. 도 32를 참조하면, 송수신기(3200)가 도시되어 있다. 송수신기(3200)는 안테나(3202A 내지 3202N), 증폭기(3204A 내지 3204N), 가변 이득 증폭기(3206A 내지 3206N), 믹서(3208A 내지 3208N), 필터(3212A 내지 3212N), ADC(3214A 내지 3214N) 및 가산기(3216)를 포함한다.
도 32에 도시된 바와 같이, 데이터 변환기(3214A-3214N)를 비롯하여, 각 안테나에 대해 전체 송수신기 체인이 복제된다. 신호 위상 조정 및 신호 결합은 가산기(3216) 다음의 디지털 신호 출력(3218)에 대해 수행될 수 있다. 그러나, 디지털 도메인에서 위상 배열 결합을 수행하는 것은 복잡성 및 전력 소비를 증가시킬 수 있다. 디지털 위상 배열 시스템(3200)의 장점은 상이한 빔포밍 계수 세트(이득 및 위상 둘 모두)로 각각 생성된 개별 디지털 스트림을 생성함으로써, 다수의 사용자를 동시에 지원할 수 있다는 것으로, 이때 각각의 사용자는 전체 안테나 배열 이득을 이용한다.
도 30 내지 도 32에 도시된 예시적인 송수신기에서, 모든 위상 배열 수신기(또는 송신기) 신호의 합이 상이한 진폭 가중치 및/또는 위상 변위와 함께 결합되는 재결합 지점이 사용된다. 이러한 결합 노드는 성능 및 복잡성 측면에서 종종 위상 배열 수신기에서 병목 지점이 될 수 있다. 또한, 상이한 사이즈의 위상 배열을 원하면, 결합 노드가 재 설계될 수 있는데, 이것은 송수신의 설계 복잡성을 상당히 증가시킬 수 있고 실질적으로 배열 확장성을 제한할 수 있다.
일부 양태에서, 본 명세서에서 논의된 바와 같이, 도 30 내지 도 32에 도시된 송수신기와 연관된 확장성 및 복잡성의 문제를 경감하는 스케일러블 위상 배열 무선 송수신기 아키텍처가 사용될 수 있다. 스케일러블 위상 배열 무선 송수신기 아키텍처는 이러한 아키텍처를 다수의 애플리케이션 및 제품에 재사용하는 것을 도와주고 출시 시기를 단축시키는 다중 송수신기 타일(tile)(또는 셀)을 사용할 수 있다. 또한, 제안된 스케일러블 위상 배열 무선 송수신기 아키텍처는 자체 구성 가능하므로, 송수신 디바이스의 프로그래밍 가능성을 용이하게 해준다. 스케일러블 위상 배열 무선 송수신기 아키텍처는 본 명세서에서 아래에서 설명된 바와 같이, 특정 유스 케이스에 최적화된 더 나은 위상 배열 이득 또는 저전력 소비를 가능하게 하는 다중 동작 모드를 지원할 수 있다.
도 33은 일부 양태에 따른 스케일러블 위상 배열 무선 송수신기 아키텍처에서 사용될 수 있는 송수신기 셀 요소의 블록도이다. 도 33을 참조하면, 송수신기 셀(TRx)(3300)은 송신기(TX) 회로(3302), 수신기(RX) 회로(3304), 국부 발진기(LO) 회로(3306), 디지털 회로(DIG)(3308), 입/출력(I/O) 회로(3310) 및 위상 조정 회로(3312)를 포함한다. 일부 양태에서, 멀티플렉서 및 디멀티플렉서의 세트는 송수신기 셀(3300)의 네 개의 에지(3320 내지 3326) 상에 타일링되어 인접한 셀과의 통신을 가능하게 할 수 있다. 송수신기 셀(3300)의 네 개의 에지는 노스(North)(N) 에지(3320), 이스트(East)(E) 에지(3322), 사우스(South)(S) 에지(3324) 및 웨스트(West)(W) 에지(3326)로서 지정될 수 있다. I/O 회로(3310)는 송수신기 셀(3300)을 이웃 셀에 연결하는 아날로그 및 디지털 병렬 버스 둘 모두를 포함할 수 있으며, 이것은 송수신기 배열에 셀을 타일링하는 것을 가능하게 한다. 일부 양태에서, TX 회로(3302) 및 RX 회로(3304)는 각기 단일 또는 다중 송신기 및 수신기를 가질 수 있고, 이것은 다중 수신기 및 송신기 체인이 전력 소비를 절감하기 위해 단일 국부 발진기 신호를 공유할 수 있게 한다. 일부 양태에서, 각 송수신기 셀 내부에서 국부 발진기 신호를 생성하는데 사용될 수 있는 수정 발진기 신호가 버퍼링될 수 있고, 다수의 송수신기 셀 사이에서 공유될 수 있다. 일부 양태에서, 각 송수신기 셀에서 수정 발진기 버퍼에 의해 도입되는 지연을 측정하고 상쇄하기 위해 루프백(loopback)이 사용될 수 있다. 송수신기 셀(3300)은 또한 송수신기 셀(3300)을 다른 이웃 셀에 연결하는 제어 신호뿐만 아니라 정적인 글로벌 제어 신호를 처리하는데 사용될 수 있는 제어 회로(도 33에 도시되지 않음)를 포함할 수 있다. 일부 양태에서, 제어 회로는 디지털 회로(3308)의 일부로서 포함될 수 있다.
일부 양태에서, TX 회로(3302) 및 RX 회로(3304)는 증폭기, 가변 이득 증폭기, 믹서, 기저대역 필터, 아날로그-디지털 변환기, 디지털-아날로그 변환기 및 다른 신호 프로세싱 회로를 포함할 수 있다. 일부 양태에서, 디지털 회로(3308)는 디지털 신호 프로세싱, 필터링뿐만 아니라 디지털 신호 결합 및 위상 조정을 수행하는 회로를 포함할 수 있다. 일부 양태에서, 위상 조정 및 신호 결합은 위상 조정 회로(3312)에 의해 아날로그 또는 디지털 도메인 둘 모두에서 수행될 수 있다.
도 34는 일부 양태에 따른 다수의 송수신기 셀을 사용하는 위상 배열 무선 송수신기 아키텍처의 블록도이다. 도 34를 참조하면, 송수신기 배열(3400)는 배열 내에서 함께 타일링된 다수의 송수신기 셀을 포함할 수 있다. 보다 구체적으로, 각각의 송수신기 셀(3402 내지 3412)은 서로 정확한 사본일 수 있고, 각각의 송수신기 셀(3402 내지 3412)은 도 33을 참조하여 설명한 바와 같은 기능 블록을 포함할 수 있다. 개개의 송수신기 셀(3402 내지3412) 사이의 통신수단은 아날로그 및 디지털 버스를 포함할 수 있다. 일부 양태에서, 본 명세서에서 아래에서 추가 설명되는 바와 같이, 버스의 폭은 위상 배열 시스템이 지원할 수 있는 동시 사용자의 수와 동일할 수 있다. 도 34에 도시된 바와 같이, 각각의 송수신기 셀은 인접한 송수신기 셀에만 연결될 수 있으며, 이것은 다수의 송수신기 타일을 사용하는 송수신기 아키텍처의 확장성을 보장한다.
일부 양태에서, 다중 송수신기 타일을 사용하는 송수신기 아키텍처는 단일 반도체 다이 상에 구현될 수 있고, 단일 반도체 다이는 도 35에 도시된 바와 같이, 반도체 웨이퍼를 상이한 애플리케이션마다 상이한 형상 및 배열 사이즈로 다이싱할 수 있게 한다.
도 35는 일부 양태에 따른 반도체 다이를 위상 배열 무선 송수신기를 형성하는 개별 송수신기 셀로 다이싱하는 것을 도시한다. 도 35를 참조하면, 반도체 웨이퍼(3500 및 3502)가 도시된다. 웨이퍼(3500 및 3502)는 제조 공정 중에 서로 연결된 다수의 송수신기 타일(또는 셀)을 포함하도록 제조될 수 있다. 웨이퍼(3500)와 관련하여, 상이한 위상 배열 무선 송수신기가 상이한 애플리케이션마다 웨이퍼(3500)로부터 다이싱될 수 있다. 예를 들어, 10 x 3 배열(3510), 다중 1 x 2 배열(3512), 단일 3x18 배열(3514), 다중 3x3 배열(3516), 다중 3 x 9 배열(3518), 다중 1 x 4 배열(3520) 및 단일 2 x 10 배열(3522)가 반도체 웨이퍼(3500)로부터 다이싱되고 다양한 시스템 수준 요건을 가진 상이한 저전력 애플리케이션에 사용될 수 있다.
일부 양태에서, 고성능 시스템(예를 들어, 기지국 애플리케이션)에서, 단일 반도체 다이(3502)가 다이싱되어 단일 송수신기 배열(3530)이 얻어질 수 있다. 이와 관련하여, 동일한 반도체 웨이퍼가 동일한 송수신기 셀(예를 들어, (3300))의 다수의 사본으로 채워질 수 있고 그런 다음 반도체 웨이퍼가 다이싱되어 상이한 폼 팩터를 가진 송수신기 배열을 얻을 수 있다.
도 36은 일부 양태에 따른 위상 배열 안테나로 패키징된 위상 배열 무선 송수신기 아키텍처의 블록도이다. 도 36을 참조하면, 위상 배열 무선 송수신기 아키텍처 패키지(3600)는 반도체 다이(3602) 상에 타일링된 송수신기 셀이 배치된 송수신기 배열(3610)을 함할 수 있다. 송수신기 배열(3610)은 안테나 배열(3612) 내의 안테나의 안테나 층(3604)과 결합될 있고, 안테나 배열은 송수신기 배열(3610)와 통합되어 위상 배열 무선 송수신기 아키텍처 패키지(3600)를 형성할 수 있다. 일부 양태에서, 송수신기 배열(3610) 내의 개별 송수신기 셀의 피치는 안테나 배열(3612) 내의 개별 안테나의 피치와 동일할 수 있다.
일부 양태에서, 복수의 동일한 송수신기 셀을 포함하는 구성 가능한 위상 배열 송수신 시스템(예를 들어, 셀(3300)과 같은 다수의 송수신기 셀을 갖는 송수신기 배열(3400))은 자가 구성(self-configuration)을 수행하기 위한 자가-인식 구성 가능한 구조를 포함할 수 있다. 보다 구체적으로, 송수신기 배열(3400)과 연관된 (또는 하나 이상의 개별 송수신기 셀(3300) 내의 회로를 처리하는) 프로세서 회로는 전원이 켜질 때 자가 구성을 수행할 수 있다. 예를 들어, 송수신기 배열(3400) 내의 각각의 송수신기 셀의 식별 번호(identification number)(ID)는 예를 들어 ID 할당 알고리즘에 의해 전원이 켜질 때 결정될 수 있다. 각각의 송수신기 셀마다 ID 번호를 연관시킴으로써, 송수신기 배열(3400)는 송수신기 배열(3400) 내에서 활성화되는 개별 송수신기 셀의 수 및/또는 위치를 표시하는 구성 정보를 제공할 수 있고 그래서 각각의 동일한 셀은 제어 및 구성을 위해 개별적으로 어드레싱될 수 있다.
송수신기 배열 칩의 네 개의 면은 노스(N), 사우스(S), 웨스트(W) 및 이스트(E)로 지칭될 수 있다. 전원이 켜지면, ID #1은 NW 코너 셀, 예를 들어 송수신기 셀(3402)에 할당될 수 있다. 송수신기 배열(3400)의 NW 코너는 포트가 다른 포트와 함께 개방되거나 단락되어 있는지를 검출할 수 있는 위치 연결 포트(location connection port)에 의해 결정될 수 있다.
예를 들어, 프로세서 회로는 송수신기 셀(3402)의 N 및 W 포트 둘 모두가 개방되어 있다는 것을 결정할 수 있고, 그러므로 초기의 ID #1이 그 셀에 할당된다. 그 다음, 송수신기 셀(3402)은 넘버링 시퀀스를 개시할 수 있으며, 여기서 ID 번호는 1씩 증분될 수 있고, 동쪽으로 이웃 송수신기 셀로 넘어갈 수 있다. 현재 셀이 어떠한 E 포트 연결(예를 들어, 셀(3406))도 없고 서쪽 셀로부터 자신의 ID 번호를 받으면, 그 ID 번호를 남쪽 셀에 넘긴다. 현재 셀이 어떠한 E 포트 연결도 없고 북쪽 셀로부터 ID 번호를 받으면, 그 ID 번호를 (연결되어 있다면) 서쪽 셀에 넘긴다(그렇지 않으면, ID 번호를 또한 남쪽 셀에 넘긴다). 유사한 프로세스가 배열의 서쪽 경계에 대해 사용될 수 있다. 이것은 SE 또는 SW 코너 셀에 도달할 때까지 계속된다. 이 시점에서, ID 넘버링이 완료된다. 또한, 셀의 ID 번호가 할당될 때, 셀은 그의 송신 및 수신 진폭 및 위상 값 둘 모두의 로컬 진폭 및 위상 교정(calibration)을 받을 수 있다. 일단 자가-교정 프로세스가 완료되고 송수신기 배열 내의 각각의 송수신기 셀이 할당된 ID 번호를 가지면, ID 번호는 또한 상이한 수의 사용자와 연관된 신호를 처리하기 위한 배열을 구성하는 데에도 사용될 수 있다. 도 34의 예시적인 배열(3400)에서, ID 할당/넘버링은 셀(3402)에서 시작하고, 이어서 셀(3406)까지 오른쪽으로 순차적으로 계속하고, 이어서 아래로 내려서 셀(3408)까지 왼쪽으로 계속하고, 이어서 아래로 내려서 오른쪽으로 계속할 수 있다.
일부 양태에서, 송수신기 배열(3400)와 같은 스케일러블 위상 배열 무선 송수신기 아키텍처는 다수의 동작 모드를 지원할 수 있다. 예시적인 동작 모드는 LO 위상 배열 (또는 빔포밍) 동작 모드, 디지털 위상 배열 (또는 빔포밍) 동작 모드, 아날로그 위상 배열 (또는 빔포밍) 동작 모드 및 하이브리드 위상 배열 (또는 빔포밍) 동작 모드를 포함한다. 각각의 동작 모드는 위에서 논의한 송수신기 셀(예를 들어, (3402 또는 3300))을 사용하여 구현될 수 있어서, 배열(3400)의 사이즈 확장 가능 동작 및 구성을 가능하게 한다.
도 37은 일부 양태에 따른 통신 버스를 갖는 송수신기 셀의 블록도이다. 도 37을 참조하면, 송수신기 셀(3700)은 도 33을 참조하여 위에서 논의한 송수신기 셀(3300)과 동일할 수 있다.
예시적인 디지털 빔포밍 동작 모드 동안, 송수신기 셀(3700) 내의 송수신 관련 요소가 사용될 수 있다. 예를 들어, 수신 모드에서, 수신 신호는 디지털 신호로 변환된 다음, 송수신기 셀(3700) 내에서 앞선 ID 번호를 가진 이웃 송수신기 셀로부터 수신된 디지털 신호와 합해진 벡터로 변환될 수 있다. 확장성을 유지하기 위해, 각 스테이지 간의 합은 데이터 버스 라인 상의 부하를 제한하기 위해 파이프라인화할 수 있다. 또한, 총 K 명의 사용자(또는 동등 하게는 위상 배열에 필요한 K 개의 독립적인 빔)를 지원하기 위해, K 개의 버스 라인이 각 사용자마다 하나씩 사용할 수 있다.
일부 양태에서, 버스 라인의 개수는 하드웨어에서 고정될 수 있고, 이에 따라 각각의 송수신기 셀은 디지털 위상 배열 동작 동안 최대 수의 사용자(또는 빔)를 지원하는 하드웨어로 설계될 수 있다. 데이터 라인이 파이프라인화되기 때문에, 깊이(ND)의 내부 파이프라인 레지스터가 유지될 수 있다. 파이프라인 깊이(ND)는 개개 송수신기 셀이 디지털 송수신기 동작 모드를 위해 연결되는 최대 송수신기 배열 사이즈를 제한할 수 있다. 배열 사이즈(또는 동일한 송수신기 셀의 수)가 더 커질 수록 파이프라인 레지스터 깊이(ND)가 더 커야 한다.
도 37에 도시된 바와 같이, 송수신기 셀(3700)은 디지털 빔포밍 동작 모드를 위해 K 개의 디지털 버스를 사용하여 이웃 셀과 통신하도록 구성된다. 예를 들어, K 개의 디지털 버스(3702, 3704, 3706 및 3708)는 각각 서쪽, 북쪽, 동쪽 및 남쪽에 위치한 송수신기 셀과 통신하기 위해 사용될 수 있다. 송수신기 셀(3700)은 송신기 블록(3722) 및 수신기 블록(3724)을 포함할 수 있다. 송신기 블록(3722) 및 수신기 블록(3724)은 특정한 이웃 송수신기 셀로부터의 디지털 입력을 선택하는데 사용될 수 있는 디지털 멀티플렉서(3710 내지 3712, 3714 내지 3716 및 3718 내지 3720)를 통해 K 개의 디지털 버스에 연결될 수 있다. 이웃 셀로부터의 수신 디지털 신호가 추가된 다음 파이프라인 방식으로 후속 이웃 셀로 전달될 수 있다.
도 38은 일부 양태에 따른 단일 아날로그-디지털 변환기(ADC)를 사용하는 LO 위상 변위 동작 모드의 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 블록도이다. 도 38을 참조하면, 위상 배열 송수신기(3800)는 복수의 송수신기 셀(3802 내지 3818)을 포함할 수 있다. 송수신기 셀(3802 내지 3818)은 도 33에 도시된 송수신기 셀(3300)과 동일할 수 있다.
예시적인 LO 위상 배열 동작 모드에서, 각각의 송수신기 셀(3802 내지 3818)은 중앙 제어 유닛(도 38에 도시되지 않음)으로부터 위상 변위 신호를 수신할 수 있다. 중앙 제어 유닛은 송수신기 배열(3800)에 의해 사용되는 프로세서일 수 있거나, 개개의 송수신기 셀 내의 하나 이상의 프로세서일 수 있다. 수신 경로에서, 위상 변위 신호가 국부 발진기 신호에 인가되어 위상 변위된 LO 신호를 생성할 수 있다. 모든 믹서 스테이지의 출력은 아날로그-디지털 변환을 거치지 않고, 아날로그 도메인에서 합해질 수 있다. 보다 구체적으로, 수신된 무선 신호가 위상 변위된 LO 신호를 사용하여 하향 변환된 후에, 결과 신호는 이웃 셀(예를 들어, 서쪽 에지를 따라서 있는 송수신기 셀)로부터 수신된 신호와 합해진 다음 다른 이웃 송수신기 셀(예를 들어, 동쪽 에지를 따라서 있는 송수신기 셀)에 전달될 것이다.
도 38의 송수신기 배열(3800)을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합산되며, 최종 합산된 아날로그 신호가 송수신기 셀(3806)에 전달된다. 송수신기 셀(3806) 내의 아날로그-디지털 변환기(3820)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 그런 다음 디지털 신호는 처리를 위해 기저대역 회로(3822)에 전달될 수 있다. 이와 관련하여, 단일 ADC만이 모든 송수신기 셀(3802 내지3818)의 결합된 아날로그 신호 출력을 받고 결합된 아날로그 신호 출력을 디지털 신호로 변환한다. 각각의 송수신기 셀(3802 내지3818)로부터의 다수의 아날로그 신호의 결합은 이웃 송수신기 셀 사이를 인터페이스하는 아날로그 버스 라인을 통해 수행될 수 있다. 송수신기 배열(3800) 내에서 단일 ADC를 사용함으로써, ADC가 위상 변위 배열 시스템에서 가장 큰 전력 소비 블록 중 하나이기 때문에 상당한 전력 감소가 달성될 수 있다.
도 39는 일부 양태에 따른 다수의 ADC를 사용하는 LO 위상 변위 동작 모드의 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 블록도이다. 도 39를 참조하면, 송수신기 배열(3900)은 복수의 송수신기 셀(3902 내지3918)을 포함할 수 있다. 송수신기 셀(3902 내지3918)은 도 33에 도시된 송수신기 셀(3300)과 동일할 수 있다. 다수의 서브배열을 갖는 예시적인 LO 위상 배열 동작 모드에서, 각각의 송수신기 셀(3902 내지3918)은 중앙 제어 유닛(도 39에 도시되지 않음)으로부터 위상 변위 신호를 수신할 수 있다. 중앙 제어 유닛은 송수신기 배열(3900)에 의해 사용되는 프로세서일 수 있거나, 개개 송수신기 셀 내의 하나 이상의 프로세서일 수 있다.
도 39에 도시된 바와 같이, 송수신기 배열(3900)의 행 내의 다수의 이웃 송수신기 셀은 서브배열을 형성할 수 있다. 예를 들어, 송수신기 셀(3902 내지 3906)은 송수신 서브배열을 형성할 수 있다. 유사한 서브배열이 송수신기 셀(3908 내지 3912 및 3914 내지 3918)에 의해 형성될 수 있다. 서브배열의 각각의 수신 경로에서, 위상 변위 신호가 국부 발진기 신호에 인가되어 위상 변위된 LO 신호를 생성할 수 있다. 서브배열 내의 모든 믹서 단계의 출력은 아날로그-디지털 변환을 거치지 않고, 아날로그 도메인에서 합해진 다음 서브배열과 연관된 단일 ADC로 전달될 수 있다. 보다 구체적으로, 수신된 무선 신호가 위상 변위된 LO 신호를 사용하여 하향 변환된 후에, 결과로 생긴 신호가 셀(3902 내지 3906)의 서브배열 내의 이웃 셀(예를 들어, 서쪽 에지를 따라서 있는 송수신기 셀)로부터 수신된 신호와 합산된 다음, 서브배열 내의 다른 이웃 송수신기 셀(예를 들어, 동쪽 에지를 따라서 있는 송수신기 셀)로 전달된다.
셀(3902 내지3906)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(3906)에 전달된다. 송수신기 셀(3906) 내의 아날로그-디지털 변환기(3920)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 그런 다음 디지털 신호는 처리를 위해 기저대역 회로(3926)로 전달될 수 있다.
셀(3908 내지 3912)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(3912)에 전달된다. 송수신기 셀(3912) 내의 아날로그-디지털 변환기(3922)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 그런 다음 디지털 신호는 처리를 위해 기저대역 회로(3928)로 전달될 수 있다.
셀(3914 내지 3918)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(3918)에 전달된다. 송수신기 셀(3918) 내의 아날로그-디지털 변환기(3924)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 그런 다음 디지털 신호는 처리를 위해 기저대역 회로(3930)로 전달될 수 있다.
배열 내의 모든 송수신기 셀 요소가 아날로그 신호를 생성하는데 사용되고 배열 내의 단일 ADC가 출력 디지털 신호를 생성하는데 사용되는 도 38의 송수신기 배열(3800)와 비교하여, 도 39의 송수신기 배열(3900)은 서브배열 당 하나의 ADC를 사용하며, 이것은 다수의 디지털 신호를 생성하여 다수의 사용자에게 서비스를 제공하게 한다(예를 들어, M명의 사용자는 송수신기 배열(3900)가 M개의 서브배열 - 각 서브배열은 자신의 디지털 신호 출력을 가짐 - 로 나누어지면 서비스를 제공받을 수 있다. 그러나 각 사용자는 전체 배열 개구의 일부(1/M)만 사용하는 것일 것이다.
도 40은 일부 양태에 따른 다수의 디지털 신호를 생성하기 위해 다수의 ADC를 사용하는 (LO 및 디지털 위상 변위하고 결합하는) 하이브리드 동작 모드의 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 블록도이다. 도 40을 참조하면, 위상 배열 송수신기(4000)는 복수의 송수신기 셀(4002 내지 4018)을 포함할 수 있다. 송수신기 셀(4002 내지 4018)은 도 33에 도시된 송수신기 셀(3300)과 동일할 수 있다. 예시적인 하이브리드 동작 모드에서, 각각의 송수신기 셀(4002 내지4018)은 중앙 제어 유닛(도 40에 도시되지 않음)으로부터 위상 변위 신호를 수신할 수 있다. 중앙 제어 유닛은 송수신기 배열(4000)에 의해 사용되는 프로세서일 수 있거나, 개개 송수신기 셀 내의 하나 이상의 프로세서일 수 있다.
도 40에 도시된 바와 같이, 배열(4000)의 행 내의 다수의 이웃 송수신기 셀은 서브배열을 형성할 수 있다. 예를 들어, 송수신기 셀(4002 내지 4006)은 송수신 서브배열을 형성할 수 있다. 유사한 서브배열이 송수신기 셀(4008 내지 4012 및 4014 내지 4018)에 의해 형성될 수 있다. 서브배열의 각각의 수신 경로에서, 위상 변위 신호가 국부 발진기 신호에 인가되어 위상 변위된 LO 신호를 생성할 수 있다. 서브배열 내의 모든 믹서 단계의 출력은 아날로그-디지털 변환을 거치지 않고, 아날로그 도메인에서 합해진 다음 서브배열과 연관된 단일 ADC로 전달될 수 있다. 보다 구체적으로, 수신된 무선 신호가 위상 변위된 LO 신호를 사용하여 하향 변환된 후에, 결과로 생긴 신호가 셀(4002)의 서브배열 내의 이웃 셀(예를 들어, 서쪽 에지를 따라서 있는 송수신기 셀)로부터 수신된 신호와 합해진 다음, 서브배열 내의 다른 이웃 송수신기 셀(예를 들어, 동쪽 에지를 따라서 있는 송수신기 셀)로 전달된다. 셀(4002 내지4006)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(4006)에 전달된다. 송수신기 셀(4006) 내의 아날로그-디지털 변환기 회로(4020)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 디지털 신호는 처리를 위해 기저대역 회로(4026)로 전달될 수 있다.
셀(4008 내지4012)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(4012)에 전달된다. 송수신기 셀(4012) 내의 아날로그-디지털 변환기 회로(4022)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 디지털 신호는 처리를 위해 기저대역 회로(4028)로 전달될 수 있다.
셀(4014 내지4018)의 송수신기 서브배열을 참조하면, 아날로그 하향 변환된 신호는 이 신호가 이웃 셀 사이에서 전달될 때 합해지며, 최종 합해진 아날로그 신호가 송수신기 셀(4018)에 전달된다. 송수신기 셀(4018) 내의 아날로그-디지털 변환기 회로(ADC)(4024)는 아날로그 신호를 디지털 신호로 변환하는데 사용될 수 있으며, 디지털 신호는 처리를 위해 기저대역 회로(4030)로 전달될 수 있다.
예시적인 하이브리드 동작 모드에서, 각각의 기저대역 회로(4026, 4028 및 4030)는 빔포밍 신호를 생성하기 위하여 하나 이상의 가중 값(또는 계수)을 적용할 수 있다. 보다 구체적으로, 계수(H1, H2, . . ., HN)가 원하는 빔(4037)과 연관될 수 있다. 유사하게, 계수(W1, W2 , . . ., WN)가 원하는 빔(4033)과 관련될 수 있다. 기저대역 회로(4026, 4028 및 4030)는 계수(H1, H2, . . ., HN)를 ADC 회로(4020, 4022 및 4024)로부터 수신된 디지털 신호에 적용할 수 있다. 가중된 신호는 가산기(4036)에 의해 합산되어 원하는 빔(4037)을 생성할 수 있다.
유사하게, 기저대역 회로(4026, 4028 및 4030)는 계수(W1, W2, . . ., WN)를 ADC 회로(4020, 4022 및 4024)로부터 수신된 디지털 신호에 적용할 수 있다. 가중된 신호는 가산기(4032)에 의해 합산되어 원하는 빔(4033)을 생성할 수 있다. 빔(4037 및 4032)은 각각 기저대역 회로(4038 및 4034)에 의해 추가로 처리될 수 있다.
도 40은 디지털 영역에서 두 개의 가산기를 사용하여 두 개의 빔을 생성하는 것을 도시하지만, 본 개시내용은 이것으로 제한되지 않는다. 일부 양태에서, 단일의 가중치 세트만이 ADC 회로의 디지털 출력에 적용될 수 있고 단일 가산기만이 단일 사용자를 위한 단일 빔을 생성하는 데 사용될 수 있다.
도 41은 일부 양태에 따른 단일 ADC를 사용하는 아날로그 IF/기저대역 위상 변위 및 조합 동작 모드의 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 블록도이다. 도 41을 참조하면, 송수신기 배열(4100)은 아날로그 위상 변위(빔포밍) 동작 모드에서 동작하도록 구성될 수 있다. 도 41에 도시된 바와 같이, 각각의 송수신기 셀(4102A, 4102B, 4102C 및 4102D)은 국부 발진기(4106), 믹서(4104) 및 위상 변위기(4108)를 포함한다. 수신된 무선 신호가 믹서(4104)에 의해 하향 변환된 후에, 위상 변환기(4108)는 송수신기 배열(4100) 내의 제어 회로에 의해 지정될 수 있는 위상 변위를 적용할 수 있다. 위상 변위된 아날로그 신호가 이웃 송수신기 셀에 전달될 수 있고, 이웃 송수신기 셀에서 위상 변위된 아날로그 신호가 합해져서, 최종 결합된 신호(4110)를 생성한다. 결합된 위상 변위된 기저대역 아날로그 신호는 송수신기 회로(4100) 내의 단일 ADC에 의해 디지털 신호로 변환될 수 있다. 예를 들어, 결합된 신호(4110)는 기저대역 회로(4116)에 의한 추가 처리를 위해 디지털 신호(4114)를 생성할 수 있는 송수신기 셀(4102B) 내의 ADC(4112B)로 전달될 수 있다.
도 42는 일부 양태에 따른 다수의 디지털 신호를 생성하기 위해 다수의 ADC를 사용하는 아날로그 IF/기저대역 위상 변위 동작 모드의 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 블록도이다. 도 42를 참조하면, 송수신기 배열(4200)은 송수신기 셀(4202A, 4202B, 4202C 및 4202D)을 포함할 수 있다. 각각의 송수신기 셀(4202)은 대응하는 믹서(4204)(4204A 내지 4204D) 및 국부 발진기 생성기(4206)(4206A 내지 4206D)를 포함할 수 있다.
일부 양태에서, 믹서(4204)의 출력에서 아날로그 기저대역 신호는 다중 출력 신호를 생성하는데 사용될 수 있다. 보다 구체적으로, 아날로그 계수 세트가 아날로그 체배기 및 각각의 믹서의 출력을 사용하여 적용되어 각각의 송수신기 셀로부터 가중된 신호를 생성하며, 가중된 신호는 ADC 서브시스템에 의해 합해지고 디지털 신호로 변환될 수 있다. 도 42에 도시된 바와 같이, 제 1 아날로그 계수 세트(A1(S))(4208A 내지 4208D)가 각각 믹서(4204A 내지 4204D)의 출력에서 적용될 수 있다. 가중된 신호는 합해져서 결합된 신호(4214)를 생성하고, 결합된 신호는 송수신기 셀(4202B) 내의 ADC(4212B)로 전달될 수 있다. ADC(4212B)는 디지털 기저대역 회로(4218)에 의한 후속 처리를 위해 출력 디지털 신호(4216)를 생성할 수 있다.
유사하게, 제 2 아날로그 계수 세트(A2(S))(4210A 내지 4210D)가 각각 믹서(4204A 내지 4204D)의 출력에서 적용될 수 있다. 가중된 신호는 합해져서 결합된 신호(4220)을 생성하고, 결합된 신호는 송수신기 셀(4202D) 내의 ADC(4212D)로 전달될 수 있다. ADC(4212D)는 디지털 기저대역 회로(4224)에 의한 후속 처리를 위해 출력 디지털 신호(4222)를 생성할 수 있다. 이와 관련하여, 두 개의 별개의 병렬 아날로그 계수 세트를 송수신기 셀 믹서의 각 출력에 적용함으로써, 두 개의 별개의 빔에 대응하는 두 개의 별개의 디지털 출력 신호가 두 명의 별개의 사용자를 위해 사용될 수 있다. 단지 두 개의 출력 디지털 신호가 도 42에 도시되어 있지만, 본 개시내용은 이러한 관점으로 제한되지 않으며 상이한 개수의 병렬 아날로그 계수 세트도 사용될 수 있다.
도 43은 일부 양태에 따른 송수신기 타일을 갖는 위상 배열 송수신기 아키텍처의 예시적인 동작 모드를 도시한다. 도 43을 참조하면, 표(4300)는 본 명세서에 설명된 바와 같이 다수의 송수신기 셀을 사용하는 스케일러블 위상 배열 무선 송수신기 아키텍처의 다양한 동작 모드를 위해 사용될 수 있는 병렬 아날로그 계수 세트, 데이터 수렴 및 병렬 디지털 계수 세트의 개수의 요약을 제공한다.
표(4300)의 제 1 행을 참조하면, 송수신기 배열에서 LO 빔포밍 동작 모드를 위해 개방 조리개(full aperture)(예를 들어, 전체 배열 사이즈)가 사용될 수 있다. 이 모드는 도 38에 도시되는데, 도 38에서 전체 배열(개방 조리개)이 사용되고, 아무런 아날로그 계수 세트도 사용되지 않으며(위상 변위는 LO 위상 변위로 구현되고 믹서 다음에 아날로그 기저대역 신호에서는 구현되지 않음), 단일 ADC는 후속 처리에 임의의 병렬 디지털 계수 세트가 사용되지 않는 단일 디지털 출력 신호를 생성하는데 사용된다.
표(4300)의 제 2 행을 참조하면, 전체 송수신기 배열이 디지털 빔포밍 동작 모드를 위해 사용될 수 있다. 각 송수신기 셀의 아날로그 출력이 합쳐질 수 있으며 배열 내의 N 개의 디지털 변환기가 사용되어 임의의 병렬 아날로그 계수 세트를 사용하지 않고 N 개의 디지털 신호를 생성할 수 있다. 데이터 변환기의 N 개의 디지털 출력은 M 개의 병렬 디지털 계수 세트와 함께 사용되어 M 명의 사용자에게 서비스를 제공하는 최종 M 개의 출력 빔을 생성할 수 있다. 디지털 계수 세트의 적용은 도 40에 도시되는데, 도 40에서는 두 개의 디지털 계수 세트가 N 개의 디지털 변환기의 출력에 사용되어 두 명의 사용자에게 서비스를 제공하는 두 개의 최종 출력 빔을 생성한다.
표(4300)의 제 3 행을 참조하면, 송수신기 배열 개구의 1/M이 M 명의 사용자에게 서비스를 제공하기 위해 사용된다. 이 예는 도 39에 도시되어 있는데, 도 39에서는 서브배열 프로세싱이 (배열(3900)가 M 개 행을 갖는다고 가정하면) M 개의 아날로그-디지털 변환기와 함께 사용된다. 이어서 아날로그-디지털 변환기로부터의 M 개 디지털 출력은 최대 M 개의 병렬 디지털 계수 세트를 사용하여 (예를 들어, 도 40에 도시된 바와 같이) 처리될 수 있다.
테이블(4300)의 제 4 행을 참조하면, 송수신기 배열의 개방 조리개가 아날로그 위상 동작 모드로 사용될 수 있다. 예를 들어, 도 42에 도시된 바와 같이, M 개의 병렬 아날로그 계수 세트가 M 개의 디지털 변환기와 함께 사용되어 M 개의 출력 신호를 생성할 수 있다. 도 42를 참조하면, M은 2이므로 두 개의 디지털 변환기와 함께 송수신기 셀당 병렬 아날로그 계수 세트가 사용되어, 출력 빔 신호를 생성한다. 이어서 최대 M 개의 병렬 디지털 계수 세트가 데이터 변환기의 빔 신호 출력과 함께 사용될 수 있다.
이전의 무선 사용자 디바이스 안테나 배열 설계는 적어도 세 가지 문제를 제기하였다. 하나의 문제는 이전의 설계에서 안테나 배열에 급전하는 차폐된 실리콘 다이가 통합되어 있었다는 것으로, 이전 설계에서 실드는 이산적 금속 실드이고, 이전 설계에서 배열은 차폐된 실리콘 다이를 포함하는 기판의 하나 이상의 레벨 또는 기판의 하나 이상의 면에 존재할 수 있다. 이로 인해 차폐된 다이, 별개의 회로 및 기판의 하나 이상의 레벨 또는 하나 이상의 면 상의 안테나 배열에 필요한 비교적 큰 면적의 기판이 필요했다. 큰 면적 기판을 필요로 하는 설계는 더 비싼 기판을 의미한다. 위의 유형의 설계에서, 기판이 안테나 배열이 급전되는 실리콘 다이의 두 배에 달한다는 점에서 이것은 드문 일이 아니다. 일부 양태에서, 기판은 라미네이트 구조일 수 있다. 본 명세서에서 라미네이트 구조가 설명될 것이지만, 다른 양태에서 다른 기판이 또한 사용될 수 있다.
위의 유형의 설계에서 닥치는 두 번째 문제는 연루된 큰 면적 때문에 다이로부터 안테나 요소의 일부까지 긴 급전 라인의 경로를 설정하는 것이다. 이것은 안테나 요소의 일부에 급전할 때, 경우에 따라 3 dB 만큼의 전력 손실 또는 전력의 거의 절반 정도의 손실로 이어진다.
세번 째로, 이러한 설계는 기판의 일부 영역에서는 양호한 위상 배열 방사를 제공할 수 있고, 다른 영역에서는 다이 및 이산적 구성요소를 덮어 이들을 무선 주파수 간섭(radio frequency interference)(RFI) 및 전자기장 간섭(EMI)으로부터 보호하는 실드 때문에 안테나 요소로부터 또는 전체 안테나 배열로부터 나오는 방사가 차단될 수도 있다.
그러므로 위의 세 가지 문제에 대한 솔루션을 찾는 것이 바람직하다. 하나의 솔루션은 기판 또는 라미네이트 구조와 같은 복수의 패키지를 사용하는 디자인을 포함한다. 본 명세서에서는 도 44a 내지 도 44d에서 패키지-온-패키지(package-on-package)(POP) 구현예로 설명되는 바와 같이, 두 개의 패키지를 사용하는 솔루션이 설명된다.
도 44a는 일부 양태에 따른, 2-패키지 시스템 중 하나의 패키지의 평면도를 도시한다. 일반적으로 (4400) 및 구체적으로는 (4401)로 표시된 하나의 패키지는, 일부 양태에서, 금속화 상부층 및 금속화 하부층을 갖는 평행한 금속화 층을 갖는 기판일 수 있다. 패키지(4400)는 도 3a 에 도시된 mmWave 통신 회로(300)의 RF 회로(325) 및 안테나 배열 회로(330)에 통합될 수 있지만, 패키지(4400)는 이것으로 제한되지 않는다. 하나 이상의 금속화 층의 일부 또는 전부는 일부 양태에서 필요에 따라 금속화가 없도록 가공될 수 있다.
일부 양태에서, 기판(4401)은 여섯 개의 패치 안테나(4403, 4404)의 배열을 포함한다. 지정 번호 (4403)은 단일 도트로 표시되는 단일 매치 포인트(single match point)를 갖고 그리고 단일 패치 안테나일 수 있는 패치 안테나를 나타낸다. 지정 번호 (4404)은 두 개의 도트로 표시된 두 개의 매치 포인트를 갖고, 그리고 이중 스택 패치 안테나 요소일 수 있는 패치 요소를 나타낸다. 이러한 설계는 사용될 수 있으면서 일부 양태만을 나타내는 다수의 구성 및 유형의 안테나 요소 중 하나일 뿐이다. 일부 양태에 따르면, 기판(4501)의 주변부의 주위에는 여섯 개의 안테나 요소(4505)가 있다. 이들 안테나 요소는 일부 양태에 따른 엔드 파이어(end fire)를 위해 위치된 인쇄된 안테나 요소일 수 있다. 다이폴 안테나 요소가 (4505)로 도시되지만, 다른 유형의 안테나 요소가 사용될 수 있다. 본 명세서의 설명에서, 안테나 배열의 일부 또는 전부는 지능형 안테나 배열로 호칭될 수 있다.
"지능형 안테나" 또는 "지능형 안테나 배열"이라는 용어는 안테나 또는 안테나 배열이 제어되는 방식에서 의미를 찾는다. 일부 양태에서, 안테나 배열은 수직, 수평 및 원형 편파와 같은 다양한 유형의 극성으로 구현될 수 있다. 예로서, 안테나 배열이 수직 극성 및 수평 극성을 위해 구현될 때, 주어진 시간에 송신된 극성, 및 그에 따라 주어진 시간에 어느 안테나 또는 배열이 파이어링하는지는 무선 사용자 디바이스에서 가장 큰 강도로 수신된 신호의 극성 표시에 기초하여 알고리즘적으로 제어될 수 있고, 그래서 지능적이다. 일부 양태에서 이러한 정보는 사용자 디바이스로부터 셀 타워 송수신기와 같은 무선 송신기로 연속적으로 피드백될 수 있다. 그 다음에, 이러한 동작은 이동 폰일 수 있는 사용자 디바이스의 수신기에서 편파와 매칭하는 송신된 편파를 달성하도록 구현될 수 있다. 일부 양태에서 사용자 디바이스 안테나도 또한 유사하게 알고리즘적으로 제어된다. 일부 양태에서 공간 다이버시티를 위해 유사한 알고리즘적 제어가 달성된다.
도 44b는 일부 양태에 따른, 도 44의 기판(4401)의 저면도를 도시한다. 도 44b에서, 구조는 일반적으로 (4402)로 도시되며, 실리콘 다이(4409) 및 그 중 하나가 (441)로 지정된 이산적인 구성요소를 포함한다. 일부 양태에서 이산적인 구성요소는 캐패시터, 저항기 및/또는 인덕터일 수 있다. 다이를 둘러싸는 콘택(4407)은 일부 양태에서 솔더 볼일 수 있다.
도 44b는 도 44a의 기판의 저면도를 도시한다. 도 44c는 일부 양태에 따른 도 44a 및 도 44b의 두 개의 패키지 시스템의 제 2 패키지의 기판의 저면도를 도시한다. 도 44c의 구조(4419)는 PCB 보드와 같은 기판일 수 있고, 일부 양태에 따른, 도 44a의 기판(4401)일 수 있다. 구조(4419)는 길이(L)를 가지며, 이 길이는 일부 양태에서, 도 44b의 콘택(4407)의 라인의 길이와 본질적으로 동일하며, 콘택은 아래에서 논의된다. 구조(4419) 상에는 본 명세서에서 이중의 적층 패치 안테나(dual stacked patch antenna)로서 도시된 네 개의 안테나 요소(4421)가 도시되고, 각각의 적층 패치 안테나는 각각의 안테나 요소상의 두 개의 도트에 의해 표시된 두 개의 매치 포인트를 갖는다. 기판(4401)과 마찬가지로, 기판(4401) 상의 또는 기판(4401) 내의 이러한 안테나의 디자인이 사용될 수 있으며 이러한 디자인은 단지 일부 양태만을 나타내는 안테나 요소의 다수의 구성 및 유형 중 하나이다.
도 44d는 일부 양태에 따른, 하나를 다른 하나에 실장한 도 44a 및 도 44c의 패키지를 도시한다. 제 1 패키지(4401) 및 제 2 패키지(4419)는 패키지-온-패키지 구현으로서 서로 실장 또는 적층된다. 실장은 다양한 실장 프로세스를 사용하여 수행할 수 있다. POP 형상(4406)에 의해 알 수 있는 바와 같이, 안테나 요소(4421)는 POP 양상의 "상부" 기판 또는 "상부 패키지" 상의 기판(4419) 상에 또는 기판(4419) 내에 있고, "위로" 향하고 있다. 일부 양태에 따르면, 안테나(4403, 4404)는 POP 양상의 기판(4401)의 "하부" 또는 "하부 패키지" 상에 또는 내부에 있고 "아래로" 향하고 있다. 커넥터(4417) 및 구성요소(4413)는 아래에서 논의되는 바와 같이, 몰드 또는 캡슐화(4414)에 의해 고정되어 견고하게 만들어질 수 있다. 레벨(4423)은 일부 양태에서 안테나 및 피드 라인 용도로 사용되는 다중 금속화 층일 수 있는 금속화 층을 포함한다.
일반적으로 말해서, POP라는 개념은 이전의 양태에서 적층될 수 없었던 패키지를 수직으로 적층하는 것과 관련이 있으며, 패키지 내의 안테나, 다이 및 구성요소의 3 차원(3D) 적층을 포괄한다. 3D 적층에서 고려해야 할 몇 가지 요소는 안테나 볼륨과 안테나 사이즈를 포함한다. 이전의 설계는 평면적이었기에, 결과적으로 x-치수 및 Y-치수(예를 들어, 폭 및 길이)가 위에서 논의한 큰 기판 면적을 초래하는 치수가 되고, 기판 비용, 피드 라인 전력 손실 및 사용 가능한 공간의 손실 및 실드 및 다른 이산적 구성요소에 의한 방사의 차단 문제가 있는 차폐된 다이 설계를 초래한다. 이전의 설계는 패키지의 볼륨이 주로 패키지의 x-치수 및 Y-치수보다 더 중요하다는 가정에 기초하였는데, 왜냐하면 볼륨의 Z-높이 치수의 중요성 때문으로, 사용자 디바이스 패키지에 대해 특정 Z-높이 또는 "헤드룸(headroom)" 제한을 두고 있다. 그러나 이러한 상정은 Z-치수를 줄이기 위해 점점 더 큰 x-Y 면적으로 이어져서 위의 문제를 초래한다. 그러나 패키지-온-패키지를 적층하는 것은 이러한 문제를 해결할 수 있어 기판 비용이 저렴해지고 피드 라인의 라우팅을 통한 전력 손실이 감소되며 (예를 들어, 5G mmWave 동작에서 매우 중요한) 방사 차단이 적어진다는 것을 발견하였다. 본 명세서에 설명되는 양태는 면적에 초점을 맞추는 것과 대조적으로 볼륨에 초점을 맞춘다. 다시 말해서, 적층함으로써 줄어드는 x-치수 및 Y-치수가 중요하며, Z-높이는 이전에 믿어진 것보다 다소 덜 중요하다는 것을 발견하였다.
양태는 사실상 더 많은 구성요소를 다른 구성요소의 상부에 적층할 수 있기 때문에, 초기에는 Z-높이가 약간 증가하는 것처럼 보일 수 있다. 그러나 결과는 x-치수 및 Y-치수가 크게 감소하여, 기판 비용, 긴 피드 라인을 통해 손실된 전력, 및 실드 및 다른 디바이스 장애물에 의해 차단된 방사라는 위의 문제의 부정적인 영향을 해결하거나 또는 줄인다.
또한, POP 적층의 Z-높이는 사실상 현재 및 미래의 무선 사용자 디바이스의 요구 사항을 충족시킬 것으로 믿어진다. 또한, 도 44a 및 도 44c의 평면도 및 도 44d의 측면도에서 알 수 있는 바와 같이, 일부 양태에 따르면, 안테나 요소(4403, 4404, 및 4405)와 같은 지능형 안테나 배열에 사용되는 실리콘의 아래 또는 위의 실사용 면적(net area)은 훨씬 적은 공간을 차지하고 이전 설계에서 보다 전체적인 피드 라인 라우팅을 덜 필요로 한다. 다시 말해서, 도 44d의 양태에서, 안테나(4403, 4404)는 다이(4409)의 "아래"에서 다이(4409)에 근접해 있고, 안테나(4421)는 다이 "위"에서 다이에 근접하여 있다. 근접은 신호를 송신하는 피드 라인이 매우 작은 거리를 횡단하도록 하는 것으로, 이로 인해 이전 설계에서 긴 피드 라인의 라우팅으로 인해 발생하였던 전력 손실이 더 적으며, 일부 양태에서는 훨씬 더 적다는 것을 의미한다.
또한, 안테나 피드 프로세스에서 필요하지 않으며 안테나에 측 방향으로 배치될 수 있는 별개의 구성요소 - 그 중 하나가 (4413)으로 지정됨 - 및 커넥터(4417)는 도 44b 및 도 44d의 양태에서 안테나의 왼쪽으로 나가 있고, 그래서 전체 POP 구현에 의하면, 다이를 패키지의 상부 및 하부의 안테나에 연결하는 피드 라인은 안테나에 대해 더 짧은 거리를 가로지른다. 기판(4401)은 안테나 요소를 보여주기 위해 도 44b의 콘택(4407)의 길이와 동일 공간에 있는 것으로 도시되지만, 도 44b에서 알 수 있는 바와 같이, 기판(4401)은 구성요소 및 커넥터 전체에 걸쳐 연장한다.
위에서 언급한 바와 같이, 이전의 설계에서, 다이 및 이산적 구성요소는 둘 모두의 상부에 이산적 이산적인 금속 실드가 있는 다이에서 동일 위치에 배치되도록 금속 실드 아래에 배치되었다. 이러한 조합은 패키지-온-패키지에서, (4413)과 같이 더 큰 이산적 구성요소가 일부 양태에서 다이로부터 오프셋되어 있을 수 있다는 사실로 인해 그리고 또한 이전의 디자인에서 쓸모 없는 Z-치수의 일부의 일부 볼륨이 쓸모있는 공간이 되기 때문에, 본 명세서에서 개시된 POP 양태보다 실제로 크다. 이것은 도 44d에서 사용 가능한 공간(4425)으로 보여지며, 이제 이 공간은 안테나(4421) 및 이들 안테나가 형성하는 안테나 배열과 같은 지능형 안테나 또는 지능형 안테나 배열의 배치에 이용할 수 있다.
위에서 언급한 바와 같이, 다이를 둘러싸는 것은 일부 양태에서 솔더 볼일 수 있는 도 44b 및 도 44d의 콘택(4407)이다. 이러한 콘택, 예를 들어 언급된 솔더 볼은 기판(4401)의 적어도 하나의 금속화 층과 접촉한다. 이것은 도 44b 및 도 44d에서 도시된다. 도 44d의 절단 부분에서, 솔더 볼(4407)은 또한 기판(4401)의 금속화 층과 기판(4419)의 금속화 층 둘 모두와 접촉하는 것으로 도시된다. 그러므로 일부 양태에서, 다이를 둘러싸는 솔더 볼이 고밀도로 이격되면, 땜납 볼과 위와 아래의 이들 두 개의 금속화 층의 조합은 패러데이 케이지로서 작용하여, 이전 설계에서 사용된 이산적 금속 실드의 벌크와 높이가 필요 없는 다이(4409) 용의 실드가 된다. 일부 양태에서, 콘택은 금속화 비아일 수 있고, 만일 고밀도로 이격된다면, 상부 및 하부 금속화 층과 접촉하여 패러데이 케이지로서도 작용할 수 있다.
일부 양태에서, 비아는 기판에 수직일 수 있다. 일부 양태에서, 비아는 기판에 대해 경사진 방향(pitched direction)으로 되어 있을 수 있다. 어느 경우나, 비아와 같은 콘택의 간격의 밀도 또는 콘택 사이의 피치의 밀도는 대략 λ/20 이하이며, 여기서 λ는 동작 주파수의 파장이다. 설명된 패러데이 케이지의 관점에서, 이전의 설계의 기계적 실드는 설명되는 양태에서 존재하지 않을 수 있으므로, Z-높이는 더 작아진다.
또한, 패키지(4401, 4419)의 안테나 요소(4403, 4404) 및 안테나 요소(4421)는 각각 동일한 송수신기 내에 있을 필요는 없다. 적층 패키지의 중요한 장점은 다수의 무선 장치와 다수의 시스템을 서로의 위에 또는 서로 나란히 적층될 수 있게 하는 것이다. 일부 양태에서, 안테나(4403, 4404)는 Wi-Fi 주파수 대역 내에서 동작하는 Wi-Fi 시스템 내의 무선 장치에 연결될 수 있으며, 안테나(4421)는 mmWave 무선 기가비트(Wireless Gigabit)(WiGig) 시스템 내의 무선 장치에 연결될 수 있고, 일부 양태에서 다이(4409)는 Wi-Fi 시스템 구성 및 mmWave WiGig 시스템 구성을 갖는다.
일부 양태에서, 다이(4409)는 실제로 복수의 다이, 예를 들어 (4403, 4404)와 같은 한 그룹의 안테나에 연결된 Wi-Fi 동작을 위해 구성된 하나의 다이 및 (4421)과 같이 다른 안테나 그룹에 연결된 mmWave WiGig 동작을 위해 구성된 제 2 다이를 포함할 수 있다. 또한, 패치 요소(4403, 4404, 4421)와 같은 안테나 배열이 도 44d의 POP 구성에서와 같은 안테나 요소의 오버레이 때문에 서로 전기적으로 대향한다면, 그리고 안테나가 함께 파이어링하도록 제어되면, 일부 양태에서, 방사는 도 44d에서 전반적으로 (4420)으로 표시된 바와 같이, 에지-파이어 동작시 옆으로 기울 수 있다.
또한, 일부 양태에서, 패키지의 대향 측상의 안테나 배열의 피어이링은 알고리즘적으로 심지어 일백팔십도(180°) 각도 반대 방향에서도, 대향하는 방향으로 파이어링하도록 제어될 수 있으며; 일부 양태에서, 패키지의 대향 측상의 안테나 배열의 파이어링은 동일한 방향으로 행해질 수 있다.
도 45a 내지 도 45d 및 도 46a 내지 도 46d에서 보는 바와 같이, 일부 양태에서, 안테나의 개수는 적층으로 인해 여러 양태로 바뀔 수 있다. 이전의 설계에서, 안테나 배치는 이산적 금속 실드에 의해 차지된 공간으로 인해 패키지의 특정 위치로만 제한되었다. 그러나 본 명세서에 설명된 적층 기술로 인해 개선되기 때문에, 일반적으로 그러한 제한이 없다. 또한, 위에서 언급한 바와 같이, 이전의 설계의 금속 실드는 방사선 차단을 야기하고, 또한 안테나의 배치를 제한한다. 이러한 제한은 POP 디자인에서 대부분 없어진다. 따라서, 일부 양태에서, 안테나의 개수 및 안테나 배열의 사이즈 및 형상은 특정 패키지가 통합되는 디바이스의 요건에 따라 맞추어질 수 있다.
도 45a 내지 도 45d 에 도시된 양태는 도 44a 내지 44d의 양태의 변형예를 도시하며, 유사한 도면 부호는 두 도면 세트 모두에서 유사한 도면 항목을 지칭한다. 도 45a는 일부 양태에 따른 다른 2-패키지 시스템의 하나의 패키지의 기판의 평면도를 도시한다. 도 44b는 일부 양태에 따른 도 44a의 기판의 저면도를 도시한다. 도 44c는 일부 양태에 따른 도 44a 및 도 44b의 2 패키지 시스템의 제2 패키지의 기판의 저면도를 도시한다.
도 45a는 기판(4501) 및 안테나를 포함하는 패키지(4500)를 도시하며, 안테나 중 하나는 (4504)로 식별된다. 안테나는 각각의 안테나 요소 상의 두 개의 도트에 의해 표시되는 두 개의 매칭 포인트에 의해 듀얼 패치 안테나로서 도시된다. 기판(4501)은 평면도로 도시된다. 도 45b는 도 45a에 도시된 기판(4501)의 하부 측이다. 도 45b에는 RFIC 다이(4509) 및 이산적 구성요소가 도시되며, 구성요소 중 하나는 (4511)로 표시된다. 콘택(4507)은 일부 양태에서 솔더 볼이며, 다이와 이산적 구성요소의 주변을 둘러싸고 기판(4501)의 적어도 하나의 층과 접촉한다. 패키지(4504)의 수평 치수(L2)는 일부 양태에서 패러데이 케이지를 형성하는 콘택(4507)과 실질적으로 동일한 수평 길이이다.
도 45a 내지 도 45d에서, 기판(4501) 상에 안테나 배열을 구성할 수도 있는 패치 안테나(4504) 및 기판(4519) 상에서 배열 안테나를 구성할 수 있는 패치 안테나(4521)와 같은 안테나는 일부 양태에서 바람직할 수 있는 바와 같이 대칭 및 수직으로 서로 대향 배치될 수 있다. 이것은, 안테나 요소(4521)를 포함하는 배열에 의해 기판(4519)에 수직이고, 안테나 요소(4504)를 포함하는 배열에 의해 기판(4501)에 수직인 안테나 요소가 함께 파이어링되어 하나 이상의 희망하는 방향으로 방사를 제공하도록, 예컨대 대향 방향으로 방사를 제공하도록 제어될 수 있게 할 것이다. 경우에 따라, 파이어 순서에 따라, 위에서 언급한 두 개의 배열의 방사는 (4520)에 도시된 바와 같은 에지-파이어 동작에서 측 방향일 수 있다.
도 45d는 일부 양태에 따라 패키지-온-패키지 구현으로 적층된, 도 45a 내지 도 45c의 제 1 패키지 및 제 2 패키지를 도시한다. 도 45d의 양태(4506)는 도 44d의 것과 거의 동일하다. 도 44d에서와 같이, 적층은 Z-높이 개선에 유리할 뿐만 아니라, 더 양호한 안테나 방사를 제공하기 위해 x-Y 영역을 사용할 수 있다는 장점이 있다. 이러한 장점은 위에서 설명된 바와 같은 일부 이전의 디자인에서 이용 가능하지 않았다.
도 46a 내지 도 46d 에 도시된 양태는 도 44a 내지 44d의 양태의 다른 변형예를 도시하며, 유사한 도면 부호는 두 도면 세트 모두에서 유사한 도면 항목을 지칭한다. 도 46c의 패키지(4604)의 수평 치수(L3)는, 도 45c에서와 같이, 패러데이 케이지의 부분을 형성하여 다이(4609)를 차폐하는 조밀하게 패킹된 콘택(4607)의 수평 길이와 실질적으로 동일한 수평 길이이다. 이산적 구성요소(4611)는 다이(4609)로부터 측방향으로 분리되어 배치되었고, 일부 양태에서 도 46d의 패키지-온-패키지 구성에서 캡슐(4614)에 의해 보호된다. 패키지 내 또는 패키지-온-패키지 양태에서 캡슐의 사용은 도 47d과 관련하여 아래에서 더욱 상세하게 설명된다.
도 47a 내지 도 47d는 일부 양태에 따른 캡슐화된 POP 구현의 예를 도시한다. 도 47a는 일부 양태에 따른 또 다른 2-패키지 시스템의 하나의 패키지의 기판의 평면도를 도시한다. 도 47b는 일부 양태에 따른 도 46a의 기판의 저면도를 도시한다. 일부 양태에서 패치 안테나인 안테나 요소(4704, 4721)는 여덟 개의 안테나 요소(4704) 및 네 개의 안테나 요소(4721)가 있는 것을 제외하고는 도 44a 내지 도 44d에서와 본질적으로 동일한 유형의 안테나 요소이다. 몇가지 유형 및 안테나 요소의 개수가 패키지의 사양과 필요에 따라서 곧 사용될 수 있으므로, 안테나 요소의 개수 및 유형은 중요하지 않다.
일부 양태에서, 안테나 요소(4704 및 4721)는 일부 양태에 따라서 각각의 패키지 상에 상이하게 배치되어 도 47a 및 도 47c에 도시된 바와 같이 두 개의 배열을 형성할 수 있다. 도 47c는 일부 양태에 따른 도 47a 및 도 47b의 2 패키지 시스템의 제 2 패키지의 기판의 저면도를 도시한다. 적층 패키지 기술에 의해 가능해진 안테나 배치의 융통성을 되풀이 하여 도시하는, 이전의 도면에서 안테나 요소(4721)가 자신들의 위치로부터 측 방향으로 위치되었다는 사실은 주목할 만하며, 그 융통성은 안테나 요소의 배치 및 방사를 간섭하는 이산적 금속 실드를 갖는 이전의 구성에서 가능하지 않았었다. 도 47d는 일부 양태에 따라 패키지-온-패키지 구현으로 적층된, 도 47a 내지 도 47c의 제1 패키지 및 제2 패키지를 도시한다.
도 47d에서 주목할만한 점은 다이(4709) 및 이산적인 구성요소(4711)를 덮는 캡슐화(encapsulation) 또는 몰드(4724)이다. 캡슐화는 몰드, 레진 및 접착제 등일 수 있다. 관통-몰드 비아(4715)는 기판(4701)의 안테나 요소와 기판(4719)의 안테나 요소를 다이(4709)에 연결하며 일부 양태에서, 예컨대, 스트립 라인(4712, 4714)에 의해 안테나 피드로서 기능한다. 관통-몰드 비아는 다양한 유형, 예를 들어, 구리 스터드, 솔더 볼, 전도성 에폭시 또는 임의의 다른 적합한 전도체로 도금된 비아 홀일 수 있다. 캡슐화는 레이저로 기계적으로 드릴 가능한 재료일 수 있는 에폭시와 같은 완전히 정의 가능한 재료일 수 있다. 대안적으로, 몰드는 일부 양태에 따라서 스텁(stub) 둘레를 실질적으로 몰딩하는 유체 재료일 수 있다. 예로서, 관통-몰드 비아는 수직 필러 형상의 포스트 또는 스텁일 수 있고, 캡슐은 모든 포스트(또는 스텁)를 감쌀 수 있도록 유동적일 수 있다. 그러므로 스텁 유형 관통-몰드 비아가 먼저 배치되고, 이어서 이것 다음에 캡슐이 추가될 수 있다. 대안적으로, 캡슐이 먼저 추가되고 관통-몰드 비아가 캡슐을 통과하는 드릴링, 및 캡슐을 통과하는 드릴링 후 전도성 비아를 추가함으로써 추가될 수 있다. 캡슐화의 장점은 위에서 논의된 바와 같이, 안테나 요소가 다이에 근접하게 유지하면서 몰드가 다이에 상당한 추가적인 보호를 제공하고, 가까운 패키지 솔루션을 위한 요건의 일부일 수 있는 안테나의 배치로 인해 증가되는 거리 이외에, 안테나 요소로부터 다이까지의 거리를 상당히 증가시키지 않으면서 신뢰성 및 강건성을 추가한다는 것이다.
이동 디바이스에서 x 및 Y 둘 모두의 공간 및 또한 Z-높이의 상당한 추가적인 이용은 커넥터, 종종 스냅-온 커넥터(snap-on connector)의 사용이다. 그러므로 전자장치로부터 외부 세계로의 필요한 전기적 연결을 유지하면서 동시에 커넥터에 대한 필요성을 제거하는 것은 이동 디바이스용위 패키지에서 상당하고 가치 있는 x-Y 영역(real estate) 및 Z-높이를 절감할 것이다. 일부는 연성 동축 케이블 또는 전기적 연결을 제공하고 이에 따라 커넥터의 사용을 회피하는 다른 기술 케이블을 납땜하는 하는 것을 고려하였다. 일부 양태에서, 연성 케이블이 제 위치에 납땜되고, 위에서 설명한 바와 같이 캡슐화를 사용하여 구성요소를 거의 동일한 방식으로 몰딩하여 패키지로 몰딩된다. 일부 양태에서, 도 47d의 동축 케이블(4722)은 적합한 연결 지점에, 예를 들어 (4720)에서 납땜될 수 있고, 일부 양태에서 캡슐(4724)에 의해 또한 고정된다. 몰드, 에폭시와 같은 캡슐 또는 다른 캡슐화는 밀봉 솔루션으로서 동축 케이블이 기판에 연결될 수 있게 하고, 다음으로 일부 유형의 전도성 재료로 스퍼터링되어 전체적 조합이 차폐되게 할 수 있다. 이러한 방식으로 납땜되고 몰딩된 동축 케이블은 일반적인 커넥터가 필요 없이 전기적 연결을 유지하기에 충분한 강도를 가져야 하고, 캡슐화는 동축 케이블 연결이 패키지에서 충분히 강건하도록 하여 실제 커넥터가 필요 없이 패키지의 내부로부터 외부 세계로의 전기적 연결에 대한 필요성의 솔루션을 제공한다. 일부 양태에서, (4720)에서와 같은 납땜은 필요하지 않을 수 있고, 캡슐화는 필요한 강건성에 충분할 것이다. 이것은 위에서 간단하게 논의된 실질적인 xYZ 공간의 절약을 가져온다. 일부 양태에서, 연성 케이블은 보드 대 보드 커넥터를 사용하여 필요한 연결을 제공할 수 있다.
일부 양태에서, 다이를 포함하는 기판의 상부 및 하부 둘 모두에 안테나를 갖는 것이 필요하고, 또한 패키지의 Y-치수를 감소시키고 Z-높이를 감소시키는 것이 둘 모두 필요하다. 위의 필요성을 제공하는 솔루션은 두 개의 패키지를 나란히(side-by-side) 사용해야 한다. 도 48a는 일부 양태에 따른 2-패키지 사이드-바이-사이드 패키지 시스템의 두 개의 패키지의 평면도를 도시한다. 도 48a는 일부 양태에 따른 사이드-바이-사이드 구성의 두 개의 상이한 패키지(4800, 4802)를 도시한다. 도 48a에서 전체적으로 보여지는 패키지(4800)는 기판(4801)을 포함한다. 패키지(4801)의 평면도("상단")에는 RFIC 다이(4809) 및 RFI/EMI 보호를 위한 관련된 구성요소를 덮는 금속 실드의 부분 평면도인 아이템(4808)이 도시된다. 실드(4808)의 어느 일측으로 오프셋된 것은 차폐를 필요로 하지 않는 유형의 이산적 구성요소 및 솔더 볼(4810)과 같은 콘택이며, 그 중 하나가 (4811)로 지정된다. 도 48b는 일부 양태에 따른 도 48a의 두 개의 패키지의 저면도를 도시한다. 패키지(4800)의 기판(4801)의 하부 측 상에는 듀얼 패치 안테나로 도시된 안테나 요소가 있고, 이 중 하나가 (4804)로 지정된다. 또한 다이폴(dipole)(4805)과 같은 엔드 파이어 안테나가 도시된다. 본 양태가 패치 안테나 및 다이폴 안테나를 도시하지만, 다른 양태는 필요한 솔루션에 따라서 상이한 안테나 유형을 사용할 수 있다.
제 2 패키지는 일반적으로 도 48a의 (4802)로 도시된다. 일부 양태에 따른 패키지(4819)의 평면도("상부")가 도시된다. 패키지(4819)는 일부 양태에서 솔더 볼, 이산적 구성요소 - 그 중 하나가 (4813)으로 지정된 - 일 수 있는 콘택(4810') 및 납땜되고 및/또는 아래에서 더 상세히 논의되는 캡슐화된 케이블(4817)을 포함한다. 일부 양태에 따라, 도48b에 도시된 패키지(4819)의 저면도("하부")는 배열로 배열된 듀얼 패치 안테나 요소를 포함하며, 그 중 하나가 (4821)로 지정된다. 인쇄된 다이폴 안테나 - 그 중 하나가 (4820)으로 지정됨 - 는 일부 양태에 따라 엔드 파이어 동작을 위해서 구성된다.
도 48c는 사이드-바이-사이드로 구성된 패키지(4800, 4802)를 도시한다. 패키지(4802)의 케이블(4817) 및 이산적 구성요소(4813)는 캡슐(4824)에 의해 캡슐화된다. 이산적 구성요소 - 하나가 (4811)로 지정됨 - 및 실드(4808) (공간 절약을 위해서 도면에 도시되지 않음) 및 다이(4809)는 또한 캡슐화(4814)에 의해 캡슐화된다. 주목할만한 점은 패키지(4800)가 "플립"되었다는 사실이다. 다시 말해서, 패키지(4802)는 도 48c의 상부에 그의 상부("상부")를 존재하게 하고 도 48c의 하부에 그의 하부("하부")를 존재하게 하는 반면, 패키지(4800)는 패키지(4802)와 나란히 배치되어, 패키지(4800)는 도 48c의 하부에 그의 상부("상부")를 존재하게 하고 도 48c의 상부에 그의 하부("하부")를 존재하게 한다. 두 개의 패키지는 (4810-4810')에서 솔더 볼과 같은 콘택에 의해 고정되어, 서로 접합 된다. 이것은 결과적으로 하측 방향으로 대향하는 (패키지(4819)의 하부 측 상에 있는) 안테나(4821) 및 패키지(4819)의 하부 측 상에 있고 실제로 상측방향으로 대향하는 안테나(4804)를 형성하여, 필요한 솔루션을 제공하고, 즉 위에서 언급된 바와 같이, Z-높이를 줄이고 패키지의 Y-치수를 줄인다.
Z-높이의 감소는 사이드-바이-사이드 구성이 위의 POP 구성 방식의 수직 적층을 사용하지 않는다는 사실로부터 이해될 수 있다. Y-치수의 감소는 도 48c로부터 이해될 수 있다. 두 도면에서, 안테나(4804 및 4821)의 치수는 극히 작다. 또한, 도 48a에서 다이의 치수도 또한 극히 작다. 이러한 두 인자는 모두 더 작은 Y-치수로 이어져, 사용자 디바이스의 에지(Y-치수)에 더 가깝게 배치되는 것을 가능하게 하여, 일부 양태에서 이동 사용자 디바이스의 디스플레이를 위한 추가적인 x-Y 공간이 Y-치수에서 이동 디바이스의 에지에 거의 닿게 한다. 안테나 세트(4821, 4804)는 둘 모두 다이(4809)에 의해 급전된다. 안테나(4804)는 이들 안테나의 다이(4809)와의 근접성 때문에 다이로부터 바람직한 극히 짧은 피드 라인을 가질 것이다. 안테나(4821)는 오프셋 때문에 약간 더 긴 피드 라인을 가질 것인데, 이것은 본 사례에서 이동 디바이스의 특정 기계적 설계에 맞게 하기 위해 수용 가능하고, 이는 이 예에서 디스플레이 스크린과 이동 디바이스의 리드의 단부 사이의 매우 좁은 공간에서 Y 및 Z 치수를 낮추어 준다.
다양한 시간에 방사되는 전파의 변하는 극성 및 변하는 공간 다이버시티를 위한, 위에서 설명된 적어도 일부의 필요성은, 일부 양태에서, 이동 디바이스와 같은 무선 통신 사용자 디바이스를 위해 mmWave 안테나 및 송수신기 디바이스 또는 다른 다이를 포함시키도록 표준 마이크로 SD 폼 팩터 카드를 용도에 맞게 변경함으로써 충족될 수 있다. 이러한 용도에 맞게 변경하는 것의 장점은 이러한 폼 팩터가 이동 디바이스에서 사용될 수 있다는 점이다. 마이크로 SD 카드 포맷은 하나 내지 몇 개의 mmWave 안테나를 포함하는데 있어 알맞은 사이즈이고, RIFIC가 기존의 폼 팩터 안에 배치되는데 있어 알맞은 사이즈이기 때문에, 새로운 폼 팩터를 구성할 필요가 없다. 오히려, 이러한 기존의 폼 팩터가 핸드-헬드/폰 솔루션에 수용되는 솔루션을 신속하게 구현할 수 있다는 인식은 엄청난 비용 절약 및 개연성 있는 동작적인 이점을 제공한다. 또한, 마이크로 SD 폼 팩터 카드가 사용자 디바이스 안으로 플러그 가능하다는 사실은 이것이 양태에 타당할 경우, 마음대로 장착되거나 장착으로부터 탈거될 수 있기 때문에, 폼 팩터 마켓팅 이점을 제공한다.
마이크로 SD 폼 팩터 카드는 필요에 따라 서로 다른 지역을 지원하는 상호 교환 가능한 주파수 범위를 갖는 안테나 및 무선 기술의 상존(population)/축소(depopulation)를 가능하게 할 수 있다. 예를 들어, 상이한 지역은 규제의 관점으로부터 상이한 주파수 대역을 사용할 수 있게 할 수 있다. 만약 마이크로 SD 카드에 주파수 대역이 정의되어 있으면, 이들 카드는 필요에 따라 그 특정 지역에 적합한 원하는 주파수 대역에서 동작시키기 위해 사용자 디바이스에서 넣었다 뺐다 교체될 수 있다.
이러한 폼 팩터 카드는 용이하게 모바일 플랫폼 맨 끝 근처에 배치될 수 있고 그래서 안테나가 드러난다(facing out). 폼 팩터 카드는 RF에 노출되고 종종 기판 상에서 발견되는 소켓 금속에 의해 덮이지 않은 영역을 이미 갖고 있다. 이러한 노출된 영역은 카드 내에 매립되는 안테나 또는 작은 배열을 위해 사용될 수 있다. mmWave 주파수로 동작하는 안테나의 초소형 사이즈를 고려하면, 이와 같은 영역에 맞는 소형 안테나 및/또는 소형 안테나 배열은 매우 효과적이다.
일부 양태에서, 이러한 카드의 다수의 예는 대규모 안테나 배열(massive antenna array)(MAA)을 형성하도록 구성될 수 있다. 또한, (카드의 RF 노출된 영역 외부에 배치된) 다수의 소켓은 또한 상이한 주파수 범위 서브시스템을 지원할 수 있다. 안테나는 일부 양태에서 엔드-파이어 유형 안테나(end-fire type antenna)일 수 있으나, 소켓 금속 구조 외부의 노출된 섹션은 다른 방향으로 방사하는 다른 유형의 안테나를 가능하게 할 수 있다. 다시 말해서, 도 50과 관련하여 아래에서 설명되는 바와 같이, 마이크로 SD 카드는 마이크로 SD 카드가 플러그되는 소켓과 연관된 금속에 의해 덮이지 않은 노출된 영역을 갖는다. 상이한 유형의 안테나는 이 영역에서 상이한 방향으로 방사를 가능하게 하도록 배치될 수 있다. 일부 양태에서, 엔드-파이어 안테나는 엔드-파이어 방사 패턴 방향이 마이크로 SD 카드 방향과 옆쪽일 수 있기 때문에 사용될 수 있다. 그러나 다른 방사 패턴 커버리지를 갖는 다른 안테나 유형이 또한 사용될 수 있다.
본 개시에서 사용되는 바와 같이, "전방", "후방", "상향", "하방", "측방" 등과 같은 용어는 도면의 방향과 관련하여 사용된다. 도 49는 다양한 사이즈의 SD 플래시 메모리 카드의 예시이다. SD 플래시 메모리 카드는 도 3a에 도시된 mmWave 통신 회로(300)의 송신 회로(315), 수신 회로(320), RF 회로(325) 및 안테나 배열 회로(330)에 통합될 수 있지만, SD 플래시 메모리 카드는 그러한 것으로 제한되지 않는다. SD 폼 팩터의 다양한 사이즈는 일반적으로 (4900)으로 도시된다. 표준 SD 폼 팩터 카드는 전방 뷰(4901A) 및 후방 뷰(4901B)로 도시된다. 전기 콘택은 (4903)으로 도시된다. 표준 SD 폼 팩터의 치수는 밀리미터로 도시된다. 미니 SD 폼 팩터는 또한 밀리미터로 표시되는 치수를 갖는 전방 뷰 및 후방 뷰로 (4905)에서 도시된다. 마이크로 SD 폼 팩터 및 그 치수는 또한 전방 뷰 및 후방 뷰로 (4907)에서 도시된다.
일부 양태에서, 마이크로 SD 폼 팩터 카드는 이 카드를 무선 통신 디바이스의 mmWave 동작에 적응시키기 위해 내용 및 기능성을 변경하여 효과적으로 mmWave 통신에 사용될 수 있다. 이에 대한 하나의 이유는, 위에서 간략히 논의된 바와 같이, 특히 무선 통신 디바이스 내 공간이 구하기 힘들고 마이크로 SD 포맷의 사이즈가 공간이 부족한 이동 디바이스 내에서 사용하기 위한 공간 장점을 제공하기 때문에, 마이크로 SD 카드 포맷의 사이즈라면 mmWave 동작을 위해 이를 사용할 수 있다는 것이다. 또한, 마이크로 SD 카드가 "후방" 영역(4909)에서 전자 콘택을 갖는 점을 고려하면, 전방 영역(4911A, 4911B)은 마이크로 SD 카드가 플러그되는 소켓의 금속에 의해 덮이지 않고 노출된 마이크로 SD 카드의 섹션이다. 이것은 안테나가 노출된 영역에 있을 수 있지만 송수신기와 같은 다른 부분은 실드로서 역할을 하는 금속에 의해 덮일 수 있기 때문에, 안테나를 갖는 밀리미터 파 주파수 서브시스템에 매력적이다. 일부 양태에서, 안테나는 아래에서 더욱 상세히 논의되는 (4911A 및 4911B)에서 카드의 내측 영역에 배치된다. 안테나는, 이들이 무선 서브시스템 외부로 방사할 수 있는 비금속화 영역을 필요로 한다. (4911A 및 4911B)의 내측 영역은 비금속화되어 있기 때문에 안테나의 배치를 위해 이상적이다.
도 50은 일부 양태에 따라서, mmWave 무선 통신 동작을 위해 카드를 용도에 맞추기 위해 내용 및 기능성을 변경한 마이크로 SD 카드의 3 차원 도면을 도시한다. 마이크로 SD 카드 폼 팩터 카드는 전체 도면에서 카드의 전방(5009)의 3 차원 도면으로 도시되는 카드(5001)를 포함한다. 카드의 후방의 전기 콘택(5003)은 숨겨진 뷰로 도시된다. 위에서 시사된 내용 및 기능성의 변경의 일부로서, RFIC(5005)가 마이크로 SD 카드 내에 도시되고, 따라서 또한 숨겨진 뷰로 도시된다. 카드의 내측 부분은, 만약 xx-xx 단면을 따라 보여진다면, 다이폴 안테나(5107A, 5107B)와 같은 모양으로 도시된 안테나를 도시하고, 이들 안테나가 일부 양태에 따라 (5009)에서 카드의 전방 내측에 있는 점을 고려하면 또한 숨겨진 뷰이다. 다시 말해서, 안테나는 이들이 배치된 플랫폼으로부터 외측방향으로 방사하도록 노출될 필요가 있다.
후방(5003)에 있는 이러한 유형의 SD 카드를 위한 금속화 커넥터는 두꺼운 섹션이 안테나 배치를 위해 이상적이도록 마이크로 SD 카드(5001)의 두꺼운 섹션(5009)을 금속이 덥지 않은 채 두꺼운 섹션을 남겨 놓는다. 안테나는 이용 가능한 면적보다 더 작을 수 있고 따라서 하나 이상의 안테나가 포함되어 배열을 형성하고 및/또는 안테나 다이버시티가 포함될 수 있기 때문에 아주 작은 카드가 또한 mmWave 주파수에 매우 적합하다. 이러한 사실은 안테나가 다중-입력 다중-출력(MIMO) 동작을 위해 사용될 수 있다는 추가적인 장점을 제공한다. 다른 방식으로 말하면, 다수의 안테나가 무선 시스템에서 상이한 방식으로 사용될 수 있다. 이들은 간단하게 결합될 수 있고, 이들은 전기적으로 빔을 조향하기 위해 사용될 수 있고, 이들은 MIMO를 지원하기 위해 사용될 수 있으며, 이에 의해 상이한 안테나가 솔루션의 다른 안테나와 독립된 정보의 별개의 스트림으로서 송신/수신하기 위해 사용될 수 있는 별개의 무선 체인을 지원하고, 추가적인 기능이 또한 구현될 수 있다.
MIMO의 예로서, 안테나(5107A)는 하나의 MIMO 스트림을 지원하기 위해 사용될 수 있고, 안테나(5107B)는 일부 양태에 따르면 제2 MIMO를 지원하기 위해 사용될 수 있다. 이것은 또한 상이한 편파의 안테나를 사용하여 구현될 수 있다. RFIC(5005)는 이러한 구성 및 다수의 스트림을 지원하도록 구성될 수 있다. 이러한 양태에서, 두 개의 안테나(5107A 및 5107B)가 도시되지만, 이 방식은 단지 두 개로 제한되지 않는다.
RFIC(5005) 및 안테나(5107A, 5107B)는 일부 양태에 따라 바람직한 마이크로 SD 카드 형상으로 오버몰딩될 수 있는 (5009)에서 서브시스템 내부의 PCB 상에서 또는 그 내부에서 에칭되거나, 인쇄되거나 또는 다른 방식으로 구성될 수 있다. 일부 양태에, 섹션의 두께는 또한 수직 편파 안테나에 필요한 것처럼 더 큰 안테나 구조를 포함하기 위해 사용될 수 있다. PCB의 하부는 마이크로 SD 소켓 내 스프링 콘택에 접촉하는 하부에서 에지 카드 콘택을 가질 것이다. 위에서 언급한 바와 같이, (5107A, 5107B)에 도시된 안테나는 다이폴 안테나이고 반구형 패턴을 외부로 방사할 수 있지만, 유형의 안테나는 더 부채꼴 패턴일 수 있다. 다이폴 안테나는 위와 아래로 방사하지만, 다이폴 안테나는 또한 PCB 및 마이크로 SD 카드와 동일한 평면 상에서도 방사하므로 에지-파이어라고 간주될 수 있다. 카드(5001)의 노출된 부분(5009)이 에지에 있기 때문에, 에지-파이어 안테나는 아래에서 논의되는 도 52에 도시된 바와 같이 이러한 폼 팩터에서 사용될 가능성이 있다. 이러한 폼 팩터는 또한 이것이 통합될 수 있는 폰과 같은 플랫폼 유형과 일치한다. 다시 말해서, 마이크로 SD 카드는 이들이 상대적으로 작지만 또한 고용량 메모리 저장을 지원하는 능력을 갖기 때문에, 이미 폰 용도의 현재의 표준 메모리 모듈 폼 팩터이다.
또한, 이러한 마이크로 SD 카드의 많은 인스턴스를 이용하여 배열 형태로 배열될 때, 더 많은 옵션이 적용되고 상이한 방향으로 방사하는 상이한 안테나 유형이 사용될 수 있다. 카드가 매우 작아진 다는 것은 mmWave 범위의 주파수와 동일시되는 사이즈와 동일한 규모의 안테나를 지원할 수 있다는 것을 의미한다. 단지 예로서, WiFi 무선 솔루션은 미니 SD 카드 폼 팩터에 있는데, 왜냐하면 이러한 사이즈가 더 크고 WiFi 주파수 범위(센티미터 파)와 같은 주파수 범위와 일치하는 더 큰 안테나를 지원할 수 있기 때문이다. 더 작은 마이크로 SD는 mmWave 주파수에서 이용 가능한 더 작은 안테나 또는 이러한 몇 개의 안테나를 지원할 수 있는데, 이것은 안테나가 서로 적절한 거리에 배치될 때 배열을 형성하기 위해서 사용될 수 있다는 점을 의미하며, 거리는 주파수의 함수이다.
도 51a는 일부 양태에 따른 도 50의 다이폴 안테나의 방사 패턴을 도시하는 도 50의 마이크로 SD 카드를 도시한다. 다이폴(5107A, 5107B)로부터의 방사는 측방향으로 방사하지만 또한 위와 아래로도 방사하는 일종의 절반의 도넛 형상이다. 방사 패턴의 다른 절반은 폰/핸드-헬드 디바이스 또는 마이크로 SD 소켓의 금속화에 의해 차단될 수 있다. 도 51b는 일부 양태에 따라, 수직 편파된 모노폴 안테나 요소가 Z-높이가 제한된 노출된 영역(5109B)에 수직으로 서있는 도 50의 마이크로 SD 카드를 도시한다. 수직 편파된 요소 주위에 둘러싸인 다른 세미(semi)가 또한 사용될 수 있다. 폴디드 다이폴(folded dipole)이 또한 사용될 수 있다. 도 51c는 일부 양태에 따른 폴디드 백(folded back) 다이폴 안테나(5107AC, 5107BC)를 갖는 도 50의 마이크로 SD 카드를 도시한다. 도 51a, 도 51b 및 도 51c는 단독으로 및 배열로 다양한 양태에서 사용될 수 있는 다양한 유형의 안테나 요소의 단지 일부를 도시한다.
도 52는 일부 양태에 따라, 위에서 논의된 바와 같이 마이크로 SD 카드의 다수의 사례를 제공하기 위해 변경된 세 개의 마이크로 SD 카드를 도시하며, 각각의 마이크로 SD 카드는 카드마다 복수의 안테나를 가질 수 있다. 도 52에는 일반적으로 세 개의 마이크로 SD 카드(5203, 5205, 5207)가 부착된 마더보드(5201)의 조합이 도시되며, 카드는 위에서 논의된 바와 같이 통상의 플래시 메모리 기능으로부터 변경된다. 일부 양태에서, 안테나는 위에서 논의된 바와 같이, 각 카드 내의 다이폴 안테나(5107A, 5107B)일 수 있으며, 각각의 카드로부터 진행하는 화살표에 의해 도시된 바와 같은 엔드 파이어 방향으로 방사한다. 일부 양태에서, 본 명세서의 다른 도면에서 도시되고 이와 관련하여 논의된 바와 같이, 상이한 유형의 안테나가 곧 사용할 수 있는 솔루션에 따라 다양한 필요성을 충족시키는 안테나를 구현하도록 사용될 수 있다. 세 개의 배열이 도시되지만, 이것은 x-축을 따라서 추가 카드를 추가하여 배열 사이즈를 증가시킴으로써 어느 한 방향으로 연장될 수 있다. 사실상 이것은 이용 가능한 볼륨에 따라, 도 50의 좌표 시스템에 의해 도시된 바와 같이, 또한 Z 방향으로 적층하여 배열을 X 및 Z 치수 둘 모두로 확장시킬 수 있다. 많은 마이크로 SD 카드를 서로의 옆에 추가하거나 또는 서로 위로 적층하여 추가함으로써, 적절한 안테나 대 안테나 거리 및 이용 가능한 볼륨을 갖는 대규모 안테나 배열(massive array antenna)(MAA)이 구성될 수 있다. 각각의 카드 상의 안테나 개수는 동작 주파수 및 이에 따른 파장(λ)에 따라, 각각의 카드 상의 하나의 안테나 내지 복수의 안테나일 수 있다.
무선 통신용 이동 디바이스 내 공간은 이러한 디바이스의 폼 팩터 내에 포함되는 기능성의 양 때문에 일반적으로 품귀하다. 다른 이유 중에서도, 방사되는 전파의 공간적 커버리지에 대한 필요성 때문에, 그리고 이동 디바이스가 다른 장소로 이동될 때 신호의 강도를 유지하는 것 때문에, 또는 사용자가 때때로 상이하게 이동 디바이스를 다른 방향으로 향하기 때문에 어려운 문제가 발생된다. 이것은 일부 양태에서, 다양한 시간에 방사되는 전파의 다양한 극성 및 다양한 공간적 다이버시티 때문에 필요성으로 이어질 수 있다. 밀리미터 파(mmWave) 주파수에서 동작하는 안테나를 포함하는 패키지를 구성할 때, 공간의 효율적 사용은 필요한 안테나의 개수, 방사 방향, 편파와 같은 문제 및 이와 유사한 필요성을 해결하는데 도움을 줄 수 있다. 이러한 필요성의 적어도 일부는 구체적으로는 볼 또는 LGA 패드가 제거되어, 경우에 따라 일부 양태에서, 부착된 밀리미터 파(mmWave) 송수신기를 갖는 PCB의 다양한 측면으로부터 안테나 요소가 방사하는 것을 가능하게 하는 영역을 갖는 볼 그리드 어레이(ball grid array)(BGA) 또는 랜드 그리드 어레이(land gray array)(LGA) PCB에 의해 충족될 수 있다.
도 53a는 일부 양태에 따라, 송수신기 서브시스템이 부착된 분리된 BGA 또는 LGA 패턴 패키지 PCB의 측면도이다. 분리된 BGA 또는 LGA 패턴 패키지 PCB는 도 3에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 포함될 수 있지만, 분리된 BGA 또는 LGA 패턴 패키지 PCB는 이러한 것으로 제한되지 않는다. BGA 또는 LGA PCB는 실질적으로 평행한 일반적인 층을 갖는다. 전형적으로, BGA 및 PGA 패키지는 마더보드(MB) 상에 서브시스템을 부착하기 위해 전체 서브시스템을 가로질러 상대적으로 균일한 확산으로 볼 및 패드를 실장한다. BGA 볼(5305, 5306)이 도시된다. 볼 및/또는 LGA 패드가 없는 영역(5303)은 의도적으로 형성되어 이러한 것이 없는 영역이 안테나 섹션 용으로 사용될 수 있도록 하며, 안테나 섹션에서 안테나 요소는 PCB(5301)가 부착된 MB 상에 적절한 개구부가 만들어진다면 외부로 방사할 수 있다. 다시 말해서, 때때로 "갭"이라고 지칭되는 영역(5303)은 안테나 요소를 배치하여 안테나가 자유롭게 외부로 방사하게 하도록 "맞닿는 것이 없어야(contact free)" 한다. 환원하면, BGA/LGA 부착 포인트에서 갭(5303)은 안테나 요소가 갭에 배치되게 하고 갭을 통해서 외부에 또는 만약 안테나 요소가 에지-파이어 요소라면, 측방향으로 방사하게 한다.
본 특허에서 사용되는 것으로, "상부", "하부", "상향", "하향", 측방향"이라는 용어는 도면의 방향을 참조하여 사용되며, 패키지가 임의의 방향으로 향할 수 있는 이동 디바이스 또는 다른 디바이스에서 구현될 때 방사 방향을 제한하는 것으로 의도되지 않는다. 따라서, 본 명세서에서 설명되는 방사는, 실제로, 사용자 디바이스에서 패키지의 방향과 관계없이, 외측 방향이다.
하나의 양태에서, 일부 양태에서 패치 안테나 또는 다른 안테나의 배열일 수 있는 패치 안테나로서 본 명세서에서 도시되는 하방으로(외측으로) 대향하는 안테나 요소(5315, 5316, 5319 및 5321)는 하방으로 방사한다. 이것은 도면에서 웨이브 패턴(wave pattern)(5316, 5318, 5320 및 5322)에 의해 도시된다. RFIC 송수신기(5307)는 시스템의 상부에 부착될 수 있고, 무선 주파수 방사(radio frequency radiation)(RFI) 및 전자기장 간섭(EMI)으로부터 자체 실드(5309)에 의해 보호된다. 도면에서 상방향으로 대향하는 안테나 요소(5311, 5313)는 각각 상방향(5312, 5314)으로 방사한다. 플랫폼으로부터 외부로 다수 방향으로 방사하는 기능은 장점을 제공한다.
예를 들어, 방사는 반대 방향으로 도시되지만, 도시된 패치 안테나는 측방향으로 방사하는 다른 안테나 유형 예를 들어, 엔드-파이어 또는 에지-파이어 안테나로 대체될 수 있고, 서브시스템의 에지에 배치될 수 있다. 따라서, 설명된 서브시스템은 방사 및 편파 방향을 비롯한 상이한 유형의 장점을 갖는 상이한 유형의 안테나를 사용할 수 있다.
하나의 예로서, 도시된 패치 안테나는 각각 두 개의 편파를 생성하도록 두 개의 수직 피드 포인트를 가질 수 있다는 장점을 갖지만, 이들 방사는 사실상 측방향이므로, 패치 안테나는 예시된 구성에서 잘 작동할 것이다. 일부 양태에서, 모듈 상에 배열된 많은 안테나 요소는 배열에서 빔 조향하는데 사용될 수 있다. 또한, 이러한 유형의 서브시스템 배열체는 다중-입력 다중-출력(MIMO) 안테나 배열 및 공간 다이버시티를 위해 구성된 배열에서 용도를 찾을 수 있다. 공간 다이버시티는 상이한 방향으로 방사 패턴을 갖는 안테나를 가짐으로써 달성될 수 있다. 예를 들어, 상부의 패치 안테나는 상방으로 방사하고, 하부의 패치는 하방으로 방사한다. 일부 양태에서, 다른 안테나 유형이 에지-파이어 안테나 유형과 같이 측방향으로 방사하도록 도입될 수 있어, 공간 다이버시티를 달성할 수 있다.
도 53a에서 안테나 요소(5311, 5313)로서 측면도가 도시되지만, 도 53c는 아래에서 논의되는 바와 같이 (5330, 5331 및 5332, 5333)과 같은 이러한 복수의 안테나가 있을 수 있다는 것을 도시할 것이다. 특정 개수의 안테나가 도시되지만, 안테나 요소의 개수는 관련 기술분야에서 통상의 기술자에 의해 이해될 수 있는 바와 같이 양태에 따라서 변할 수 있다. 동작 주파수 및 안테나 사이즈는 배열에 얼마나 많은 안테나가 효과적이도록 주어진 영역/공간 내에 실제로 장착될 수 있는지를 결정한다. 또한, 예를 들어, 배열의 유형(모노폴 또는 적층 패치 안테나, 다이폴 안테나, 및 다른 유형) 및 이들의 배열체도 변할 수 있다. 또한, 많은 소형 폼 팩터 디바이스에서, 면적/공간이 매우 가치가 있기 때문에, 위에서 논의된 바와 같이, 복수의 방향으로 방사될 수 있는 서브시스템은 면적/공간을 매우 효과적으로 사용하면서 큰 (또는 일부 양태에서는 아마 최고의) 커버리지를 가질 것이다.
도 53b는 일부 양태에 따른, 도 53a의 서브 시스템의 측면 단면도이다. 도 53b는 안테나 요소(5315, 5317, 5319, 5321)가 방사를 위해 외측으로 노출되도록 구현된 절개부(cutout)(5304)를 갖는 MB(5323)를 도시한다. 다시 말해서, 패키지 내의 분리된 패턴(5303)은 mmWave 안테나 및 송수신기 서브시스템의 부착 측으로부터 외부로 안테나 방사를 가능하게 한다. 이러한 절개부 영역 때문에, 안테나 요소(5315, 5317, 5319 및 5321)는 자유롭게 방사하며 본질적으로 아무것도 이들을 차단하지 않으며, 이것은 솔루션의 제한된 면적/용적 내에서 다른 방향의 방사를 가능하게 한다. 일부 양태에서 안테나 요소(5315, 5317, 5319 및 5321)는 BGA/LGA(5301) 부착 측(BGA/LGA가 MB에 부착되는 측)과 동일한 측 상에 있다. 일부 양태에서 절개부는 PCB 아웃라인을 따라서 이어지는 라우터에 의해 만들어지는 윤곽이 형성되고 기계 가공된 절개부로서 구현된다.
또한 서브시스템의 상부 측에는 안테나(5311 및 5313)가 도시된다. 일부 양태에서, Z-높이를 요구하는 이산적 전자 구성요소는 PCB의 절개부(5304) 내에 딱 들어 맞을 수 있다. 일부 양태에서, 차폐된 RFIC 자체는 동작 동안 맞닿는 것이 없는 영역의 절개부 내에 배치될 수 있고, 솔루션의 전체 Z-높이를 절감한다. 환원하면, RFID와 같은 집적 회로 칩에는 전형적으로 칩을 보완하는 몇몇 이산적 구성요소, 예를 들어 디커플링 캐패시터 및 또한 다른 기능이 동반된다. 일부 양태에서, 안테나 요소가 갭에 있는 대신, 이들 구성요소는 갭(5304)에 배치될 수 있다. 그러나, 만약 구성요소가 갭에 위치된 무선 송수신기 회로의 일부이면, 위에서 시사된 바와 같이 적절한 RFI/EMI 차폐가 구현되어야 한다.
도 53c는 실드(5309)의 평면도를 도시하고 또한 절개부 또는 갭(5304)을 도시하는 서브시스템(5301)의 평면도이다. 알 수 있는 바와 같이, 그리고 위에서 간단히 논의된 바와 같이, 일부 양태에서, 상방으로 대향하는 안테나(5330, 5331 및 5332, 5333)는 두 개의 안테나 요소 각각의 두 개의 배열이다. 관련 기술분야에서 통상의 기술자에 의해 주어진 설계에 따라 안테나 요소의 다른 구성이 가능하여 주어진 상황의 필요성에 적합한 솔루션을 실행할 수 있다.
위의 설명은 이동 디바이스 내 서브시스템의 사용을 논의하지만, 기지국 구현은 양 방향 또는 복수 방향의 방사를 갖는 것으로부터 이득을 얻지 못할 수 있ㅇ을지라도, 서브시스템은 기지국에서도 또한 사용될 수 있다. 기지국 배열 사이즈는 하나의 축으로 제한될 수 있지만, 모듈화(modularity)는 서브시스템을 폴 주위에 원형으로 배열되는 것을 비롯하여 원하는 방향으로 배열하는데 도움을 줄 수 있다. 도 53e는 일부 양태에 따른 실질적으로 모든 방향의 방사 커버리지를 위해 폴 주위에 원형으로 배열된 서브시스템의 배열체를 도시한다. 서브시스템(5341, 5342, 5343, 5344, 5345, 5346, 5347, 5348)은 폴(5341)에 부착된다. 각각의 서브시스템은 도 53a에 도시된 바와 같을 수 있으며 BGA/LGA 라미네이트(laminate)(5301)가 마더보드(5323)에 부착된다. 그러면 방사의 방향은 모든 또는 실질적으로 모든 방향으로 화살표에 의해 표시되는 것과 같을 수 있다.
직사각형 형상 서브시스템이 도시되지만, 예를 들어, 정사각형 또는 코너 형상과 같은 다른 형상이 가능하다. 도 53d는 안테나가 일부 양태에 따른 절개부를 통해 외부로 방사하는 것을 가능하게 하는 PCB 내 U-형상 절개부를 도시한다. 일부 양태에서 금(gold) 패드인 패드 배열(5324, 5326)는 서브시스템에 시그널링하기 위해 사용되는 전기 콘택이고, 또한 서브시스템이 패드 배열 위에 납땜될 때 기계적 부착부로서 기능한다.
도 53f는 일부 양태에 따른 코너 형상의 서브시스템을 도시한다. 네 개의 안테나 요소(5351)를 갖는 서브시스템(5350)이 평면도에서 도시된다. 네 개의 안테나 요소 중 하나는 서브시스템의 양 측 상에 안테나 요소가 있을 수 있다는 것을 도시하기 위한 목적으로 점선으로 도시된다. (아래에서 더욱 논의되는 바와 같이) 안테나 요소 자체가 λ/2이면, 오버헤드가 생기고 요소 주위를 모두 접지화하여 2 x 2 안테나 배열을 갖는 서브시스템의 현실적인 사이즈가, 도시되는 바와 같이, 대략 λ x λ이 될 것이기 때문에, 도시된 양태에서 서브시스템(5350)은 λ x λ의 사이즈인 것으로 도시된다. 저면도는 실드 RFIC를 도시하며, 도시의 명확성을 위해 실선 도면으로 도시되는 실드(5356) 및 RFIC(5355)는 갭(5304E)에 안착된다. BGA 볼 또는 LGA 볼은 (5354)에서 도시된다. 안테나 요소(5351)는 패치 안테나와 같이 옆방향 요소로서 도시되지만, 일부 양태에서 엔드 파이어 커버리지를 위해, 다이폴과 같은 엔드 파이어 요소로 대체될 수 있다.
도 53g는 마더보드(5123)의 코너에 배치된 도 53a의 서브시스템을 도시하며, 숨겨진 뷰에서 (5361)은 RFIC 실드이고 안테나 요소는 (5362)이며, 도면에서 공간 절약을 위해 단지 하나의 안테나 요소에만 번호가 부여된다. 도 53h는 BGA 볼(5306)에 의해 마더보드(5323)에 부착된 서브시스템(5364)의 측면도를 도시하는 것으로, 안테나 요소(5362, 5363) 및 실드 RFIC(5367)는 페이지 안엣 확인되는 측면도에서 도시되며, 이산적 구성요소가 또한 실드(5368) 내에 있는 것으로 도시된다.
도 53i는 일부 양태에 따른, 코너에서의 사용을 위한 형상을 갖는 듀얼-실드 서브시스템(5370)의 구성의 평면도이다. 서브시스템(5370)은 컷오프 코너 에지(5376)를 갖는 것으로 도시된다. 네 개의 브로드사이드(broadside) 안테나 요소(5371)가 실드(5374)의 측부에 인접하게 배치되며, 이 실드는 예시의 목적으로 실선으로 도시되지만, 실제로 실드(5374) 내에 있는 RFID 또는 다른 집적 회로(5375)를 차폐한다. 엔드 파이어 안테나 요소(5372)는 서브시스템의 주변에 배치된다. 안테나 요소(5371)와 유사하게 그리고 이를 참조로 하여 안테나 요소(5377)의 치수는 도시된 바와 같다. 도 53j는 일부 양태에 따라 도 53a의 서브시스템의 측면도를 도시한다. 집적 회로(5382)를 갖는 상부 실드(5383) 및 집적 회로(5385)를 갖는 하부 실드(5384)가 도시된다. 안테나 요소(5386, 5387 및 5388, 5389)는 서브시스템(5300)의 양 측면 상에 존재한다. 서브시스템은 도시된 바와 같이 솔더 또는 다른 적합한 부착에 의해 MB(5323)에 부착된다.
서브시스템이 MB에 납땜될 때 아무런 여분의 지지도 필요하지 않기 때문에 조립 동안, 배열체의 두 단부에서 BGA 볼(또는 LGA 패드)은 추가적인 장점을 갖는다. 서브시스템이 PCB의 코너에 납땜되거나 또는 달리 부착되는 경우를 관찰하면, 도 3c 및 도 4b에서와 같이, 볼 또는 LGA 패드가 코너("L")를 따라서 납땜되는 동안 서브시스템을 공간에 실제로 잡아주는 것이 없다. 서브시스템은 프로세스 동안 자신의 중량으로 인해 떨어질 수 있다. 그러나, 도 53b에서와 같이, 먼 단부에 볼 또는 LGA 패드를 갖는 직사각형 서브시스템에 의하면, 서브시스템이 중력 때문에 존재하도록 예정된 위치 이외의 어딘가로 떨어질 우려가 없다.
PCB 조립 프로세스에서, PCB는 컨베이어 벨트 상에 배치될 수 있다. 그 다음 PCB는 솔더 페이스트되고 다음으로 픽-앤드-플레이스(pick-and-place)에 의해(또는 수동으로), 구성요소가 솔더 페이스트된 패드 위의 자신의 위치에 배치된다. 그 다음에 PCB는 오븐을 통해서 지나가고, 솔더는 구성요소 아래에서 용융되어 구성요소를 PCB에 납땜한다. 그런 다음 PCB는 냉각되고 세척되어 조립된 PCB를 산출한다. 경우에 따라, 일부 구성요소는 또한 납땜 프로세스 전에 제 위치에 접착되어 구성요소가 이동되지 않는다. 그러나 코너의 경우, 이것은 서브시스템이 납땜되기 전에 중력이 서브시스템을 PCB로부터 당길 수 있기 때문에 크게 도움이 되지 않을 수 있다. 이러한 경우에, 떨어질 것 같은 부품을 지지하고 제 위치에 유지시키기 위해 특별한 메커니즘이 추가되어야 한다.
60 GHz 시스템-인-패키지 SIP 생산 테스팅은 60 GHz 또는 5G 기술의 폭넓은 전개 때문에 매우 고가이거나 또는 아마도 감당하기 어려울 것 같다. 신호는 일부 양태의 경우 60 GHz와 같은 밀리미터 파(mmWave) 주파수 범위에서 방사되고 수신되지만, 다른 양태의 경우 28 GHz, 73 GHz 또는 다른 mmWave 대역이 또한 이용가능하다. 일반적으로 말해서, 테스팅은 SIP 및 임의의 관련된 조립체의 복잡성 때문에 안테나 테스팅을 포함해야 한다. 따라서, 테스트는 방사 테스트일 수 있다. 온-칩 "빌트 인 셀프-테스트(built in self-test)"(BIST)는 이러한 테스팅을 돕기 위해서 사용될 수 있지만, BIST는 안테나 요소 테스팅을 포함하지 않을 것 같다.
전형적으로, 테스트를 받는 디바이스, 여기서는 SIP는 위상 안테나 배열을 포함하므로, 복수의 안테나 및 송수신기 요소가 테스트되어야 할 것이다. 이러한 요건은 종래의 테스터로는 적합하지 않은데, 왜냐하면 테스터의 동작 주파수가 mmWave 주파수보다 더 많이 낮기 때문에, 그리고 전형적으로 이러한 테스터는 방사 테스트를 포함하지 않기 때문이다. 대신에, 전도성 또는 콘택 테스팅, 예를 들어 프로빙(probing)이 전형적으로 사용된다. 그러나, 60 GHz 시스템은 심지어 매우 소형인 비이상적인 것에 극도로 민감하다. 예를 들어, 만약 60 GHz 프로브가 증폭기의 이득을 테스트하기 위해 사용되면, 랜딩(landing)의 반복성 및 프로브의 노후화로 인해 많은 이득 변동의 dB가 도입되어 프로브 기반의 60 GHz 생산 테스팅을 매우 어렵게 만들 수 있다.
또한, 60 GHz 시스템은 전형적으로 SIP를 비롯하여, 무선 주파수 집적 회로(Radio Frequency Integrated Circuit)(RFIC)의 패키지 상에 60 GHz 안테나를 통합하고 있다. 이것은 60 GHz에서 매우 높을 수 있는 케이블 손실을 제거하고, 원하는 커버리지를 달성하는 위상 배열의 편리한 구현을 가능하게 한다. 이러한 패키지 구성이 또한 테스트되어야 할 것인데, 이것은 고비용 항목이다. 또한, 대량 생산(high-volume manufacturing)(HVM) 테스팅은 안테나 및 조립체 고장 모드, 예를 들어, 안테나 기판의 미스프로세싱 또는 기판 상의 RFIC의 불완전한 조립을 파악하여야 한다. 실험에 의하면 60 GHz 시스템이 2.5 GHz-6 GHz 시스템과 비교하여 조립 불완전성에 더욱 민감하다는 것을 보여주었다. 이러한 이유 때문에, 60 GHz HVM 테스팅에 안테나를 포함시키는 것은 바람직하다. 따라서, 거의 엄청나게 비싼 60 GHz 장비가 60 GHz 테스트를 수행하도록 테스터 상에 추가될 필요가 있다고 일반적으로 생각된다.
루프백(loopback) 테스트를 사용하여 위에서 논의된 문제를 해결함으로써 60 GHz 시스템의 HVM 생산 셀프-테스팅을 행하는 실질적인 방법이 개시된다. 루프백 테스트는 의도적인 프로세싱 또는 수정 없이 전자 신호, 디지털 데이터 스트림을 라우팅하는 것 또는 아이템을 아이템의 소스로부터 시스템을 통해 유동시키는 것 및 아이템을 다시 소스로 되돌리는 것을 지칭한다. 이것은 주로 SIP의 전송 또는 이동 인프라(transportation infrastructure)를 테스팅하는 방법이다.
다양한 예가 존재한다. 하나의 예로서, 단지 하나의 통신 엔드포인트를 갖는 통신 채널이 테스트될 수 있다. 이러한 채널을 통해 송신되는 모든 메시지는 이러한 동일한 채널을 통해서만 즉시 그리고 이상적으로 수신된다. 원거리 통신에서, 루프백 디바이스는 일반적으로 서빙되는 단말기에서 인력의 지원을 요구하지 않는 서빙 스위칭 센터로부터의 액세스 라인의 송신 테스트를 수행한다. 원거리 통신에서, 루프백, 또는 루프는 발송자(sender)로부터 수신된 신호 또는 데이터를 다시 발송자에게 공급하는 하드웨어 또는 소프트웨어 방법이다. 이것은 물리적 연결 문제를 디버깅하는데 도움을 주기 위해 사용된다. 테스트로서, 많은 데이터 통신 디바이스가 인터페이스 상의 특정 패턴(예를 들어 모든 패턴)을 보내도록 구성될 수 있고 동일한 포트를 통해 이 신호의 수신을 검출할 수 있다. 이것은 루프백 테스트라고 불리며, 자신의 입력에 모뎀 또는 송수신기 내에서 자신의 출력을 연결함으로써 수행될 수 있다. 다른 위치에 있는 두 개의 포인트 사이의 회로는 하나의 위치에 있는 회로 상에 테스트 신호를 가하고, 다른 위치에 있는 네트워크 디바이스가 회로를 통해 신호를 다시 돌려 보내게 함으로써 테스트될 수 있다. 만약 이 디바이스가 자신의 신호를 돌려 받는다면, 이것은 회로가 기능하고 있다는 것을 나타낸다.
60 GHz 장비를 위의 60 GHz 시스템 테스트의 대안으로서 사용하는 것은 고가의 장비(예를 들어, 벡터 네트워크 분석기(vector Network Analyser)(VNA)) 또는 제 3자 구성요소를 갖는 주문 제작된 서브시스템을 이용하여 잘 특성화되고/안정화될 수 있다. 두 접근법은 모두 측정의 비용, 안전성 및/또는 주문 제작된 서브시스템의 노후화의 관점에서 제한이 있다. 개시된 자립식 셀프-테스트 솔루션은 60 GHz 시스템을 사용하여 자신을 테스트한다. 이것은 고가의/민감한 60 GHz 장비의 필요성을 배제한다. 따라서 이것은 또한 60 GHz 시스템-인-패키지에 핵심인 테스팅 시 안테나를 포함하며, 또한 필연적인 온-칩 및 온-패키지 크로스토크 문제를 해결한다. 테스터 상의 반사기는 안테나를 포함하는 기저대역-대-기저대역 루프백을 가능하게 한다. 루프백 셀프-테스트 방식은 때로는 더 낮은 주파수에서 RFIC를 테스트하기 위해 사용되지만, 안테나를 테스트하지 않는다. 개시된 시스템은 일부 양태에 따라, 60 GHz 시스템의 구성요소인 안테나를 포함시키도록 루프백을 확장한다.
도 54a는 일부 양태에 따른, 60 GHz 위상 배열 시스템-인-패키지(SIP)의 평면도를 도시한다. SIP(5400)는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 통합될 수 있지만, SIP(5400)는 그러한 것으로 제한되지 않는다. SIP(5400)는 일부 양태에 따라, 저온 동시 소성 세라믹(low temperature co-fired ceramic)(LTCC)일 수 있는 기판(5405) 상에 또는 기판(5405) 내에 안테나 배열(5401) 및 60 GHz RFIC(5403)를 포함한다. RFIC(5403)는 커넥터(5406)를 통해 입력 신호를 수신한다. 안테나 배열(5401)은 (5402)에서 더 상세히 도시된 542-요소 배열을 포함한다. 배열은 일부 양태에 따라, 일련의 마이크로-스트립 피드 라인(micro-strip feed line)을 통해 RFIC(5403)에 의해 급전된다. (5407)로 도시된 배열의 하나의 안테나 요소는 일부 양태에 따라, 피드 라인(5409)에 의해 급전된다. (5407')로 도시된 배열의 제 2의 안테나 요소는 피드 라인(5409')에 의해 급전된다. 피드 라인(5409')은 RFIC로부터의 RF 신호를 늦추는 방식으로 구조화된다. 다시 말해서 피드 라인 길이는 안테나로의 그룹 RF 신호 지연이 매칭되도록 매칭된다. 이것은 빔포밍 교정(예를 들어, 줄어든 정적 미스매치, 채널 주파수에 대한 교정의 감소된 민감성)에 도움이 된다. 일련의 볼(5413)은 일부 양태에 따라, 칩이 패키지 위에서 플립(flip)될 때 패키지와의 신호 연결을 위한 범프(bump)이다. 542-안테나 배열이 도시되지만, 일부 양태에서 542 개 초과의 안테나 또는 542 개 미만의 안테나가 사용될 수 있다.
도 54b는 일부 양태에 따라, 도 54a의 SIP의 측면 사시도를 도시한다. 도 54b는 일부 양태에 따라, 세 개의 계단형 레벨(5408, 5440, 5412)을 포함하는 계단형 플랫폼(5404)을 도시한다. 안테나(5412)는 안테나가 일반적으로 적합한 동작을 위한 추가적인 기판 층을 요구하기 때문에 가장 높은 레벨 상에 있다. RFIC(5403)를 포함하는 레벨(5410)은 비아를 포함하지 않으며, 이 비아는 mmWave 신호에 도움이 되지 않을 수 있다. 그래서 피드 라인은 일부 양태에 따라, 상부 층(5412) 상에서 직접 라우팅된다. 다른 양태에서, 피드 라인은 유전체 내부로 진행하여 레벨(5410)에 있는 안테나에 도달한다. 레벨(5408)은 커넥터(5406)를 위한 공간을 제공하기 위해 더 얇다.
도 55는 일부 양태에 따라, 셀프-테스터 상에 배치된 60 GHz SIP을 도시한다. 테스터 상에 배치된 SIP는 일반적으로 (5500)으로 도시된다. 본 명세서에서 설명되는 것과 같은 테스트에 유용한 테스터는 적어도 하나의 컴퓨터, 전력 증폭기, 일반적으로 컴퓨터에 의해 실행될 때, 미리 결정된 테스트에 따라 테스트 중인 시스템을 테스트하는 컴퓨터 명령어를 포함하는 컴퓨터 판독 가능한 하드웨어 저장소, 및 테스트 중인 시스템을 수용하고 고정하기 위한 테스트 베드를 포함하는 도킹 능력을 포함한다. SIP(5400)는 기판(5405) 상의 RFIC(5403) 및 542 개 안테나(이 중 하나는 (5401)로서 열거됨)를 포함하는 도 54a의 (5400)로 도시된 유형의 SIP일 수 있다. RFIC(5403)는 증폭기(SIP(5400)의 안테나를 구동하도록 구성된 전력 증폭기(5416) 및 SIP(5400)의 안테나로부터 수신되도록 구성된 저잡음 증폭기(5420)를 포함할 수 있다. 위상 변위기(5414, 5418)는 필요에 따라 빔포밍을 지원하기 위해 포함될 수 있다. 위상 배열의 안테나 요소(T) 중 하나는 송신 모드로 설정된다. 송신(Tx) 안테나(5422)는 60 GHz 신호를 송신한다. 반사기(5502)는 테스터 상에 장착되어 60 GHz 신호를 다시 SIP에 반사하며, SIP에서 신호가 수신(Rx) 안테나(5424)에 의해 수집된다. 일부 양태에서, 반사기는 테스트 중인 IC 의 상부 상에 있을 것이고, 그래서 위에서 논의되고 아래에서 더욱 상세히 논의되는 테스터의 상부 상에 있을 것이다. 일부 현재의 테스터는 교정을 위한 기준 신호를 송신하거나 수신하도록 하향 변환기/상향 변환기 및 mmWave 혼(horn) 안테나를 구비하는 아암(arm)을 갖는다. 개시된 시스템에서, 현재의 테스터의 아암의 단부에서 기준 무선 장치(reference radio)는 간단한 반사기(5502)로 치환될 수 있다. 이것은 mmWave 테스팅에 적응될 (전형적으로 60 GHz 미만 용도로 설계된 회로를 테스트하는) 오늘날의 테스터에 용이한 장착을 가능하게 한다.
수신 안테나(5424)의 신호는 일부 양태에서 RFIC에서 증폭되고 하향 변환된다. 도 55의 배열체는 임의의 중요한 성능 메트릭(예를 들어, 이득)을 측정하고, 부품이 양호하다거나 또는 폐기되어야 하는지를 결정하고 및/또는 아래에서 더욱 상세히 논의되는 미스매치와 같은 제조 변화에 대항하여 부품을 교정하기 위해 사용될 수 있는 전체 60 GHz 시스템 둘레에 루프백을 설정한다. 이러한 배열체는 60 GHz HVM 테스팅의 중요한 두 가지 문제를 해결한다:
1. 이것은 테스터 상에서 기저대역-대-기저대역 루프백을 설정한다. 따라서, 테스터는 고가의 60 GHz 업그레이드를 필요로 하지 않는다. 일부 양태에서 단지 저렴한 반사기(예를 들어, 금속 고정구)가 테스터 상에 장착될 필요가 있을 수 있다.
2. 루프백은 60 GHz 안테나를 포함한다. 그러므로 루프백 테스트는 안테나 관련 문제(예를 들어, 기판 미스프로세싱) 또는 조립 불완전성을 정확히 찾아낼 수 있다. 루프백 테스트에 안테나가 있기 때문에, RFIC 테스팅뿐만 아니라 완전한 시스템 테스팅이 가능하다.
도 56a는 일부 양태에 따라, SIP 내 바람직하지 않은 온-칩 또는 온-패키지 크로스토크를 해결하는 테스트의 제 1 부분을 위한 테스트 셋업(setup)을 도시한다. 도 56a에서, (5600)은 크로스토크를 해결하는 제 1 셋업을 표시한다. 일부 양태에서 요소는 도 54a 및 도 54b에 도시된 것과 같은 요소이고, 명확성을 위해 동일한 참조 번호가 사용될 것이다.
RFIC(5403)는 전력 증폭기(5601) 및 저잡음 증폭기(5603)를 포함하며, 이들 각각은 안테나(5407, 5407')에 각각 연결된다. 크로스토크는 (5605, 5607)로 표시된다. 테스트 중인 시스템(5600)은 도 55에 도시된 바와 같이 테스터 상에 있지만, 반사기가 제거된 상태이며, 이 상태는 일부 양태에서 전기 기계적 제거/추가 메커니즘에 의해 자동적으로 행해질 수 있다. 도 56b에서, (5602)는 일부 양태에 따라, SIP 내 바람직하지 않은 온-칩 또는 온-패키지 크로스토크를 해결하는 제 2 테스트 셋업을 도시한다. 일부 양태에서, 도 56b의 요소는 일부 양태에서 전기 기계적 제거/추가 메커니즘에 의해 또한 자동적으로 행해질 수 있는, 반사기(5502)가 다시 추가된 것을 제외하고는 도 56a에 도시된 것과 동일하다.
도 57은 일부 양태에 따라, 60 GHz 위상 배열 SIP를 테스트하기에 적합한 자동화된 테스트 장비를 도시한다. (5700)으로 도시된 것은 도 55 내지 도 56c의 테스트 셋업이 부착될 수 있는 자동식 테스트 장비이다. 본 명세서에서 개시된 바와 같이 수정될 때, 논의된 테스트를 실행하도록 프로그램된 것일 수 있는 시스템의 예인 Cassini™ 16™ 자동식 테스터가 (5701)로 도시된다. 관련 기술분야에서 통상의 기술자라면 설명된 테스터 모델은 60 GHz 미만에서 테스트할 수 있고 본 명세서에서 설명되는 바와 같이 60 GHz 테스트를 위해 수정될 수 있는 많은 테스터 중 하나라는 것을 인식할 것이다. 테스터(5701)는 일부 양태에 따라, mmWave 포트 아키텍처(5703), 생산 도파관 상호연결부(production waveguide interconnect)(5705) 및 mmWave 테스트 기구 모듈(5707)을 포함한다. 테스터는 위에서 설명한 테스트 양태를 추가함으로써 수정될 수 있다.
도 58은 일부 양태에 따라, 도57의 자동화된 테스트 장비에 부가될 수 있는 반사기를 도시한다. (5800)에 개념적으로 도시된 반사기(5502)는 테스트 베드(5801) 위에 부착된다. 도 57의 테스터에 테스트 중인 시스템(5803)을 장착하기에에 적합한 시스템 테스트 베드일 수 있는 테스트 베드(5801)는 HVM에서 일반적으로 행해지는 바와 같이, 테스팅을 위한 테스트 베드 상에 시스템을 배치하고 테스트 후에 시스템을 제거하는 자동식 전기기계 디바이스를 포함하거나 또는 이와 인터페이스할 수 있다. 논의 중의 양태에서, 반사기(5502)는 기계적 아암(5805)에 의해 개념적으로 테스터에 연결된다. 관련 기술분야에서 통상의 기술자라면, 부착이 기계적 아암(5805)에 의해 개념적으로 도시되지만, 실제로, 일부 양태에서, 부착은 본 명세서에서 설명되는 크로스토크 테스트에서 사용되는 전기 기계적 제거/추가 메커니즘에 의한 부착일 수 있다는 것을 인식할 것이다. 예를 들어, 일부 양태에서, 테스터의 측부 상에 아암이 있을 수 있으며, 이 아암에 반사기가 부착될 수 있다. 또한 만약 적합하다면 반사기에 틸트(tilt)를 제공하는 모터가 결합될 수 있다.
많은 60 GHz 시스템은 오히려 비대칭적인데, 즉, 시스템은 하이-레이트 신호(예를 들어, 블루 레이 플레이어)를 주로 소스(source)하거나 아니면 하이 레이트 신호(예를 들어, HD TV)를 싱크(sink)하도록 의도된다. 이렇게 말했지만, 많은 60 GHz 시스템은 여전히 TX 및 RX 경로를 포함한다. 예를 들어, 하나의 예시적인 제품 솔루션은 다음과 같은 파라미터를 갖는다:
Figure pct00028
위와 같은 경우에, 루프백 수신기는 테스트 중인 시스템의 이미 이용 가능한 수신기 중 하나일 수 있고, 그 결과 도 55의 방식에 대해 최소한의 오버헤드를 발생한다. 테스트 중인 시스템의 RFIC는 일부 양태에서 위상 배열 송수신기이고, 그래서 다수의 RX 및 TX가 존재한다. 따라서, 이러한 RX 중 하나는 기준 수신기로서 전용될 수 있고, 반면에 TX (빔포밍을 수반하는 하나의 TX 또는 모든 TX)는 테스트 중에 있다. 다시 말해서, 일부 양태에서, RFIC 자체 상에 mmWave 수신기가 사용될 수 있기 때문에, 여분의 수신기가 필요하지 않다. 그러나, 원한다면 전용 테스트-수신기가 또한 사용될 수 있다. 60 GHz 회로는 일반적으로 높은 동작 주파수 때문에 작고, 그래서 심지어 전용 수신기가 낮은 비용의 오버헤드일 수 있다.도 55의 루프백 테스트는 일부 양태에 따라, 많은 중요한 60 GHz 테스트를 수행하기 위해 사용될 수 있다. 테스트는 다음을 포함할 수 있다:
1. 하나씩, TX 요소를 턴 온하여 TX 안테나를 통해 무선 신호를 송신하고, RX 요소를 턴 온하여 RX 안테나를 통해 무선 신호를 수신 - 무선 신호는 반사기를 통해 RX 안테나로 반사되고, 반사기를 통해 RX 안테나로 루프 백됨 - 수신된 무선 신호를 측정한다. 기저대역 신호가 무선 신호에 사용될 수 있다. 루프백 측정값 중 하나가 나머지보다 더 작다면, 이것은 불량 TX 경로(예를 들어, 불량 조립)를 표시한다. 일부 양태에 따라, 결함 있는 경로는 불능화될 수 있고, 부품은 잠재적으로 양질의 부품으로서 판매될 수 있다(위상 배열은 큰 리던던시(redundancy)를 가지므로 하나의 요소는 링크-예산 목적(link-budget purpose)으로 용인될 가능성이 적다). 이러한 테스트는 모든 TX가 동일한 전력 레벨을 갖고 잘 매칭되는 것을 보장하는 시도이다. 루브백 신호는 TX 결함을 측정하는데 도움을 주는 알려진 신호일 수 있고, 예를 들어, 일부 양태에 따라, 데이터가 실리지 않은 단일 톤(single tone)과 같은, 간단한 연속 파 mmWave 신호일 수 있다.
2. 루프백 기저대역 신호 강도를 이것의 예상된 값에 대비하여 비교한다. 만약 루프백 신호가 올바르면, 이것은 일부 양태에 따라 전체 시스템((TX RFIC)-(TX antenna)-(RX antenna)-(RX RFIC))이 용인 가능하다는 것을 나타낸다.
3. 기능성을 체크하고 루프백 신호를 사용하여 위상 변위기의 특성을 측정한다. 위상 변위기 특성이 알려지면, 일부 양태에 따라 임의의 위상 변위기 불완전성이 적절한 룩업 테이블(lookup table)(LUT) 매핑으로 보정될 수 있다. 이러한 테스트는 각각의 안테나 요소의 위상을 조절할 수 있게 하여 빔(RX 또는 TX)이 원하는 방향으로 조향될 수 있다. 본 명세서에서 사용되는 바와 같이, 위상 변위기의 특성은 위상 변위기 제어 코드 대 실제 달성되는 위상 변위를 의미한다. 이러한 테스트는 또한 일부 양태에 따라, 상이한 주파수 또는 RF 채널에 걸쳐 행해질 수 있다. 예로서, 일부 양태에 따라, 하나의 RX가 기준 RX로서 선택될 수 있고, 그런 다음 하나의 TX만이 턴 온될 수 있고, TX 위상 변위기, 예를 들어 도 55의 위상 변위기(5414)로 TX 신호의 위상을 변경시킬 수 있다. 결과적인 TX 위상은 기저대역 신호의 위상을 검토함으로써 RX에서 측정될 수 있다(복조된 기저대역 신호는 I 및 Q 성분을 갖고, 그래서 위상이 측정될 수 있다). 위상 측정은 항상 상대적이고, 그래서 예를 들어 TX 위상 변위기는 제로(0)로 설정될 수 있고, RX에서 기준 위상이 측정될 수 있고, 그런 다음 TX 위상을 스윕하고 기준 값에 대해 새로운 위상을 측정한다. 이러한 방식에서, 제어 대 위상 시프트의 관점에서 이러한 TX 위상 변위기의 특성이 측정될 수 있다. 일단 실제 제어 코드 대 TX의 위상 시프트가 측정되면, 위에서 참조된 룩업 테이블이 제어 코드에 본질적으로 특정한 모든 위상 시프트를 매핑하기 위해 사용될 수 있다.
4. TX 요소를 하나씩 턴 온하고 경로 사이의 진폭과 (예를 들어, (RFIC, 패키지, 조립체) 제조 변동으로 인한) 위상 미스매치를 측정한다. 진폭 및 위상 변위기에서의 동일한 설정 때문에, 모든 TX 신호는 동일한 진폭 및 위상을 가져야 한다. 그러나, 프로세스 미스매치, 안테나의 변동 또는 패키지 상의 라우팅 때문에, 이것은 그와 같은 상황이 아닐 수 있다. 그래서 모든 TX 측정 값을 비교하여, 모든 TX 요소 사이의 미스매치가 추출될 수 있다. 수신된 기저대역 신호를 측정함으로써, 진폭 및 위상의 관점에서, TX 신호 중 하나는 다른 TX 신호가 비교되는 기준으로서 사용될 수 있다.
정확한 미스매치 측정은 정확한 빔포밍을 위해 필요할 수 있다. 도 55에서 반사기 위치의 공차가 파(wave)에 의해 진행되는 거리를 변경함으로써 미스매치 측정을 왜곡시킬 수 있다. 그러나, 일부 양태에 따라, 주의 깊은 분석에 따르면 반사기 위치 공차 오차는 빔포밍에 관련되는 한 본질적으로 중요하지 않다는 것을 보여 주었다.
모든 TX 요소가 동시에 턴 온될 수 있고 루프백 측정이 배열 이득을 예측하기 위해 사용될 수 있으며, 일부 양태에 따르면 이러한 이득은 60 GHz 배열에 중요한 파라미터이다. 만약 모든 TX 요소가 동일한 전력으로 온(on)되고 모든 위상이 정렬된다면, 테스터는 RX에서 20*log(N) 더 큰 전력을 받아야 하며, 여기서 N은 TX 요소의 개수이다. 10*logl0(N)의 배열 이득은 빔포밍으로부터 오며; 10*log(N)의 추가의 배열 이득은 동시에 N 개의 TX 요소가 온 (그래서 N 배 더 높은 TX 전력)이라는 사실로부터 온다.
위의 많은 테스트가 TX 테스팅을 중심으로 설명되었다. 유사한 테스트가 RX 테스팅에 사용될 수 있다. 예를 들어, 일부 양태에 따라 시스템의 TX 중 하나 또는 전용 TX는 루프백을 위한 신호를 송신하기 위해 사용될 수 있다. 테스트는 TX에 대해 본질적으로 동일하며, 안테나 요소 각각에 대해 기준 RX가 기준 TX로 바뀌고 TX가 RX로 바뀐다. 도55에서 RX 테스트 요소가 결함이 있는 것으로 상상할 수 있다. 일부 양태에 따라, 많은 실제 60 GHz 시스템이 이미 하나 이상의 RX를 포함하고, 그래서 상이한 RX에 대한 측정은 이러한 위험을 제거하기 위해 사용될 수 있다.
위의 테스트는 SIP 또는 무선 신호의 송신 및 수신에 의해 동작되는 다른 시스템을 테스트하기 위해 사용될 수 있는 일련의 테스트를 나타낸다. 관련 기술분야에서 통상의 기술자라면 일련의 테스트가 진행되는 수치적 순서가 필요 조건이 아니고, 테스트되는 시스템의 필요에 따라 테스트가 임의의 다양한 순서로 진행될 수 있다는 것을 인식할 수 있다. 또한, 설명된 것 이외의 추가의 테스트가 테스트되는 시스템의 필요에 따라 진행될 수 있다. 실제로 일련의 테스트는 컴퓨터에 의해 실행될 때 컴퓨터가 일련의 테스트의 수행을 제어하도록 하는 명령어로서 컴퓨터 판독 가능한 하드웨어 저장소 내에 프로그램될 수 있다.
일부 양태에 따라, TX와 RX 사이의 바람직하지 않은 (온-칩 및 온-패키지) 크로스토크는 도 56a에서 화살표(5605, 5607)로 표시된 것과 같은 안테나를 통과하지 않는 기생 루프백 경로를 설정한다. 이러한 기생 루프백 경로는 루프백 측정을 왜곡시킬 수 있다. 도 55의 제안된 방법에서, 이것은 일부 양태에 따라, 다음과 같이 해결될 수 있다:
단계 1 - 도 56a: 반사기(5502)를 제거한다. 루프백 측정치를 잰다. 이 결과적인 용어는 온-칩 및 온-패키지 크로스토크를 나타낸다.
단계 2 - 도 56b: 반사기(5502)를 추가한다. 다시 루프백 측정치를 잰다. 일부 양태에 따라, 이러한 단계 2의 결과적인 용어에서 단계-1의 복소수를 차감하여 크로스토크를 제거한다.
위의 크로스토크 제거 절차는 각각의 테스트가 일반적으로 상이한 개수의 요소를 동작시키기 때문에 위의 테스트 각각에 포함될 수 있다.
분산 위상 배열 시스템(Distributed phased array system)(예를 들어, WiGig 및 5G 셀룰러 시스템)은 현재 랩톱, 태블릿, 스마트 폰, 도킹 스테이션 및 다른 애플리케이션에서 사용된다. WiGig 및 5G 통신을 위해 사용되는 현재의 분산 위상 배열 시스템은 수퍼-헤테로다인(super-heterodyne) (이중 변환(dual conversion)) 또는 슬라이딩-IF 시스템이다. 이들 시스템에서, MAC-PHY 기저대역 서브시스템은 IF 신호의 상향 변환 및 하향 변환뿐만 아니라, IF 증폭 스테이지, RF-IF 믹서, 높은 선택성 대역통과 필터 및 회로 사이에서 IF 신호를 전달하는데 필요한 다른 회로의 사용을 필요로 하는 중간 주파수(IF) 신호를 수신하거나 송신한다. IF 신호의 처리를 위한 추가의 회로는 분산 위상 배열 시스템에 더 큰 프론트 엔드 모듈, 더 높은 비용 및 더 낮은 시스템 성능을 초래한다. 또한, 통신 시스템이 MIMO 지원을 제공하는 경우, 추가의 동축 케이블(각각의 MIMO 랭크에 대해 하나) 및 신호 체배가 필요할 수 있다. 그러나, 신호를 체배할 때, 두 개의 MIMO 스트림 사이의 위상 동기화를 달성 및 보장하는 것은 더 힘들며, 이것은 MIMO 성능을 저하시킬 수 있다.
도 59는 일부 양태에 따라, 분산 위상 배열 시스템(5900)의 예시적인 RF 프론트 엔드 모듈(RF front-end module)(RFEM)을 도시한다. 분산 위상 배열 시스템(5900)은 도 3a에 도시된 mmWave 통신 회로(300)의 디지털 기저대역 회로(310), 송신 회로(315) 및 수신 회로(320)에 포함될 수 있지만, 분산 위상 배열 시스템(5900)은 이러한 것으로 제한되지 않는다.
도 59를 참조하면, RFEM(5902)은 단일 동축 케이블(5906)을 통해 기저대역 서브시스템(BBS)(5904)에 연결된다. RFEM(5902)은 위상 안테나 배열(5908), RF 수신기(5910), RF 송신기(5912), 국부 발진기(LO), 생성기(5944), 트리플렉서(triplexer)(5948) 및 송신(TX)/수신(RX) 스위치(5940)를 포함할 수 있다. RF 수신기(5910)는 복수의 전력 증폭기(5916), 복수의 위상 변위기(5918), 결합기(5920), RF 증폭기(5922), LO 증폭기(5926) 및 믹서(5924)를 포함할 수 있다. RF 수신기(5910)는 또한 IF 증폭기(5942)를 포함할 수 있다.
RF 송신기(5912)는 믹서(5938), LO 증폭기(5940), RF 증폭기(5936), 분할기(5934), 복수의 위상 변위기(5932) 및 복수의 증폭기(5930)를 포함할 수 있다. RF 송신기(5912)는 또한 IF 증폭기(5946)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(5940)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(5908)은 복수의 신호(5914)를 수신하기 위해 사용될 수 있다. 수신된 신호(5914)는 증폭기(5916)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(5918)에 의해 조정될 수 있다. 위상 변위기(5918) 각각은 별개의 위상 조정 신호(도 59에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(5904) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(5908)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(5918)의 출력에서 위상 조정된 신호는 결합기(5920)에 의해 합해진 다음 RF 증폭기(5922)에 의해 증폭된다. LO 생성기(5944)는 동축 케이블(5906)을 통해 BBS(5904)로부터 수신된 클록 주파수 신호(5943)를 사용하여 LO 신호를 생성할 수 있다. LO 신호는 증폭기(5926)에 의해 증폭된 다음 IF 입력 신호(5945)를 생성하기 위해 믹서(5924)를 사용하여 증폭기(5922)의 출력과 곱해질 수 있다. IF 입력 신호(5945)는 증폭기(5942)에 의해 증폭된 다음 동축 케이블(5906) 및 트리플렉서(5948)를 통해 BBS(5904)에 전달될 수 있다. 일부 양태에서, IF 입력 신호(5945)는 10.56 GHz 신호 주위에 집중될 수 있다.
예시적인 송신 동작에서, 스위치(5940)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(5902)은 동축 케이블(5906) 및 트리플렉서(5948)를 통해 BBS(5904)로부터 IF 신호(5947)를 수신할 수 있다. IF 신호(5947)는 IF 증폭기(5946)에 의해 증폭된 다음 믹서(5938)에 전달될 수 있다. 믹서(5938)는 LO 생성기(5944) 및 LO 증폭기(5940)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭된 LO 신호는 믹서(5938)에 의해, 증폭되고 수신된 IF 신호와 곱해져서 RF 신호를 생성한다. RF 신호는 다음으로 증폭기(5936)에 의해 증폭되고 분할기(5934)에 전달된다. 분할기(5934)는 증폭된 신호의 다수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(5932)에 전달한다. 복수의 위상 변위기(5932)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(5930)에 의해 증폭된다. 복수의 증폭기(5930)는 위상 안테나 배열(5908)에 의해 송신하기 위한 복수의 신호(5928)를 생성한다.
도 60은 일부 양태에 따라, 분산 위상 배열 시스템의 기저대역 서브시스템(BBS)을 도시한다. 도 60을 참조하면, BBS(5904)는 트리플렉서(6002), IF 수신기(6004), IF 송신기(6006), 모뎀(6024), 수정 발진기(6030), 신시사이저(6028) 및 분주기(6026)를 포함할 수 있다. 신시사이저(6028)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(6030)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 생성된 클록 신호는 분주기(6026)에 의해 나누어져 RFEM(5902)으로 전달을 위한 출력 클록 신호를 생성한다. 일부 양태에서, 생성된 클록 신호는 1.32 GHz의 주파수를 가질 수 있다.
IF 수신기(6304)는 IF 증폭기(6008), 믹서(6010), 필터(6012) 및 아날로그-디지털 변환(ADC) 블록(6014)을 포함할 수 있다. IF 송신기(6006)는 디지털-아날로그 변환(DAC) 블록(6022), 필터(6020), 믹서(6018) 및 IF 증폭기(6016)를 포함할 수 있다.
예시적인 수신 동작에서, IF 신호(예를 들어, (5945))는 RFEM(5902)으로부터 트리플렉서(6002)를 통해 수신되고, IF 증폭기(6008)에 의해 증폭된다. 증폭된 IF 신호는 믹서(6010)에 의해 기저대역 신호로 하향 변환된 다음, 저역 통과 필터(6012)에 의해 필터링되고, ADC 블록(6014)에 의해 디지털 신호로 변환된 다음 모뎀(6024)에 의해 처리될 수 있다.
예시적인 송신 동작에서, 모뎀(6024)에 의해 출력된 디지털 신호는 DAC 블록(6022)에 의해 아날로그 신호로 변환될 수 있다. 그 다음에 아날로그 신호는 저역통과 필터(6020)에 의해 필터링된 다음, 믹서(6018)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(6016)에 의해 증폭된 다음 트리플렉서(6302) 및 단일 동축 케이블(5906)을 통해 RFEM(5902)으로 전달될 수 있다.
일부 양태에서, 분산 위상 배열 시스템(5900) 내의 위상 안테나 배열(5908)는 MIMO 동작을 위해 구성될 수 있는 복수의 안테나를 포함할 수 있다. 보다 구체적으로, 위상 안테나 배열(5908) 내 안테나는 수평 및 수직 편파 송신 또는 수신을 위해 구성될 수 있다. 이와 관련하여, 적어도 두 개의 별개의 데이터 스트림이 MIMO 동작 방법과 관련하여 위상 안테나 배열(5908) 내 수평 및 수직 편파를 사용함으로써 처리될 수 있다. MIMO 모드에서 통신하도록 구성된 예시적인 분산 위상 배열 시스템은 도 61 및 도 62를 참조하여 도시된다.
도 61은 일부 양태에 따라 MIMO 서포트 및 복수의 동축 케이블이 단일 RFEM에 연결된 상태의 예시적인 분산 위상 배열 시스템을 도시한다. 도 61을 참조하면, 분산 위상 배열 시스템(6100)은 RFEM(6102) 및 BBS(6104)를 포함할 수 있다. RFEM(6102)은 도 59의 RFEM(5902)과 유사할 수 있다. 일부 양태에서, 분산 위상 배열 시스템(6100)은 MIMO 동작을 위한 두 개의 별개의 스트림을 처리하기 위한 두 개의 별개의 송수신기를 포함할 수 있다. 보다 구체적으로, 제1 송수신기는 (제 1 유형의 안테나 편파를 사용하여) 제 1 위상 안테나 배열(6108)를 통한 송신 또는 수신을 위한 제 1 데이터 스트림을 처리하기 위해 사용될 수 있고, 제 2 송수신기는 제 2 위상 안테나 배열(6112)를 통한 (또는 동일한 안테나 배열의 상이한 편파 입력을 사용하여) 송신 또는 수신을 위한 제 2 데이터 스트림을 처리하기 위해 사용될 수 있다.
제 1 송수신기는 BBS(6104) 내 제 1 부분(6122) 및 RFEM(6102) 내 제 2 부분(6106)을 포함할 수 있다. 유사하게, 제 2 송수신기는 BBS(6104) 내 제 1 부분(6124) 및 RFEM(6102) 내 제 2 부분(6110)을 포함할 수 있다. BBS(6104) 내 제 1 송수신기 부분(6122 및 6124)은 데이터 신호를 디지털화하고, 디지털 신호를 필터링하고, 위상 안테나 배열(6108 및 6112)에 의한 추가적인 처리 및 후속 송신을 위한 RFEM(6102)에 대한 통신을 위해 필터링된 신호를 상향 변환하기 위한 회로를 포함할 수 있다.
BBS(6104) 내 제 1 송수신기 부분(6122 및 6124)은 위상 안테나 배열(6108 및 6112)를 통해 수신되고 RFEM에 의해 처리된 중간 주파수 또는 무선 주파수 신호를 처리하고 이러한 신호를 처리하기 위한 기저대역 및 디지털 신호로 변환하기 위한 회로를 또한 포함할 수 있다. 일부 양태에서, 제 1 송수신기 부분(6122 및 6124)은 도 60의 수신기 블록(6004) 및 송신기 블록(6006) 내 하나 이상의 회로를 포함할 수 있다. BBS(6104)는 LO 신호(6128)를 생성하도록 구성될 수 있는 LO 생성기(6126)를 더 포함할 수 있다. LO 신호(6128)는 제 1 송수신기 부분(6122 및 6124)에 의해 RFEM(6102)으로 전달하기 위한 기저대역 신호를 상향 변환하기 위해 또는 RFEM(6102)으로부터 수신된 IF 또는 RF 신호를 기저대역 신호로 하향 변환하는데 사용될 수 있다.
RFEM(6102) 내 제 2 송수신기 부분(6106 및 6110)은 BBS(6104)로부터 수신된 IF 또는 RF 신호를 증폭하고, 증폭된 신호를 상향 변환하고, 신호를 복제하고, 위상 안테나 배열(6108 및 6112)를 통해 송신하기 전에 신호의 위상 및/또는 진폭 조정을 수행하기 위한 회로를 포함할 수 있다. RFEM(6102) 내 제 2 송수신기 부분(6106 및 6110)은 위상 안테나 배열(6108 및 6112)를 통해 수신된 무선 주파수 신호를 처리하고, 신호를 위상 및/또는 진폭 조정하고, 신호를 IF 신호로 하향 변환하고 IF 신호(또는 IF 처리가 분산 위상 배열 시스템(6100)에 의해 수행되지 않는 경우에는 RF 신호)를 처리를 위해 BBS(6104)에 전달하기 위한 회로를 더 포함할 수 있다. 일부 양태에서, 제 2 송수신기 부분(6106 및 6110)은 도 59의 수신기 블록(5910) 및 송신기 블록(5912) 내의 하나 이상의 회로를 포함할 수 있다. RFEM(6102)는 LO 신호(6116)를 생성하도록 구성될 수 있는 LO 생성기(6114)를 더 포함할 수 있다. LO 신호(6116)는 제 2 송수신기 부분(6106 및 6110)에 의해 BBS(6104)에 전달하기 위한 RF 신호를 하향 변환하기 위해 또는 BBS(6104)로부터 수신된 신호를 송신을 위한 RF 신호로 상향 변환하기 위해 사용될 수 있다.
일부 양태에서, 분산 위상 배열 시스템(6100)은 MIMO 동작을 위해 구성되므로 제 1 데이터 스트림이, 제 1 유형의 편파를 사용하는 위상 안테나 배열(6108)을 통한 송신 또는 수신을 위한 동축 케이블(6130) 및 트리플렉서(6120 및 6118)를 통해 전달된다. 제 2 데이터 스트림은, 제 2 유형의 편파를 사용하는 제 2 위상 안테나 배열(6112)을 통한 송신 또는 수신을 위한 동축 케이블(6132) 및 트리플렉서(6120 및 6118)를 통해 전달될 수 있다. 이와 관련하여, 분산 위상 배열 시스템(6100)은 BBS(6104)와 RFEM(6102) 사이에서 두 개의 독립적인 데이터 스트림을 전달하기 위해 (예를 들어, 수직 및 수평 안테나 편파를 사용하여 송신 또는 수신을 위한) 두 개의 동축 케이블(6130 및 6132)을 사용한다.
도 62는 MIMO 지원이 가능하고 일부 양태에 따라 각각의 REFM 송수신기가 별개의 동축 케이블에 결합된 예시적인 분산 위상 배열 시스템을 도시한다. 도 62를 참조하면, 분산 위상 배열 시스템(6200)은 제 2 송수신기 부분이 각각 별개의 RFEM에 위치되는 점을 제외하고 분산 위상 배열 시스템(6100)과 유사하다. 도 62의 분리된 송수신기 부분 구성은 RFEM이 별개의 모듈로서 이용 가능한 경우(예를 들어, 각각의 RFEM이 단일 칩 상에 있는 경우)에 사용될 수 있다.
분산 위상 배열 시스템(6200)은 RFEM(6202), RFEM(6204), 및 BBS(6226)를 포함할 수 있다. RFEM(6202 및 6204)은 도 59의 RFEM(5902)과 유사할 수 있다. 일부 양태에서, 분산 위상 배열 시스템(6200)은 MIMO 동작을 위해 두 개의 별개의 스트림을 처리하기 위한 두 개의 별개의 송수신기를 포함할 수 있다. 보다 구체적으로, 제 1 송수신기는 (제 1 유형의 안테나 편파를 사용하여) 제 1 위상 배열(6208)을 통한 송신 또는 수신을 위한 제 1 데이터 스트림을 처리하기 위해 사용될 수 있고, 제 2 송수신기는 (제 2 유형 안테나 편파를 사용하여) 제 2 위상 배열(6222)을 통한 송신 또는 수신을 위한 제 2 데이터 스트림을 처리하기 위해 사용될 수 있다.
제 1 송수신기는 BBS(6226) 내 제 1 부분(6230) 및 RFEM(6202) 내 제 2 부분(6206)을 포함할 수 있다. 유사하게, 제 2 송수신기는 BBS(6226) 내 제 1 부분(6232) 및 RFEM(6204) 내 제 2 부분(6220)을 포함할 수 있다. 제 1 송수신기 부분(6230 및 6232)은 제 1 송수신기 부분(6122 및 6124)의 기능과 유사한 기능을 가질 수 있다. 또한, 제 2 송수신기 부분(6206 및 6220)은 제 2 송수신기 부분(6106 및 6110)의 기능과 유사한 기능을 가질 수 있다.
BBS(6226)는 LO 생성기(6234)를 포함할 수 있으며, 이 생성기는 LO 신호(6236)를 생성하도록 구성될 수 있다. LO 신호(6236)는 제 1 송수신기 부분(6230 및 6232)에 의해 RFEM(6202 및 6204)에 전달하기 위한 기저대역 신호를 상향 변환하기 위해 또는 RFEM(6202 및 6204)으로부터 수신된 IF 또는 RF 신호를 기저대역 신호로 하향 변환하기 위해 사용될 수 있다.
RFEM(6202)은 LO 생성기(6210)를 포함할 수 있으며, LO 생성기는 LO 신호(6212)를 생성하도록 구성될 수 있다. LO 신호(6212)는 제 2 송수신기 부분(6206)에 의해 BBS(6226)에 전달하기 위한 RF 신호를 하향 변환하기 위해 또는 BBS(6226)로부터 수신된 신호를 배열(6208)을 통해 송신하기 위한 RF 신호로 상향 변환하기 위해 사용될 수 있다.
RFEM(6204)은 LO 생성기(6216)를 포함할 수 있으며, LO 생성기는 LO 신호(6218)를 생성하도록 구성될 수 있다. LO 신호(6218)는 제 2 송수신기 부분(6220)에 의해 BBS(6226)에 전달하기 위한 RF 신호를 하향 변환하기 위해 또는 BBS(6226)로부터 수신된 신호를 배열(6222)을 통해 송신하기 위한 RF 신호로 상향 변환하기 위해 사용될 수 있다.
예시적인 MIMO 동작 동안, 제 1 데이터 스트림은 트리플렉서(6228 및 6214) 및 동축 케이블(6238)을 통해 BBS(6226)와 RFEM(6202) 사이에서 전달될 수 있다. 제 1 데이터 스트림은 수직 편파된 안테나를 포함할 수 있는 위상 안테나 배열(6208)을 통해 송신될 수 있다. 제 2 데이터 스트림은 트리플렉서(6228 및 6224) 및 동축 케이블(6240)을 통해 BBS(6226)와 RFEM(6204) 사이에서 전달될 수 있다. 제 2 데이터 스트림은 수평 편파된 안테나를 포함할 수 있는 위상 안테나 배열(6222)을 통해 송신될 수 있다. 일부 양태에서, 위상 안테나 배열(6208)은 수평 편파된 안테나를 포함할 수 있고, 위상 안테나 배열(6222)는 수직 편파된 안테나를 포함할 수 있다.
도 61 및 도 62에 도시된 바와 같이, MIMO 동작을 위해 구성된 일부 분산 위상 배열 통신 시스템에서, 별개의 동축 케이블은 BBS와 RFEM 사이에서 전달되는 각각의 MIMO 스트림을 위해 사용된다. 또한 도 62에 도시된 바와 같이, MIMO 시스템의 동작을 개선하기 위해, 위상 잡음 동기화가 필요할 수 있다(LO 생성기(6210 및 6216)는 도 62에 도시된 바와 같이 LO 동기화 신호를 통해 동기화될 수 있다). 그러나, 복수의 동기화 케이블을 사용하는 것은 제한된 공간 및 구현의 추가 비용 때문에 이동 디바이스에서 도전 과제일 수 있다.
일부 양태에서, 분산 위상 배열 통신 시스템은 두 개의 독립된 MIMO 데이터 스트림이 BBS와 RFEM를 결합하는 단일의 동축 케이블을 통해 전달될 수 있는 MIMO 동작을 위해 구성될 수 있다. 보다 구체적으로, 두 개의 별개의 MFMO 데이터 스트림은 이들이 중첩하지 않는 주파수에 있도록 구성될 수 있다. 예를 들어, BBS 내 LO 생성기는 하나 이상의 LO 신호를 생성할 수 있으며, 이 신호는 두 개의 별개의 데이터 스트림을 상이한 RF 주파수로 상향 변환하기 위해 사용될 수 있다. LO 생성기는 추가의 LO 신호를 또한 생성할 수 있으며, 이 신호는 RFEM에서 두 개의 별개의 데이터 스트림의 원하는 주파수로 변환하기 위해 사용될 수 있다. 두 개의 별개의 데이터 스트림은 단일 동축 케이블을 통해 추가의 LO 신호와 함께 (예를 들어, 중첩하지 않는 주파수를 갖는 RF 신호로서) 전달될 수 있으며, 여기서 추가의 LO 신호가 하나 이상의 MIMO 스트림을 원하는 송신 또는 수신 주파수로 상향 변환 또는 하향 변환하기 위해 사용될 수 있다. 단일 동축 케이블을 통해 MIMO 스트림과 함께 전달되는 LO 신호뿐만 아니라 두 개의 MIMO 스트림을 처리하기 위해 사용되는 LO 신호를 생성하기 위해 단일 LO 생성기를 사용함으로써, MIMO 스트림 사이의 위상 잡음 상관관계 및 위상 잡음의 동기화가 달성된다. 위상 잡음 상관관계는 신호가 원래의 LO 주파수에 또는 LO 주파수의 곱해지거나 나누어진 값에 있을 때 일 수 있다.
도 63은 일부 양태에 따라 MIMO 지원이 가능하고 단일의 동축 케이블이 단일 RFEM에 결합된 예시적인 분산 위상 배열 시스템을 도시한다. 도 63을 참조하면, 분산 위상 배열 시스템(6300)은 RFEM(6302) 및 BBS(6304)를 포함할 수 있다. RFEM(6302) 및 BBS(6322)는 도 59 내지 도 60의 RFEM(5902) 및 BBS(5904)와 유사할 수 있다.
일부 양태에서, 분산 위상 배열 시스템(6300)은 MIMO 동작을 위한 두 개의 별개의 스트림을 처리하기 위한 두 개의 별개의 송수신기를 포함할 수 있다. 보다 구체적으로, 제 1 송수신기는 (제 1 유형의 안테나 편파를 사용하여) 제 1 위상 안테나 배열(6306)을 통해 송신 또는 수신하기 위한 제 1 데이터 스트림을 처리하기 위해 사용될 수 있고, 제 2 송수신기는 (제 2 유형 안테나 편파를 사용하여) 제 2 위상 배열(6310)을 통해 송신 또는 수신하기 위한 제 2 데이터 스트림을 처리하기 위해 사용될 수 있다.
제 1 송수신기는 BBS(6322) 내 제 1 부분(6326) 및 RFEM(6302) 내 제 2 부분(6304)을 포함할 수 있다. 유사하게, 제 2 송수신기는 BBS(6322) 내 제 1 부분(6328) 및 RFEM(6302) 내 제 2 부분(6308)을 포함할 수 있다. BBS(6322) 내 제 1 송수신기 부분(6326 및 6328)은 데이터 신호를 디지털화하고, 디지털 신호를 필터링하고, 위상 안테나 배열(6306 및 6310)에 의한 추가 처리 및 후속 송신을 위해 RFEM(6302)에 전달하기 위한 필터링된 신호를 상향 변환하기 위한 회로를 포함할 수 있다. BBS(6322) 내 제 1 송수신기 부분(6326 및 6328)은 위상 안테나 배열(6306 및 6310)을 통해 수신되고 RFEM(6302)에 의해 처리된 중간 주파수 또는 무선 주파수 신호를 처리하기 위한, 그리고 이러한 신호를 처리하기 위한 기저대역 및 디지털 신호로 변환하기 위한 회로를 또한 포함할 수 있다. 일부 양태에서, 제 1 송수신기 부분(6326 및 6328)은 도 60의 수신기 블록(6004) 및 송신기 블록(6006) 내 하나 이상의 회로를 포함할 수 있다.
BBS(6322)는 LO 생성기(6330)를 더 포함할 수 있으며, LO 생성기는 LO 신호(6332, 6334 및 6320)를 생성하도록 구성될 수 있다. LO 신호(6332 및 6334)는 각각의 제 1 송수신기 부분(6326 및 6328)에 의해 RFEM(6302)에 전달하기 위한 기저대역 신호를 (IF 또는 RF 신호로) 상향 변환하기 위해 또는 RFEM(6302)으로부터 수신된 IF 또는 RF 신호를 기저대역 신호로 하향 변환하기 위해 사용될 수 있다.
RFEM(6302) 내 제 2 송수신기 부분(6304 및 6308)은 위상 안테나 배열(6306 및 6310)를 통해 송신하기 전에, BBS(6322)로부터 수신된 IF 또는 RF 신호를 증폭하고, 증폭된 신호를 상향 변환하고, 신호를 복제하고, 신호의 위상 및/또는 진폭 조절을 수행하기 위한 회로를 포함할 수 있다.
RFEM(6302) 내 제 2 송수신기 부분(6304 및 6308)은 (1) 위상 안테나 배열(6306 및 6310)를 통해 수신된 무선 주파수 신호를 처리하고, (2) 신호를 위상 및/또는 진폭 조정하고, (3) 신호를 IF 신호로 하향 변환하고 IF 신호(또는 IF 프로세싱이 통신 시스템(6300)에 의해 수행되지 않는 경우에 RF 신호)를 BBS(6322)에 전달하기 위한 회로를 또한 포함할 수 있다. 일부 양태에서, 제 2 송수신기 부분(6304 및 6308)은 도 59의 수신기 블록(5910) 및 송신기 블록(5912) 내 하나 이상의 회로를 포함할 수 있다.
RFEM(6302)은 LO 생성기(6312)를 더 포함할 수 있으며, LO 생성기는 신호를 상향 변환하거나 하향 변환하기 위한 제 2 송수신기 부분(6304 및 6308)에 의해 사용되는 LO 신호를 생성하도록 구성될 수 있다. 일부 양태에서, LO 생성기(6312)는 주파수 분주기 및 체배기와 같은 주파수 조작 회로를 포함할 수 있고, LO 생성기(6330)에 의해 생성되어 트리플렉서(6324, 6314), 및 단일 동축 케이블(6336)을 통해 BBS(6322)로부터 수신되는 다른 LO 신호를 사용하여 LO 신호를 생성하도록 구성될 수 있다.
일부 양태에서, 분산 위상 배열 통신 시스템(6300)은 두 개의 데이터 스트림이 트리플렉서(6324, 6314) 및 동축 케이블(6336)을 통해 동시에 전달되는 MIMO 동작을 위해 구성될 수 있다. 보다 구체적으로, 두 개의 독립적인 데이터 스트림은 BBS(6322)에서 기저대역 주파수로 생성될 수 있다. LO 생성기(6330)는 통신 시스템(6300) 내 단일 주파수 소스를 포함할 수 있고, 제 1 송수신기 부분(6326 및 6328) 각각에 의해 수행되는 두 개의 구별된 상향 변환 방식을 위한 LO 주파수를 생성하도록 구성된다. 두 개의 방식 각각에 대해, 하나의 LO 주파수는 BBS(6322) 내 원하는 IF 주파수로 기저대역 스트림의 상향 변환을 위해 사용된다.
예를 들어, LO 생성기(6330)는 제 1 LO 신호(6332)를 생성할 수 있으며, 이 신호는 제 1 송수신기 부분(6326)에 의해 제 1 MIMO 스트림(6316)을 원하는 주파수(f1)(예를 들어, 송신 주파수)로 상향 변환하기 위해 사용될 수 있다. LO 생성기(6330)는 제 2 LO 신호(6334)를 생성할 수 있으며, 이 신호는 제 1 송수신기 리포트(6328)에 의해 제 2 MIMO 스트림(6318)을 제 2 주파수(f2)로 상향 변환시키기 위해 사용될 수 있다. LO 생성기(6330)는 또한 제 3 LO 신호(6320)를 생성하며, 이 신호는 하나 또는 두 개의 MIMO 데이터 스트림을 원하는 RF 주파수로 상향 변환하기 위해 (직접적으로 또는 간단한 조작에 의해) 사용될 수 있다. 도 63에 도시된 예에서, 제 1 MIMO 스트림(6316)은 이미 상향 변환되고, BBS(6322) 내에서 원하는 주파수(f1)에 있다. 이와 관련하여, 제 3 LO 신호(6320)는 단일 동축 케이블(6336)을 통해 RFEM(6302)에 전달될 수 있고, 제 2 송수신기 부분(6308)에 의해 위상 안테나 배열(6310)에 의해 송신하기 전에 제 2 MIMO 스트림(6318)을 원하는 주파수(f1)로 상향 변환하기 위해 사용될 수 있다.
일부 양태에서, 두 개의 MIMO 스트림(6316 및 6318)은 IF 또는 RF 주파수로 생성될 수 있고, 단일 동축 케이블(6336)을 통해 제 3 LO 신호(6320)와 함께 RFEM(6302)에 전달될 수 있다. 이와 관련하여, RF-오버-케이블(RF-over-cable)(RFoC) 통신 기술은 통신 시스템(6300) 내 BBS와 RFEM 사이에서 단일 동축 케이블을 통해 LO 신호와 함께 두 개의 MIMO 스트림을 전달하기 위해 사용될 수 있다. MIMO 스트림(6316 및 6318)을 생성하기 위한 두 개의 상향 변환 방식은 두 개의 MIMO 스트림(6316, 6318)과 연관된 네 개의 신호 주파수 및 LO 신호(6332 및 6334)의 주파수가 중첩하지 않도록 설계될 수 있다. 일부 양태에서, 두 개의 상향 변환 방식 중 하나(예를 들어, MIMO 스트림(6316)을 생성하는 것)는 LO 신호가 대응하는 MIMO 스트림(예를 들어, 6316)을 생성할 필요가 없는 직접적인 변환 방식일 수 있다.
도 63에 도시된 바와 같이, 제 1 MIMO 데이터 스트림(6316)은 제 1 유형의 편파를 사용하는 위상 안테나 배열(6306)을 통한 송신 또는 수신을 위해 동축 케이블(6336) 및 트리플렉서(6324 및 6314)를 통해 (원하는 주파수(f1)로) 전달된다. 제 2 MIMO 데이터 스트림(6318)은 제 2 유형의 편파를 사용하는 제 2 위상 안테나 배열(6310)을 통한 송신 또는 수신을 위해 동축 케이블(6336) 및 트리플렉서(6324 및 6314)를 통해 (주파수(f2)로) 전달된다.
또한, LO 생성기(6312)는 동축 케이블(6336)을 통해 두 개의 MIMO 스트림과 함께 제 3 LO 신호(6320)를 수신하고, LO 신호(6320)를 제 2 송수신기 부분(6308)로 전달한다(또는 LO 신호(6320)의 주파수 조작에 의해 다른 LO 신호를 생성한다). 제 2 MIMO 스트림(6318)이 (원하는 주파수(f1)가 아닌) 주파수(f2)에 있기 때문에, 제 2 송수신기 부분(6308)은 제 2 MIMO 스트림(6318)이 위상 안테나 배열(6310)에 의해 송신하기 전에 원하는 주파수(f1)에 또한 있도록 이를 상향 변환 또는 하향 변환하기 위해 LO 생성기(6312)로부터 수신된 LO 신호를 사용할 수 있다.
이와 관련하여, 분산 위상 배열 시스템(6300)은 BBS(6322)와 RFEM(6302) 사이에서 (예를 들어, 수직 및 수평 안테나 편파를 사용하는 송신 및 수신을 위한) 적어도 하나의 LO 신호 및 두 개의 독립된 데이터 스트림을 전달하기 위해 동축 케이블(6130 및 6132)을 사용한다.
일부 양태에서, 제 1 MIMO 스트림(6316) 및 제 2 MIMO 스트림(6318)은 원하는 주파수가 중첩하지 않고 원하는 주파수가 아닌 주파수로 생성될 수 있다. 이 경우에, LO 생성기(6330)는 두 개의 별개의 LO 신호를 생성할 수 있으며, 이 신호는 단일 동축 케이블(6336)을 통해 MIMO 스트림(6316 및 6318)과 함께 RFEM(6302)에 전달될 수 있다. 두 개의 별개의 LO 신호는 RFEM(6302) 내에서 두 개의 MIMO 스트림(6316 및 6318)을 원하는 송신 주파수로 변환하기 위해 사용될 수 있다.
일부 양태에서, 제 1 MIMO 스트림(6316) 및 제 2 MIMO 스트림(6318)은 원하는 주파수가 중첩하지 않고 원하는 주파수가 아닌 주파수로 생성될 수 있다. 이 경우에, LO 생성기(6330)는 하나의 별개의 LO 신호를 생성할 수 있으며, 이 신호는 단일 동축 케이블(6336)을 통해 MIMO 스트림(6316 및 6318)과 함께 RFEM(6302)에 전달될 수 있다. 하나의 별개의 LO 신호는 RFEM(6302) 내에서 두 개의 MIMO 스트림 중 하나를 원하는 송신 주파수로 변환하기 위해 사용될 수 있다. LO 생성기(6312)는 (예를 들어, 주파수 조작에 의한) 다른 LO 신호를 생성하기 위해 하나의 별개의 LO 신호를 사용할 수 있으며, 이러한 다른 신호는 남은 MIMO 스트림을 원하는 송신 주파수로 변환하기 위해 사용될 수 있다. 이 경우에, 두 개의 MIMO 스트림은 단일 동축 케이블(6336)을 통해 BBS(6322)과 RFEM(6302) 사이에서 단일 LO 신호와 함께 전달된다.
예에서 및 도 63에 도시된 바와 같이, MIMO 스트림 중 하나 (예를 들어, (6316))는 원하는 주파수(f1)로 생성된다. 제 2 MIMO 스트림(6318)은 상이한 (중첩하지 않는) 주파수(f2)에서 생성되며, 이 주파수는 f1보다 더 높거나 더 낮을 수 있다. 두 개의 MIMO 스트림(6316 및 6318)은 제 3 LO 신호(6320)와 함께 단일 동축 케이블(6336)을 통해 전달될 수 있다. 제 3 LO 신호(6320)는 MIMO 스트림(6316 및 6318) 각각과 연관된 주파수(fl 및 f2) 사이의 차이인 주파수에 있을 수 있다.
다양한 주파수 신호가 시스템(6300)(예를 들어, LO 생성기(6330)) 내 단일 주파수 신시사이저 소스로부터 생성되기 때문에, 그리고 단지 간단한 주파수 조작(예를 들어, 분주 또는 체배)이 RFEM(6302) 내 LO 신호를 조작하기 위해 사용되기 때문에, 결과적인 RF 스트림(예를 들어, 6316 및 6318) 사이의 위상 관계는 사용되는 RFEM의 개수 또는 RFEM 위치와 관계없이 유지될 수 있다. 다시 말해서, IF 또는 RF MIMO 스트림을 생성하고, 이들을 하나 이상의 LO 신호와 함께 단일 동축 케이블을 통해 송신하기 위해 동일한 두 개의 상향 변환 방식을 사용함으로써, 스트림이 원격 RFEM에 의한 처리(복수의 RFEM 처리 시나리오는 도 65에 도시됨)을 위해 수신된다고 하더라도, MIMO 스트림 사이의 위상 관계는 유지될 수 있다.
도 63은 BBS(6322)에서 MIMO 스트림을 생성하고 그런 다음 RFEM(6302)에 의한 처리 및 송신을 위해 전달하는 것을 도시하지만, 개시된 기술은 위상 안테나 배열(6306 및 6310)에 의해 수신되고 그런 다음으로 BBS(6322)에 처리를 위해 전달되는 MIMO 스트림을 위해서도 또한 사용될 수 있다.
도 64는 일부 양태에 따라 도 63의 단일 동축 케이블을 통해 전달되는 다양한 신호의 스펙트럼 콘텐츠(spectral content)를 도시한다. 도 64를 참조하면, 신호 다이어그램(6402)은 단일 동축 케이블(6336)을 통해 전달되는 스펙트럼 콘텐츠의 주파수를 도시한다. 보다 구체적으로, 신호 다이어그램(6402)은 제 1 MIMO 스트림(6404), 제 2 MIMO 스트림(6406) 및 LO 신호(6408)의 주파수를 도시한다. 일부 양태에서, 제 1 MIMO 스트림(6404)은 원하는 주파수(f1)에 있을 수 있고, 제 2 MIMO 스트림(6406)은 주파수(f1)의 분수인 주파수(f2)에 있을 수 있(예를 들어, f2는 주파수의 M/K 배이고, 여기서 M 및 K는 1보다 큰 정수이다). LO 신호(6408)의 주파수는 제 2 MIMO 스트림(6406)의 주파수보다 더 낮을 수 있고, 제 2 MIMO 스트림(6406)과 연관된 동일한 부분에 기초하여 결정될 수 있다. 예를 들어, LO 신호(6408)의 주파수는 fLO로 지정될 수 있고,
Figure pct00029
에 기초하여 결정될 수 있다. 이와 관련하여, 주파수(f2)를 갖는 제 2 MIMO 스트림(6406)은 이를 주파수(fLO)의 LO 신호와 혼합함으로써 원하는 주파수(f1)로 변환될 수 있다.
도 64를 참조하면, 신호 다이어그램(6410)은 제 1 MIMO 스트림(6412), 제 2 MIMO 스트림(6416) 및 LO 신호(6414)의 주파수를 도시한다. 일부 양태에서, 제 1 MIMO 스트림(6412)은 원하는 주파수(f1)에 있을 수 있고, LO 신호(6414)는 주파수(f1)의 분수인 주파수(f2)에 있을 수 있다(예를 들어, f2는 주파수의 M/K 배이고, 여기서 M 및 K는 1보다 큰 정수이다). 제 2 MIMO 스트림(6416)의 주파수는 LO 신호(6414)의 주파수보다 더 낮을 수 있고, LO 신호(6414)와 연관된 동일한 부분에 기초하여 결정될 수 있다. 예를 들어, LO 신호(6414)의 주파수는
Figure pct00030
일 수 있다. 제 2 MIMO 스트림의 주파수(6416)는 f2로 지정될 수 있고,
Figure pct00031
에 기초하여 결정될 수 있다.
도 64를 참조하면, 신호 다이어그램(6418)은 단일 동축 케이블(6336)을 통해전달되는 스펙트럼 콘텐츠의 주파수를 도시한다. 보다 구체적으로, 신호 다이어그램(6418)은 제 1 MIMO 스트림(6420), 제 2 MIMO 스트림(6422) 및 LO 신호(6424)의 주파수를 도시한다. 일부 양태에서, 제 1 MIMO 스트림(6420)은 28 GHz의 원하는 주파수에 있을 수 있고, 제 2 MIMO 스트림(6422)은 주파수는 28 GHz의 분수(예를 들어, 28 GHz의 2/3)인 주파수 18.66 GHz에 있을 수 있다. LO 신호(6424)의 주파수는 제 2 MIMO 스트림(6422)의 주파수보다 낮을 수 있고, 제 2 MIMO 스트림(6406)과 연관된 동일한 부분에 기초하여 결정될 수 있다(예를 들어, f LO 는 28 GHz의 1/3인 9.33 GHz일 수 있다).
도 65는 일부 양태에 따라 MIMO 지원이 가능하고 BBS와 RFEM 각각의 사이에 단일 동축 케이블이 있는 복수의 RFEM 및 단일 BBS를 갖는 예시적인 분산 위상 배열 시스템을 도시한다. 도 65를 참조하면, 분산 위상 배열 시스템(6500)은 RFEM(6502, 6504) 및 BBS(6506)를 포함할 수 있다. RFEM(6502 및 6504) 및 BBS(6506)는 도 63의 RFEM(6302) 및 BBS(6322)와 유사할 수 있다. 일부 양태에서, 분산 위상 배열 시스템(6500)은 MIMO 동작을 위해 네 개의 별개의 스트림을 처리하기 위한 네 개의 별개의 송수신기를 포함할 수 있다. 보다 구체적으로, 제 1 송수신기는 (제 1 유형의 안테나 편파를 사용하여) 제 1 위상 배열(6548)을 통한 송신 또는 수신을 위한 제 1 데이터 스트림을 처리하기 위해 사용될 수 있고, 제 2 송수신기는 (제 2 유형 안테나 편파를 사용하여) 제 2 위상 배열(6550)을 통한 송신 또는 수신을 위한 제 2 데이터 스트림을 처리하기 위해 사용될 수 있다. 제 3 송수신기는 (제 1 유형의 안테나 편파를 사용하여) 제 3 위상 배열(6560)을 통한 송신 또는 수신을 위한 제 3 데이터 스트림을 처리하기 위해 사용될 수 있고, 제 4 송수신기는 (제 2 유형 안테나 편파를 사용하여) 제 4 위상 배열(6562)을 통한 송신 또는 수신을 위한 제 4 데이터 스트림을 처리하기 위해 사용될 수 있다.
제 1 송수신기는 BBS(6506) 내 제 1 부분(6508) 및 RFEM(6502) 내 제 2 부분(6540)을 포함할 수 있다. 제 2 송수신기는 BBS(6506) 내 제 1 부분(6510) 및 RFEM(6502) 내 제 2 부분(6542)을 포함할 수 있다. 제 3 송수신기는 BBS(6506) 내 제 1 부분(6516) 및 RFEM(6504) 내 제 2 부분(6552)을 포함할 수 있다. 제 4 송수신기는 BBS(6506) 내 제 1 부분(6518) 및 RFEM(6504) 내 제 2 부분(6554)을 포함할 수 있다.
BBS(6506) 내 제 1 송수신기 부분(6508, 6510, 6516, 및 6518)은 데이터 신호를 디지털화하고, 디지털 신호를 필터링하고, 위상 안테나 배열(6548, 6550, 6560, 및 6562)에 의한 추가 처리 및 후속 송신을 위한 RFEM(6502 및 6504)에 전달하기 위한 필터링된 신호를 상향 변환하기 위한 회로를 포함할 수 있다. BBS(6506) 내 제 1 송수신기 부분(6508, 6510, 6516, 및 6518)은 위상 안테나 배열(6548, 6550, 6560, 및 6562)을 통해 수신되고 RFEM(6502, 6504)에 의해 처리된 중간 주파수(IF) 또는 RF 신호를 처리하기 위한, 그리고 이러한 신호를 처리하기 위한 기저대역 및 디지털 신호로 변환하기 위한 회로를 또한 포함할 수 있다.
BBS(6506)는 LO 생성기(6514)를 더 포함할 수 있으며, LO 생성기는 LO 신호(6522, 6524 및 6526)를 생성하도록 구성될 수 있다. LO 신호(6522 및 6524)는 각각의 제 1 송수신기 부분(6508, 6510, 6516, 및 6518)에 의해 RFEM(6502 및 6504)에 전달을 위한 MIMO 스트림(6528, 6530, 6532 및 6534)을 생성하기 위해 기저대역 신호를 (IF 또는 RF 신호로) 상향 변환하기 위해 또는 RFEM(6502 및 6504)으로부터 수신된 IF 또는 RF 신호를 기저대역 신호로 하향 변환하기 위해 사용될 수 있다.
(RFEM(6502) 내) 제 2 송수신기 부분(6540 및 6542) 및 (RFEM(6504) 내) 제 2 송수신기 부분(6552 및 6554)은 위상 안테나 배열(6548, 6550, 6560, 및 6562)을 통해 송신하기 전에, BBS(6506)로부터 수신된 IF 또는 RF 신호를 증폭하고, 증폭된 신호를 상향 변환하고, 신호를 복제하고, 신호의 위상 및/또는 진폭 조정을 수행하기 위한 회로를 포함할 수 있다. (RFEM(6502) 내) 제 2 송수신기 부분(65406 및 6542), 및 (RFEM(6504) 내) 제 2 송수신기 부분(6552 및 6554)은 위상 안테나 배열(6548, 6550, 6560, 및 6562)을 통해 수신된 무선 주파수 신호를 처리하고, 신호를 위상 및/또는 진폭 조정하고, 신호를 IF 신호로 하향 변환하고 IF 신호(또는 IF 프로세싱이 분산 위상 배열 시스템(6500)에 의해 수행되지 않는 경우 RF 신호)를 처리를 위해 BBS(6506)에 전달하기 위한 회로를 또한 포함할 수 있다. 일부 양태에서, (RFEM(6502) 내) 제 2 송수신기 부분(6540 및 6542) 및 (RFEM(6504) 내) 제 2 송수신기 부분(6552 및 6554)은 도 59의 수신기 블록(5910) 및 송신기 블록(5912) 내 하나 이상의 회로를 포함할 수 있다.
RFEM(6502)은 LO 생성기(6544)를 포함할 수 있으며, LO 생성기는 신호를 상향 변환하거나 하향 변환하기 위한 제 2 송수신기 부분(6540 및 6542)에 의해 사용되는 LO 신호를 생성하도록 구성될 수 있다. 일부 양태에서, LO 생성기(6544)는 주파수 분주기, 가산기 및 체배기와 같은 주파수 조작 회로를 포함할 수 있고, 트리플렉서(6512, 6546), 및 단일 동축 케이블(6536)을 통해 BBS(6506)로부터 수신되고 LO 생성기(6514)에 의해 생성되는 다른 LO 신호를 사용하여 LO 신호를 생성하도록 구성될 수 있다.
RFEM(6504)은 LO 생성기(6556)를 포함할 수 있으며, LO 생성기는 신호를 상향 변환하거나 하향 변환하기 위한 제 2 송수신기 부분(6552 및 6554)에 의해 사용되는 LO 신호를 생성하도록 구성될 수 있다. 일부 양태에서, LO 생성기(6556)는 주파수 분주기, 가산기 및 체배기와 같은 주파수 조작 회로를 포함할 수 있고, 트리플렉서(6520, 6558), 및 단일 동축 케이블(6538)을 통해 BBS(6506)로부터 수신되고 LO 생성기(6514)에 의해 생성되는 다른 LO 신호를 사용하여 LO 신호를 생성하도록 구성될 수 있다.
일부 양태에서, 분산 위상 배열 통신 시스템(6500)은 네 개의 데이터 스트림이 트리플렉서(6512, 6520, 6546, 6558) 및 동축 케이블(6536 및 6538)을 통해 동시에 전달되는 MIMO 동작을 위해 구성될 수 있다. 보다 구체적으로, 네 개의 독립적인 데이터 스트림은 BBS(6506)에서 기저대역 주파수로 생성될 수 있다. LO 생성기(6514)는 분산 위상 배열 시스템(6500) 내 단일 주파수 소스를 포함할 수 있고, 제 1 송수신기 부분(6508, 6510, 6516, 및 6518)에 의해 수행되는 두 개의 구별된 상향 변환 방식을 위한 LO 주파수(예를 들어, 6522 및 6524)를 생성하도록 구성된다. 두 개의 방식 각각에 대해, 하나의 LO 주파수는 BBS(6506) 내에서 원하는 IF (또는 RF) 주파수로 기저대역 스트림의 상향 변환을 위해 사용된다.
도 65에 도시된 바와 같이, LO 신호(6522 및 6524)는 (RFEM(6504)에 의해 처리하기 위한) MIMO 스트림(6532 및 6534)뿐만 아니라 (RFEM(6502)에 의해 처리하기 위한) MIMO 스트림(6528 및 6530)을 생성하기 위해 사용될 수 있다. MIMO 스트림(6528 및 6532)은 원하는 주파수(f1)(예를 들어, 원하는 송신 주파수)로 생성될 수 있다. MIMO 스트림(6530 및 6534)은 상이한 주파수(f2)로 생성되며, 이 주파수는 f1보다 더 높거나 더 낮을 수 있다.
네 개의 생성된 MIMO 스트림의 주파수(f1 및 f2)뿐만 아니라 LO 신호(6522, 6524, 및 6526)의 신호 주파수는 모두 중첩하지 않는 주파수일 수 있다. 이와 관련하여, LO 신호 및 MIMO 스트림의 임의의 조합은 상호 신호 간섭 없이 단일의 통신 매체(예를 들어, 단일 동축 케이블)를 통해 전달될 수 있다. 제 3 LO 신호(6526)는 동축 케이블(6536)을 따라 MIMO 스트림(6528 및 6530)과 함께 전달되어 RFEM(6502)에 의해 처리될 수 있다. 보다 구체적으로, 제 1 MIMO 스트림(6528)은 이미 원하는 주파수(f1)이고, 그래서 추가적인 상향 변환은 위상 안테나 배열(6548)에 의해 송신하기 전에 요구되지 않을 수 있다. LO 생성기(6544)는 제 3 LO 신호(6526)를 수신할 수 있고, 이 신호를 제 2 MIMO 스트림(6530)의 원하는 주파수(f1)로의 상향 변환 또는 하향 변환을 위해 제 2 송수신기 부분(6542)로 포워딩할 수 있다. 일부 양태에서, LO 신호(6526)는 BBS(6506)로부터 수신되는 바와 같이 사용될 수 있거나, LO 생성기(6544)가 주파수 조작을 수행하여 새로운 LO 신호를 생성할 수 있으며, 새로운 LO 신호는 위상 안테나 배열(6550)에 의해 송신하기 전에 제 2 MIMO 스트림(6530)을 원하는 주파수(f1)로 변환하기 위해 사용될 수 있다.
유사하게, 제 3 LO 신호(6526)는 동축 케이블(6538)을 따라서 MIMO 스트림(6532 및 6534)과 함께 전달되어 RFEM(6504)에 의해 처리될 수 있다. 보다 구체적으로, 제 3 MIMO 스트림(6532)은 이미 원하는 주파수(f1)이고, 그래서 추가적인 상향 변환은 위상 안테나 배열(6560)에 의해 송신하기 전에 요구되지 않을 수 있다. LO 생성기(6556)는 제 3 LO 신호(6526)를 수신할 수 있고, 이 신호를 제 4 MIMO 스트림(6534)의 원하는 주파수(f1)로의 상향 변환 또는 하향 변환을 위해 제 2 송수신기 부분(6554)로 포워딩할 수 있다. 일부 양태에서, LO 신호(6526)는 BBS(6506)로부터 수신되는 바와 같이 사용될 수 있거나, LO 생성기(6556)가 주파수 조작을 수행하여 새로운 LO 신호를 생성할 수 있으며, 새로운 LO 신호는 위상 안테나 배열(6562)에 의해 송신하기 전에 제 4 MIMO 스트림(6534)을 원하는 주파수(f1)로 변환하기 위해 사용될 수 있다.
도 63 및 도 65가 복수의 데이터 스트림의 송신 및 수신을 위해 RFEM과 BBS를 연결하는 단일의 동축 케이블의 사용을 개시하지만, 본 개시내용은 이러한 관점으로 제한되지 않으며 다른 유형의 연결부가 또한 사용될 수 있다. 예를 들면, 단일의 동축 케이블 대신에, 다른 유형의 밀리미터 파 연결부 또는 케이블이 사용될 수 있다. 사용될 수 있는 다른 유형의 연결부는 반경성(semi-rigid) 케이블, 연성 기판의 연성 케이블, PCB 상의 인쇄된 RF 전송 선로, 경연성 보드(rigid flex board) 등을 포함한다.
분산 위상 배열 시스템(예를 들어, WiGig 및 5G 셀룰러 시스템)은 현재 랩톱, 태블릿, 스마트 폰, 도킹 스테이션 및 다른 애플리케이션에서 사용된다. WiGig 및 5G 통신을 위해 사용되는 현재의 분산 위상 배열 시스템은 수퍼-헤테로다인(이중 변환) 또는 슬라이딩-IF 시스템이다. 이 시스템에서, MAC -PHY 기저대역 서브시스템은 중간 주파수 (IF) 신호를 수신하거나 송신하며, 이 시스템은 IF 신호의 상향 변환 및 하향 변환뿐만 아니라, IF 증폭 스테이지, RF-IF 믹서, 높은 선택성 대역 통과 필터, 및 회로와 회로 사이에서 IF 신호를 전달하는데 필요한 다른 회로의 사용을 필요로 한다. IF 신호의 처리를 위한 추가 회로는 분산 위상 배열 시스템에 더 큰 프론트 엔드 모듈, 더 많은 비용 및 더 낮은 시스템 성능을 초래한다.
도 66은 일부 양태에 따라, 분산 위상 배열 시스템(6600)의 예시적인 RF 프론트 엔드 모듈(RFEM)을 도시한다. 분산 위상 배열 시스템(6600)은 도 3a에 도시된 mmWave 통신 회로(300)의 디지털 기저대역 회로(310), 송신 회로(315) 및 수신 회로(320)에 포함될 수 있지만, 분산 위상 배열 시스템(6600)은 이러한 것으로 제한되지 않는다.
도 66을 참조하면, RFEM(6602)은 단일 동축 케이블(6606)을 통해 기저대역 서브시스템(BBS)(6604)에 연결된다. RFEM(6602)은 위상 안테나 배열(6608), RF 수신기(6610), RF 송신기(6612), 국부 발진기(LO) 생성기(6644), 트리플렉서(triplexer)(6648), 및 송신(TX)/수신(RX) 스위치(6640)를 포함할 수 있다. RF 수신기(6610)는 복수의 전력 증폭기(6616), 복수의 위상 변위기(6618), 가산기(6620), RF 증폭기(6622), LO 증폭기(6626) 및 체배기(6624)를 포함할 수 있다. RF 수신기(6610)는 또한 IF 증폭기(6642)를 포함할 수 있다. 일부 양태에서, IF 증폭기(6642)는 수신기(6610)의 부분일 수 있거나 이것은 수신기(6610)의 외부에 구현될 수 있다.
RF 송신기(6612)는 체배기(6638), LO 증폭기(6640), RF 증폭기(6636), 가산기(6634), 복수의 위상 변위기(6632), 및 복수의 증폭기(6630)를 포함할 수 있다. RF 송신기(6612)는 또한 IF 증폭기(6646)를 포함할 수 있다. 일부 양태에서, IF 증폭기(6646)는 송신기(6612)의 부분일 수 있거나 이것은 송신기(6612)의 외부에 구현될 수 있다.
예시적인 수신 동작에서, 스위치(6640)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(6608)은 복수의 신호(6614)를 수신하기 위해 사용될 수 있다. 수신된 신호(6614)는 증폭기(6616)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(6618)에 의해 조정될 수 있다. 위상 변위기(6618) 각각은 별개의 위상 조정 신호(도 66에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(6604) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(6608)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(6618)의 출력의 위상 조정된 신호는 가산기(6620)에 의해 합해진 다음 RF 증폭기(6622)에 의해 증폭된다. LO 생성기(6644)는 동축 케이블(6606)을 통해 BBS(6604)로부터 수신된 클록 주파수 신호(6643)를 사용하여 LO 신호를 생성할 수 있다. LO 신호는 증폭기(6626)에 의해 증폭된 다음 IF 입력 신호(6645)를 생성하기 위해 체배기(6624)를 사용하여 증폭기(6622)의 출력과 곱해질 수 있다. IF 입력 신호(6645)는 증폭기(6642)에 의해 증폭된 다음 동축 케이블(6606) 및 트리플렉서(6648)를 통해 BBS(6604)에 전달될 수 있다. 일부 양태에서, IF 입력 신호(6645)는 10.56 GHz 신호일 수 있다.
예시적인 송신 동작에서, 스위치(6640)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(6602)은 동축 케이블(6606) 및 트리플렉서(6648)를 통해 BBS(6604)로부터 IF 신호(6647)를 수신할 수 있다. IF 신호(6647)는 IF 증폭기(6646)에 의해 증폭된 다음 체배기(6638)에 전달될 수 있다. 체배기(6638)는 LO 생성기(6644) 및 LO 증폭기(6640)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭된 LO 신호는 체배기(6638)에 의해, 증폭되고 수신된 IF 신호와 곱해져서 RF 신호를 생성한다. RF 신호는 그 다음에 증폭기(6636)에 의해 증폭되고 가산기(6634)에 전달된다. 가산기(6634)는 증폭된 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(6632)에 전달한다. 복수의 위상 변위기(6632)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 이 조정된 신호는 복수의 증폭기(6630)에 의해 증폭될 수 있다. 복수의 증폭기(6630)는 위상 안테나 배열(6608)에 의해 송신을 위한 복수의 신호(6628)를 생성한다.
도 67은 일부 양태에 따라, 분산 위상 배열 시스템의 기저대역 서브시스템(BBS)을 도시한다. 도 67을 참조하면, BBS(6604)는 트리플렉서(6702), IF 수신기(6704), IF 송신기(6706), 모뎀(6724), 수정 발진기(6730), 신시사이저(6728) 및 분주기(6726)를 포함할 수 있다. 신시사이저(6728)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(6730)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 생성된 클록 신호는 분주기(6726)에 의해 나누어져 RFEM(6602)으로의 통신을 위한 출력 클록 신호를 생성한다. 일부 양태에서, 생성된 클록 신호는 1.32 GHz의 주파수를 가질 수 있다.
IF 수신기(7004)는 IF 증폭기(6708), 믹서(6710), 필터(6712) 및 아날로그-디지털 변환 (ADC) 블록(6714)을 포함할 수 있다. IF 송신기(6706)는 디지털-아날로그 변환(DAC) 블록(6722), 필터(6720), 믹서(6718) 및 IF 증폭기(6716)를 포함할 수 있다.
예시적인 수신 동작에서, IF 신호(예를 들어, 6645)는 트리플렉서(6702)를 통해 RFEM(6602)으로부터 수신되고, IF 증폭기(6708)에 의해 증폭된다. 증폭된 IF 신호는 믹서(6710)에 의해 기저대역 신호로 하향 변환되고, 그런 다음 저역 통과 필터(6712)에 의해 필터링되고, 모뎀(6724)에 의해 프로세싱되기 전에 ADC 블록(6714)에 의해 디지털 신호로 변환될 수 있다.
예시적인 송신 동작에서, 모뎀(6724)에 의해 출력된 디지털 신호는 DAC 블록(6722)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(6720)에 의해 필터링된 다음, 믹서(6718)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(6716)에 의해 증폭되고, 다음으로 트리플렉서(6702) 및 단일 동축 케이블(6606)을 통해 RFEM(6602)에 전달될 수 있다.
도 68은 일부 양태에 따라 RFEM과 BBS 사이에서 전달되는 신호의 주파수 다이어그램을 도시한다. 도 68을 참조하면, 주파수 다이어그램(6800)은 다양한 신호를 도시하며, 이 신호는 단일 동축 케이블(6606)을 통해 RFEM(6602)과 BBS(6604) 사이에서 전달될 수 있다. 예를 들어, BBS(6604)는 DC 전력 신호(6802), 제어 신호(6804), 및 클록 신호(6806)를 전달할 수 있다. 추가적으로, 데이터 신호(6810)는 BBS(6604)와 RFEM(6602) 사이에서 전달될 수 있다. 예를 들어, IF 데이터 신호(6645)는 RFEM(6602)으로부터 BBS(6604)로 전달될 수 있고, IF 데이터 신호(6647)는 BBS(6604)로부터 RFEM(6602)으로 전달될 수 있다. 클록 신호(6806)는 BBS(6604)로부터 RFEM(6602)에 의해 수신된 LO 생성 클록 신호(6643)와 같을 수 있다. 일부 양태에서, 클록 신호(6806)는 1.32 GHz 신호일 수 있다. 일부 양태에서, 제어 신호(6804)는 BBS(6604)로부터 RFEM(6602)으로 전달될 수 있고 위상 변위기(6618) 및 위상 변위기(6632)에 의한 사용을 위한 위상 조정 값을 표시할 수 있다. 제어 신호(6804)는 RFEM(6602)에 다른 제어 기능, 예컨대 전력 업, 전력 다운, 증가 또는 감소 송신 전력 등을 표시할 수 있다.
도 68에 도시된 바와 같이, RFEM(6602)과 BBS(6604) 사이에서 전달되는 신호의 신호 스펙트럼은 약간의 바람직하지 않은 신호, 예를 들어, 제어 신호(6804)의 고조파(harmonic)뿐만 아니라 클록 고조파(6808)를 포함할 수 있다. 또한, RFEM(6602) 및 BBS(6604) 내 IF 프로세싱 회로를 포함함으로써, 분산 위상 배열 시스템(6600)의 다른 단점이 본 명세서에서 아래에 설명되는 바와 같이 존재한다.
RFEM 공급 전압의 전압 점프로 인한 신호 주파수 안정성
RFEM(6602)은 LO 생성기(예를 들어, 6644)를 포함하며, LO 생성기는 주파수 신시사이저, 주파수 체배기 및 분주기를 포함할 수 있다. 이러한 회로에 의해 생성된 주파수 신호는 상향 변환 믹서(6638) 또는 하향 변환 믹서(6624)를 구동하기 위해 사용된다. 그러나, LO 생성기(6644)는 공급 전압 안전성에 민감할 수 있다. RFEM(6602) 공급 전압(예를 들어, (6802))은 관련된 커넥터 및 RF 초크(RF choke) (도 66에 도시되지 않음)뿐만 아니라 동축 케이블(6606)을 통해 공급된다. 결과적으로, 공급 전압은 이러한 구성요소의 저항(resistance) 및 동축 케이블(6606)을 통해 흐르는 전류에 의해 영향을 받는다. 이와 관련하여, 동축 케이블(6606)을 통한 전류의 즉각적인 변화(예를 들어, RX 의 TX로 전이, 위상 배열 활성 레인의 변화하는 개수, RFEM 내 디지털 활성/프로세싱 등)는 LO 생성 회로의 즉각적인 변화를 생성할 것이며, 이는 즉각적인 주파수 변화를 유발할 것이다.
RFEM 고전력 소비
분산 위상 배열 시스템(6600)은 LO 생성기(6644)(신시사이저, 주파수 체배기, 주파수 분주기 등), 상향 및 하향 변환 믹서 (예를 들어, (6624, 6638)), IF 증폭 단계 (예를 들어, 6642, 6646), 및 복소 트리플렉서(complex triplexer)(예를 들어, (6648))를 사용한다. 본 개시내용의 양태에서, RFEM(6602)과 BBS(6604) 사이에서 RF 신호만 전달될 수 있다. 이와 관련하여, IF 관련 회로는 RFEM(6602) 내에서 제거될 수 있어, RFEM(6602)의 전력 소비 및 열 생성을 낮출 수 있다.
RFEM 비용
분산 위상 배열 시스템(예를 들어, (6600))에서, RFEM 비용은 (예를 들어, 경우에 따라 전체 시스템 비용의 50 %까지) 상당하다. BBS 비용 감소는 프로세스 마이그레이션에 의해 달성되지만 (왜냐하면 BBS-칩 프로세싱의 많은 부분이 디지털이기 때문임), 이러한 비용 감소는 대부분 아날로그 프로세싱이 RFEM에 포함되어 있기 때문에 RFEM에는 도전 과제일 수 있다. RF 프로세싱만을 수행하고, 단일 동축 케이블(6606)을 통해 RFEM(6602)과 BBS(6604) 사이에서 RF 신호를 전달함으로써, RFEM 구현 비용 감소가 달성될 수 있다.
RFEM 폼 팩터(FF)
RFEM(6602)은 안테나 배열(108)을 포함하고 있기 때문에, 통신 디바이스의 경계에 위치되어 위상 배열 안테나의 양호한 방사를 가능하게 한다. RF 프로세싱만을 사용하고 RFEM(6602)으로부터 IF 변환 단계 및 프로세싱을 제거함으로써, RFEM 폼 팩터가 감소되며, 이것은 RFEM 디바이스 배치 및 구현에 유리하다.
다른 표준(WiFi, 블루투스, LTE 등)과 공동 실행(co-running)
동축 케이블(6606)을 통해 전달되는 IF 주파수 신호(예를 들어, (6645 및 6647))는 광대역(예를 들어, WiGig 또는 5G) 신호를 반송하며 동일 플랫폼/디바이스에서 다른 통신 시스템의 고조파에 취약하다. 예를 들어, RFEM으로부터 BBS로 전달되는 IF 주파수 신호(6645) 또는 BBS로부터 RFEM에 의해 수신되는 IF 신호(6647)는 10.56 GHz 신호일 수 있다. 그러나, 10.6 GHz IF 신호는 Wi-Fi 대역의 하나 이상의 고조파와 동일한 범위에 있을 수 있다.
동축 케이블을 통한 CLK 신호의 FCC/ETSI 규정 위반
분산 시스템에서, 동축 케이블을 통한 신호(CLK, IF 데이터)가 동축(케이블 및 커넥터)로부터 및 PCB 상호연결부로부터 누설된다. 이러한 누설은 FCC/ETSI 규정 위반을 유발할 것이다. 누설 전력을 낮추기 위해 우리는 고품질의 RF 차폐, 고도로 절연된 동축 케이블을 사용할 필요가 있고, 경우에 따라 심지어는 동축 케이블을 통한 신호의 레벨을 낮추는 것이 필요하다(이것은 시스템 성능에 영향을 미칠 수 있다).
일부 양태에서, RFEM(6602)은 처리 및 하향 변환을 위해 BBS(6604)에 동축 케이블(6606)을 통해 RF 신호를 전달하고 처리하도록 구성될 수 있다. 유사하게, BBS(6604)는 RF 신호로 데이터 신호를 상향 변환하고, 동축 케이블(6606)을 통해 RFEM(6602)에 RF 신호를 전달할 수 있다. 이와 관련하여, RFEM(6602) 내 IF 프로세싱을 제거함으로써, 분산 위상 배열 통신 시스템 내 IF 프로세싱과 연관된 위에서 열거된 단점이 제거될 수 있다.
도 69는 일부 양태에 따라 RF 신호를 전달하기 위해 단일의 동축 케이블을 통해 BBS에 연결된 RFEM을 도시한다. 도 69를 참조하면, 분산 위상 배열 통신 시스템(6900)은 단일 동축 케이블(6906)을 통해 기저대역 서브시스템(BBS)(6904)에 연결된 RFEM(6902)을 포함할 수 있다. RFEM(6902)은 위상 안테나 배열(6908), RF 수신기(6910), RF 송신기(6912), 듀플렉서(duplexer)(6936) 및 송신(TX)/수신(RX) 스위치(6934)를 포함할 수 있다. RF 수신기(6910)는 복수의 전력 증폭기(6916), 복수의 위상 변위기(6918), 가산기(6920) 및 RF 증폭기(6922)를 포함할 수 있다. RF 송신기(6912)는 RF 증폭기(6932), 가산기(6930), 복수의 위상 변위기(6928) 및 복수의 증폭기(6926)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(6934)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 위상 안테나 배열(6908)은 복수의 신호(6914)를 수신하기 위해 사용될 수 있다. 수신된 신호(6914)는 증폭기(6916)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(6918)에 의해 조정될 수 있다. 위상 변위기(6918) 각각은 별개의 위상 조정 신호(도 69에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(6904) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(6908)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(6918)의 출력의 위상 조정된 신호는 가산기(6920)에 의해 합(sum)해진 후 RF 입력 신호(6923)를 생성하도록 RF 증폭기(6922)에 의해 증폭된다. RF 입력 신호(6923)는 동축 케이블(6906) 및 듀플렉서(6936)를 통해 BBS(6904)에 전달될 수 있다. 일부 양태에서, RF 입력 신호(6923)는 60 GHz 신호 또는, 5G 통신 대역을 포함하는 밀리미터 파 대역의 다른 신호일 수 있다.
예시적인 송신 동작에서, 스위치(6934)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(6902)은 동축 케이블(6906) 및 듀플렉서(6936)를 통해 BBS(6904)로부터 RF 출력 신호(6931)를 수신할 수 있다. RF 신호(6931)는 RF 증폭기(6932)에 의해 증폭된 후 가산기(6930)에 전달될 수 있다. 가산기(6930)는 증폭된 RF 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(6928)에 전달한다. 복수의 위상 변위기(6928)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 이러한 조정된 신호는 복수의 증폭기(6926)에 의해 증폭될 수 있다. 복수의 증폭기(6926)는 위상 안테나 배열(6908)에 의한 송신을 위해 복수의 신호(6924)를 생성한다.
도 70은 일부 양태에 따라, 도 69의 BBS(6904)의 보다 상세한 다이어그램을 도시한다. 도 69를 참조하면, BBS(6904)는 듀플렉서(7002), RF 수신기(7004), RF 송신기(7006), 모뎀(7024), 수정 발진기(7030), 신시사이저(7028) 및 분주기(7026)를 포함할 수 있다. 신시사이저(7028)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(7030)로부터의 신호를 사용하여 클록 신호, 예를 들어 신호(7032)를 생성할 수 있다. 생성된 클록 신호(7032)는 RF 수신기(7004)에 의해 믹서(7010)를 사용하여 수신된 신호를 하향 변환하는데 사용될 수 있다. 생성된 클록 신호(7032)는 RF 송신기(7006)에 의해 믹서(7018)를 사용하여 신호를 상향 변환하는데 또한 사용될 수 있다. 클록 신호(7032)는 분주기(7026)에 의해 또한 분주되어 제 2 클록 신호(7034)를 생성할 수 있다. 생성된 제 2 클록 신호(7034)는 RF 수신기(7004)에 의해 믹서(7010)를 사용하여 수신된 신호를 하향 변환하는데 사용될 수 있다. 생성된 제 2 클록 신호(7034)는 RF 송신기(7006)에 의해 믹서(7018)를 사용하여 신호를 상향 변환하는데 또한 사용될 수 있다. 도 70에 도시된 바와 같이, 두 개의 별개의 클록 신호(7034 및 7032)는 신시사이저(7028) 및 분주기(7026)에 의해 생성될 수 있다. 두 개의 클록 신호(7034 및 7032) 중 하나 또는 양자는 하나 이상의 중간 IF 단계를 사용하여 기저대역 안으로의 RF 신호의 하향 변환을 위해 또는 일부 경우에, 중간 IF 단계 변환 없이 기저대역으로 RF로부터의 변환을 위해 사용될 수 있다. 유사하게, 클록 신호(7034 및 7032) 중 하나 또는 둘 모두는 하나 이상의 중간 IF 단계를 사용하여 RF 신호 안으로 기저대역 신호의 상향 변환을 위해 또는 일부 경우에, 중간 IF 단계 변환 없이 기저대역으로부터 RF로의 변환을 위해 사용될 수 있다.
RF 수신기(7004)는 RF 증폭기(7008), 믹서(7010), 필터(7012) 및 아날로그-디지털 변환 (ADC) 블록(7014)을 포함할 수 있다. RF 송신기(7006)는 디지털-아날로그 변환(DAC) 블록(7022), 필터(7020), 믹서(7018) 및 RF 증폭기(7016)를 포함할 수 있다.
예시적인 수신 동작에서, RF 신호(예를 들어, 6923)는 단일 동축 케이블(6906) 및 듀플렉서(7002)를 통해 RFEM(6902)으로부터 수신되고, RF 증폭기(7008)에 의해 증폭된다. 증폭된 RF 신호는 믹서(7010)에 의해 기저대역 신호로 하향 변환된 다음 저역 통과 필터(7012)에 의해 필터링되고, 모뎀(7024)에 의해 프로세싱되기 전에 ADC 블록(7014)에 의해 디지털 신호로 변환될 수 있다.
예시적인 송신 동작에서, 모뎀(7024)에 의해 출력된 디지털 신호는 DAC 블록(7022)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(7020)에 의해 필터링된 다음, 믹서(7018)에 의해 RF 신호로 상향 변환된다. RF 신호는 RF 증폭기(7016)에 의해 증폭된 다음 듀플렉서(7002) 및 단일 동축 케이블(6906)을 통해 RFEM(6902)에 전달될 수 있다.
일부 양태에서, 동축 케이블(6906)은 위상 안테나 배열(6908)에 의해 수신되거나 송신된 RF 데이터 신호, 제어 신호 및 DC 전력 신호(예를 들어, BBS(6904)로부터 RFEM(6902)으로)의 전달하기 위해 사용될 수 있다. 제어 신호는 위상 조정 신호, 전력 업 신호, 전력 다운 신호 및 BBS(6904)로부터 RFEM(6902)으로 전달되는 다른 제어 신호를 포함할 수 있다. 일부 양태에서, 제어 신호는 위상 조정 요청 신호 또는 RFEM(6902)으로부터 BBS(6904)로 전달되는 다른 데이터 요청 신호를 포함할 수 있다. 이와 관련하여, 직접 변환 방식은 분산 위상 배열 시스템과 관련하여 사용될 수 있고, 분산 위상 배열 시스템에서 RFEM 및 BBS는 단일 동축 케이블을 통해 연결된다.
일부 양태에서, 제어 신호는 RFEM 동작을 제어하는데(예를 들면, 출력 전력 레벨, AGC, ON/OFF 등을 제어하는데) 사용될 수 있다. 또한, RFEM과 BBS 사이의 제어 링크는 양방향일 수 있고, BBS-대-RFEM 커맨드를 위해 그리고 RFEM-대-BBS 텔레메트리 전송(telemetry transfer)(예를 들어, PA 전력 검출기 판독, 제어 커맨드 수신 후에 ACK, 온도 검출기 판독 등)을 위해 사용될 수 있다.
일부 양태에서, 상이한 유형의 동축 케이블(6906)이 동축 케이블을 통해 RF를 전달하는 분산 위상 배열 통신 시스템과 관련하여 사용될 수 있다. 예를 들어, 고주파수의 RF 신호의 전달을 합리적인 손실로 가능하게 해 줄 고품질 동축 케이블, 반경성 케이블, 또는 경연성 케이블이 케이블(6906)로서 사용될 수 있다.
다른 예에서, 더 낮은 비용의 동축 케이블이 동축 케이블(6906)로서 사용될 수 있고, 이것은 높은 RF 주파수 통신에 대해 매칭(S11) 및 높은 손실(S21) 문제를 초래할 수 있다. 이러한 단점은 적응형 케이블 매칭 개선, 강건한 RX 및 TX 라인-업, 및 RX 및 TX 비선형성 왜곡 소거와 같은 시스템 설계 변경을 통해 개선될 수 있다.
적응형 케이블 매칭 개선
케이블을 통한 RF 신호 전달은 높은 손실 및 매칭 문제와 관련될 수 있다. RF 케이블 통신과 연관된 고주파수 때문에, 케이블 매칭의 변화는 크며 예측되지 않을 수 있고, 이것은 케이블과 로드 사이의 전력 손실에 영향을 준다. 예로 그리고 이러한 단점을 극복하기 위해, 적응형 임피던스 매칭 회로(예를 들어, (6938 및 7036))가 도 69-5에 도시되는 바와 같이, RFEM(6902) 및 BBS(6904)에서 사용될 수 있다.
강건한 RX 및 TX 라인-업
일부 양태에서, 동축 케이블과 연관된 더 큰 신호 손실은 추가의 이득 증폭/조정 단계(도시되지 않음)를 (예를 들어, RFEM(6902) 내 적응형 매칭(6938) 및 케이블(6906) 앞에) 추가함으로써 해결될 수 있고, 이것은 동축 케이블의 잠재적인 높은 신호 손실로 인해 전달된 RF 신호의 SNR을 저하시키지 않도록 하는 것을 보장할 수 있다.
Rx 및 Tx 비선형성 왜곡 소거
일부 양태에서, RX 및 TX 라인-업에서 추가의 이득 단계는 비 선형성 왜곡으로 이어질 수 있다. 그러나, 이러한 신호 왜곡은 디지털 메커니즘, 예를 들어 TX 경로 내 전치 왜곡 조정 회로 또는 RX 경로 내 후치 왜곡 조정 회로(도시되지 않음)를 통해 보상될 수 있다.
도 71은 일부 양태에 따른 단일 BBS에 연결된 복수의 RFEM을 사용하는 예시적인 대규모 안테나 배열(MAA)을 도시한다. 도 71을 참조하면, 분산 위상 배열 통신 시스템(7100)은 대규모 안테나 배열을 구현하기 위해 사용될 수 있다. 보다 구체적으로, 복수의 RFEM(7102, 7138, 7140)은 단일 BBS(7104)와 함께 사용될 수 있고, 각각의 RFEM은 위상 안테나 배열을 포함한다. RFEM(7102, 7138, ..., 7140)은 대응하는 단일 동축 케이블(7106, 7144, ..., 7146)을 통해 BBS(7104)에 연결될 수 있다.
일부 양태에서, 단일 LO 소스(예를 들어, 밀리미터 파 신시사이저)는 BBS(7104) 내에 위치될 수 있고, Tx 및 Rx 신호의 상향 변환 및 하향 변환 각각을 위해 사용될 수 있다. 이러한 방식으로, 공통의 LO 신호 위상은 MAA(7100)에서 사용되는 모든 RFEM에서 보장될 수 있다(예를 들어, Tx 또는 Rx 신호의 동조화된 위상일 수 있다). 이에 비해, RFEM이 전력 업되거나 또는 동작 주파수가 변경될 때마다, 상이한 RFEM에 위치되는 IF-오버-동축 케이블(IF-over-coax) 분산 위상 배열 시스템, 신시사이저 및 주파수 분주기는 비동기 위상을 갖는다. 따라서, 비동기 위상은 새로운 빔포밍 절차를 수행하는 것을 필요로 할 수 있으며, 이 절차는 전체적인 링크 처리량 및 품질을 저하시키는 시간 소모적인 동작일 수 있다.
도 71을 참조하면, 분산 위상 배열 통신 시스템(7100)은 단일 동축 케이블(7106)을 통해 BBS(7104)에 연결된 RFEM(7102)을 포함할 수 있다. RFEM(7102)은 위상 안테나 배열(7108), RF 수신기(7110), RF 송신기(7112), 듀플렉서(7136), 및 송신(Tx)/수신(Rx) 스위치(7134)를 포함할 수 있다. RF 수신기(7110)는 복수의 전력 증폭기(7116), 복수의 위상 변위기(7118), 가산기(7120) 및 RF 증폭기(7122)를 포함할 수 있다. RF 송신기(7112)는 RF 증폭기(7132), 가산기(7130), 복수의 위상 변위기(7128), 및 복수의 증폭기(7126)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(7134)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(7108)은 복수의 신호(7114)를 수신하는데 사용될 수 있다. 수신된 신호(7114)는 증폭기(7116)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(7118)에 의해 조정될 수 있다. 위상 변위기(7118) 각각은 별개의 위상 조정 신호(도 71에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(7104) 내 모뎀으로부터) 수신할 수 있고, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(7108)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(7118)의 출력의 위상 조정된 신호는 가산기(7120)에 의해 합해진 다음 RF 증폭기(7122)에 의해 증폭되어 RF 입력 신호(7123)를 생성한다. RF 입력 신호(7123)는 동축 케이블(7106) 및 듀플렉서(7136)를 통해 BBS(7104)에 전달될 수 있다. 일부 양태에서, RF 입력 신호(7123)는 60 GHz 신호 또는, 5G 통신 대역을 포함하는 밀리미터 파 대역 내 다른 신호일 수 있다.
예시적인 송신 동작에서, 스위치(7134)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(7102)은 동축 케이블(7106) 및 듀플렉서(7136)를 통해 BBS(7104)로부터 RF 출력 신호(7131)를 수신할 수 있다. RF 신호(7131)는 RF 증폭기(7132)에 의해 증폭된 후 가산기(7130)에 전달될 수 있다. 가산기(7130)는 증폭된 RF 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(7128)에 전달한다. 복수의 위상 변위기(7128)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 이러한 위상 조정된 신호는 복수의 증폭기(7126)에 의해 증폭될 수 있다. 복수의 증폭기(7126)는 위상 안테나 배열(7108)에 의해 송신하기 위한 복수의 신호(7124)를 생성한다. 일부 양태에서, RFEM(7138-7140)은 RFEM(7102)와 동일할 수 있다.
도 71은 BBS(7104)의 세부 사항을 제공하지 않지만, BBS(7104)는 BBS(6904)와 동일할 수 있고 도 70에 도시된 블록을 포함할 수 있다. 도 70에 도시된 바와 같이, 단일 신시사이저(7028)는 BBS(6904) 내에서 사용된다. RFEM 사이에서 공유되는 LO 생성기(예를 들어, RF 신시사이저, IF 신시사이저 등을 포함할 수 있는 신시사이저(7028))를 포함하는 단일의 BBS(예를 들어, 7104)를 사용함으로써, 단일 BBS 내 LO 생성기에 의해 생성되는 LO 주파수와 복수의 RFEM의 위상 안테나 배열에 의해 전달되는 발신 RF 신호 사이에 위상 상관관계가 있다. 이와 관련하여, RFEM(7102, 7138, . . ., 7140)의 위상 안테나 배열에 의해 송신되는 신호 전부는 동일한 위상을 가질 것이다.
도 69, 도 70 및 도 71이 RFEM과 BBS를 연결하는 단일의 동축 케이블의 사용을 개시하지만, 본 개시내용은 이러한 관점으로 한정되지 않고, 다른 유형의 연결부가 또한 사용될 수 있다. 예를 들어, 다른 유형의 밀리미터 파 연결부 또는 케이블이 단일의 동축 케이블 대신에 사용될 수 있다. 사용될 수 있는 다른 유형의 연결부는 반경성 케이블, 연성 기판의 연성 케이블, PCB 상의 인쇄된 RF 전송 선로, 경연성 보드 등을 포함한다. 예를 들어, 도 71을 참조하면, 경연성 보드가 동축 케이블(7106, 7144, 및 7146) 대신 사용될 수 있으며, 여기서 다수의 RFEM은 연결 기판의 연성 부분(예를 들어, 경연성 보드의 RF 오버 연성 부분)을 사용하여 메인 BBS(7104)로부터 상이한 위치에 있는 RFEM로 전파되는 RF 라인을 통해 공급될 수 있다. 이러한 방식으로, RFEM은 기지국 새시(chassis) 내의 또는 PC/모바일 폼 팩터에 기초한 시스템의 상이한 영역에서 폴드 오버(fold over)되고 벤드 오버(bend over)될 수 있다.
동축(coax) 케이블은 랩톱의 마더보드로부터 랩톱의 힌지식 리드까지 RF 신호를 송신하기 위한 전송 선로로서 사용되어 왔으며, 마더보드로부터 신호가 랩톱 리드 내의 안테나 또는 위상 안테나 배열에 동축 케이블을 통해 송신될 수 있다. 케이블은 일반적으로 키보드 아래에 위치되는 마더보드 상의 무선 주파수 집적 회로(RFIC)를 포함하는 송수신기로부터, 리드에 힌지 내 홀 또는 튜브를 통한 다음 리드 내 안테나 또는 안테나 배열 상으로 이어질 것이다. 그러나, 이러한 구성은 특히 시간이 지남에 따른 케이블 품질 저하에 의한 신호 손실의 단점이 있다. 이러한 신호 손실은 동작 주파수가 증가함에 따라 악화될 것이다. 일부 애플리케이션에서, 랩톱은 동시에 두 개 이상의 주파수 범위, 예를 들어, Wi-Fi, WiGig, 및 5G를 가질 수 있고, 힌지를 통과하는 두 개 이상의 케이블을 필요로 하는데, 이것은 이미 밀집된 환경이다. 따라서, 특히 동작 주파수가 상당히 증가함에 따라 케이블에 의해 전력 손실이 유발되는, 힌지를 통한 하나 이상의 케이블의 전술한 문제를 완화시킬 필요가 존재한다.
일부 양태에서, 전송 선로로서, RF 신호 주파수의 개수에 종속하여 하나 이상의 도파관을 사용하는 것이 힌지를 통해 마더보드로부터 리드로 RF 신호를 통과시키는 효과적인 방법이다. 일부 양태에서, 필수적으로 임의의 RF 주파수 범위를 취급할 수 있는 광섬유가 사용된다. 또한, 광섬유는 한 번에 복수의 주파수 범위를 송신할 수 있다. 추가의 장점은 광섬유가 동축 케이블에 비해 시간의 지남에 따른 저하가 더 적을 것이라는 점이다. 전송 선로로서 도파관 또는 광섬유 중 하나를 사용하는 것은 전술된 문제를 감소시키거나 최소화할 것이다. 일부 양태에서, 위의 솔루션은 RF 신호를 태블릿 또는 폰의 마더보드로부터 태블릿 또는 폰의 새시로 통과시키기 위해 또한 사용될 수 있다.
위의 경우(랩톱, 태블릿, 폰) 중 임의의 경우에서, 일단 RF 신호가 밀집된 디바이스의 RFIC로부터 통과되면, 해결되어야 할 중요한 두 번째 문제는 랩톱의 밀집한 리드 또는 태블릿 또는 폰의 새시 내에서 RF 신호를 어떻게 통과시킬 것인지에 관한 것이다. 밀집된 리드 또는 새시를 통해 또는 밀집된 리드 내에서 또는 새시 내에서 RF 신호를 어떻게 통과시킬 것인지에 관한 문제는 일부 양태에서 금속 새시에 구현된 도파관을 사용함으로써 해결될 수 있다. 일부 양태에서, 도파관은 표준의 중공-튜브(hollow tube) 도파관로서, 또는 PCB 상에서 기판 집적 도파관(SIW)로서 구현될 수 있다. 동시에, 위의 전송 선로가 동축 케이블, 도파관 또는 광섬유 중 어느 것이든 전송 선로를 경유하는 신호 전력의 손실이 있을 수 있다.
증폭을 포함하는 라디오 프런트 엔드 모듈(RFEM)은 이러한 손실을 해결하기 위해 하나 이상의 안테나 앞에 도파관 또는 광섬유의 일 단에 연결될 수 있다. 그 다음에 RF 신호는 리드 내에 있을 수 있는 위상 안테나 배열에 또는 안테나 요소에 송신될 수 있다. 광섬유 라인을 통해 신호를 분배하는 일부 양태에서, RF 신호는 광섬유 라인을 통해 RFIC로부터 송신을 가능하게 하도록 광학 신호로 변환될 수 있다. 광학 신호로부터 다시 RF 신호로 변환하면 RFEM을 통해 그리고 안테나 또는 안테나 배열 쪽으로 송신이 가능해진다.
도 72는 일부 양태에 따라, RF 신호를 랩톱 컴퓨터의 리드에 도달하게 하기 위한 도파관을 도시하는 랩톱 컴퓨터의 분해도이다. RF 신호 도파관은 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, RF 신호 도파관은 이러한 것으로 제한되지 않는다. 분해도(7200)는 랩톱(7201)을 도시하며, 키보드가 상징적으로 (7203)에서 도시되고(그러나 도시되지 않음) 리드는 상징적으로 (7205)에서 도시된다. 리드(7205)의 분해도는 랩톱 리드 내 도파관 내 전송 선로의 개략도이다. RF 신호는 랩톱의 마더보드 상의 RFIC 내의 매체 액세스 제어(Medium Access Control)(MAC) 계층/기저대역(MAC BB) 서브시스템으로부터 진행된다. 도파관은 힌지(7207) 내의 홀 또는 튜브를 통해 이어지거나 또는 힌지(7207)의 부분으로서 만들어지며, 도파관(7207A)은 그 다음에 리드 내부의 분할기/결합기(7209)로 진행되어 RF 신호를 복수의 도파관(7211, 7213, 7215, 7217)에 제공하고 도파관 출구(7219, 7221, 7223, 7225)로부터 리드 아웃보드(outboard)에 위치한 각각의 안테나 또는 안테나 배열에 제공한다. 실제로, 단지 단일의 주파수 대역만 있을 수 있거나, 또는 (예를 들어, 하나 이상의 LO 신호를 사용함으로써) RFIC에 의해 생성된 복수의 주파수 대역이 있을 수 있다. 예를 들어, 일부 양태에 따라 Wi-Fi, WiGig 또는 5M mmWave 기술을 위한 주파수 대역이 생성될 수 있다.
도 73은 일부 양태에 따라, 랩톱의 리드로 가는 도중에 랩톱의 힌지 내 홀을 통해 들어가는, 랩톱 컴퓨터의 RFIC로부터 이어지는 하나 이상의 동축 케이블의 예시이다. 이러한 예시에서 랩톱은 리드 커버를 갖고 키보드 커버는 제거되었다. 일부 양태에서, RFIC는 동축 케이블(7301)로부터 아웃보드인 마더보드 상에 위치될 수 있다. 동축 케이블(7301)은 RFIC로부터 포인트(7301A)로 이어지며, 포인트(7301A)에서 랩톱 리드로 가는 도중에 힌지 홀(또는 튜브)(7303)을 통과한다. 본 예시에서, 일부 양태에 따라 두 개의 주파수 대역이 생성되는 경우, 두 개의 케이블(7301)이 도시된다. 일부 예에서, 하나의 동축 케이블은 Wi-Fi 주파수 대역 RFIC로부터 오는 것이며, 동축 케이블은 일부 구현예에서, 다중-입력 다중-출력(MIMO) 안테나 모드를 위해 세 개까지의 동축 케이블을 가질 수 있다. 논의 중의 본 양태에서 제 2 주파수 대역은 WiGig 주파수일 수 있다.
도 74는 일부 양태에 따라, 리드 내 안테나 또는 안테나 배열로 가는 도중에 랩톱 리드의 힌지 내 홀을 나오는, 랩톱 컴퓨터의 라디오 서브시스템으로부터의 하나의 동축 케이블의 예시이다. 예시(7400)는 랩톱에 힌지식으로 연결된 랩톱 리드를 도시한다. 동축 케이블(7401)은 랩톱의 리드 내의 안테나 또는 안테나 배열로 가는 도중에 힌지(7403) 내 홀(7403)을 통해 이어지며, 이 안테나는 일부 양태에 따라, 포인트(7401A)의 아웃보드이다. 스크린의 후면은 (7405)에서 도시되며, 스크류(7405A)는 후면(7405)이 랩톱의 새시에 고정될 수 있다는 한 지점에 있다. 사용되는 안테나는 동작 주파수 대역과 관련된다. Wi-Fi 또는 롱 텀 에벌루션(LTE)의 경우에, 안테나는 일부 양태에서 수동 개별 안테나일 수 있다. WiGig 또는 5G 주파수 대역의 경우에, 동축 케이블은 개별 RFEM 경우에 연결될 수 있으며, 이 케이블은 아래에서 추가적으로 상세하게 논의되는 바와 같이, 다른 양태에서 하나 이상의 안테나에 연결된다.
도 75는 일부 양태에 따라, 랩톱 컴퓨터의 마더보드로부터 랩톱의 리드로 그리고 무선 프런트 엔드 모듈(RFEM)로의 신호를 위한 전송 선로의 개략도이다. (7500)에서 도시된 것은 빌로우-더-키보드(below-the-keyboard) 랩톱 새시(7502) 및 일반적으로 (7504)에서 표시되는 리드의 개략도이다. 리드(7504)는 힌지(7505, 7507)에 의해 새시(7502)에 힌지식으로 연결된다. RFIC(7501)는 전송 선로(7503)에 연결된다. 전송 선로(7503)는 도파관 또는 광섬유일 수 있다.
전송 선로(7503)는 힌지(7505)를 통해 진행하여 힌지를 빠져 나갈 수 있다. 도파관이 전송 선로인 양태에서, 도파관은 힌지의 부분일 수 있다. 도파관(7509)은 손실될 수 있기 때문에, 신호가 도파관 또는 광섬유를 따라 진행됨에 따라, 일정 양의 신호 저하가 있을 것이다. 전송 선로(7509)가 광섬유인 경우, 광학 신호 대 RF 신호 변환기가 (7511A)에서 배치되어 RF 신호가 RFEM(7511)에 이용 가능할 것이다. 일부 양태에 따라, RFEM(7511)은 전력 증폭기를 포함할 수 있고, 신호 감쇠를 감안하여 신호를 증폭하기 위해 사용될 수 있다.
RFIC 측에서, 전송 선로(7503)가 광섬유 선로이면, RFIC(7501)로부터 빠져 나올 때, RF 신호 대 광학 신호 변환기는 일부 양태에 따라 (7501A)에 포함될 수 있다. 레이저는 일부 양태에서 이러한 RF 신호 대 광학 신호 변환을 위해 사용될 수 있다. 광학 신호가 RFEM(7511)에 접근할 때, 광학 신호는 (7310)에서 RF 신호로 다시 변환되어야 한다. PIN 다이오드 또는 애벌런치 PIN 다이오드가 (7511A)에 배치될 수 있고 일부 양태에서 이러한 광학 신호 대 RF 신호 변환을 위해 사용될 수 있다.
RF 신호 대 광학 신호 변환을 위한 다른 구현은 종종 라디오 오버 파이버(Radio over Fiber)로 불리는 RF 오버 파이버(RF Over Fiber)이다. 라디오 오버 파이버(RoF) 또는 RF 오버 파이버(RFoF)는 광이 무선 주파수 신호에 의해 변조되고 광섬유 링크를 통해 송신되는 기술을 지칭한다. 광섬유 링크를 사용하는 주된 기술적 장점은 모든 전기적 신호 송신과 비교하여 더 낮은 송신 손실 및 감소된 잡음에 대한 민감성 및 전자파 장애이다. 일부 양태에서, 광학 신호는 다른 것 중에서도, Wi-Fi, LTE, 5G 및 WiGig를 포함하는 본질상 모든 주파수에서 데이터를 전달할 수 있다.
일부 양태에서, 광학 신호로부터 RF 신호로의 변환은 PIN 다이오드 또는 애벌런치 PIN 다이오드에 의해 구현될 수 있으며, PIN 다이오드는 아래에서 논의되는 바와 같이, 도 75의 (7511A)에서 또는 도 76의 (7610' 및 7612)에서 배치될 수 있다. 만약 레이저가 도 75의 (7501A)에서 RF 신호 대 광학 신호 변환을 위해 사용되면, (7511A)에서의 PIN 다이오드 또는 애벌런치 PIN 다이오드로부터의 변환된 출력 RF 신호는 디지털 비트일 수 있다. 그러므로 매우 빠른 광학 인터페이스는 디지털 비트를 RFEM에 전달할 수 있다. 따라서, RFEM의 송수신기는 디지털 전기적 비트를 급전 받을 수 있고, RFEM은 RF 신호와 같이 안테나에 의한 극한 방사(ultimate radiation)를 위해 디지털 비트를 증폭하도록 동작될 것이다.
대안적으로, 만약 RFoF가 (7501A)에서 RF 신호 대 광학 변환을 위해 사용되면, RF 신호 대 광학 신호 변환은 RF 신호에 의해 변조된 광학 신호로 생성된다. 이 경우, 그러면 도 75의 (7511)에서 (또는 경우에 따라서 도 76의 (7610' 및 7612)에서) PIN 다이오드 또는 애벌런치 PIN 다이오드 변환은 또한 RF 변조된 광학 신호로부터 원래의 RF 신호를 생성하고, 처리를 위해 RFEM(7511)에 RF 신호를 전달할 수 있다.
도 76은 일부 양태에 따라, 랩톱 컴퓨터의 마더보드로부터 랩톱의 리드로 그리고 복수의 RFEM(7611 및 7613)으로의 신호를 위한 전송 선로의 개략도이다. 도 76의 개략도는 두 개의 RFEM가 사용되는 점을 제외하고 도 75의 것과 유사하다. 위에서 논의되는 바와 같은 광학 신호 대 RF 신호 변환기는 두 개의 장소(7610 및 7612)에서 이용될 수 있으며, 여기서 전송 선로는 일부 양태에 따른 광섬유이다.
만약 두 개의 RFEM(7611 및 7613)이 동일 주파수 대역 상에서 동작 중이지만, 이 중 하나만 한 번에 활성화되면 (이것은 5G 및 WiGig 구현 둘 모두에 중요함), 단지 하나의 광학 신호 대 RF 신호 변환기만 필요하며, 이 변환기는 일부 양태에 따라 (7610')에서 배치될 수 있다. 이 경우에, 두 개의 RFEM(7611, 7613)이 동일 주파수에서 동작한다는 사실 때문에, ((7610, 7612)에서) 두 개의 광학 신호 대 RF 신호 변환기가 필요하지 않을 것이다. 이것은 두 개의 더 양호한 커버리지를 제공하는 RFEM이 활성화될 것이기 때문에 더 양호한 공간 커버리지를 제공하는 옵션이다. 이것은 일부 양태에 따라 주어진 시간에 어느 RFEM이 더 양호한 커버리지를 제공하는지를 결정하기 위해 수신 디바이스 또는 시스템으로부터의 피드백 정보에 근거하여 두 개의 RFEM이 알고리즘적으로 제어되는 것에 의해 달성될 수 있다.
다른 한편, 만약 두 개의 REFM(7611, 7613)이 상이한 주파수 대역에서, 예를 들어, 하나는 5G에서 그리고 하나는 WiGig에서 동작되면, 두 개의 RFEM은 동일 시간에 동작될 것이다. 이 경우, 일부 양태에서 각각 (7610' 및 7612)에서 배치된, 위에서 논의된 두 개의 광학 신호 대 RF 신호 변환기가 존재할 것이다.
도 77a 및 도 77b는 일부 양태에 따라, 기판 통합 도파관(substrate integrated waveguide)(SIW)의 예시이다. 도 77a에서, (7700)은 일부 양태에 따라 RF 신호 소스로서 SIW에 연결된 동평면 전송 선로(coplanar transmission line)의 사시도이다. SIW 자체는 PCB, 예를 들어 FR4 또는 다른 적합한 PCB로 만들어질 수 있다. SIW(7700)는 상부(7701) 및 하부(7703) 및 두 개의 비아 라인을 갖고, 비아 중 하나는 비아(7705)로 시작되고 다른 하나는 비아(7707)로 시작된다. 비아 라인은 일부 양태에 따라, 바람직한 방향으로 RF 신호를 가이드하는 PCB의 측부로서 효과적으로 기능하기에 충분하게 밀집된다. 동평면 도파관(7701)는 하나의 양태에서 RF 신호의 소스를 포함하고, 신호 송신은 논의 중의 양태에서 화살표의 방향이다.
도 77b는 일부 양태에 따라 SIW에 급전하는 마이크로 스트립(micro strip)을 갖는 SIW로서의 예시이다. SIW(7702)는 상부(7704) 및 하부(도시되지 않음) 및 두 개의 비아 라인을 갖는다. 비아 라인 중 하나는 비아(7706)로 시작되고 다른 하나는 비아(7708)로 시작되며, 여기서 비아 라인은 일부 양태에 따라, RF 신호를 원하는 방향으로 가이드하는 PCB의 측부로서 효과적으로 기능하기에 충분하게 밀집된다. 핑거는 (7708)에서 구현되고 마이크로스트립 라인(7704)은 핑거와 매칭되고 일부 양태에서 RF 신호의 소스를 포함한다. 신호 송신은 논의 중의 양태에서 화살표의 방향이다. 관련 기술분야에서 통상의 기술자라면 위 두 개의 도면이 단지 예시이고, SIW의 다른 형태가 사용될 수 있다는 것을 인식할 것이다.
분산 위상 배열 시스템(예를 들어, WiGig 및 5G 셀룰러 시스템)은 현재 랩톱, 태블릿, 스마트 폰, 도킹 스테이션 및 다른 애플리케이션에서 사용된다. WiGig 및 5G 통신을 위해 사용되는 현재의 분산 위상 배열 시스템은 수퍼-헤테로다인 (이중 변환) 또는 슬라이딩-IF 시스템이다. 이 시스템에서, MAC-PHY 기저대역 서브시스템은 중간 주파수 (IF) 신호를 수신하거나 송신하며, 이 시스템은 IF 신호의 상향 변환 및 하향 변환뿐만 아니라, IF 증폭 스테이지, RF-IF 믹서, 높은 선택성 대역 통과 필터, 및 회로와 회로 사이에서 IF 신호를 전달하기 위해 필요한 다른 회로의 사용을 필요로 한다.
데이터 신호는 종종 직류(DC) 전력 신호로 프론트 엔드 모듈에 전달된다. 데이터 신호의 일부는 기저대역 근처에서 변조되고, 신호에 저주파수 성분의 존재 때문에, 프론트 앤드 회로에서 RF 초크 회로가 깨끗한 DC 전력 신호를 생성하도록 사용된다. 그러나 RF 초크 회로는 비싸고 부피가 클 수 있다. 또한, 클록 신호가 프론트 엔드 모듈에 전달될 때, 클록 신호 성분이 통신 매체로부터 누출될 수 있고, 이것은 통신 시스템 내의 상당한 잡음 소스일 수 있다.
도 78은 일부 양태에 따라, 클록 잡음 누설 감소를 갖는 분산 위상 배열 시스템(7800)의 예시적인 RF 프론트 엔드 모듈(RFEM)을 도시한다. 분산 위상 배열 시스템(7800)은 도 3a에 도시된 mmWave 통신 회로(300)의 디지털 기저대역 회로(310), 송신 회로(315), 및 수신 회로(320)에 포함될 수 있으나, 분산 위상 배열 시스템(7800)은 이러한 것으로 제한되지 않는다.
도 78을 참조하면, RFEM(7802)은 단일 동축 케이블(7806)을 통해 기저대역 서브시스템(BBS)(7804)에 연결된다. RFEM(7802)은 위상 안테나 배열(7808), RF 수신기(7810), RF 송신기(7812), 국부 발진기(LO) 생성기(7844), 클록 역확산기(clock despreader)(7852), 트리플렉서(7848) 및 송신(Tx)/수신(Rx) 스위치(7840)를 포함할 수 있다. RF 수신기(7810)는 복수의 전력 증폭기(7816), 복수의 위상 변위기(7818), 결합기(7820), RF 증폭기(7822), LO 증폭기(7826) 및 체배기(또는 믹서)(7824)를 포함할 수 있다. RF 수신기(7810)는 또한 IF 증폭기(7842)를 포함할 수 있다. 일부 양태에서, IF 증폭기(7842)는 수신기(7810)의 부분일 수 있거나 이것은 수신기(7810)의 외부에 구현될 수 있다.
RF 송신기(7812)는 체배기(또는 믹서)(7838), LO 증폭기(7840), RF 증폭기(7836), 분할기(7834), 복수의 위상 변위기(7832), 및 복수의 증폭기(7830)를 포함할 수 있다. RF 송신기(7812)는 IF 증폭기(7846)를 또한 포함할 수 있다. 일부 양태에서, IF 증폭기(7846)는 송신기(7812)의 부분일 수 있거나 이것은 송신기(7812)의 외부에 구현될 수 있다.
BBS(7804)는 RFEM(7802)에 대한 통신을 위해 하나 이상의 제어 신호를 생성하도록 구성될 수 있다. 예시 제어 신호는 전력 온/오프 신호, 송신(Tx) 모드 활성화, 수신(Rx) 모드 활성화, 신호 전력 업 또는 다운, 시스템 웨이크 업 신호, 저전력 활성화 신호, 위상 또는 이득 조정 신호 등을 포함한다. 제어 신호는 RFEM에 전달되기 전에 기저대역 근처에서 변조되기 때문에, 신호 내에서 저주파수 성분이 커지는 결과를 가져올 수 있다. 큰 저주파수 성분은 다음 차례로 RFEM에서 큰 RF 초크 성분을 유발하여 선명한 DC 전력 신호를 생성한다(이 신호는 제어 신호와 함께 전달된다). 도면은 BBS로부터 RFEM으로 전달되는 제어 신호를 도시하지만, 본 개시내용은 이러한 관점으로 제한되지 않으며, 제어 신호는 RFEM으로부터 BBS로 전달될 수 있다. 예를 들어, RFEM은 BBS에 제어 신호, 예를 들어 전력 판독 신호, 온도 판독 신호, 명령 응답 확인(acknowledgement) 신호 등을 전송할 수 있다.
일부 양태에서, BBS(7804) 및 RFEM(7802)을 연결하는 동축 케이블(7806)로부터의 참조 클록 신호 누설은 클록 신호를 사용하는 제어 신호를 (예를 들어, 클록 확산기(clock spreader)(7850)를 사용하여) 변조한 다음, 클록 신호 대신에 변조된 신호를 (BBS로부터 RFEM으로) 전달함으로써 감소될 수 있다. RFEM은 클록 역확산기(7852)를 포함할 수 있으며, 클록 역환산기(7852)는 제어 신호 및 클록 신호를 복원하는데 사용될 수 있다. (별개의 제어 신호 및 클록 신호 대신에) 변조된 신호를 전달함으로써, 결과적인 변조된 신호가 DC로부터 더 멀고 기저대역-변조된 제어 신호만큼 많은 저주파수 성분을 포함하지 않기 때문에 RF 초크 성분 요건이 개선될 수 있다(예를 들어, 더 적은 인덕터 또는 페라이트 비드(ferrite bead)가 RF 초크에 사용된다).
예시적인 수신 동작에서, 스위치(7840)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(7808)은 복수의 신호(7814)를 수신하기 위해 사용될 수 있다. 수신된 신호(7814)는 증폭기(7816)에 의해 증폭되고, 수신된 신호의 위상은 대응하는 위상 변위기(7818)에 의해 조정될 수 있다. 위상 변위기(7818) 각각은 제어 회로로부터(예를 들어, BBS(7804) 내 모뎀으로부터) 발생하는 제어 신호(예를 들어, 수신된 변조된 신호(7854)를 역확산할 때 클록 역확산기(7852)에 의해 생성되는 제어 신호(7860))의 형태로 별개의 위상 조정 신호(도 78에 도시되지 않음)를 수신할 수 있다.
개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(7808)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(7818)의 출력의 위상 조정된 신호는 결합기(7820)에 의해 결합된 다음 RF 증폭기(7822)에 의해 증폭된다. LO 생성기(7844)는 동축 케이블(7806)을 통해 BBS(7804)로부터 수신된 변조된 신호(7854)를 사용하여 클록 역확산기(7852)에 의해 생성된 클록 기준 신호(7858)를 사용하여 LO 신호를 생성할 수 있다. LO 신호는 증폭기(7826)에 의해 증폭된 다음 IF 입력 신호(7845)를 생성하기 위해 체배기(7824)를 사용하여 증폭기(7822)의 출력과 곱해질 수 있다. IF 입력 신호(7845)는 증폭기(7842)에 의해 증폭된 다음 데이터 신호(7856)로서 동축 케이블(7806) 및 트리플렉서(7848)를 통해 BBS(7804)에 전달될 수 있다. 일부 양태에서, IF 입력 신호(7845)는 10.56 GHz 신호 주위에 집중된다.
예시적인 송신 동작에서, 스위치(7840)는 송신기 체인 프로세싱을 활성화시킬 수 있다. BBS(7804)는 변조된 신호(7854)를 생성하도록 클록 확산기(7850)를 사용하여 클록 기준 신호(7858) 상의 제어 신호(7860)를 변조할 수 있다. 변조된 신호(7854) 및 IF 데이터 신호(7856)는 동축 케이블(7806)을 통해 RFEM(7802)에 전달될 수 있다. 데이터 신호(7856)는 송신을 위한 IF 신호(7847)를 포함할 수 있다. RFEM(7802)은 동축 케이블(7806) 및 트리플렉서(7848)를 통해 IF 신호(7847)를 수신할 수 있다. IF 신호(7847)는 IF 증폭기(7846)에 의해 증폭된 다음 체배기(7838)에 전달될 수 있다. 체배기(7838)는 LO 생성기(7844) 및 LO 증폭기(7840)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭된 LO 신호는 체배기(7838)에 의해, 증폭되고 수신된 IF 신호와 곱해져서 RF 신호를 생성한다. RF 신호는 그 다음에 증폭기(7836)에 의해 증폭되고 분할기(7834)에 전달된다. 분할기(7834)는 복수 카피(copy)의 증폭된 신호를 생성하고 신호 카피를 복수의 위상 변위기(7832)에 전달한다. 복수의 위상 변위기(7832)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 이러한 조정된 신호는 복수의 증폭기(7830)에 의해 증폭될 수 있다. 복수의 증폭기(7830)는 위상 안테나 배열(7808)에 의한 송신을 위해 복수의 신호(7828)를 생성한다.
일부 양태에서, 첨부된 도면에 도시된 트리플렉서는 송신/수신 스위치를 또한 포함할 수 있으며, 이 스위치는 신호가 트리플렉서에 의해 멀티플렉싱될 것인지를 결정하기 위해 사용될 수 있다.
도 79는 일부 양태에 따라 클록 잡음 누설 감소를 갖는 분산 위상 배열 시스템의 기저대역 서브시스템(BBS)을 도시한다. 도 79를 참조하면, BBS(7804)는 트리플렉서(7902), IF 수신기(7904), IF 송신기(7906), 모뎀(7924), 수정 발진기(7930), 신시사이저(7928), 분주기(7926) 및 클록 확산기(7850)를 포함할 수 있다. 신시사이저(7928)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(7930)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 생성된 클록 신호는 분주기(7926)에 의해 나누어져 출력 클록 기준 신호(7858)를 생성한다. 출력 클록 기준 신호(7858)는 제어 신호(7860)와 함께 클록 확산기(7850)로 전달될 수 있다. 제어 신호(7860)는 모뎀(7924)에 의해 생성될 수 있고, RFEM(7802)의 기능과 같은, 통신 시스템(7800)의 하나 이상의 기능을 제어하기 위해 사용될 수 있다.
제어 신호(7860)를 사용하여 제어될 수 있는 예시적인 기능성은 송신 모드의 활성화, 수신 모드의 활성화, 전력 업, 전력 다운, 저전력 모드 활성화, 회로 웨이크 업, 빔 변경 신호, 위상 및/또는 이득 조정 등을 포함한다. 클록 확산기(7850)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 동축 케이블(7806)을 통한 RFEM(7802)으로 송신을 위한 변조된 신호(7854)를 생성하도록 클록 기준 신호(7858) 상의 제어 신호(7860)를 변조하도록 구성될 수 있다. 일부 양태에서, 생성된 클록 신호는 1.32 GHz의 주파수 주위에 집중될 수 있다.
IF 수신기(8204)는 IF 증폭기(7908), 믹서(7910), 필터(7912) 및 아날로그-디지털 변환(ADC) 블록(7914)을 포함할 수 있다. IF 송신기(7906)는 디지털-아날로그 변환(DAC) 블록(7922), 필터(7920), 믹서(7918) 및 IF 증폭기(7916)를 포함할 수 있다.
예시적인 수신 동작에서, IF 신호(예를 들어, 데이터 신호(7856)로서 수신된 7845)는 RFEM(7802)으로부터 트리플렉서(7902)를 통해 수신되고, IF 증폭기(7908)에 의해 증폭된다. 증폭된 IF 신호는 믹서(7910)에 의해 기저대역 신호로 하향 변환된 다음, 저역 통과 필터(7912)에 의해 필터링되고, 모뎀(7924)에 의해 처리되기 전에 ADC 블록(7914)에 의해 디지털 신호로 변환될 수 있다.
예시적인 송신 동작에서, 모뎀(7924)에 의해 출력된 디지털 신호는 DAC 블록(7922)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(7920)에 의해 필터링된 다음에 믹서(7918)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(7916)에 의해 증폭된 다음, 변조된 신호(7854)와 함께, 데이터 신호(7856)로서 단일 동축 케이블(7806) 및 트리플렉서(7848)를 통해 RFEM(7802)에 전달될 수 있다. 일부 양태에서, BBS(7804)는 RFEM(7802)에 변조된 신호(7854) 및 데이터 신호(7856)와 함께 DC 전력 신호를 또한 전달할 수 있다.
도 80은 일부 양태에 따라 RFEM과 BBS 사이에서 전달되는 신호의 주파수 다이어그램을 도시한다. 도 80을 참조하면, 주파수 다이어그램(8000)은 단일 동축 케이블(7806)을 통해 RFEM(7802)과 BBS(7804) 사이에서 전달될 수 있는 다양한 신호를 도시한다. 예를 들어, BBS(7804)는 DC 전력 신호(8002), 제어 신호(8004), 및 클록 신호(8006)를 전달할 수 있다. 또한, 데이터 신호(8010)는 BBS(7804)와 RFEM(7802) 사이에서 전달될 수 있다.
예를 들어, IF 데이터 신호(7845)는 RFEM(7802)으로부터 BBS(7804)로 전달될 수 있고, IF 데이터 신호(7847)는 BBS(7804)로부터 RFEM(7802)으로 전달될 수 있다. 클록 신호(8006)는 BBS(7804)로부터 RFEM(7802)에 의해 수신된 LO 생성 클록 기준 신호(7858)와 동일할 수 있다. 일부 양태에서, 클록 신호(8006)는 1.32 GHz의 주파수 주위에 집중될 수 있다. 일부 양태에서, 제어 신호(8004)는 BBS(7804)로부터 RFEM(7802)으로 전달될 수 있고 위상 변위기(7832) 및 위상 변위기(7818)에 의해 사용하기 위한 위상 조정 값을 표시할 수 있다. 제어 신호(8004)는 RFEM(7802)에 다른 제어 기능, 예컨대 전력 업, 전력 다운, 증가 또는 감소 송신 전력, 이득 조정 및 본 명세서에서 위에서 언급된 다른 기능성을 표시할 수 있다.
도 80에 도시된 바와 같이, RFEM(7802)과 BBS(7804) 사이에서 전달되는 신호의 신호 스펙트럼은 약간의 바람직하지 않은 신호, 예를 들어, 제어 신호(8004)의 고조파뿐만 아니라 클록 고조파(8008)를 포함할 수 있다. 제어 신호(8004)는 RFEM에 전달되기 전에 기저대역 근처에서 변조되기 때문에, 신호 내에서 저주파수 성분이 커지는 결과를 가져올 수 있다. 큰 저주파수 성분은 다음 차례로 RFEM에서 큰 RF 초크 성분을 유발하여 선명한 DC 전력 신호를 생성한다(이 신호는 제어 신호와 함께 전달된다). 또한, (관련된 고조파(8008)뿐만 아니라) 기준 클록 신호(8006)는 동축 케이블 연결(7806)로부터 누설될 수 있고, 플랫폼 내 잡음 소스일 수 있다. 일부 양태에서, 클록 확산기 회로(7850) 및 클록 역확산기 회로(7852)는 동축 케이블(7806) 상에서 별개의 제어 및 클록 신호의 통신과 관련된 상기 단점을 해결하도록 BBS(7804) 및 RFEM(7802) 각각에서 사용될 수 있다.
도 81은 일부 양태에 따라 클록 잡음 누설 감소와 관련하여 사용될 수 있는, 클록 확산기 및 역확산기 회로를 도시한다. 도 81을 참조하면, BBS(7804) 및 RFEM(7802)을 포함하는 통신 시스템(7800)의 다른 도면이 도시된다. 보다 구체적으로, 도 81은 클록 확산기(7850) 및 클록 역확산기(7852)의 보다 상세한 도면을 도시한다.
도 81에 도시된 바와 같이, BBS(7804)는 송수신기(8120) 및 클록 확산기(7850)를 포함할 수 있다. 송수신기(8120)는 클록 확산기(7850)를 제외하고 도 79에 도시된 모든 블록을 포함할 수 있다. 유사하게, RFEM(7802)은 클록 역확산기(7852), LO 생성기(7844), 스위치(8132) 및 송수신기(8130)를 포함할 수 있다. 송수신기(8130)는, 예를 들어 도 78에 도시된 수신기(7810), 송신기(7812), 증폭기(7842 및 7846), 및 트리플렉서(7848)를 포함할 수 있다.
클록 확산기(7850)는 펄스 성형기 회로(pulse shaper circuit)(8106) 및 변조기 회로(8102)를 포함할 수 있다. 펄스 성형기 회로(8106)는 제어 신호(7860)를 수신하고 대역 제한(band-limited) 제어 신호(7861)를 생성하도록 구성될 수 있다. 일부 양태에서, 펄스 성형기(8106)는 대역 제한 제어 신호(7861)를 생성하도록 제어 신호(7860)와 연관된 하나 이상의 고조파를 감소시킬 수 있다. 변조기(8102)는 체배기(8104)를 포함할 수 있으며, 체배기(8104)는 클록 기준 신호(7858)뿐만 아니라 대역 제한 제어 신호(7861)를 수신하고, 이를 체배하여 변조된 신호(7854)를 생성하는데 사용될 수 있다.
일부 양태에서, 변조기(8102)는 이진 위상 변위 변조(binary phase-shift keying)(BPSK) 변조기, 차등 이진 위상 변위 변조(differential phase-shift keying)(DPSK) 변조기, 직교 위상 변위 변조(quadrature phase-shift keying)(QPSK) 변조기, 가우시안 주파수 변위 변조(Gaussian frequency shift keying)(GFSK) 변조기 또는 다른 유형의 변조기 중 하나일 수 있다. 일부 양태에서, 변조기(8102)는 의사랜덤 시퀀스(pseudorandom sequence)를 사용하여 클록 기준 신호(7858)를 확산하여 변조된 신호(7854)를 생성하도록 구성될 수 있다.
변조된 신호(7854)는 동축 케이블(7806)을 통해 RFEM(7802)에 (예를 들어, DC 전력 신호 및 IF 데이터 신호와 함께) 전달될 수 있다. RFEM(7802) 내 클록 역확산기(7852)는 클록 복원 회로(8134) 및 복조기(8136)를 포함할 수 있다. 변조된 신호(7854)는 클록 복원 회로(8134) 및 복조기(8136) 모두에 전달될 수 있다. 클록 복원 회로(8134)는 체배기(8138) 및 분주기(8140)를 포함할 수 있다. 클록 복원 회로(8134)는 변조된 신호(7854)를 사용하여 클록 기준 신호(7858)를 복원할 수 있다. 복원된 클록 기준 신호는 복조기(8136)뿐만 아니라 스위치(8132)에 전달될 수 있다. 복조기(8136)는 변조된 신호(7854)를 수신하고 클록 기준 신호(7858)를 사용하여 제어 신호(7860)를 변조하고 복원할 수 있다. 제어 신호(7860)는 스위치(8132)에 전달될 수 있다. 스위치(8132)는 제어 신호(7860) 및 기준 클록 신호(7858)를 송수신기(8130)에 전달할 뿐만 아니라, 상향 변환 또는 하향 변환 LO 기준 신호를 생성하기 위한 LO 생성기(7844)에 클록 신호(7858)를 전달하도록 구성될 수 있다.
도 82는 일부 양태에 따라 클록 잡음 누설 감소를 사용하여 RFEM과 BBS 사이에서 전달되는 신호의 주파수 다이어그램을 도시한다. 도 82를 참조하면, 클록 잡음 누설 감소가 비활성화되거나 활성화될 때 전달되는 신호를 도시하는 주파수 다이어그램(8202 및 8210)이 도시된다. 보다 구체적으로, 다이어그램(8202)(도 80의 다이어그램(8000)과 유사함)은 클록 잡음 누설 감소가 활성화가 아닐 때 통신 시스템(7800) 내에서 전달될 수 있는 신호를 도시한다. 다이어그램(8202)에서 도시된 바와 같이, DC 전력 신호(8203), 제어 신호(8204), 클록 신호(8206), 및 제어 신호(8204)의 고조파(8208)는 클록 잡음 누설 감소가 활성화가 아닐 때 (예를 들어, 클록 확산기(7850) 및 클록 역확산기(7852)는 사용되지 않을 때) BBS로부터 RFEM으로 전달될 수 있다.
클록 잡음 누설 감소가 활성화되고 클록 확산기(7850) 및 클록 역확산기(7852)가 사용되는 예에서, 전달된 신호는 다이어그램(8210)에 도시된다. 보다 구체적으로, 제어 신호(8204)는 클록 신호(8206) 상에서 변조되어 변조된 신호(8212)를 생성하며, 이 신호는 별개의 신호(8204 및 8206) 대신에 BBS로부터 RFEM으로 (고조파(8214)와 함께) 전달된다. 다이어그램(8210)에 도시된 바와 같이, 변조된 신호(8212)는 RFEM에서 RF 초크 요건을 완화시키기 위해 사용될 수 있는 DC 신호(8203)로부터 더 멀리 있다(예를 들어, RF 초크는 더 작은 인덕터 또는 페라이트 비드를 포함할 수 있다). 또한 추가적인 이익은 단일 사인파 클록 신호(8206) 대신에 변조된 신호(8212)가 전달되기 때문에 달성되고, 이것은 동축 케이블(7806)을 따른 잡음 누설을 감소시킨다.
분산 위상 배열 시스템(예를 들어, WiGig 및 5G 셀룰러 시스템)은 현재 랩톱, 태블릿, 스마트 폰, 도킹 스테이션 및 다른 애플리케이션에서 사용된다. WiGig 및 5G 통신을 위해 사용되는 현재의 분산 위상 배열 시스템은 수퍼-헤테로다인 (이중 변환) 또는 슬라이딩-IF 시스템이다. 이들 시스템에서, MAC-PHY 기저대역 서브시스템은 중간 주파수 (IF) 신호를 수신하거나 송신하며, 이 시스템은 IF 신호의 상향 변환 및 하향 변환뿐만 아니라, IF 증폭 스테이지, RF-IF 믹서, 높은 선택성 대역통과 필터, 및 회로와 회로 사이에서 IF 신호를 전달하기 위해 필요한 다른 회로의 사용을 필요로 한다.
IF 신호의 처리를 위한 추가의 회로는 분산 위상 배열 시스템에 더 큰 프론트 엔드 모듈, 더 큰 비용, 및 더 낮은 시스템 성능을 초래한다. 또한, 기저대역 서브시스템에서 수행되는 일부 mmWave 및 IF 주파수 프로세싱은 일부 시스템 벤더가 요구하지 않을 수 있다. 또한, IF 회로(특히 주파수 소스)와 고전력 증폭기 사이의 상호작용은 시스템 성능을 저하시키는 복수의 종류의 간섭을 유발할 수 있다.
도 83은 일부 양태에 따라, IF 프로세싱을 갖는 분산 위상 배열 시스템의 예시적인 RF 프론트 엔드 모듈(RFEM)을 도시한다. 분산 위상 배열 시스템은 도 3a에 도시된 mmWave 통신 회로(300)의 디지털 기저대역 회로(310), 송신 회로(315) 및 수신 회로(320)에 포함될 수 있으나, 분산 위상 배열 시스템은 이러한 것으로 제한되지 않는다.
도 83을 참조하면, RFEM(8302)은 단일 동축 케이블(8306)을 통해 기저대역 서브시스템(BBS)(8304)에 연결된다. RFEM(8302)은 위상 안테나 배열(8308), RF 수신기(8310), RF 송신기(8312), 국부 발진기(LO) 생성기(8344), 트리플렉서(8348), 및 송신(TX)/수신(RX) 스위치(8340)를 포함할 수 있다. RF 수신기(8310)는 복수의 전력 증폭기(8316), 복수의 위상 변위기(8318), 결합기(8320), RF 증폭기(8322), LO 증폭기(8326), 및 믹서(8324)를 포함할 수 있다. RF 수신기(8310)는 IF 증폭기(8342)를 또한 포함할 수 있다.
RF 송신기(8312)는 믹서(8338), LO 증폭기(8340), RF 증폭기(8336), 분할기(8334), 복수의 위상 변위기(8332), 및 복수의 증폭기(8330)를 포함할 수 있다. RF 송신기(8312)는 IF 증폭기(8346)를 또한 포함할 수 있다.
예시적인 수신 동작에서, 스위치(8340)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(8308)은 복수의 신호(8314)를 수신하기 위해 사용될 수 있다. 수신된 신호(8314)는 증폭기(8316)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(8318)에 의해 조정될 수 있다. 위상 변위기(8318) 각각은 별개의 위상 조정 신호(도 83에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(8304) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(8308)를 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(8318)의 출력의 위상 조정된 신호는 결합기(8320)에 의해 결합된 다음 RF 증폭기(8322)에 의해 증폭된다. LO 생성기(8344)는 동축 케이블(8306)을 통해 BBS(8304)로부터 수신된 클록 주파수 신호(8343)를 사용하여 LO 신호를 생성할 수 있다. LO 신호는 증폭기(8326)에 의해 증폭된 다음 IF 입력 신호(8345)를 생성하기 위해 믹서(8324)를 사용하여 증폭기(8322)의 출력과 곱해질 수 있다. IF 입력 신호(8345)는 증폭기(8342)에 의해 증폭된 다음 동축 케이블(8306) 및 트리플렉서(8348)를 통해 BBS(8304)에 전달될 수 있다. 일부 양태에서, IF 입력 신호(8345)는10.56 GHz 신호 주위에 집중된다.
예시적인 송신 동작에서, 스위치(8340)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(8302)은 동축 케이블(8306) 및 트리플렉서(8348)를 통해 BBS(8304)로부터 IF 신호(8347)를 수신할 수 있다. IF 신호(8347)는 IF 증폭기(8346)에 의해 증폭된 다음 믹서(8338)에 전달될 수 있다. 믹서(8338)는 LO 생성기(8344) 및 LO 증폭기(8340)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭기 LO 신호는 믹서(8338)에 의해, 증폭되고 수신된 IF 신호와 곱해져서 RF 신호를 생성한다. RF 신호는 그 다음에 증폭기(8336)에 의해 증폭되고 분할기(8334)에 전달된다. 분할기(8334)는 증폭된 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(8332)에 전달한다. 복수의 위상 변위기(8332)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(8330)에 의해 증폭될 수 있다. 복수의 증폭기(8330)는 위상 안테나 배열(8308)에 의해 송신하기 위한 복수의 신호(8328)를 생성한다.
도 84는 일부 양태에 따라, 도 83의 분산 위상 배열 시스템의 기저대역 서브시스템(BBS)을 도시한다. 도 84를 참조하면, BBS(8304)는 트리플렉서(8402), IF 수신기(8404), IF 송신기(8406), 모뎀(8424), 수정 발진기(8430), 신시사이저(8428) 및 분주기(8426)를 포함할 수 있다. 신시사이저(8428)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(8430)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 생성된 클록 신호는 분주기(8426)에 의해 나누어져 RFEM(8302)으로 전달하기 위한 출력 클록 기준 신호(8432)를 생성한다. 일부 양태에서, 생성된 클록 기준 신호(8432)는 1.32 GHz의 주파수 주위에 집중될 수 있다.
IF 수신기(8404)는 IF 증폭기(8408), 믹서(8410), 필터(예를 들어, 저역 통과 필터)(8412) 및 아날로그-디지털 변환(ADC) 블록(8414)을 포함할 수 있다. IF 송신기(8406)는 디지털-아날로그 변환(DAC) 블록(8422), 필터(8420), 믹서(8418) 및 IF 증폭기(8416)를 포함할 수 있다.
예시적인 수신 동작에서, IF 신호(예를 들어, 8345)는 트리플렉서(8402)를 통해 RFEM(8302)으로부터 수신되고, IF 증폭기(8408)에 의해 증폭된다. 증폭된 IF 신호는 믹서(8410)에 의해 기저대역 신호로 하향 변환되고, 다음으로 저역 통과 필터(8412)에 의해 필터링되고, 모뎀(8424)에 의해 처리되기 전에 ADC 블록(8414)에 의해 디지털 신호로 변환될 수 있다.
예시적인 송신 동작에서, 모뎀(8424)에 의해 출력된 디지털 신호는 DAC 블록(8422)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(8420)에 의해 필터링된 다음, 믹서(8418)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(8416)에 의해 증폭되고, 다음으로 트리플렉서(8402) 및 단일 동축 케이블(8306)을 통해 RFEM(8302)으로 전달될 수 있다.
도 85는 일부 양태에 따라, RFEM 내 IF 프로세싱을 갖는 다중 대역 분산 위상 배열 시스템을 도시한다. 도 85를 참조하면, RFEM(8502, ..., 8504)은 대응하는 연결부(예를 들어, 단일 동축 케이블(8552, 8554 각각)를 통해 기저대역 서브시스템(BBS)(8506)에 연결된다. 일부 양태에서, RFEM(8502, ..., 8504) 각각은 특정 대역(예를 들어, WiGig 또는 5G 통신 대역과 같은 28 GHz 대역, 39 GHz 대역, 60 GHz ISM 대역)의 무선 신호의 수신 및 송신을 위해 구성된다. RFEM(8502)의 기능성의 설명이 아래에 제공되지만, 추가의 RFEM(예를 들어, RFEM(8504))은 유사한 방식으로 구성될 수 있다.
RFEM(8502)은 위상 안테나 배열(8508), RF 수신기(8510), RF 송신기(8512), 국부 발진기(LO) 생성기(8542), 트리플렉서(triplexer)(8550), 및 송신(Tx)/수신(Rx) 스위치(8548)를 포함할 수 있다. RF 수신기(8510)는 복수의 전력 증폭기(8516), 복수의 위상 변위기(8518), 결합기(8520), RF 증폭기(8522), LO 증폭기(8526) 및 믹서(8524)를 포함할 수 있다. RF 수신기(8510)는 IF 증폭기(8544)를 또한 포함할 수 있다.
RF 송신기(8512)는 믹서(8538), LO 증폭기(8540), RF 증폭기(8536), 분할기(8534), 복수의 위상 변위기(8532), 및 복수의 증폭기(8530)를 포함할 수 있다. RF 송신기(8312)는 IF 증폭기(8546)를 또한 포함할 수 있다.
예시적인 수신 동작에서, 스위치(8548)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(8508)은 복수의 신호(8514)를 수신하기 위해 사용될 수 있다. 수신된 신호(8514)는 증폭기(8516)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(8518)에 의해 조정될 수 있다. 위상 변위기(8518) 각각은 별개의 위상 조정 신호(도 85에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(8506) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(8508)를 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(8518)의 출력의 위상 조정된 신호는 결합기(8520)에 의해 결합된 다음 RF 증폭기(8522)에 의해 증폭된다. LO 생성기(8542)는 동축 케이블(8552)을 통해 BBS(8506)로부터 수신된 클록 주파수 신호를 사용하여 LO 신호를 생성할 수 있다. LO 신호는 증폭기(8526)에 의해 증폭된 다음 IF 입력 신호를 생성하기 위해 믹서(8524)를 사용하여 증폭기(8522)의 출력과 곱해질 수 있다. IF 입력 신호는 증폭기(8544)에 의해 증폭된 다음 동축 케이블(8552) 및 트리플렉서(8550)를 통해 BBS(8506)에 전달될 수 있다. 일부 양태에서, IF 입력 신호는 10.56 GHz 신호일 수 있다.
예시적인 송신 동작에서, 스위치(8548)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(8502)은 동축 케이블(8552) 및 트리플렉서(8550)를 통해 BBS(8506)로부터 IF 신호를 수신할 수 있다. IF 신호는 IF 증폭기(8546)에 의해 증폭된 다음 믹서(8538)에 전달될 수 있다. 믹서(8538)는 LO 생성기(8542) 및 LO 증폭기(8540)로부터 상향 변환 LO 신호를 수신할 수 있다. 증폭기 LO 신호는 믹서(8538)에 의해, 증폭되고 수신된 IF 신호와 곱해져서 RF 신호를 생성한다. RF 신호는 다음으로 증폭기(8536)에 의해 증폭되고 분할기(8534)에 전달된다. 분할기(8534)는 증폭된 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(8532)에 전달한다. 복수의 위상 변위기(8532)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(8530)에 의해 증폭될 수 있다. 복수의 증폭기(8530)는 위상 안테나 배열(8508)에 의해 송신하기 위한 복수의 신호(8528)를 생성한다.
도 86은 일부 양태에 따라 RF 신호를 전달하기 위해 단일의 동축 케이블을 통해 BBS에 연결된 RFEM을 갖는 분산 위상 배열 시스템을 도시한다. 도 86를 참조하면, 분산 위상 배열 통신 시스템(8600)은 단일 동축 케이블(8606)을 통해 기저대역 서브시스템(BBS)(8604)에 연결된 RFEM(8602)을 포함할 수 있다. RFEM(8602)은 위상 안테나 배열(8608), RF 수신기(8610), RF 송신기(8612), 듀플렉서(duplexer)(8636), 및 송신(Tx)/수신(Rx) 스위치(8634)를 포함할 수 있다. RF 수신기(8610)는 복수의 전력 증폭기(8616), 복수의 위상 변위기(8618), 결합기(8620) 및 RF 증폭기(8622)를 포함할 수 있다. RF 송신기(8612)는 RF 증폭기(8632), 가산기(8630), 복수의 위상 변위기(8628), 및 복수의 증폭기(8626)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(8634)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(8608)는 복수의 신호(8614)를 수신하기 위해 사용될 수 있다. 수신된 신호(8614)는 증폭기(8616)에 의해 증폭되고, 수신된 신호의 위상은 대응하는 위상 변위기(8618)에 의해 조정될 수 있다. 위상 변위기(8618) 각각은 별개의 위상 조정 신호(도 86에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(8604) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(8608)를 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(8618)의 출력의 위상 조정된 신호는 결합기(8620)에 의해 결합된 다음 RF 입력 신호(8623)를 생성하도록 RF 증폭기(8622)에 의해 증폭된다. RF 입력 신호(8623)는 동축 케이블(8606) 및 듀플렉서(8636)를 통해 BBS(8604)에 전달될 수 있다. 일부 양태에서, RF 입력 신호(8623)는 60 GHz 신호 또는, 5G 통신 대역을 포함하는 밀리미터 파 대역 내 다른 신호일 수 있다. 일부 양태에서, RFEM(8602)은 본 명세서에서 아래에 설명되는 바와 같이, 동축 케이블(8606)을 통한 신호의 통신 전에 임피던스 매칭을 위한 적응형 매칭 블록(8638)을 포함할 수 있다.
예시적인 송신 동작에서, 스위치(8634)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(8602)은 동축 케이블(8606) 및 듀플렉서(8636)를 통해 BBS(8604)로부터 RF 출력 신호(8631)를 수신할 수 있다. RF 신호(8631)는 RF 증폭기(8632)에 의해 증폭된 다음 분할기(8630)에 전달될 수 있다. 분할기(8630)는 증폭된 RF 신호의 복수의 사본을 생성하고 신호 사본을 복수의 위상 변위기(8628)에 전달한다. 복수의 위상 변위기(8628)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(8626)에 의해 증폭될 수 있다. 복수의 증폭기(8626)는 위상 안테나 배열(8608)에 의해 송신하기 위한 복수의 신호(8624)를 생성한다.
도 87은 일부 양태에 따라, 도 86의 BBS의 보다 상세한 다이어그램을 도시한다. 도 87을 참조하면, BBS(8604)는 듀플렉서(8702), RF 수신기(8704), RF 송신기(8706), 모뎀(8724), 수정 발진기(8730), 신시사이저(8728) 및 분주기(8726)를 포함할 수 있다. 신시사이저(8728)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(8730)로부터의 신호를 사용하여 클록 신호, 예를 들어 신호(8732)를 생성할 수 있다. 생성된 클록 신호(8732)는 RF 수신기(8704)에 의해 믹서(8710)를 사용하여 수신된 신호를 하향 변환하는데 사용될 수 있다. 생성된 클록 신호(8732)는 또한 RF 송신기(8706)에 의해 믹서(8718)를 사용하여 신호를 상향 변환하는데 사용될 수 있다.
클록 신호(8732)는 분주기(8726)에 의해 또한 나누어져 제 2 클록 신호(8734)를 생성할 수 있다. 생성된 클록 신호(8734)는 RF 수신기(8704)에 의해 믹서(8710)를 사용하여 수신된 신호를 하향 변환하는데 사용될 수 있다. 생성된 제 2 클록 신호(8734)는 또한 RF 송신기(8706)에 의해 믹서(8718)를 사용하여 신호를 상향 변환하는데 사용될 수 있다. 도 87에 도시된 바와 같이, 두 개의 별개의 클록 신호(8734 및 8732)는 일부 양태에서 만약 필요하다면, 복수의 하향 변환 또는 상향 변환 방식을 수행할 목적을 위해 신시사이저(8728) 및 분주기(8726)에 의해 생성될 수 있다.
두 개의 클록 신호(8734 및 8732) 중 하나 또는 둘 모두는 하나 이상의 중간 IF 단계를 사용하여 RF 신호를 기저대역으로 하향 변환하는데 또는 경우에 따라, 중간 IF 단계 변환 없이 RF로부터의 기저대역으로 변환하는데 사용될 수 있다. 유사하게, 클록 신호(8734 및 8732) 중 하나 또는 둘 모두는 하나 이상의 중간 IF 단계를 사용하여 기저대역 신호를 RF 신호로 상향 변환하는데 또는 경우에 따라, 중간 IF 단계 변환 없이 기저대역으로부터 RF로 변환하는데 사용될 수 있다.
RF 수신기(8704)는 RF 증폭기(8708), 믹서(8710), 필터(8712) 및 아날로그-디지털 변환(ADC) 블록(8714)을 포함할 수 있다. RF 송신기(8706)는 디지털-아날로그 변환(DAC) 블록(8722), 필터(8720), 믹서(8718) 및 RF 증폭기(8716)를 포함할 수 있다.
예시적인 수신 동작에서, RF 신호(예를 들어, 8623)는 단일 동축 케이블(8606) 및 듀플렉서(8702)를 통해 RFEM(8602)으로부터 수신되고, RF 증폭기(8708)에 의해 증폭된다. 증폭된 RF 신호는 믹서(8710)에 의해 기저대역 신호로 하향 변환된 다음, 저역 통과 필터(8712)에 의해 필터링되고, 모뎀(8724)에 의해 프로세싱되기 전에 ADC 블록(8714)에 의해 디지털 신호로 변환될 수 있다.
예시적인 송신 동작에서, 모뎀(8724)에 의해 출력된 디지털 신호는 DAC 블록(8722)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(8720)에 의해 필터링된 다음, 믹서(8718)에 의해 RF 신호로 상향 변환된다. RF 신호는 RF 증폭기(8716)에 의해 증폭된 다음, 듀플렉서(8702) 및 단일 동축 케이블(8606)을 통해 (예를 들어, 신호(8631)로서) RFEM(8602)으로 전달될 수 있다.
일부 양태에서, 동축 케이블(8606)은 위상 배열 안테나 요소(8608)에 의해 수신되거나 송신된 RF 데이터 신호, 제어 신호 및 DC 전력 신호(예를 들어, BBS(8604)로부터 RFEM(8602)으로)의 전달을 위해 사용될 수 있다. 제어 신호는 BBS(8604)로부터 RFEM(8602)으로 전달되는 위상 조정 신호, 전력 업 신호, 전력 다운 신호 및 다른 제어 신호를 포함할 수 있다. 일부 양태에서, 제어 신호는 위상 조정 요청 신호 또는 RFEM(8602)으로부터 BBS(8604)로 전달되는 다른 데이터 요청 신호를 포함할 수 있다. 이와 관련하여, 직접 변환 방식은 RFEM 및 BBS가 단일 동축 케이블을 통해 연결되어 있는 분산 위상 배열 시스템과 관련하여 사용될 수 있다.
일부 양태에서, 제어 신호는 RFEM 동작을 제어하기 위해 (예를 들어, 출력 전력 레벨, AGC, ON/OFF 등을 제어하기 위해) 사용될 수 있다. 또한, RFEM과 BBS 사이의 제어 링크는 양방향일 수 있고, BBS-대-RFEM 커맨드를 위해 그리고 RFEM-대-BBS 텔레메트리 전송(예를 들어, PA 전력 검출기 판독, 제어 커맨드 수신 후 ACK, 온도 검출기 판독 등)을 위해 사용될 수 있다.
일부 양태에서, 상이한 유형의 동축 케이블(예를 들어, (8606))이 동축 케이블을 통해 RF를 전달하는 분산 위상 배열 통신 시스템과 관련하여 사용될 수 있다. 예를 들어, 고주파수의 RF 신호의 전달을 합리적인 손실로 가능하게 해 줄 고품질 동축 케이블, 반경성 케이블, 또는 연성의 반경성 케이블이 케이블(8606)로서 사용될 수 있다.
다른 예에서, RF 고주파수 전달과 관련하여 매칭(S11) 및 높은 손실(S21) 문제로 귀결될 수 있는 더 낮은 비용의 동축 케이블이 동축 케이블(8606)로서 사용될 수 있다. 이러한 단점은 적응형 케이블 매칭 개선, 강건한 Rx 및 Tx 라인 업, 및 Rx 및 Tx 비 선형성 왜곡 소거와 같은 시스템 설계 변경을 통해 개선될 수 있다.
케이블을 통한 RF 신호 전달은 높은 손실 및 매칭 문제와 관련될 수 있다. RF 케이블 전달과 연관된 고주파수 때문에, 케이블 매칭의 변화는 크고 예측되지 않을 수 있고, 이것은 케이블과 부하 사이의 전력 손실에 영향을 준다. 예에서 이 단점을 극복하기 위해, 도 86 내지 도 87에 도시되는 바와 같이, 적응형 임피던스 매칭 회로(예를 들어, (8638 및 8736))가 RFEM(8602) 및 BBS(8604)에서 사용될 수 있다.
일부 양태에서, 동축 케이블과 연관된 더 큰 신호 손실은 추가의 이득 증폭/조절 단계(도시되지 않음)를 (예를 들어, RFEM(8602) 내 적응형 매칭(8638) 및 케이블(8606) 앞에) 추가함으로써 해결될 수 있고, 이것은 동축 케이블의 잠재적인 높은 신호 손실로 인해 전달된 RF 신호의 SNR을 저하시키지 않도록 하는 것을 보장할 수 있다.
일부 양태에서, Rx 및 Tx 라인 업에서 추가의 이득 단계는 비선형성 왜곡으로 이어질 수 있다. 그러나, 이러한 신호 왜곡은 디지털 메커니즘, 예를 들어 TX 경로 내 전치 왜곡 조절 회로 또는 RX 경로 내 후치 왜곡 조절 회로(도시되지 않음)를 통해 보상될 수 있다.
도 88은 일부 양태에 따른 단일 BBS에 연결된 복수의 RFEM을 사용하는 복수의 통신 대역을 지원하는 예시적인 분산 위상 배열 시스템을 도시한다. 도 88을 참조하면, 분산 위상 배열 통신 시스템(8800)은 다중 대역 시스템을 구현하기 위해 사용될 수 있다. 보다 구체적으로, 복수의 RFEM(8802, . . ., 8840)은 단일 BBS(8604)와 함께 사용될 수 있으며, 각각의 RFEM은 특정 통신 대역의 무선 신호를 처리하기 위한 위상 안테나 배열을 포함한다. RFEM(8802, ..., 8840)은 대응하는 단일 동축 케이블(8806, 8807)을 통해 BBS(8804)에 연결될 수 있다.
도 88을 참조하면, 분산 위상 배열 통신 시스템(8800)은 단일 동축 케이블(8806)을 통해 BBS(8804)에 연결된 RFEM(8802)을 포함할 수 있다. RFEM(8802)은 위상 안테나 배열(8808), RF 수신기(8810), RF 송신기(8812), 듀플렉서(8836) 및 송신(Tx)/수신(Rx) 스위치(8834)를 포함할 수 있다. RF 수신기(8810)는 복수의 전력 증폭기(8816), 복수의 위상 변위기(8818), 결합기(8820) 및 RF 증폭기(8822)를 포함할 수 있다. RF 송신기(8812)는 RF 증폭기(8832), 분할기(8830), 복수의 위상 변위기(8828), 및 복수의 증폭기(8826)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(8834)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(8808)은 복수의 신호(8814)를 수신하기 위해 사용될 수 있다. 수신된 신호(8814)는 증폭기(8816)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(8818)에 의해 조정될 수 있다. 위상 변위기(8818) 각각은 별개의 위상 조정 신호(도 88에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(8804) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(8808)을 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다. 위상 변위기(8818)의 출력의 위상 조정된 신호는 결합기(8820)에 의해 결합된 다음 RF 증폭기(8822)에 의해 증폭되어 RF 입력 신호(8823)를 생성한다. RF 입력 신호(8823)는 동축 케이블(8806) 및 듀플렉서(8836)를 통해 BBS(8804)에 전달될 수 있다. 일부 양태에서, RF 입력 신호(8823)는 60 GHz 신호 또는, 5G 통신 대역을 포함하는 밀리미터 파 대역 내 다른 신호일 수 있다.
예시적인 송신 동작에서, 스위치(8834)는 송신기 체인 프로세싱을 활성화시킬 수 있다. RFEM(8802)은 동축 케이블(8806) 및 듀플렉서(8836)를 통해 BBS(8804)로부터 RF 출력 신호(8831)를 수신할 수 있다. RF 신호(8831)는 RF 증폭기(8832)에 의해 증폭된 다음 분할기(8830)에 전달될 수 있다. 분할기(8830)는 증폭된 RF 신호의 복수의 사본을 생성하고 복수의 위상 변위기(8828)에 신호 사본을 전달한다. 복수의 위상 변위기(8828)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(8826)에 의해 증폭될 수 있다. 복수의 증폭기(8826)는 위상 안테나 배열(8808)에 의해 송신하기 위한 복수의 신호(8824)를 생성한다. 일부 양태에서, 시스템(8800) 내 나머지 RFEM은 RFEM(8802)과 같을 수 있다.
도 86, 도 87 및 도 88이 RFEM과 BBS를 연결하는 단일의 동축 케이블의 사용을 개시하지만, 본 개시내용은 이러한 관점으로 제한되지 않으며, 다른 유형의 연결부가 또한 사용될 수 있다. 예를 들어, 다른 유형의 밀리미터 파 연결부 또는 케이블이 단일의 동축 케이블 대신 사용될 수 있다. 사용될 수 있는 다른 유형의 연결부는 반경성 케이블, 연성 기판의 연성 케이블, PCB 상의 인쇄된 RF 전송 선로, 경연성 보드 등을 포함한다. 예를 들어, 도 88과 관련하여, 경연성 보드가 동축 케이블 대신(8806, . . ., 8807)에 사용될 수 있으며, 여기서 다수의 RFEM은 연결 기판의 연성 부분(예를 들어, 경연성 보드의 RF 오버 연성 부분)을 사용하여 메인 BBS(8804)로부터 상이한 위치의 RFEM으로 전파되는 RF 라인을 통해 공급될 수 있다. 이러한 방식으로, RFEM은 기지국 새시 내의 또는 PC/모바일 폼 팩터에 근거한 시스템의 상이한 영역에서 폴드 오버되고 벤드 오버될 수 있다.
도 89는 일부 양태에 따라, 도 88의 BBS의 보다 상세한 다이어그램을 도시한다. 도 89를 참조하면, BBS(8804)는 수신기(8904), 송신기(8908), 트리플렉서(8902 및 8906), 모뎀(8934), 신시사이저(8948, 8950 및 8952), 하향 변환 블록(8936) 및 상향 변환 블록(8942)을 포함할 수 있다. 일부 양태에서, 믹서(8910) 및 증폭기(8912)는 수신기(8904)과 별개일 수 있는 하향 변환 블록(예를 들어, 8936)을 형성할 수 있다. 일부 양태에서, 믹서(8924) 및 증폭기(8922)는 송신기(8908)와 별개일 수 있는 상향 변환 블록(예를 들어, 8942)을 형성할 수 있다. 하향 변환 블록(8936) 및 상향 변환 블록(8942)은 RFEM(8840)과 연관된 수신 또는 송신 신호를 처리하기 위해 사용될 수 있다. 추가의 상향 변환 또는 하향 변환 블록은 BBS(8804) 내에서 추가의 RFEM과 연관된 신호를 처리하기 위해 사용될 수 있다.
신시사이저(8950, 8952, 및 8948)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(8948)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 일부 양태에서, 제 1 신시사이저(8952)는 제 1 주파수 대역의 RF 신호(예를 들어, RFEM(8802)으로부터 수신된 밀리미터 파 대역의 RF 신호)를 IF 신호로 하향 변환하는 LO 신호를 생성할 수 있다. 일부 양태에서, 제 2 신시사이저(8948)는 신시사이저(8952)와 연관된 바와 동일한 IF 주파수에서 제 2 주파수 대역의 RF 신호(예를 들어, RFEM(8840)으로부터 수신된 밀리미터 파 대역 내 RF 신호)를 IF 신호로 하향 변환하는 LO 신호를 생성할 수 있다. 일부 양태에서, 신시사이저(8950)는 LO 신호를 생성하도록 구성될 수 있으며, LO 신호는 믹서(8916)에 의해 기저대역으로 IF 신호를 하향 변환하는데 사용되거나, 믹서(8928)에 의해 기저대역 신호를 IF 신호로 상향 변환하는데 사용될 수 있다.
수신기(8904)는 믹서(8910), LO 증폭기(8912), IF 증폭기(8914), 믹서(8916), 필터(예를 들어, 저역 통과 필터)(8918) 및 아날로그-디지털 변환(ADC) 블록(8920)을 포함할 수 있다. 송신기(8908)는 디지털-아날로그 변환(DAC) 블록(8932), 필터(8930), 믹서(8928), IF 증폭기(8926), 믹서(8924) 및 LO 증폭기(8922)를 포함할 수 있다. 제 2 RFEM을 위한 하향 변환 블록(8936)은 믹서(8938) 및 LO 증폭기(8940)를 포함할 수 있다. 제 2 RFEM을 위한 상향 변환 블록(8942)은 믹서(8946) 및 LO 증폭기(8944)를 포함할 수 있다.
RFEM(8802)과 연관된 예시적인 수신 동작에서, RF 신호는 트리플렉서(8902)를 통해 RFEM(8802)으로부터 수신된다. 수신된 RF 신호는 신시사이저(8952)에 의해 생성된 LO 신호를 사용하여 믹서(8910)에 의해 IF 신호로 하향 변환된다. IF 신호는 IF 증폭기(8914)에 의해 증폭된다. 증폭된 IF 신호는 신시사이저(8950)에 의해 생성된 LO 신호를 사용하여 믹서(8916)에 의해 기저대역 신호로 하향 변환될 수 있다. 기저대역 신호는 그 다음에 저역 통과 필터(8918)에 의해 필터링되고, 모뎀(8934)에 의해 처리되기 전에 ADC 블록(8920)에 의해 디지털 신호로 변환된다.
RFEM(8802)과 연관된 예시적인 송신 동작에서, 모뎀(8934)에 의해 출력된 디지털 신호는 DAC 블록(8932)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(8930)에 의해 필터링된 다음, 신시사이저(8950)에 의해 생성된 LO 신호를 사용하여 믹서(8928)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(8926)에 의해 증폭된 다음, 신시사이저(8952)에 의해 생성된 LO 신호 및 믹서(8924)를 사용하여 RF 신호로 상향 변환된다. RF 신호는 그 다음에 트리플렉서(8902) 및 단일 동축 케이블(8806)을 통해 RFEM(8802)으로 전달된다.
RFEM(8840)과 연관된 예시적인 수신 동작에서, RF 신호는 트리플렉서(8906)를 통해 RFEM(8840)으로부터 수신된다. 수신된 RF 신호는 신시사이저(8948)에 의해 생성된 LO 신호를 사용하여 믹서(8938)에 의해 IF 신호로 하향 변환된다. IF 신호는 IF 증폭기(8914)에 의해 증폭된다. 증폭된 IF 신호는 신시사이저(8950)에 의해 생성된 LO 신호를 사용하여 믹서(8916)에 의해 기저대역 신호로 하향 변환될 수 있다. 기저대역 신호는 그 다음에 저역 통과 필터(8918)에 의해 필터링되고, 모뎀(8934)에 의해 프로세싱되기 전에 ADC 블록(8920)에 의해 디지털 신호로 변환된다.
RFEM(8840)과 연관된 예시적인 송신 동작에서, 모뎀(8934)에 의해 출력된 디지털 신호는 DAC 블록(8932)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(8930)에 의해 필터링된 다음, 신시사이저(8950)에 의해 생성된 LO 신호를 사용하여 믹서(8928)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(8926)에 의해 증폭된 다음, 신시사이저(8948)에 의해 생성된 LO 신호 및 믹서(8946)를 사용하여 RF 신호로 상향 변환된다. RF 신호는 그 다음에 트리플렉서(8906) 및 단일 동축 케이블(8807)을 통해 RFEM(8840)으로 전달된다.
BBS(8804)가 도 89에서 RFEM(8802 및 8840)과 연관된 단지 두 개의 별개의 상향 변환 및 하향 변환 체인 및 단지 두 개의 트리플렉서를 갖는 것으로 도시되지만, 본 개시내용은 이러한 관점으로 제한되지 않는다. 보다 구체적으로, BBS(8804)는 추가의 RFEM에 의해 서비스되는 다른 무선 대역의 신호를 처리하기 위한 추가의 상향 변환 및 하향 변환을 포함할 수 있다.
본 명세서에서 설명되는 바와 같이, 도 83 내지 도 85와 관련하여 설명되는 통신 아키텍쳐 솔루션은 케이블은 모듈화에 적합한 동축 케이블을 통해 전달되는 IF 신호를 사용하지만, 추가의 회로(신시사이저 회로, 기준 생성 및 복원, IF 증폭기, 믹서 및 더 조밀한 주파수 계획으로 인한 더 복잡한 트리플렉서)뿐만 아니라, RFEM 상의 더 많은 개수의 신호(예를 들어, 신시사이저를 위한 기준 주파수 및 제어 신호)가 필요할 수 있다. 작은 플랫폼(특히 이동 전화 플랫폼)에서, 플랫폼 에지 근처의 영역 및 볼륨은 비싼 대가를 치를 수 있기 때문에(특히 플랫폼이 점점 더 얇아질 때, 제한된 볼륨 때문에 많은 안테나 및 프로토콜이 경쟁하기 때문에), 이렇게 추가된 콘텐츠는 구현 및 프로세싱 효율에서 어려움을 초래할 수 있다.
도 86 내지 도 89와 관련하여 설명되는 통신 아키텍쳐 솔루션은 회로 복잡성을 감소시키기 위한 대안적인 솔루션이다. 보다 구체적으로 도 86 내지 도 89에 도시된 바와 같이, IF 및 신시사이저 콘텐츠가 RFEM으로부터 제거되고, 이에 따라 안테나 주위의 솔루션 볼륨 및 실리콘 면적을 상당히 감소시킨다. 그러나, 도 86 내지 도 89의 솔루션은 모듈화와 연결된 약간의 단점을 가질 수 있다. 예를 들어, 임의의 대역이 지원이 되어야 하는 경우, (특정 RF 및 IF 주파수를 위한) 새로운 BBS 칩이 필요할 수 있다. 이것은 일부 BBS가 일부 시스템 벤더에 의해 필요로 하지 않는 무선 대역 프로세싱을 포함할 수 있거나, 또는 다른 벤더에 의해 필요로 하는 특정 대역 프로세싱 기능성을 포함하지 않기 때문에 단점이 될 수 있다.
일부 양태에서, 컴패니언 칩(companion chip) 솔루션이 분산 위상 배열 통신 시스템 내에 도입되어 구현될 수 있다. 컴패니언 칩 솔루션은 본 명세서에서 도 90 내지 도 92와 관련하여 도시된다. 보다 구체적으로, RFEM은 (도 86 내지 도 89의 RFEM에 유사하게) RFoC 프로세싱에 기초하고, BBS는 IF 신호를 처리하기 위해 구성되는데, 이것은 상이한 분산 위상 배열 통신 시스템에서 BBS를 동일하게 유지할 수 있다. 컴패니언 칩은 RFEM과 BBS 사이의 링크로서 도입되고 특정 무선 대역과 연관된 RF-대-IF 신호 프로세싱을 위해 구성될 수 있다. 이와 관련하여, 플랫폼 에지의 면적 및 볼륨은 감소되고 BBS는 복수의 통신 시스템에 대해 동일하게 유지될 수 있다(상이한 컴패니언 칩이 프로세싱 대역 요건에 기초하여 상이한 통신 시스템에 도입된다). 컴패니언 칩을 사용함으로써, 모듈화 및 플랫폼 에지에서 최소 볼륨이 둘 모두 달성될 수 있다.
본 명세서에서 사용되는 것으로, "컴패니언 칩"이라는 용어는 보완적인 용어인 중간 주파수 서브시스템(supplemental intermediate frequency sub-system)(SIFS)과 교환가능하게 사용된다.
도 90은 일부 양태에 따라 IF 프로세싱이 컴패니언 칩에 오프로드(offload)되는, RFEM, 컴패니언 칩 및 BBS를 포함하는 예시적인 분산 위상 배열 시스템을 도시한다. 도 90를 참조하면, 분산 위상 배열 통신 시스템(9000)은 RFEM(9002), 컴패니언 칩(9040), 기저대역 서브시스템(BBS)(9004)을 포함할 수 있다. RFEM(9002)은 단일 동축 케이블(9042)을 통해 컴패니언 칩(9040)에 연결된다. 컴패니언 칩(9040)은 연결부(9006)를 통해 BBS(9004)와 연결된다. 일부 양태에서, 연결부(9006)는 (예를 들어, 도 91에서 (9122 및 9124)로 표시되는 바와 같은) PCB 연결 트레이스일 수 있다.
RFEM(9002)은 위상 안테나 배열(9008), RF 수신기(9010), RF 송신기(9012), 듀플렉서(9036), 및 송신(Tx)/수신(Rx) 스위치(9034)를 포함할 수 있다. RF 수신기(9010)는 복수의 전력 증폭기(9016), 복수의 위상 변위기(9018), 결합기(9020) 및 RF 증폭기(9022)를 포함할 수 있다. RF 송신기(9012)는 RF 증폭기(9032), 분할기(9030), 복수의 위상 변위기(9028), 및 복수의 증폭기(9026)를 포함할 수 있다.
예시적인 수신 동작에서, 스위치(9034)는 수신기 체인 프로세싱을 활성화시킬 수 있다. 안테나 배열(9008)은 복수의 신호(9014)를 수신하기 위해 사용될 수 있다. 수신된 신호(9014)는 증폭기(9016)에 의해 증폭되고 수신된 신호의 위상은 대응하는 위상 변위기(9018)에 의해 조정될 수 있다. 위상 변위기(9018) 각각은 별개의 위상 조정 신호(도 90에 도시되지 않음)를 제어 회로로부터(예를 들어, BBS(9004) 내 모뎀으로부터) 수신할 수 있으며, 여기서 개개의 위상 조정 신호는 프로세싱 신호가 위상 안테나 배열(9008)를 통해 수신될 때 원하는 신호 방향성에 기초될 수 있다.
위상 변위기(9018)의 출력의 위상 조정된 신호는 결합기(9020)에 의해 결합된 다음 RF 증폭기(9022)에 의해 증폭되어 RF 입력 신호(9023)를 생성한다. RF 입력 신호(9023)는 동축 케이블(9042) 및 듀플렉서(9036)를 통해 컴패니언 칩(9040)에 전달될 수 있다. 일부 양태에서, RF 입력 신호(9023)는 60 GHz 신호 또는, 5G 통신 대역을 포함하는 밀리미터 파 대역 내 다른 신호일 수 있다. 일부 양태에서, RFEM(9002)은 본 명세서 아래에서 설명되는 바와 같이, 동축 케이블(9042)을 통한 신호의 통신 전에 임피던스 매칭을 위한 적응형 매칭 블록(9038)을 포함할 수 있다.
예시적인 송신 동작에서, 스위치(9034)는 송신기 체인 프로세싱을 활성화시킬 수 있다. BBS(9004)는 기저대역 신호를 생성할 수 있으며, 이 신호는 BBS(9004) 내에서 IF 신호로 변환될 수 있다. IF 신호는 연결부(9006)를 통해 컴패니언 칩(9040)에 전달될 수 있으며, 연결부(9006)에서 이 신호는 RF 출력 신호(9031)로 변환될 수 있다. RFEM(9002)은 동축 케이블(9042) 및 듀플렉서(9036)를 통해 컴패니언 칩(9040)으로부터 RF 출력 신호(9031)를 수신할 수 있다.
RF 출력 신호(9031)는 RF 증폭기(9032)에 의해 증폭된 다음 분할기(9030)에 전달될 수 있다. 분할기(9030)는 증폭된 RF 신호의 복수의 사본을 생성하고 복수의 사본을 복수의 위상 변위기(9028)에 전달한다. 복수의 위상 변위기(9028)는 상이한 위상 조정 신호를 적용하여 복수의 위상 조정된 신호를 생성하며, 조정된 신호는 복수의 증폭기(9026)에 의해 증폭될 수 있다. 복수의 증폭기(9026)는 위상 안테나 배열(9008)에 의해 송신하기 위한 복수의 신호(9024)를 생성한다.
도 91은 일부 양태에 따라, 도 90의 컴패니언 칩 및 BBS의 보다 상세한 다이어그램을 도시한다. 도 91을 참조하면, 컴패니언 칩(9040)은 듀플렉서(9102), 수신기(9104), 송신기(9106) 및 LO 신시사이저(9108)를 포함할 수 있다. 수신기(9104)는 믹서(9110), LO 증폭기(9112), 및 IF 증폭기(9104)를 포함할 수 있다. 송신기(9106)는 믹서(9118), LO 증폭기(9116) 및 IF 증폭기(9120)를 포함할 수 있다.
BBS(9004)는 RF 수신기(9126), RF 송신기(9128), 모뎀(9130), 수정 발진기(9136), 신시사이저(9134) 및 분주기(9132)를 포함할 수 있다. 신시사이저(9134)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(9136)로부터의 신호를 사용하여 클록 신호, 예를 들어 신호(9135)를 생성할 수 있다. 생성된 클록 신호(9135)는 RF 수신기(9126)에 의해 사용되어 믹서(9140)를 사용하여 (컴패니언 칩(9040)으로부터) 수신된 IF 신호를 하향 변환할 수 있다. 생성된 클록 신호(9135)는 또한 RF 송신기(9128)에 의해 믹서(9148)를 사용하여 기저대역 신호를 IF 신호로 상향 변환하는데 사용될 수 있다.
일부 양태에서, LO 신호(9135)는 분주기(9132)에 의해 나누어져 클록 기준 신호(9133)를 생성할 수 있다. 클록 기준 신호(9133)는 컴패니언 칩(9040)에 전달될 수 있고 신시사이저(9108)에 의해 사용되어 RF 신호(예를 들어, (9023))를 IF 신호로 하향 변환하는데 사용되는 또는 IF 신호를 RF 신호(예를 들어, (9031))로 상향 변환하기 위해 사용되는 LO 신호(9154)를 생성한다.
RF 수신기(9126)는 IF 증폭기(9138), 믹서(9140), 필터(9142) 및 아날로그-디지털 변환(ADC) 블록(9144)을 포함할 수 있다. RF 송신기(9128)는 디지털-아날로그 변환(DAC) 블록(9152), 필터(9150), 믹서(9148) 및 IF 증폭기(9146)를 포함할 수 있다.
예시적인 수신 동작에서, RF 신호(예를 들어, (9023))는 단일 동축 케이블(9042) 및 듀플렉서(9102)를 통해 RFEM(9002)으로부터 컴패니언 칩(9040)에 의해 수신된다. RF 신호(9023)는 수신기(9104)에 의해 하향 변환되어 IF 신호(9156)를 생성한다. 보다 구체적으로, RF 신호(9023)는 증폭기(9112)에 의해 증폭된 LO 기준 신호(9154)를 사용하여 믹서(9110)에 의해 하향 변환된다. 하향 변환된 신호는 증폭기(9114)에 의해 증폭되어 IF 신호(9156)를 생성한다. IF 신호(9156)는 수신기(9126)에 의한 추가의 프로세싱을 위해 연결부(9006)(예를 들어, 보드 트레이스(9122))를 통해 BBS(9004)에 전달된다. 초기에, IF 신호(9156)는 IF 증폭기(9138)에 의해 증폭된다. 증폭된 IF 신호는 믹서(9140)에 의해 기저대역 신호로 하향 변환되고, 그 다음에 저역 통과 필터(9142)에 의해 필터링되고, 모뎀(9130)에 의해 프로세싱되기 전에 ADC 블록(9144)에 의해 디지털 신호로 변환될 수 있다. 일부 양태에서, 컴패니언 칩(9040) 및 BBS(9004)의 입력/출력 양 측에 TX/RX 스위치를 포함할 수 있고, 그래서 단일 신호(예를 들어, 결합된 신호)가 서브시스템(9040)과 (9004) 사이에서 전달될 수 있다. 이 경우에, 보드 트레이스의 단일 세트가 (예를 들어, (9122)만이) 복수의 세트 대신에 사용될 수 있다.
예시적인 송신 동작에서, 모뎀(9130)에 의해 출력된 디지털 신호는 DAC 블록(9152)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(9150)에 의해 필터링되고, 믹서(9148)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(9146)에 의해 증폭되어 IF 신호(9158)를 생성할 수 있다. IF 신호(9158)는 연결부(9006)(예를 들어, 보드 트레이스(9124))를 통해 컴패니언 칩(9040)에 전달된다. 컴패니언 칩(9040)에서, IF 신호(9158)는 초기에 송신기(9106) 내의 증폭기(9120)에 의해 증폭된 다음, 증폭기(9116)에 의해 증폭된 LO 신호(9154)를 사용하여 믹서(9118)에 의해 상향 변환된다. 믹서(9118)는 RF 출력 신호(9031)를 생성하며, 이 신호는 동축 케이블(9042) 및 듀플렉서(9102)를 통해 RFEM(9002)에 전달된다.
일부 양태에서, 동축 케이블(9042)은 위상 배열 안테나 요소(9008)에 의해 수신되거나 송신된 RF 데이터 신호, 제어 신호 및 DC 전력 신호(예를 들어, BBS(9004)로부터 RFEM(9002)으로)의 통신을 위해 사용될 수 있다. 제어 신호는 위상 조정 신호, 전력 업 신호, 전력 다운 신호 및 BBS(9004)로부터 RFEM(9002) 및/또는 컴패니언 칩(9040)으로 전달되는 다른 제어 신호를 포함할 수 있다. 일부 양태에서, 제어 신호는 위상 조정 요청 신호 또는 컴패니언 칩(9040)을 거쳐서 RFEM(9002)으로부터 BBS(9004)로 전달되는 다른 데이터 요청 신호를 포함할 수 있다. 이와 관련하여, 직접 변환 방식은 분산 위상 배열 시스템과 관련하여 사용될 수 있으며, 여기서 RFEM 및 BBS는 단일 동축 케이블을 통해 연결된다.
일부 양태에서, 제어 신호는 RFEM 동작을 제어하기 위해 사용될 수 있다(예를 들어, 출력 전력 레벨, AGC, ON/OFF 등을 제어하는 것). 또한, RFEM과 BBS 사이의 제어 링크는 양방향일 수 있고, BBS-대-RFEM 커맨드를 위해 그리고 RFEM-대-BBS 텔레메트리 전송(예를 들어, PA 전력 검출기 판독, 제어 커맨드 수신 후 ACK, 온도 검출기 판독 등)을 위해 사용될 수 있다.
도 92는 일부 양태에 따라, 컴패니언 칩 내 IF 프로세싱을 갖는 다중 대역 분산 위상 배열 시스템을 도시한다. 도 92를 참조하면, 분산 위상 배열 통신 시스템(9200)은 다중 대역 시스템을 구현하기 위해 사용될 수 있다. 보다 구체적으로, 복수의 RFEM(9202, ..., 9204)은 단일 컴패니언 칩(9206) 및 단일 BBS(9208)와 함께 사용될 수 있으며, 각각의 RFEM은 특정 통신 대역의 무선 신호를 처리하기 위한 위상 안테나 배열을 포함한다. RFEM(9202, ..., 9204)은 대응하는 단일 동축 케이블(9210, 9212)을 통해 컴패니언 칩(9206)에 연결될 수 있다.
도 92를 참조하면, 컴패니언 칩(9206)은 복수의 프로세싱 체인을 포함할 수 있으며, 각각의 체인은 별개의 RFEM과 연관된다. 보다 구체적으로, 컴패니언 칩(9206) 내 제 1 프로세싱 체인은 RFEM(9202)과 연관될 수 있고, 듀플렉서(9216), IF 수신기(9218), LO 생성기(9222) 및 IF 송신기(9220)를 포함할 수 있다. 컴패니언 칩(9206) 내 제 2 프로세싱 체인은 RFEM(9204)과 연관될 수 있고, 듀플렉서(9236), IF 수신기(9238), LO 생성기(9242) 및 IF 송신기(9240)를 포함할 수 있다.
BBS(9208)는 수신기(9260), 송신기(9262), 모뎀(9264), 발진기(9270), 신시사이저(9268) 및 분주기(9266)를 포함할 수 있다. 신시사이저(9268)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고, 수정 발진기(9270)로부터의 신호를 사용하여 클록 신호를 생성할 수 있다. 일부 양태에서, 신시사이저(9268)는, 믹서(9274)에 의해 IF 신호(9258)를 하향 변환하도록 사용되는, 또는 믹서(9282)에 의해 증폭기(9280)에 의한 증폭을 위해 IF 신호로 기저대역 신호를 상향 변환하도록 사용되는 LO 신호를 생성할 수 있다. 일부 양태에서, 신시사이저(9268)는 LO 신호를 생성할 수 있으며, LO 신호는 분주기(9266)에 의해 나누어져 클록 기준 신호(9267)를 생성할 수 있다. 클록 기준 신호는 컴패니언 칩(9206)에 보드 트레이스(9214)를 통해 컴패니언 칩(9206)에 전달되어, 신시사이저(9222 및 9242)에 의해 대응하는 LO 신호(9223 및 9243)를 생성하는데 사용될 수 있다.
수신기(9260)는 IF 증폭기(9272), 믹서(9274), 필터(예를 들어, 저역 통과 필터)(9276) 및 아날로그-디지털 변환(ADC) 블록(9278)을 포함할 수 있다. 송신기(9262)는 디지털-아날로그 변환(DAC) 블록(9286), 필터(9284), 믹서(9282) 및 IF 증폭기(9280)를 포함할 수 있다.
RFEM(9202)과 연관된 예시적인 수신 동작에서, RF 신호는 컴패니언 칩(9206)에서 듀플렉서(9216) 및 동축 케이블(9210)을 통해 RFEM(9202)으로부터 수신된다. 수신된 RF 신호는 신시사이저(9222)에 의해 생성된 LO 신호(9223)를 사용하여 믹서(9224)에 의해 IF 신호로 하향 변환된다. IF 신호는 IF 증폭기(9228)에 의해 증폭된다. 증폭된 IF 신호(9258)는 수신기(9260)에 의한 추가적인 프로세싱을 위해 보드 트레이스(9214)를 통해 BBS(9208)에 송신된다. 수신기(9260)에서, IF 신호(9258)는 초기에 증폭기(9272)에 의해 증폭되고, 신시사이저(9268)에 의해 생성된 LO 신호를 사용하여 믹서(9274)에 의해 기저대역 신호로 하향 변환된다. 기저대역 신호는 그 다음에 저역 통과 필터(9276)에 의해 필터링되고, 모뎀(9264)에 의해 프로세싱되기 전에 ADC 블록(9278)에 의해 디지털 신호로 변환된다.
RFEM(9202)과 연관된 예시적인 송신 동작에서, 모뎀(9264)에 의해 출력된 디지털 신호는 DAC 블록(9286)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(9284)에 의해 필터링된 다음, 신시사이저(9268)에 의해 생성된 LO 신호를 사용하여 믹서(9282)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(8926)에 의해 증폭되어 증폭된 IF 신호(9256)를 생성할 수 있다. IF 신호(9256)는 IF 송신기(9220)에 의한 추가적인 프로세싱을 위해 보드 트레이스(9214)를 통해 컴패니언 칩(9206)에 전달된다. 송신기(9220) 내에서, IF 신호(9256)는 증폭기(9234)에 의해 증폭되고, 증폭기(9230)에 의해 증폭된 LO 신호(9223)를 사용하여 믹서(9232)에 의해 RF 신호로 상향 변환된다. RF 신호는 RFEM 안테나 배열에 의한 추가적인 프로세싱 및 송신을 위해, 동축 케이블(9210) 및 듀플렉서(9216)를 통해 RFEM(9202)에 전달된다. 일부 양태에서, TX/RX 스위치는 컴패니언 칩(9206) 및 BBS(9208) 모두에서 사용될 수 있으므로, 단일 세트의 보드 트레이스가 임의의 주어진 시간에 단일 신호(결합된 신호일 수 있음)를 전달하는데 사용될 수 있다.
RFEM(9204)과 연관된 예시적인 수신 동작에서, RF 신호는 컴패니언 칩(9206)에서 듀플렉서(9236) 및 동축 케이블(9212)을 통해 RFEM(9204)으로부터 수신된다. 수신된 RF 신호는 신시사이저(9242)에 의해 생성된 LO 신호(9243)를 사용하여 믹서(9244)에 의해 IF 신호로 하향 변환된다. IF 신호는 IF 증폭기(9248)에 의해 증폭된다. 증폭된 IF 신호(9258)는 수신기(9260)에 의한 추가적인 프로세싱을 위해 보드 트레이스(9214)를 통해 BBS(9208)에 송신된다. 수신기(9260)에서, IF 신호(9258)는 초기에 증폭기(9272)에 의해 증폭되고, 신시사이저(9268)에 의해 생성된 LO 신호를 사용하여 믹서(9274)에 의해 기저대역 신호로 하향 변환된다. 기저대역 신호는 그 다음에 저역 통과 필터(9276)에 의해 필터링되고, 모뎀(9264)에 의해 프로세싱되기 전에 ADC 블록(9278)에 의해 디지털 신호로 변환된다.
RFEM(9202)과 연관된 예시적인 송신 동작에서, 모뎀(9264)에 의해 출력된 디지털 신호는 DAC 블록(9286)에 의해 아날로그 신호로 변환될 수 있다. 아날로그 신호는 그 다음에 저역 통과 필터(9284)에 의해 필터링된 다음, 신시사이저(9268)에 의해 생성된 LO 신호를 사용하여 믹서(9282)에 의해 IF 신호로 상향 변환된다. IF 신호는 IF 증폭기(8926)에 의해 증폭되어 증폭된 IF 신호(9256)를 생성할 수 있다. IF 신호(9256)는 IF 송신기(9240)에 의한 추가적인 프로세싱을 위해 보드 트레이스(9214)를 통해 컴패니언 칩(9206)에 전달된다. 송신기(9240) 내에서, IF 신호(9256)는 증폭기(9254)에 의해 증폭되고, 증폭기(9250)에 의해 증폭된 LO 신호(9243)를 사용하여 믹서(9252)에 의해 RF 신호로 상향 변환된다. RF 신호는 RFEM 안테나 배열에 의한 추가적인 프로세싱 및 송신을 위해 동축 케이블(9212) 및 듀플렉서(9236)를 통해 RFEM(9204)에 전달된다.
컴패니언 칩(9206)은 도 92에서 RFEM(9202 및 9204)과 연관된 단지 두 개의 별개의 프로세싱 체인(각각의 프로세싱 체인에는 수신기 및 송신기가 있음) 및 두 개의 듀플렉서를 갖는 것으로 도시되지만, 본 개시내용은 이러한 관점으로 제한되지 않는다. 보다 구체적으로, 컴패니언 칩(9206)은 추가의 RFEM에 의해 서비스되는 다른 무선 대역에서 신호를 처리하기 위한 추가의 프로세싱 체인을 포함할 수 있다.
도 91 및 도 92는 LO 생성기로서 서브시스템(9108, 9134, 9222, 9242, 및 9268)을 논의하지만, 이들 서브시스템은 다른 유형의 주파수 소스(예를 들어, 주파수 체배기 등)를 또한 포함할 수 있다.
도 83 내지 도 92는 트리플렉서(또는 듀플렉서) 및 수신기 및/또는 송신기 및/또는 주파수 소스 사이의 적접적인 연결부를 도시하지만, 본 개시내용은 이러한 관점으로 제한되지 않고 단지 하나의 결합된 신호가 트리플렉서(또는 듀플렉서)에 전달될 수 있도록 대응하는 TX/RX 스위치가 사용될 수 있다. 예를 들어 도 83과 관련하여, TX/RX 스위치가 트리플렉서(8348)와 LO 생성기(또는 주파수 소스)(8344) 사이에 있을 수 있고, 이에 따라 TX 신호 또는 RX 신호만이 트리플렉서(8348)를 통해 임의의 단일 시간에 전달되는 것이 가능하게 한다. 유사한 TX/RX 스위치가 트리플렉서/듀플렉서(8402, 8550, 8636, 8702, 8836, 8902, 8906, 9036, 9102, 9216, 및 9236)와 관련하여 사용될 수 있다.
RF 통신 시스템은 종종 반도체 다이 상에 형성된 서브시스템(예를 들어, 전압 제어 발진기(VCO), 전력 증폭기, 송수신기, 모뎀 등)을 활용한다. 그러나, 온-칩 집적 디바이스(on-chip integrated device)는 금속 스택(metal stack)을 포함하고, 임의의 프로세스 노드, 특히 진보된 프로세스 노드와 연관된 금속 스택은 수동 소자와 연관된 열악한 품질 팩터를 갖는다. 이와 관련하여, 특히 칩 상에서 구현되는 대규모의 전력 결합기의 전체 전력 결합 효율은 낮을 수 있다.
도 93은 일부 양태에 따라 2-웨이 전력 결합기의 예시적인 온-칩 구현예를 도시한다. 도 93을 참조하면, 레지스터(9306)에 연결된 전력 증폭기(9302 및 9304)를 포함할 수 있는 2-웨이 전력 결합기(9300)가 도시된다. 2-웨이 전력 결합기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 통합될 수 있지만, 2-웨이 전력 결합기(9300)는 이러한 것으로 제한되지 않는다. 또한, 전력 증폭기(9302)는 전송 선로(9308)에 연결되고 전력 증폭기(9304)는 전송 선로(9310)에 연결된다. 전송 선로(9308 및 9310)는 4분의 1 파장(quarter wavelength) 전송 선로일 수 있다. 전송 선로(9308 및 9310) 둘 모두의 출력은 함께 결합되고 안테나(9312)에서 종단될 수 있다. 도 93에 도시된 바와 같이, 2-웨이 전력 결합기(9300)는 전체적으로 반도체 다이 또는 칩(9320) 내에서 구현된다. 칩(9320)은 PCB 기판(9330)과 함께 패키징될 수 있다. 안테나(9312)는 PCB 기판(9330) 상에서 구현될 수 있고, 예를 들어 위상 안테나 배열을 포함할 수 있다.
도 94는 일부 양태에 따라 대규모의 전력 결합기의 예시적인 온-칩 구현예를 도시한다. 도 94를 참조하면, 복수의 전력 증폭기(9406, 9408, ..., 9410)에 연결된 전력 결합기(9412)가 도시된다. 전력 증폭기 출력은 전력 결합기(9412)의 입력에 연결될 수 있다. 전력 결합기(9412)는 두 개 이상의 전력 증폭기에 연결될 수 있고, 따라서 대규모의 전력 결합기라고 지칭될 수 있다.
전력 결합기(9412)는 출력의 개수가 감소하는 복수의 결합 스테이지(마지막 결합 스테이지는 단일의 출력을 가짐)에서 전송 선로와 저항의 캐스케이딩 연결부(cascading connection)를 포함한다. 예를 들어, 전력 증폭기(9406 및 9408)는 전송 선로(9414 및 9416) 각각에 연결될 수 있다. 전력 증폭기(9406 및 9408)의 출력은 저항(9436)에 의해 연결될 수 있다. 전송 선로(9414 및 9416)의 출력은 단일 출력(9422)으로 결합되며, 단일 출력(9422)은 후속 결합 스테이지에 전달된다. 유사하게, 전력 증폭기(9410) 및 인접 전력 증폭기(도 94에 도시되지 않음)는 저항(9438)뿐만 아니라 전송 선로(9418 및 9420)에 연결된다. 전송 선로(9418 및 9420)의 출력은 단일 출력(9424)으로 결합되며, 단일 출력(9424)은 후속 결합 스테이지에 전달된다.
앞 스테이지의 출력을 결합하고 후속 결합 스테이지로의 줄어드는 개수의 입력을 생성하는 이러한 프로세스는 마지막 두 개의 전송 선로(9426 및 9428)까지 계속된다. 전송 선로(9426 및 9428)로의 입력은 저항(9440)을 통해 연결되고, 전송 선로(9426 및 9428)의 출력은 전력 결합기(9412)의 단일 출력(9430)으로 결합된다. 전력 결합기(9412)의 출력 신호(9430)는 연결 단자(9432)를 통해 안테나(9434)에 전달된다. 도 94에 도시된 바와 같이, 전력 증폭기(9406-9410) 및 전력 결합기(9412)는 반도체 다이 또는 칩(9402) 내에 구현된다. 칩(9402)은 PCB 기판(9404)과 함께 패키징될 수 있다. 일부 양태에서, 연결 단자(9432)는 PCB 기판(9404)과 칩(9402)을 연결하기 위해 사용되는 복수의 솔더 볼 중 하나일 수 있다.
도 95는 일부 양태에 따라 임피던스 변환 네트워크의 예시적인 온-칩 구현예를 도시한다. 도 95를 참조하면, 임피던스 변환 네트워크(9508)를 통해 안테나(9512)에 연결된 전력 증폭기(9506)가 도시된다. 임피던스 변환 네트워크(9508)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고 전력 증폭기(9506)의 출력에서의 임피던스를 안테나(9512)의 입력에서의 임피던스와 매칭시키도록 구성될 수 있다. 임피던스 변환 네트워크(9508)는 연결 단자(9510)를 통해 안테나(9512)에 연결될 수 있다.
일부 양태에서, 연결 단자(9510)는 전력 증폭기(9506)에 테스트 또는 측정 장비를 연결하기 위해 사용될 수 있다. 테스트 또는 측정 장비는 50 옴 저항과 연관될 수 있으며, 이 저항은 전력 증폭기(9506) 용으로는 너무 높을 수 있다. 임피던스 변환 네트워크(9508)는 연결 단자(9510)에 전력 증폭기(9506) 및 테스트 장비를 연결하고 그에 맞추어 임피던스를 조정하는데 사용될 수 있다. 도 95에 도시된 바와 같이, 전력 증폭기(9506) 및 임피던스 변환 네트워크(9508)는 반도체 다이 또는 칩(9502) 내에 구현된다. 칩(9502)은 PCB 기판(9504)과 함께 패키징될 수 있다. 일부 양태에서, 연결 단자(9510)은 PCB 기판(9504)과 칩(9502)을 연결하기 위해 사용되는 복수의 솔더 볼 중 하나일 수 있다.
도 93, 도 94 및 도 95에 도시된 바와 같이, 전력 증폭기, 전력 결합기, 및 임피던스 변환 네트워크는 칩 상에서 구현된다. 그러나, 온-칩 전력 결합 및 임피던스 변환은 수동적이고 손실적인 실리콘 기판의 열악한 품질 때문에 손실적일 수 있고, 이것은 전체 송신 효율을 저하시킨다. 이러한 손실은 결합의 레벨이 더 높아지는 것 및/또는 가파른 임피던스 변환에 수반하여 빠르게 증가될 수 있다. 손실적 전력 결합 및 임피던스 변환은 열악한 실리콘 금속화를 이용한 최신 기술 노드의 경우 더욱 악화될 수 있다. 일부 양태에서, 손실적 전력 결합 및 임피던스 변환은 반도체 다이와 연관된 PCB 기판 상에서 전력 결합 및 임피던스 변환 네트워크를 구현함으로써 개선될 수 있다. 이와 관련하여, 온-패키지 손실은 전력 결합에 대해 상당히 낮아질 수 있다. 이것은 상당한 효율 개선을 제공할 수 있고, 대규모의 전력 결합에, 특히 4분의 1 파장 전송 선로 또는 복수의 전송 선로를 사용하는 아키텍처에 매우 적합할 수 있다. 임피던스 변환 네트워크 및 전력 결합이 PCB 상에서 구현되는 예시적인 양태는 본 명세서에서 도 96, 도 97, 도 98, 및 도 99와 관련하여 아래에서 설명된다.
도 96은 일부 양태에 따라 2-웨이 전력 결합기의 예시적인 온-패키지 구현을 도시한다. 도 96을 참조하면, 2-웨이 전력 결합기(9600)가 도시되며, 결합기(9600)는 레지스터(9606)에 연결된 전력 증폭기(9602 및 9604)를 포함할 수 있다. 또한, 전력 증폭기(9602)는 전송 선로(9608)에 결합되고 전력 증폭기(9604)는 전송 선로(9610)에 연결된다. 전송 선로(9608 및 9610)은 4분의 1 파장 전송 선로일 수 있다. 전송 선로(9608 및 9610) 모두의 출력은 서로 결합되고 안테나(9612)에서 종단될 수 있다.
도 96에 도시된 바와 같이, 전력 증폭기(9602 및 9604)는 반도체 다이 또는 칩(9620) 내에 구현될 수 있다. 칩(9620)은 PCB 기판(9630)과 함께 패키징될 수 있다. 레지스터(9606), 전송 선로(9608 및 9610), 및 안테나(9312)는 PCB 기판(9630) 상에서 구현될 수 있다. 전송 선로(9608 및 9610) 및 레지스터(9606)는 연결 단자(9614 및 9616)을 통해 전력 증폭기(9602 및 9604)에 연결될 수 있다. 일부 양태에서, 연결 단자(9614 및 9616)은 PCB 기판(9630)과 칩(9620)을 연결하기 위해 사용되는 복수의 솔더 볼 중 하나일 수 있다.
도 97은 일부 양태에 따라 큰 스케일의 전력 결합기의 예시적인 온-칩 구현을 도시한다. 도 97을 참조하면, 복수의 전력 증폭기(9706, 9708, ... , 9710)에 연결된 전력 결합기(9712)가 도시된다. 전력 증폭기 출력은 전력 결합기(9712)의 입력에 연결될 수 있다. 전력 결합기(9712)는 2개 이상의 전력 증폭기에 연결될 수 있고, 따라서 큰 스케일의 전력 결합기로 지칭될 수 있다. 일부 양태에서, 전력 결합기(9712)는 N: 1 RF 전력 결합기일 수 있다.
전력 결합기(9712)는 복수의 결합 스테이지(combining stage)에 전송 선로 및 저항의 캐스케이딩 연결부(cascading connection)를 포함하며, 결합 스테이지는 감소하는 개수의 출력을 갖는다 (마지막 결합 스테이지는 단일의 출력을 갖는다). 예를 들어, 전력 증폭기(9706 및 9708)는 전송 선로(9714 및 9716) 각각에 연결될 수 있다. 전력 증폭기(9706 및 9708)의 출력은 저항(9740)에 의해 연결될 수 있다. 전송 선로(9714 및 9716)의 출력은 단일 출력(9722)으로 결합되며, 이 출력은 후속 결합 스테이지에 전달된다. 유사하게, 전력 증폭기(9710) 및 인접 전력 증폭기(도 97에 도시되지 않음)는 저항(9742)뿐만 아니라 전송 선로(9718 및 9720)에 연결된다. 전송 선로(9718 및 9720)의 출력은 단일 출력(9724)으로 결합되며, 이 출력은 후속 결합 스테이지에 전달된다.
앞 스테이지의 출력을 결합하여 후속 결합 스테이지에 대한 감소하는 개수의 입력을 생성하는 이러한 프로세스는 마지막 두 개의 전송 선로(9726 및 9728)까지 계속된다. 전송 선로(9726 및 9728)에 대한 입력은 저항(9744)을 통해 결합되고, 전송 선로(9726 및 9728)의 출력은 전력 결합기(9712)의 단일 출력(9730)으로 결합된다. 전력 결합기(9712)의 출력 신호(9730)는 안테나(9732)에 전달된다.
도 94에 도시된 바와 같이, 전력 증폭기(9706 - 9710)는 반도체 다이 또는 칩(9702) 내에 구현된다. 칩(9702)은 PCB 기판(9704)과 함께 패키징될 수 있다. 전력 증폭기(9706 - 9710)의 출력은 연결 단자(9734, 9736, ..., 9738)를 통해 전력 결합기(9712)의 대응하는 전송 선로에 연결될 수 있다. 일부 양태에서, 연결 단자(9734 - 9738)는 PCB 기판(9704)과 칩(9702)을 연결하기 위해 사용되는 복수의 솔더 볼 중 하나일 수 있다.
일부 양태에서, 전력 결합기(9712), 전력 증폭기(9706-9710) 및/또는 안테나(9732)는 무선 송수신기의 부분일 수 있다. 무선 송수신기는 무선 기가비트 연합(Wireless Gigabit Alliance)(WiGig)과 같은 하나 이상의 무선 프로토콜 또는 5G 프로토콜을 준수하는 신호를 수신하고 송신하기 위해 사용될 수 있다.
도 98은 일부 양태에 따라 임피던스 변환 네트워크의 예시적인 온-칩 구현예를 도시한다. 도 98를 참조하면, 임피던스 변환 네트워크(9808)를 통해 안테나(9812)에 연결된 전력 증폭기(9806)가 도시된다. 임피던스 변환 네트워크(9808)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있고 전력 증폭기(9806)의 출력에서의 임피던스를 안테나(9812)의 입력에서의 임피던스와 매칭하도록 구성될 수 있다. 임피던스 변환 네트워크(9808)는 연결 단자(9810)를 통해 안테나(9806)에 연결될 수 있다. 일부 양태에서, 연결 단자(9810)는 전력 증폭기(9806)에 테스트 또는 측정 장비를 연결하기 위해 사용될 수 있다. 테스트 또는 측정 장비는 50 옴 저항과 연관될 수 있으며, 이 저항은 전력 증폭기(9806) 용으로는 너무 높을 수 있다. 임피던스 변환 네트워크(9808)는 연결 단자(9810)에 전력 증폭기(9806) 및 테스트 장비를 연결하고 그에 맞추어 임피던스를 조절하기 위해 사용될 수 있다.
도 98에 도시된 바와 같이, 전력 증폭기(9806)는 반도체 다이 또는 칩(9802) 내에 구현된다. 칩(9802)은 PCB 기판(9804)과 함께 패키징될 수 있다. 임피던스 변환 네트워크(9808) 및 안테나(9812)는 PCB 기판(9804) 내에서 구현될 수 있다. 일부 양태에서, 연결 단자(9810)는 PCB 기판(9804)과 칩(9802)을 연결하기 위해 사용되는 복수의 솔더 볼 중 하나일 수 있다.
도 99는 일부 양태에 따라 도허티 전력 증폭기(Doherty power amplifier)의 예시적인 온-칩 구현예를 도시한다. 도 99를 참조하면, 도허티 전력 증폭기(9900)는 캐리어 전력 증폭기(9906) 및 피킹 전력 증폭기(9908)를 포함할 수 있다. 신호 입력 단자(9922)는 캐리어 전력 증폭기(9906)의 입력에 직접 연결될 수 있다. 신호 입력 단자(9922)는 4분의 1 파장 전송 선로(9910)를 통해 피킹 전력 증폭기(9908)의 입력에도 또한 연결될 수 있다. 캐리어 전력 증폭기(9906)의 출력은 4분의 1 파장 전송 선로(9916 및 9918)뿐만 아니라 오프셋 전송 선로(9912)를 통해 안테나(9920)에 연결될 수 있다. 피킹 증폭기(9908)의 출력은 4분의 1 파장 전송 선로(9918) 및 오프셋 전송 선로(9914)를 통해 안테나(9920)에 연결될 수 있다. 전송 선로(9918)의 출력에서 결합된 출력 신호(9924)는 송신을 위해 안테나(9920)로 전달될 수 있다.
도 99에 도시된 바와 같이, 캐리어 전력 증폭기(9906), 피킹 전력 증폭기(9908), 및 4분의 1 파장 전송 선로(9910)는 반도체 다이 또는 칩(9902) 내에 구현될 수 있다. 칩(9902)은 PCB 기판(9904)과 함께 패키징될 수 있다. 안테나(9920)뿐만 아니라 전송 선로(9912, 9914, 9916, 및 9918)는 PCB 기판(9904) 내에서 구현될 수 있다. 이와 관련하여, PCB 기판(9904) 상에 복수의 긴 전송 선로를 구현함으로써, 도허티 전력 증폭기(9900)의 효율이 개선될 수 있다.
mmWave 주파수 범위에서 동작하는 마이크로파 안테나 서브시스템은 마이크론 범위에서 극히 작다. 그 결과, 새시 사이즈 요건 때문에 그리고 구성요소 및 안테나의 밀집된 패킹 때문에, 공간이 품귀한 이동 디바이스에서 사용될 무선 서브시스템 및 안테나의 사이즈, 특히 두께를 감소시키는 방법을 발견하는 것이 중요하다. 동시에, 열적, 전기적 및 기계적 오버레이 문제가 해결되고 감소되어야 한다. 비용 개량도 또한 주요한 고려사항이다. 서로의 상부에 있는 구성요소, 안테나 및 안테나 서브시스템의 오버레이는 서브시스템의 사이즈 및 두께를 감소시킬 것이다. 오버몰드 내 상호연결부를 갖는 오버몰드를 사용하는 것은 안테나가 서브시스템의 측부 상에 위치되는 것을 가능하게 하고, 경쟁 기술보다 나은 열적 및 기계적 개선을 제공할 다른 개념이다.
도 100a는 일부 양태에 따라, 커넥터를 사용하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이(unmolded stacked package-on-package embedded die) 무선 시스템의 측면도이다. 임베디드 다이 무선 시스템은 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 임베디드 다이 무선 시스템은 이러한 것으로 제한되지 않는다. 양태는 몰딩되지 않은 패키지(10005) 및 패키지(10007)를 포함하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이(10000)를 포함한다. 패키지(10005)는 PCB와 같은 적층 패키지 구조를 포함할 수 있으며, 이 구조 내에 RFIC(10006)가 매립된다. 이러한 맥락에서 사용될 때, "몰딩되지 않은"이라는 것은 다이(10006)가 몰드 또는 캡슐 내에 봉입되지 않은 것을 의미한다. 패키지의 다양한 부분의 z-높이에 대해 도시된 치수는 단지 예시 목적을 위한 것이고, 패키지가 존재하는 이동 디바이스의 볼륨이 매우 제한적일 때 사용되는 매우 작은 치수를 예시하는 역할을 한다.
또한, PCB(10005)의 상부 및 하부에 있는 수 제 1 마이크론은 RFIC가 내부에 매립되는 PCB의 코어 앞일 수 있는 예비 함침(pre-impregnation)(프리프레그)(PrePreg)) 층일 수 있다. 프리프레그는 매우 얇은 두께 때문에 사용될 수 있다. 프리프레그는 매우 얇을 수 있으며, 예를 들어 25 um 또는 30 um일 수 있다. 프리프레그는 에폭시 재료일 수 있지만, 또한 라미네이트 재료, 예를 들어, 구리 클래드 라미네이트(Copper Clad Laminate)(CCL)일 수도 있다. 기술은 유기 중합체 기반 라미네이트뿐만 아니라 세라믹 기반 무기 층에도 제한되지 않는다.
안테나 기판 산업에서 사용되는 바와 같이, "코어"는 기판, 예를 들어, 프리프레그의 다른 영역보다 더 경성일 수 있고, 더 두꺼운 기판의 내측 부분을 의미할 수 있다. 패키지(10005)는 패키지가 패키지 내부에 캡슐이 없는 PCB와 같은 층류 기판(laminar substrate)이므로, 몰딩되지 않는다. 실드(10001)는 RFI/EMI로부터 구성요소(10003)를 차폐하기 위해 패키지(10005)의 상부에 있다. 커넥터(10023)는 하나 이상의 패키지를 외부 세계에 연결할 수 있다. 일부 양태에서, 커넥터(10023)는 시스템에 의해 송신하기 위한 중간 주파수(IF) 신호를 제공한다. 패키지(10005)는 RFIC 다이(10006)를 포함하며, 이 다이는 일부 양태에 따라, 타당한 경우 트레이스 및 비아를 경유하여, 아래에서 논의되는 다양한 안테나 및 안테나 배열을 위한 급전을 제공한다.
하나의 RFIC 다이(10006)가 도시되지만, 관련 기술분야에서 통상의 기술자라면 하나 이상의 주파수 대역에서 동작하기 위해 두 개 이상의 RFIC 다이가 제공될 수 있다는 것을 인식할 것이다. 다시 말해서 양태에서 적어도 하나의 RFIC 다이가 있을 수 있다.
도시된 패키지는 일부 양태에 따라, 많은 상이한 구성, 동작 주파수 및 대역폭의 안테나 및 안테나 배열을 포함할 수 있다. 도 100a에는 안테나 구조(10009, 10011, 10013, 10015, 및 10019)가 도시된다. 이들은 측면도에서 단일 안테나이거나 또는 도면 페이지 안에서 확인되는 1xN, 2xN, ... , NxN 요소 배열과 같은 안테나 배열일 수 있다. 하나의 예에서, 안테나(10009)는 이 양태에서 패치 안테나 요소(10010 및 10012) 사이에 10065 마이크론의 거리(d2) 및 패치 안테나 요소(10010)와 접지 사이에 다른 치수(d1)를 갖는 이중 패치 안테나일 수 있다. 거리(d1 및 d2)에 따라, 안테나의 대역폭은 패치 안테나의 변동하는 볼륨 때문에 변할 것이다. 지정 부호 (d1 및 d2)는 도 100b에서 보다 명확하게 도시될 수 있다.
도 100b는 일부 양태에 따른 이중 패치 안테나의 측면도이다. 도면에서, P1은 이중 패치 안테나의 제 1 요소이고 P2는 이중 패치 안테나의 제 2, 또는 피동 요소(driven element)이다. d2가 P1과 P2 사이의 거리이고 d1이 P1과 접지 평면(GND) 사이의 거리임을 알 수 있다. P1과 GND 사이에 거리(d1)가 주어진 경우, P1과 P2 사이의 거리(d2)를 변경하면 안테나의 볼륨이 증가한다.
일부 양태에서, 대역폭은 이 양태에서, 거리(d2)의 함수인 안테나의 볼륨의 변동에 따라 변한다. 이것은 도 100c에 도시된다. 도 100c는 일부 양태에 따라, 안테나의 볼륨이 증가함에 따른 도 100b의 이중 패치 안테나의 반사 손실의 시뮬레이션 그래프이고, 안테나의 체적이 변함에 따른 대역폭의 변화를 도시한다. 대역폭은 이 양태에서 변하는 d2에 의해서 측정된다. 도 100c의 시뮬레이션에서 -10 dB 반사 손실 그래프의 폭으로 도시되는 대역폭은, P1과 GND 사이에 d1 치수가 주어진 경우, d2가 증가함에 따라 증가한다.
아래에서 논의되는 바와 같이, PCB(10005)는 이 양태에서 레벨(L1 내지 L6)로서 도시되는 층 구조를 갖는다. 다양한 레벨 때문에, 안테나 요소, 예를 들어, (10010, 10012)는 이중 패치 안테나 요소 사이의 다양한 거리(d2)에서 배치될 수 있고, 다수의 레벨 때문에, 패치 안테나 요소(10010)와 GND 사이의 거리 또한 다양한 거리에서 설정될 수 있고, 그 결과 주어진 설계에 요구될 수 있는 대역폭의 선택으로 귀결된다. 환원하면, 이중 패치 안테나 요소(10010 및 10012) 사이의 거리는 10065 마이크론으로 제한되지 않고 밀집되게 패킹된 이용 가능한 라미네이트 레벨 때문에 몇몇 거리 중 어느 거리로도 설정될 수 있다. 이것은 도 100c에 도시된 바와 같은 대역폭을 측정할 수 있게 설정하는, 이중 패치 안테나 요소(10010)와 접지 평면(10014) 사이의 거리와 동일하다. 그러나, 레벨(L1-L6)은 많은 양태 중 단지 한 양태이다. 다른 양태는 도시된 여섯 개의 층(L1-L6)보다 훨씬 더 많은 더욱 밀집되게 패킹된 층을 가질 수 있고, 이렇게 매우 밀집되게 패킹된 층은 필요에 따라 다양한 기능을 위해 사용될 수 있다.
도 100a의 설명을 계속하면, (10024)는 일부 양태에서, 위에서 간단하게 논의된 1xN, 2xN, ... , NxN 요소와 같은 안테나 배열 또는 안테나일 수 있다. 일부 양태에서, (10024)는 때로는 표면 실장 기술(surface mounted technology)(SMT)이라 부르는 표면 실장 디바이스(surface mounted device)(SMD)에 의해서 구성되는 자립형 안테나일 수 있다. 일부 양태에서, PCB(10005) 내에 필요한 안테나 또는 안테나 배열을 위한 충분한 높이가 없다면, 안테나 또는 안테나 배열(10010, 10012)은 일부 양태에 따라, PCB(10005)의 상부에 배치되는 안테나 요소(10012)로 구성되어, 예를 들면, 필요한 볼륨을 제공할 수 있다.
다른 예에서, 이중 패치 안테나 요소(10012)는 안테나 또는 안테나 배열에 추가적인 높이를 제공하기 위해 PCB(10005)의 상부 대신에 표면 실장 디바이스(10024)의 상부에 배치될 수 있으며, 일부 양태에서, 이러한 추가적인 높이는 위에서 논의한 바와 같이 증가된 볼륨 및 개선된 대역폭을 제공할 것이다.
다른 예는 안테나(10015)에서 도시될 수 있다. 이러한 예에서, 안테나(또는 위에서 논의한 바와 같은 안테나 배열)(10015)는 위에서 논의한 바와 같이, 복잡하고 매우 밀집되게 패킹된 기판일 수 있는 기판(10005) 내에 안테나 패치(10018)를 포함하며, 이중 패치 요소(10017)는 제 2 안테나 보드(10007) 상에 있을 수 있다. 일부 양태에서, 안테나 보드(10007)는 또한 PCB(10005)처럼 밀집되게 패킹된 층류 기판일 수 있는 유전체, 세라믹 또는 PCB 등일 수 있다. 결과적으로, 안테나 기능은 패키지-온-패키지 구성을 형성하는 하나 초과의 안테나 보드 사이에서 또는 안테나 보드 중에 배분될 수 있다. 그러므로, 하나의 매체 상에 충분한 z-높이가 없다면, 안테나의 부분은 (10007)과 같은 제 2 매체 상에 구현되어, 일부 양태에서, 대역폭 및 더 적은 손실 등과 같은 원하는 파라미터를 제공하는 볼륨을 얻기 위해 원하는 z-높이를 제공할 수 있다. 다시 말해서, 일부 양태에서, mmWave 주파수에서의 동작을 위한 폼 팩터 요건으로 인한 극도로 작은 기판의 두께 치수를 고려하면, 안테나 요소(및 이산적 구성요소)는 일부 양태에서, PCB(10005)의 상부 및/또는 하부에, PCB(10005)의 면상에 및 다양한 추가적인 구성으로 배치될 수 있는 하나 이상의 추가 매체 상에 배치될 수 있고, 이것은 필요에 따라 추가적인 기판 두께 및 증가된 대역폭을 초래할 수 있다.
유사하게, 안테나 기능은 역시 상이한 안테나 보드, 예를 들어, 메인 매체로 간주될 수 있는 PCB(10005)와 이차 매체로 간주될 수 있는 안테나 보드(10007) 사이에서 또는 안테나 보드 중에서 분할될 수 있다. 또한, 기판의 위 또는 아래, 또는 측면에 있는 이러한 매체는 다양한 기능, 예컨대 접지, 차폐, 급전 등을 위해 사용될 수 있다.
또한, PCB(10005) 상부에 두 개 이상의 매체(10024)가 있을 수 있다. PCB(10005) 상부에 복수의 안테나 매체가 있을 수 있으며, 각각의 매체는 위에서 논의한 같은 안테나 또는 안테나 배열의 부분 또는 전부를 제공한다. PCB(10005)의 아래에 또는 측면에 안테나 매체가 배치되는 경우에도 마찬가지이다. 또한, 부차적인 매체는 필요에 따라 안테나의 패턴을 형상화하거나 이득을 개선하기 위해 기생 요소에 사용될 수 있다.
안테나(10011, 10013, 10015, 및 10019)는 안테나 보드(10007) 상에 구성되고 RFIC 다이(10006)로부터 급전되는 다른 안테나 또는 안테나 배열일 수 있다. 또한 비아(10020, 10022)가 도시된다. 일부 양태에서 많은 비아가 있을 수 있다. 일반적으로, 기판(10005)이 두꺼울수록 비아(10020, 10022)의 직경이 더 크다. 초박형 기판이 필요한 일부 양태에서, 비아는 다른 양태에 대해 아래에서 논의되는 바와 같이 더욱 작은 직경을 가질 수 있다. (10028)과 같은 비아는 (10027)과 같은 납땜 연결부에 의해서 RFIC 다이(10006)에 연결될 수 있다. 비아는 무선 서브시스템 내의 다른 곳의 구성요소에 연결하기 위한 하나 이상의 수평 층(10030)에 의해 연결될 수 있으며, 이 서브시스템에서 수평 층(10030)은 페이지를 살펴보아 확인된다.
도 101a는 일부 양태에 따라, 연성 상호연결부(flex interconnect)를 사용하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 예시이다. 도 101b는 일부 양태에 따라, 연성 상호연결부를 사용하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이며, 여기서 연성 상호연결부는 사진 표현으로 도시된다. 도 101a는 도 100a와 실질적으로 동일하며 도 101a에 커넥터(10023)가 없다는 차이가 있다. 대신에 연성 상호연결부(10026)가 하나의 PCB를 제 2 PCB에 연결하기 위해 사용되며 제 2 PCB는 PCB 외부와의 커넥터를 가질 수 있다. 연성 커넥터(10026)는 PCB(10005)의 적합한 내측 트레이스에 의해, 또는 적합한 내측 트레이스 및 하나 이상의 비아에 의해 RFIC 다이(10006)에 연결될 수 있다. 연성 상호연결부는 납땜에 의해, 크림핑(crimping)에 의해 또는 다른 프로세스에 의해 PCB에 연결될 수 있고, 일부 양태에서 제 2 PCB에 유사하게 연결될 수 있다.
도 102는 일부 양태에 따른 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. 도 102에서 패키지(10200)는 일부 양태에 따라, 레벨(10201), 예컨대 PCB와 같은 안테나 보드, 몰드 또는 캡슐화인 레벨(10203), 및 예컨대 PCB와 같은 안테나 보드를 포함하는 레벨(10205)을 포함하는 기판을 포함한다. 레벨(10201)은 트레이스와 같은 전도성 레벨(10207)을 포함할 수 있고; 레벨(10203)은 (10209)와 같은 전도성 레벨 및 종종 "쓰루 몰드 비아(through-mold via)"라고 불리는 (10219, 10219A)와 같은 비아를 포함할 수 있고; 레벨(10205)은 땜납 연결에 의해 전도성 레벨(10209)에 연결되는 전도성 레벨(10211)을 포함할 수 있다.
패키지(10200)의 전도성 레벨 및 비아는 일부 양태에서 다이(10206, 10208)로부터 다양한 안테나 및 다른 구성요소에 급전하도록 구성가능하다. 전도성 레벨(10207 및 10211)이 도 102에서 짧은 수평 층으로 도시되어 있지만, 실제로 이들은 도 103의 (10309, 10311)와 같은 더 긴 전도성 층이거나, 또는 도 103의 (10307, 10311A)와 같은 다양한 층 구성일 수 있거나, 또는 다양한 양태에 따라 도 105의 기판(10505) 내 (10511), 또는 기판(10501) 내 (10502)에 도시되는 바와 같이 본질적으로 기판 전반에 걸쳐 있을 수 있다.
일부 양태에서, 전도성 레벨(10207, 10211)은 도 104와 관련하여 아래에서 논의되는 재분배 층(redistribution layer)(RDL)을 사용하여 만들어질 수 있다. 비아(또는 몰딩된 패키지의 쓰루 몰드 비아)는 구리 스터드에 의해, 몰드 또는 다른 층 및 전도성 잉크를 뚫는 레이저에 의해 또는 다른 수단에 의해 만들어질 수 있다.
비아, 전도성 층 및/또는 RDL를 사용하여, 다이(들)는 일부 양태에서 SMD(10216, 10218, 10220) 상에 또는 내에 매립된 안테나일 수 있는 패키지의 임의의 측면 상의 안테나 및 안테나 배열에 매우 빠르게 연결될 수 있다. 밀집되게 패킹된 비아 및 밀집되게 패킹된 수평 층 때문에, 다이는 피드 구조의 팬 아웃(fan-out)이 거의 없이 또는 본질적으로 팬 아웃이 없이 기판(10201, 10205) 상의 안테나 또는 안테나 배열에 연결될 수 있다.
또한, 쓰루 몰드 비아(예를 들어, 10219, 10219A)는 양태에서, RFI 및 EMI로부터 다이 및 다른 구성요소를 차폐하도록 패러데이 케이지를 형성하기 위해 다이 또는 다이 주위의 금속화 층(여기서 층(10209)만이 도시되어 있지만, 비아(예를 들어, (10219 또는 10219A))의 상부는 비아의 상부에 있는 금속화 층(도시되지 않음)에 연결될 수 있음)에 연결되는 밀집되게 패킹된 비아의 트렌치로 구성될 수 있다. 비아는 단일 포스트(post)와 같은 매우 작은 비아일 수 있다. (몰드 비아를 통해) 패키지(예를 들어, 10219, 10219A) 사이에 고밀도 상호연결부를 갖는 패키지 온 패키지를 사용할 때, 우리는 패키지를 별개로 구축할 수 있고 하부 다이 상부 또는 아래에 있는 다른 다이에 대비하여 하부 다이에 대해 맞추어진 이종의 재료를 사용할 수 있다. 이것은 또한 개개의 다이를 적층하기 전에 개개의 다이를 각각의 패키지에서 테스트될 수 있기 때문에 수율을 개선한다.
필요하다면 몰드가 완전히 제거되고, 상부 패키지에 연결되고 수직 상호연결부로 작용하는 솔더 볼로 쓰루 몰드 비아를 대체할 수 있다는 것을 이해하는 것이 또한 중요하다. 도 102의 양태에서, 두 개 이상의 다이(10206, 10208)는 기판 내에 포함될 수 있고, 구리 필러일 수 있는 솔더 범프, (10210)과 같은 또는 LGA/VGA 패드일 수 있는 또는 일부 양태에서, 심지어 패키지일 수 있는 솔더 범프와 같은 콘택에 의해 부착될 수 있다.
일부 양태에서, 이산적 요소(10212, 10214)가 또한 도시된다. 다이(10206, 10208)는 플립 칩 다이, 웨이퍼 레벨 칩 스케일 패키지(Chip Scale Package)(CSP), 와이어-본딩 가능 다이(wire-bondable die) 등과 같은 임의의 유형의 다이일 수 있다.
대안적으로, 단일 다이가 사용될 수 있다. 일부 양태에서, (10216, 10218, 10220)와 같은 SMD 안테나는 기판의 제 1 측면 상에 구성될 수 있고, 반면에 SMD 안테나(10216A, 10218A, 10220A)는 기판의 반대 측면 상에 구성될 수 있다. 다른 양태에서, 안테나는 SMD 상에 또는 SMD 내부 대신에 기판 상에 구성될 수 있다. 전술한 안테나는 도 100a에 관련하여 설명된 것과 동일한 유형의 안테나일 수 있고 일부 양태에서 SMD 상에 또는 내부에 있을 수 있다. 또한, 안테나(10216, 10218, 10220)는 안테나 배열로 구성될 수 있다. 또한, 안테나(예를 들어, 전술한 임의의 또는 모든 안테나)는 도 100a의 안테나(또는 안테나 배열)(10024)에 관련하여 논의되는 바와 같이 SMD 상에 또는 내부에 구현될 수 있다.
또한 패키지(10200)의 하나의 측면 또는 양 측면(예를 들어, (10201, 10205)) 상에 이산적 구성요소(10222, 10224, 및 10222A, 10224A)가 구성될 수 있다. 또한, 시스템(10221, 10221A)(때로는 시스템 인 패키지(system in a package)(SIP) 또는 패키지, 베트(bet)로 불림)은 일부 양태에서, 패키지(10200)의 상부(예컨대, (10201)의 상측) 및/또는 하부(예컨대, (10205)의 하부)에 및/또는 측면에 구성되어, 패키지-온-패키지 구성을 제공할 수 있다. SIP(10221, 10221A)는 SIP(10221, 10221A)가 구성되는 레벨(10201, 10203, 10205)을 포함하는 패키지와 매우 유사한 시스템일 수 있다. SIP(10221, 10221A)는 적층될 수 있고 몇 가지 방법으로 패키지에 물리적으로 연결될 수 있다.
또한 다이(10206, 10208)는, 일부 양태에서, (10226)에서 도시된 적합한 콘택에 의해 기판(10203)에 연결될 수 있다. 이러한 적합한 콘택은 구리 필러, 솔더 범프, 또는 심지어 패키지를 포함할 수 있다. 콘택(10226)은 패키지-온-패키지 양태의 본체 내부의 매우 작은 연결부일 수 있다. 이러한 시스템 구성은 패키지 온 패키지 구성을 도시한다.
또한, 설명되는 바와 같이 패키지 내의 밀도가 매우 높기 때문에, 각각의 패키지의 하나 이상의 다이는 동일한 주파수에서 또는 상이한 주파수에서 동작 - 예컨대 하나의 다이는 5G 주파수에서 동작되고 제 2 다이는 WiGig 주파수에서 동작함 - 되도록 구성된다.
또한, 패키지-온-패키지 양태의 안테나/안테나 배열은 많은 방향 중 어느 방향으로도 또는 예를 들어, 이동 디바이스의 방향 때문에, 필요에 따라 본질적으로 모든 방향으로 방사할 수 있다. 다시 말해서, 안테나 및 안테나 배열은 패키지(10200) 위의 모든 곳에 배치될 수 있는데, 이것은 일부 양태에 따라, 패키지(10200)의 상부, 하부 및 측면 상에서, 또는 원하는 대로 이들의 조합에서, 및 원하는 대로 패키지(10221, 10221A) 상의 또는 그 내부의 안테나 및 안테나 배열 구성에서 패키지(10221, 10221A)를 적층하고 물리적으로 연결함으로써 본질적으로 패키지의 모든 원하는 방향을 의미한다.
전술한 것 이외에, 패키지(10200)는 솔더 볼(10213, 10215)에 의해 또 다른 보드(도시되지 않음) 상에 납땜될 수 있는데, 이 솔더 볼은 솔더 볼(10226)이 패키지-온-패키지 양상 내에 있고 매우 작고 매우 빽빽하게 이격되지만, 솔더 볼(10213, 10215)이 일부 양태에 따라 "외부 세계와의" 연결부이기 때문에, 솔더 볼 또는 콘택(10226)보다 더 크게 도시된다.
예를 들어, 패키지(10200)가 또한 솔더 볼(10213, 10215)에 의해 납땜되는 보드는 일부 양태에서, 폰, 태블릿, 이동 디바이스 또는 다른 최종 사용자 장비(end user equipment)를 위한 호스트 보드일 수 있다. 도 100a와 도 102 사이의 주된 차이는 도 102의 다이가 기판 내 다이의 구성을 보호하고 강화하는 몰드로 싸여 있는 점이다.
몰딩된 양상의 장점은 도 100a의 몰딩되지 않은 기판에 임베디드 다이가 큰 볼륨으로 제조하는 것이 어렵다는 것이다. 몰딩된 기판 구성은 위에서 논의한 바와 같이, 개개의 다이가 적층 전에 각각의 패키지에서 테스트될 수 있기 때문에 개선 수율로 인해 큰 볼륨 제조에 더욱 친화적이다.
또한, 몰딩된 구성에서 구성요소(예를 들어, 10212, 10214)는 몰딩된 기판 내에 용이하게 구성될 수 있다. 도 100a의 구현된 다이는 일부 양태에 따라, 종종 단일 다이만을 구현하는 것에 특유하다.
또한, 몰딩된 구성은 몰딩되지 않은 구성보다 더 밀집된 많은 층을 가능 하게 한다. 도 100a의 구현된 다이에서, 모든 구성요소는 하나의 시스템으로서 연결된다. 하나의 부분, 예를 들어 하나의 비아가 고장나면, 기판 내 전체 시스템이 고장난다.
한편 도 102의 몰딩된 구성에서, 기판 자체는 별도로 제조될 수 있고, 다이를 연결하는 층은 별개로 연결되고, 시스템은 최종 단계까지 함께 연결되지 않으며, 여기서 최종 단계는 모든 부분을 함께 납땜하는 것일 수 있다. 도 100a의 양태에서, 내부적으로 땜납이 없고, 시스템은 구리 비아를 포함하고, 구리 비아의 대부분 또는 전부가 동시에 조립될 수 있다. 환원하면, 몰딩된 적층 패키지를 구축하는 프로세스는 몰딩되지 않은 패키지를 구축하는 것과 매우 상이하다.
스터드는 상부 패키지의 하부 층 상에 배치되거나 도금되고 이들은 큰 종횡비 및 매우 작은 직경으로 도금될 수 있다. 그 다음에 상부 패키지 및 하부 패키지는 땜납 또는 열 기계 압축을 사용하여 연결된다. 오버몰드는 액체일 수 있고, 주입된 다음 유동하여 갭을 덮는다. 이것은 몰딩되지 않은 패키지보다 더 높은 밀도 및 더 높은 수율 프로세스이다.
도 103은 일부 양태에 따른, 몰딩된 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이며 추가적인 상세를 도시한다. 레벨(A 내지 G)은 일부 양태에서, 표 1에 표시되는 개개의 구성요소 기술을 포함한다.
레벨 비고
A SIPS/커넥터/SMT/안테나/인쇄된 안테나/실드/등각적으로 몰딩된/부분적으로 몰딩된/부분적으로 차폐됨
B 레벨 2L~6L 코어리스
C 비아 및 트렌치를 갖는 몰드
D 다이 및 SMT 컴포넌트
E 솔더 조인트
F 안테나 보드 및 SMT 컴포넌트
G 적층 패키지 및 적층 다이폴 및 모노폴, 상부, 하부
도 103에서, 요소(10326)는 패키지의 신호 소스용 커넥터일 수 있다. 또한 안테나 요소 또는 안테나 배열(10324)이 도시되며, 경우에 따라 안테나 또는 안테나 배열은 표면 실장 디바이스 안테나 또는 배열일 수 있다. 안테나 요소는 (10329)와 같은 도시된 수평 기판 전도성 층 중 적합한 층에 의해 다이(10306)에 의해 급전된다. 다이 및 아래에서 논의되는 비아는 몰드(10332)에 의해 캡슐화될 수 있다. 트레이스 또는 수평 층(10329)은 또한 더 상세히 아래에서 논의되는 바와 같이 안테나 또는 안테나 배열(10324)에 급전하기 위해 다이(10306)에 연결(연결부는 도시되지 않음)될 수 있다.위에서 언급한 바와 같이, SMD는 일부 양태에 따라, 도면의 페이지를 들여다 보면, (10322)와 같은 안테나 요소의 배열의 부분일 수 있다. 아래에서 논의되는 수평 전도성 레벨 및 수직 비아의 밀도는 일부 양태에서, 표면 실장 디바이스(10324)를 본질적으로 수직 패치 안테나로 만드는 비아(10322)와 다이의 연결을 가능하게 한다. SMD(10324) 내에 부분을 포함하는 비아(10322)는 공진을 위한 원하는 길이를 또한 제공한다. 일부 양태에서, 비아(10322)는 동작 주파수에 필요한 안테나 길이의 부분일 수 있고, 필요한 길이의 나머지는 SMD(10324)의 상부 상에 구성되는 트레이스(도시되지 않음)일 수 있다. 필요한 콘택은 일부 양태에서 납땜을 사용하여 달성될 수 있다. 결과적으로, 아래에서 논의되는 안테나(10322, 및 또한 10318, 10320)는 안테나 또는 안테나 배열의 부분으로서 패키지의 z-높이를 유리하게 사용하는 것을 도시한다.
제조하기에 용이한 구현예는 SMD 상의 또는 SMD 내의 수직 모노폴 또는 다이폴이다. 다른 구현예는 위에서 논의된 몰드 및 SMD의 에지 상에 도금되는 패치 안테나일 수 있다. 레벨(B 및 E)에서 도시된 바와 같은 이러한 밀집된 수평 전도성 층 및 비아(전도성 층은 도면에 수평이며 또한 도면의 그림의 페이지 쪽으로도 수평일 수 있음)을 이용할 수 있는 것은 일부 양태에 따라 수평 및 수직(일부 양태에서, 예컨대 비아에 의한 수직) 모두의 다수의 상호연결부의 유연성을 부여하고, 수직 패치 안테나, 수직 미앤더링 안테나(vertical meandering antenna), 수직 나선형 안테나 및 유사한 안테나를 구성하는 능력을 제공한다.
안테나 요소(또는 그림의 페이지 안에서 확인되는 안테나 배열)(10318, 10320)는 SMD(10324) 상에 또는 그 내부에 구성될 수 있고, 일부 양태에서는 쓰루 몰드 비아(10322)를 포함할 수 있다. 몇몇의 이러한 쓰루 몰드 비아는 그림에서 도시되며, 이중 하나만이 본 명세서에서 (10325)로서 열거된다. 도 103에서, 요소(10325)는 솔더 볼 또는 다른 전도성 요소, 예를 들어, 몰드가 구성의 주위를 채우는 도금된 스터드일 수 있다. 비아(10325)는 안테나 요소, 예컨대 연결된 비아(10321, 10323, 10325, 10327)의 부분일 수 있으며, 여기서 비아(10321)는 SMD(10320) 내에 있고, 일부 양태에서, 공진을 위한 추가적인 안테나 길이가 필요함에 따라 비아(10321, 10323, 10325, 10327)에 실질적으로 수직인 트레이스를 갖는다.
안테나(들)는 다이(10306)에 수평인 전도성 층(10331)에 의해 연결될 수 있다(실제로 (10331)이지만 도시되지 않은 연결부는 다이(10306)와의 연결부일 수 있다). 이산적 요소(10328)가 포함될 수 있고, 일부 양태에서는 실드(10330)에 의해 RFI/EMI로부터 차폐될 수 있다. 안테나 또는 안테나 배열(10318)은 (10320)에서 도시된 것과 유사하거나 동일하고, (10320)에 대해 논의된 것과 유사한 방식으로 다이에 연결될 수 있다. 유사하게, 아이템(10318A, 10320A)은 (10318, 10320)에 유사한 SMD 안테나이고, SMD 안테나(10318, 10320)와 유사한 방식으로 다이(10306)에 의해 급전될 수 있다. 일부 양태에서, 아이템(10318, 10320, 10324)은 도면의 페이지 안에서 확인되는 안테나 배열로서 안테나 보드(도시되지 않음) 상에 구성될 수 있다. 동일한 상황이 SMD(10318A, 10320A)에 적용될 수 있다. 결과적으로, 논의 중의 양태에 따라 도 103의 조합 레벨(B, C 및 E) 및 (10318, 10320, 10324)가 구성된 안테나 보드는 패키지-온-패키지 구성을 포함한다. 일부 양태에서, 위의 안테나 요소는, 경우에 따라 SMD(10318, 10320, 10324, 또는 10318', 10320', 10324') 안으로 또는 SMD를 통해 안테나 보드 중 관련된 안테나 보드를 통해 계속 이어질 수 있다.
도 104는 일부 양태에 따라, 재분배 층을 사용하는 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. 기판(10400)은 알파벳으로 표시된 레벨(A 내지 F)을 포함하며, 각각의 레벨은 아래의 표 2에 표시되는 재료 및/또는 구성요소를 포함할 수 있으며, 이들 중 일부 또는 전부는 당면한 설계에 따라 다양한 양태에서 존재할 수 있다.
레벨 비고
A SIPS/커넥터/SMT/안테나/인쇄된 안테나/실드/등각적으로 몰딩된/부분적으로 몰딩된/부분적으로 차폐됨
B 레벨 2L~6L 코어리스
C 비아 및 트렌치를 갖는 몰드
D 다이 및 SMT 컴포넌트 + 상부 및 하부의 재분배 층(RDLL)
(위에서 도시된 하부의 RDL만)
E 솔더 조인트
F 안테나 및 BGA 및 SMT 구성요소
G 적층 패키지 및 적층 다이폴 및 모노폴
기판(10400)은 레벨(D)에 적어도 하나의 임베디드 다이(10406)(웨이퍼 레벨 패키징일 수 있음)를 포함하며, 일부 양태에서 다이로부터 복수의 패키지로의 신호를 재분배하는 매우 얇은 전도성 층을 갖는다. 재분배를 위해 사용되는 이러한 매우 얇은 전도성 층은 재분배 층(RDL)이라고 불릴 수 있다. 또한, 예를 들어, 기판의 상부(10419)에, 하나 이상의 안테나가 위에서 논의된 바와 같이, (10416)과 같은 하나 이상의 표면 실장 디바이스 상에 또는 그 내에 있을 수 있고, 상호연결부(10421, 10423, 10425) 및 기판에서 이용 가능한 비아(도시되지 않음)를 통해, 또한 일부 양태에서, 이러한 비아와 연결될 수 있는 RDL에 의해 다이(10406)로부터 급전될 수 있다.다이(10406)는 위에서 논의된 바와 같이, 예를 들어, 유동 프로세스에 의해, 몰드(10418)로 캡슐화될 수 있다. 다양한 안테나는 다른 도면에 대해 위에서 논의된 바와 같이 레벨(A) 상에 또는 레벨(A) 내에 있을 수 있다. 레벨(A)은 또한 일부 양태에 따라 SIP를 위해 사용되어 패키지-온-패키지 시스템을 형성할 수 있다. 또한, 이산적 구성요소(10428)가 레벨(A) 상에 또는 레벨(A) 내에 있을 수 있고, 일부 양태에서는 원하는 대로 실드(10430)에 의해 RFI/EMI로부터 차폐될 수 있다. (1042)와 같은 다른 구성요소는 차폐를 필요로 하지 않을 수 있고, 양태에서 제공될 수 있는 임의의 실드의 외부에 있을 수 있다.
또한, 연결부가 상이한 층에서 이용가능하게 하는 재분배 층 (RDL)이 도면에 도시된다. RDL 중 두 개가 (10407, 10409)에서 도시되지만, 표2에 표시된 바와 같이, 이들은 일부 양태에서, 층(D)의 상부 및 하부에서 원하는 개수로 존재할 수 있다. 수평 층(예를 들어, (10415))은 고밀도를 갖는 몰드 레벨(D)에서 도시되고, 위에서 설명된 바와 같이, 일부 양태에서 다이(10406)와의 연결성 및 층 사이의 추가의 연결성을 제공할 수 있다.
또한, RLD는 (10413-10413')에서와 같은 수평 층 사이의 수직 연결성을 제공할 수 있으며, 여기서 (10413)은 RLD의 수직 연결부이다. 이와 관련하여, 양태는 매우 높은 밀도로 몰드 내에 전도성 수평 층(예를 들어, 10413')의 배치를 가능하게 한다.
RDL은 일부 양태에서, 예를 들어, (10410)에서 도시된 실리콘 다이 상에 직접 인쇄될 수 있으며, 이것은 다이(10306)로부터 안테나 또는 안테나 배열로의 신호의 재분배를 위해 RDL을 초고밀도로 만들어 준다. 설명되는 RDL 구성에서, 도 103의 (10325)와 같은 솔더 볼 비아의 범프는 있어야 한다. 다이(10406)는 그대로 남고, RDL은 신호 분배를 위해 사용되며, 이것은 큰 장점을 제공한다.
재분배 층은 폴리머 및 각각 서로의 상부에 스핀 코팅되는 재료를 사용하여 만들어질 수 있고, 매우 얇다. 이것은 매우 미세한 피치 비아 및 매우 미세한 비아 직경을 가능하게 한다. (10407, 10409)과 같은 RDL은 일부 양태에서, 솔더 LGA/VGA 패드 또는 다른 솔더 콘택(10440, 10442,..., 10450)을 통해 안테나 보드(10412)에 납땜될 수 있다. 안테나 보드(10412)는 다른 기판의 부분일 수 있고, 패키지-온-패키지 구성에서 기판(10400)에 적층되고 물리적으로 연결된다. 아무 안테나도 안테나 보드(10412) 상에 도시되지 않지만, 이러한 안테나는 도 100a의 패키지(10007) 상의 안테나, 도 102의 안테나(10216', 10218', 10220') 및 다른 도면의 안테나와 유사할 수 있다.
도 105는 일부 양태에 따라, z-방향으로 높이를 얻기 위해 몰딩된 층에 홈을 갖는 몰딩 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. 도 105의 기판(10500)은 도 102의 패키지(10200)와 유사하다.
일부 양태에서, 재료(10501, 10503, 10505)는 도 102에서의 재료(10201, 10203, 10205)와 동일하거나 유사할 수 있다. 안테나(10516, 10516', 10516") 및 이산적 구성요소(10528, 10528')는 기판 층일 수 있는 층(10501)의 제 1 평행한 층 상에 또는 제 1 평행한 층 내에서 구성될 수 있다. 유사하게, 안테나 및 이산적 구성요소는 기판 층일 수 있는 제 3 평행 층(10505) 상에 또는 제 2 평행 층 내에 구성될 수 있다. 일부 양태에서, SIP(10521)는 레벨(10505)과 물리적으로 접촉하여 연결될 수 있으며, 레벨(10501, 10503, 10505) 및 SIP(10521)의 조합은 패키지-온-패키지 구성을 포함할 수 있다.
밀집되게 패킹된 전도성 수평 층 - 이 중 두 개가 (10502, 10504)로 열거됨 - 은 층(10501, 10505)에서 구성될 수 있다. 그러나, 도 102와 다르게, 일부 양태에 따라, 몰드 층(10503)에는 전도성 수평 층이 없거나 몇 개밖에 없을 수 있다. 도 105는 일부 양태에서, 요구될 수도 있는 것처럼 z-높이를 조정하도록 재료(10501) 내의 홈(10527) 내에 배치될 수 있는 커넥터(10526)를 도시한다. 도 105는 논의 중의 양태에 따라 도 102의 복수의 다이(10206, 10208) 대신 단일 다이(10506)를 도시한다. 관련 기술분야에서 통상의 기술자라면 도 102 및 도 105의 구성요소의 일부 또는 전부가 원하는 솔루션의 요건에 따라 임의의 주어진 양태에서 존재할 수도 있다는 것, 및 일부 양태가 복수의 임베디드 다이를 포함할 수도 있다는 것을 인식할 것이다. 몰드(10524)는 다이(10506) 및 비아(10514)를 캡슐화할 수 있다. 수평 상호연결부 층은 몰드 내에 없거나 또는 몇 개밖에 없다. 상호연결부는 (도 105에 도시되지 않지만, 일부 양태에서 도 103에 도시된) RDL에 의한 상호연결부일 수 있다.
도 106은 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. 위에서 논의된 바와 같이, (10606)과 같은 비아는 트렌치로서 다이를 둘러싸고 패러데이 케이지 차폐를 제공할 수 있다. 추가적인 차폐가 필요하거나 또는 만약 비아가 충분히 밀집된 형태로 이용 가능하지 않을 때, 일부 양태에 따라 기계적 실드(10602)가 RFI/EMI 차폐를 위해 그리고 열 확산을 위해 포함될 수 있다. 도106의 몰딩된 기판(10600)은 도 105의 재료(10501, 10503, 10505)와 유사하거나 또는 동일한 몰드(10624) 및 재료(10601, 10603, 10605)를 포함한다. 일부 양태에 따르면, 기계적 실드(10602)가 패키지에 납땜될 수 있다. 납땜된 실드는 최종적으로 몰드로 캡슐화될 기판의 코어 내에 납땜될 수 있음을 나타내며, 납땜 기능은 몰딩되지 않은 실드에서 대량 생산하기에 어려운 기능이다. 다이(10606)는 일부 양태에 따라, 몰드 재료에 의해 최종적으로 캡슐화되는 볼륨(10603)의 "루프(roof)" 표면에 솔더 볼(10608)에 의해 납땜된다.
도 107은 일부 양태에 따라 측방향으로 배치된 안테나 또는 안테나 배열을 갖는 패키지 무선 시스템의 적층 패키지 초박형 시스템의 사시도이다. 도 107의 시스템의 하나의 양태의 추정된 파라미터는 일부 양태를 위해 아래 표 3에 도시된다.
양면 방사
● PCB 면적 = 50 mm2
● Z-높이 = 1.25 mm
● Z 높이 브레이크다운(breakdown)
● 4L-6L BT PCB
● 상부 및 하부 상의 300 um SMT 안테나
● Cu-필러(pillar) 또는 솔더 범프
● Z-높이 감소는 아래의 함수임:
○ BOM 선택(RF 커넥터를 포함함)
○ PCB 두께(200 um -> 4L)
○ Si 두께 (100 -> 집적화를 위해 안전)
○ 기계적 실드 (낮은 위험)
○ 만약 IR 드롭이 더 양호하고 단점이 없다면 A. FL 커넥터를 사용함
○ 써멀 로우(thermal low)
● 안테나 요소의 번호
○ 상부
○ 하부
○ 측부
도 107에서, 패키지(10700)는 때로는 코어리스 기판으로 불리는 기판(10701, 10703, 10705) 아래에 있는 기계적 실드(10709)에 의해 차폐되는, 차폐된 다이(10706)를 포함하는 초박형 애플리케이션을 포함한다. 일부 양태에서, 코어리스 기판은 제조 동안 희생 재료 상의 라미네이트인 프리프레그만을 사용한다. 그래서, 경성의 희생 재료 때문에 경직성존재한다. 위에서 논의한 코어 기반 기판에서, (희생적이지 않은) 코어는 경직성을 제공하며 이에 따라 더 두껍다.이러한 맥락에서 사용되는 바와 같이, "코어리스"라는 것은 (훨씬 두꺼운 기판을 포함하는) 코어와 달리, 매우 얇은 기판을 의미한다. 재료(10701, 10703, 10705)는 코어리스 또는 코어 기반 기판의 층을 형성할 수 있다. 재료(10704)는 안테나가 성능을 위해 더 큰 볼륨을 필요로 하기 때문에 더 두껍다. 일부 양태에서, 재료(10701, 10703, 10705)는 일부 양태에 따라 초박형 프리프레그일 수 있다.
패키지(10700)는 일부 양태에서 커넥터(10707) 및 기계적 실드(10708)에 의해 차폐되는 구성요소(10710)를 더 포함할 수 있다. 기판의 상부 및 하부 상의 요소는, 논의 중의 양태에서 안테나를 배치하기 위한 공간이 거의 없도록 최대의 z-치수 및 x-치수를 차지한다. 결과적으로, 안테나는 일부 양태에 따라 표면 실장 디바이스일 수 있는 안테나 보드(10704, 10704')를 사용하여 기판의 양 측면 상에, 일부 양태에 따라, (10702)에서와 같이 측방향으로 위치될 수 있다.
안테나는 기판 위에 SMD(10704) 상에 구성되는 안테나 요소(10714-10714', 10716-10716', 및 10718-10718')를 포함하는 안테나 배열 및 기판 아래 SMD(10704') 상에 구성되는 안테나 요소(10722-10722', 10724-10724', 및 10726-10726')를 포함하는 안테나 배열일 수 있다. 초박형 기판에 인접하게 안테나를 배치하면 x-Y 및 Z 치수를 위한 추가적인 공간을 제공하여, 볼륨을 증가시키고, 이것은 위에서 논의한 바와 같이 더 양호한 대역폭과 이득 및 더 적은 손실로 이어진다.
2 x 4 배열이 도시되지만, 관련 기술분야에서 통상의 기술자라면 원하는 솔루션에 따라 기판의 상부, 하부 또는 측면 상에 N x M 배열이 구성될 수 있다는 것을 이해할 것이다. 일부 양태에서, 안테나 배열이 SMD의 상부 및 하부 상에 및 측면을 따라 위치될 때, 방사 방향은 안테나 파이어링 및 안테나 극성의 알고리즘 제어에 따라 다수의 방향 중 어느 방향으로도 제어될 수 있다.
초박형 애플리케이션의 예는 GOOGLETM GLASSTM, 얇은 헤드 셋, 매우 얇은 태블릿 등과 같은 매우 얇은 영역에 요구되는 안테나일 수 있으며, 여기서 이용 가능한 면적(real estate)은 그 이용 가능한 면적이 안테나를 위해 거의 사용되지 않을 것 같을 정도로 매우 얇을 수 있다. 이러한 환경에서, 안테나는 위에서 논의된 바와 같이 패키지에 인접하게 배치될 수 있고, 안테나의 유형 또는 배치로 인한 것뿐만 아니라 또한 안테나의 파이어링의 순서 때문에, 결과적으로 무지향성 안테나를 사용할 수 있다.
안테나 및 배열은 다이(10706)에 의해 급전될 수 있고, 초박형 코어리스 기판의 추가적인 장점은 도시되고 위에서 논의된 바와 같이, 더 얇은 재료로 인해 (공간 제한 때문에 도시되지 않은) 더 높은 밀도 라인 및 비아가 사용될 수 있다. 예를 들어, 두꺼운 재료는 일반적으로 도 100a의 비아(10020 및 10022) 및 도 102의 비아(10219, 10219')에 의해 도시될 수 있는 바와 같이, 가로질러야 하는 두께 때문에 통상 더 큰 비아를 필요로 한다. 반면에, 거의 머리털 사이즈 직경의 비아는 비아에 의해 횡단되어야 하는 거리가 훨씬 더 짧기 때문에 초박형 코어리스 기판에 매립될 수 있다.
도 108a 내지 도 108c는 일부 양태에 따라 임베디드 다이 패키지를 도시한다. 2.4 GHz, 3.6 GHz, 4.9 GHz, 5 GHz, 및 5.9 GHz 주파수 대역과 같은 Wi-Fi 주파수로 작동할 때, 다이, 피드라인(feedline) 및 안테나의 치수는 60 GHz의 WiGig 또는 5G mmWave 주파수 대역 또는 위에서 논의된 다른 WiGig 범위로 동작할 때보다 더욱 더 클 것이다. WiGig 또는 5G mmWave 주파수에서 동작할 때, Wi-Fi 주파수에서 치수 또는 피드라인에 의해 지속되는 전력 손실은 실질적으로 매우 더 커지고, 일부 양태에서는 본질적으로 견딜 수 없을 정도이다.
따라서, 감소된 기능의 다이에 매우 가깝게 위치된 "전용" 안테나 배열의 작은 그룹에 필요한 전자적인 기능만을 주로 다이에 포함시킴으로써 실질적으로 다이의 사이즈를 감소시키면 매우 짧은 급전 라인 상호연결부를 형성할 수 있고 이에 따라 더 적은 전력 손실을 가져올 수 있다. 다시 말해서, 다이의 사이즈 및 형상은 다이가 서비스하는 전용 안테나의 개수 및 전자 신호 요건에 의해 주로 설정될 것이다. 일부 양태에서, 전자 신호 요건은 다른 신호 파라미터 중에서, 하나 이상의 극성의 신호, 하나 이상의 주파수 범위 내 신호, 하나 이상의 진폭의 신호 또는 주어진 전력의 신호를 포함할 수 있다.
언급된 바와 같이, 이러한 감소된 기능은 다이 사이즈의 감소를 가능하게 하며, 이것은 차례로 다이가 이러한 전자 신호를 사용하는 전용 안테나 또는 안테나 그룹에 매우 가깝게 배치되는 것을 가능하게 한다. 이것은 더 짧은 피드라인 라우팅 및 비례적으로 더 낮은 전력 손실로 귀결된다. 일부 양태에서, 큰 다이는 일련의 매우 작은 다이로 줄어들며, 각각의 작은 다이는 기판의 상부 및/또는 하부 상의 전용 안테나 또는 전용 안테나 배열에 급전하여, 매우 가깝고, 따라서 매우 짧고 손실이 적은 상호연결부를 형성하게 된다. 일부 양태에서, 이것은 다이의 제한된 전자 기능을 사용하는 안테나에 물리적으로 매우 가까운 기판의 위치에서 기판 내에 다이를 매립함으로써 이루어질 수 있다.
도 108a는 일부 양태에 따라 복수의 다이가 특정 다이의 각각의 기능을 사용하는 안테나의 위 및 아래에 있는 기판에 매립되는 하나의 이러한 양태를 도시한다. 도 108a에서, 다이(10809) 및 (10810)에서와 같은 연관된 이산적 구성요소는 일부 양태에 따라 패키지(10801) 내에 매립된다. 안테나(10803 및 10811)는 패키지가 존재하는 이동 디바이스의 방향에 따라 안테나가 적절한 방향으로 송신해야 하므로, 기판(10801)의 상부 및 하부에 구성된다.
다이 및 안테나의 근접성 때문에, 매우 짧은 피드 메커니즘(이 도면에는 도시되지 않음)이 다이와 안테나(10803 및 10811)를 상호 연결한다. 또한, 공간 조건이 필요하면, 하나의 다이는 기판의 하나의 측면 상의 안테나(또는 안테나 배열)에 급전하도록 구성될 수 있고, 한편 제 2 다이는 기판의 다른 측면 상의 제 2 안테나(또는 안테나 배열)에 급전하도록 구성될 수 있으며, 두 세트의 안테나 또는 안테나 배열은 적절한 제어 프로그램에 의해 알고리즘적으로 구동될 수 있다.
예를 들어, 도 108a에서, 안테나(들)(10803 및 10811')를 원하는 순서, 또는 편파 또는 방향으로 파이어하는 알고리즘적으로 제어되는 프로그램으로 다이(10809)는 안테나(들)(10803)를 구동할 수 있고 반면에 다이(10809')는 안테나(들)(10811')를 구동할 수 있다. 환원하면, 하나의 큰 다이는 몇 개의 더 작은 다이로 구성되어 당면한 설계 요건을 충족시키는 임의의 원하는 순서로 파이어하도록 프로그램된 몇 개의 더 작은 다이에 가깝게 연결된 안테나(들)를 제어한다.
아이템(10813, 10813')은 밀집되게 이격되고 위에서 논의된 바와 같은 다이의 RFI/EMI 차폐를 위한 패러데이 케이지를 형성하도록 구성되는 솔더 볼, 비아, 슬러그(slug) 또는 다른 콘택과 같은 콘택일 수 있다. 다이 및 관련된 구성요소 둘레 모두의 차페를 제공하기 위해 전도성 재료로 도금된 비아, 또는 심지어 트렌치와 같은 다른 형태의 차폐 방식이 또한 사용될 수 있다.
기판(10801) 내에 매립된 차폐된 다이 및 관련된 구성요소와 전용 안테나(10803, 10819)의 이러한 조합은 임베디드 다이-전용 안테나 조합(10801-1)을 포함한다. 몇 개의 이러한 임베디드 다이-전용 안테나 조합(10801-1, 10801-2, 10801-3, ..., 10801-N)이 있을 수 있다.
도 108a에서, N은 4와 동일하지만, 임의의 적합한 개수의 이러한 조합이 패키지에 구현되어 일부 양태에서 단일 패키지만을 포함하는 다수의 임베디드 다이 서브시스템(10800)을 형성할 수 있다. 다른 양태에서, 다수의 패키지는 패키지-온-패키지 양태에 관련하여 위에서 논의된 바와 같이 적층될 수 있다. 다이 각각은 알고리즘 제어를 통해 서로 통신하여 이동 디바이스의 방향, 및 경우에 따라 원하는 편파 또는 다이버시티에 따라 주어진 시간에 어느 안테나 또는 안테나 배열이 파이어할 것인지를 결정할 수 있다. 다른 양태에서, 감소된 사이즈, 감소된 기능, (전용 안테나 또는 다이에 매우 가깝게 위치된 안테나를 서비스하는 의미의 안테나-제한된(antenna-limited) 안테나-제한된 다이의 개념은 본 명세서에서 논의되는 양태와 같은 임베디드 다이로 제한되지 않고, 위에서 논의한 적층 패키지 유형을 사용하는 양태에도 또한 구현될 수 있다.
도 108b는 도 108a의 패키지(10801)의 상부에 구성되는 N 개의 전용 안테나 배열(10801'-1, 10801'-2, 10801'-3, 10801'-N)의 평면도를 일반적으로 (10802)에서 도시하며, 여기서 일부 양태에 따라 N=4이다. 안테나 배열(10803A-19803B)은 도 108a의 임베디드 다이-전용 안테나 조합(10801-1)의 부분일 수 있는 안테나 배열을 도시하는 전용 안테나 배열(10802)을 포함한다. 도 108c는 일부 양태에 따라 도 108a의 표면(10819)의 하부 상에 구성되는 N 개의 전용 2x4 안테나 배열의 저면도를 일반적으로 (10804)에서 도시한다.
두 개의 안테나 또는 안테나 배열을 갖는 (10801-1)와 같은 임베디드 다이-전용 안테나 조합이 도시되는 하나의 양태가 설명되지만, 다른 양태에서 다른 조합이 구현될 수 있다. 예를 들어, 단일 다이는 세 개 이상의 전용 안테나 또는 안테나 배열에 급전할 수 있고, 그 다음에 다이는 그에 맞추어 전자적으로 구성될 것이다. 이러한 경우, 전용 다이는 매우 짧은 급전 라인으로 안테나가 급전될 수 있게 하기 위해, 합리적으로 가능한, 전용 안테나와의 근접성을 그대로 유지할 것이다.
또한, 다이의 수신기의 저 잡음 증폭기(LNA) 앞의 또는 다이의 송신기의 전력 증폭기(PA) 뒤의 전력 손실이 상당한 손실이라는 것을 이해하는 것이 중요하다. 이것을 방지 위해, 안테나와의 연결부가 일반적으로 매우 짧게 유지된다. 다시 말해서, 다이 내의 손실은 RF 체인이 다이의 송수신기의 PA를 떠난 후의 손실 또는 RF 체인이 다이의 송수신기의 LNA에 의해 증폭되기 전의 손실만큼 시스템에 대해 큰 부정적인 영향을 갖지 않는데, 왜냐하면 이러한 후자의 경우(PA 뒤 및 LNA 앞)에서의 손실이 전체 시스템의 신호 대 잡음비에 심각한 부정적 영향을 미칠 수 있기 때문이다. 그래서, 다이와 안테나 사이의 매우 짧은 상호연결부가 중요하며, 본 명세서에서 설명되는 임베디드 다이-전용 안테나 양태로 이어진다. 따라서, 설명된 양태는 다이의 공간적 위치를 안테나(들)에 근접하게 제공한다.
서로의 상부 상에 구성요소를 적층하는 것과 적층 패키지의 중요한 장점은 복수의 무선 장치 및 복수의 시스템이 서로의 상부에 적층될 수 있게 해주는 것이다. 일부 양태에서, 안테나는 Wi-Fi 주파수 대역 내에서 동작하는 Wi-Fi 시스템 내의 무선 장치에 연결될 수 있고, 적층 패키지 구성의 동일한 또는 상이한 패키지에 있는 다른 안테나는 mmWave 무선 기가비트(WiGig) 시스템 내 무선 장치에 연결될 수 있으며, 동일한 다이는 일부 양태에서 Wi-Fi 시스템 구성 및 mmWave WiGig 시스템 구성을 갖는다.
일부 양태에서, 실제로 다이는 복수의 다이, 예를 들어, 제 1 안테나 그룹에 연결된 Wi-Fi 동작을 위해 구성된 제 1 다이, 및 제 2 안테나 그룹에 연결된 mmWave WiGig 동작을 위해 구성된 제 2 다이를 포함할 수 있다. 위에서 논의한 바와 같이, 다이는 패키지-온-패키지 구성의 동일한 패키지 내에 있을 수 있거나, 패키지-온-패키지 구성의 상이한 패키지 내에 있을 수 있다. 또한, 패치 요소와 같은 안테나 배열이 패키지-온-패키지 구성에서 안테나 요소의 오버레이 때문에 서로 마주본다면, 그리고 안테나가 함께 파이어하도록 제어된다면, 방사는 에지-파이어 동작에서 측방향일 수 있다. 또한, 일부 양태에서 패키지의 대향 측면 상의 안테나 배열의 파이어링은 알고리즘적으로 반대 방향으로, 심지어 백팔십 도(180 °) 각도 반대로 파이어되도록 제어될 수 있고; 일부 양태에서, 패키지의 대향 측면 상의 안테나 배열의 파이어링은 알고리즘적으로 동일 방향으로 파이어되도록 제어될 수 있다.
mmWave 주파수 대역에서 이용 가능한 큰 대역폭은, 초 당 기가비트 데이터 레이트를 요구하는 무선 백홀링(wireless backhauling)과 같은 애플리케이션에 특히 관심 대상이 된다. 연방 통신 위원회(Federal Communications Commission)(FCC)는 최근에 64 GHz 내지 71 GHz 스펙트럼을 5G 유스 케이스에 개방하여, 각각 2.16 GHz 대역폭을 갖는 최대 여섯 개의 주파수 채널을 사용할 수 있게 하고 있다. 따라서, 라디오 프론트 엔드를 무선 인터페이스에 인터페이스하는 안테나는 큰 주파수 대역폭에 걸쳐 동작해야 한다.
광대역을 갖는 인쇄된 안테나 배열(printed antenna array)을 설계할 때 존재하는 어려움을 해결하기 위해, 더 두꺼운 기판이 적층 패키지 공진기와 조합되어 사용되어 임의의 인쇄된 안테나의 대역폭을 넓힐 수 있다. 일부 양태에서, 적층 패키지 패치 안테나는 안테나 대역폭을 개선하기 위해 사용될 수 있다. 보다 구체적으로, 두 개의 수직으로 적층 패키지 패치 안테나(또는 패치)는 연결된 공진기로서 작용할 수 있으며, 여기서 두 개의 공진기 사이의 결합(coupling)은 안테나의 임피던스 대역폭을 조정하도록 제어될 수 있다.
결합은 다양한 기판 두께를 사용하여 자성의 성질을 갖는 결합을 제어함으로써 제어될 수 있다. 특히, 적층 패키지 패치 사이의 높이의 증가(이것은 기판 두께의 증가와 동등함)는 더 넓은 대역폭으로 귀결될 수 있다. 적층 패키지 공진기 사이의 기판이 더 두꺼울수록 일반적으로 안테나 요소의 더 넓은 유효 대역폭으로 귀결되지만, 기판 두께의 증가는 또한 인쇄된 위상 배열의 시야에서 스캐닝 널(scanning null)을 유발할 수 있다. 본 명세서에서 설명되는 양태는 이러한 어려움을 해결하며, 세 개 이상의 용량성으로 연결된 공진기를 갖는 적층 패키지 링 공진기(stacked ring resonator)(SRR)를 포함하여 안테나 대역폭을 증가시킨다.
도 109는 일부 양태에 따라 사용하는 예시적인 적층 패키지 링 공진기(SRR) 안테나 패키지 셀의 측면도의 블록 다이어그램을 도시한다. 안테나 패키지 셀은 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 안테나 패키지 셀은 이러한 것으로 제한되지 않는다.
도 109를 참조하면, 다층 PCB 상에서 구현될 수 있는 SRR 안테나 패키지(10900)가 도시된다. SRR 안테나 패키지(10900)는 접지 평면(10902)을 형성할 수 있는 제 1 금속화 층을 포함할 수 있다. SRR 안테나 패키지(10900)는 단일 링 공진기(10906)를 형성할 수 있는 제 2 금속화 층을 포함할 수 있다. 제 3 금속화 층은 링 공진기(10910 및 10912)와 같은 추가적인 링 공진기를 형성할 수 있다. 도 109가 제 3 금속화 층에 있는 두 개의 링 공진기를 도시하지만, 본 개시내용은 이러한 관점으로 제한되지 않으며 다른 구성의 링 공진기가 이용될 수 있다. 예를 들어, 도 111에 도시된 바와 같이, 네 개의 링 공진기가 제 3 층에서 사용될 수 있다.
일부 양태에서, 접지 평면 층(10902)은 하나 이상의 PCB 층(10904)에 의해 단일 공진기(10906)로부터 분리되고, 단일 공진기(10906)는 하나 이상의 추가의 PCB 층(10908)에 의해 링 공진기(10910 및 10912)로부터 분리된다. 일부 양태에서, 단일 링 공진기(10906)는 링 공진기(10910 및 10912)에 용량성으로 결합되고, 링 공진기(10910 및 10912)는 서로 용량성으로 결합될 수 있다. 이와 관련하여, SRR 안테나 패키지(10900)의 대역폭은 동평면 링 공진기(10910 및 10912) 사이의 거리를 조정하는 것뿐만 아니라 PCB 층(10904 및 10908)의 두께를 조절함으로써 공진기 링 사이의 용량성 결합을 변경하면서 제어될 수 있다.
일부 양태에서, 복수의 안테나 패키지(예컨대, SRR 안테나 패키지(10900))는 도 114에 도시된 바와 같은 대규모의 밀리미터 파 위상 안테나 배열과 같은 안테나 배열의 안테나 셀로서 사용될 수 있다.
도 110은 일부 양태에 따라 도 109의 안테나 패키지 셀의 하나 이상의 층에서 사용될 수 있는 예시적인 링 공진기를 도시한다. 본 명세서에서 개시되는 링 공진기는 안테나 패키지 셀의 부분일 수 있으며, 공진기는 안테나 패키지의 하나 이상의 층을 차지하고, 증폭 신호 및/또는 공진 신호는 안테나 패키지 셀을 통해 수신되거나 송신된다. 도 110을 참조하면, SRR 안테나 패키지(10900)의 제 2 금속화 층의 단일 링 공진기(10906), 및 SRR 안테나 패키지(10900)의 제 3 금속화 층으로부터 동일 평면이고 용량성으로 결합된 링 공진기(10910 및 10912)가 도시된다. 일부 양태에서, SRR 안테나 패키지(10900)는 안테나 포트(11000)에서 단일 피드 라인을 사용하며, 이 포트는 단일 선형 편파를 생성하도록 단일 링 공진기(10906)에 연결될 수 있다.
도 111은 일부 양태에 따라 도 109의 안테나 패키지 셀의 하나 이상의 층에서 사용될 수 있는 상이한 편파를 사용하는 복수의 피드 라인을 예시적인 링 공진기를 도시한다. 도 111을 참조하면, SRR 안테나 패키지(10900)의 제 2 금속화 층에서 사용될 수 있는 단일 링 공진기(11102)가 도시된다. 일부 양태에서, SRR 안테나 패키지(10900)의 제 3 금속화 층은 복수의 동평면 연결된 링 공진기(11104)를 포함할 수 있다. 보다 구체적으로, 복수의 공진기(11104)는 서로 용량성으로 연결될 수 있을 뿐만 아니라 단일 링 공진기(11102)에도 용량성으로 연결될 수 있는 링 공진기(11106, 11108, 11110, 및 11112)를 포함할 수 있다.
일부 양태에서, SRR 안테나 패키지(10900)는 단일 링 공진기(11102)에서 이중 피드 라인 급전 안테나 포트(11114 및 11116)를 사용하여 두 개의 선형 직교 편파를 생성할 수 있다. 도 111에 도시된 바와 같이, 안테나 포트(11114)는 수평 신호 편파를 위해 사용될 수 있고, 안테나 포트(11116)는 수직 신호 편파를 위해 사용될 수 있다.
도 112는 일부 양태에 따라 도 109의 SRR 안테나의 E 평면의 전기장 라인(11200)을 도시한다. 도 112를 참조하면, SRR 안테나 패키지(10900)의 제 1 금속화 층과 제 2 금속화 층과 제 3 금속화 층 사이에 형성되는 전기 라인(11200)이 도시된다. 보다 구체적으로, 전기장 라인(11200)은 접지 평면(11202)과, (제 2 금속화 층 상의) 단일 링 공진기(11204)와, 두 개의 링 공진기(11206 및 11208) 사이의 용량성 결합으로 인해 형성된다. 도 112는 또한 단일 링 공진기(11204) 상의 안테나 급전 포트(11210)를 도시한다.
도 113은 일부 양태에 따라 도 109의 SRR 안테나 패키지 셀의 반사 계수 및 보어사이트 실현 이득(boresight realized gain)의 그래픽 표현이다. 보다 구체적으로, 도 113은 도 111의 SRR 안테나 토폴로지의 시뮬레이션된 성능에 기초한 반사 계수 그래프(502)(반사 손실을 나타냄) 및 보어사이트 실현된 이득 그래프(504)를 도시한다. 도 113에 도시된 바와 같이, 10 dB 반사 손실 대역폭은 55 GHz로부터 74 GHz까지, 또는 19 GHz 대역폭까지 연장된다.
또한, 3dB 보어사이트 실현 이득 대역폭은 54 GHz로부터 69 GHz까지, 또는 15 GHz 대역폭까지 연장된다. 도 113에 도시된 바와 같이, 70 GHz 위에서, 보어사이트 이득은 급속하게 감소하기 시작하고, 이 지점에서 요소는 더 이상 브로드사이드 유형의 방사 거동을 갖지 않는다. 그러므로 SRR 안테나 패키지(예를 들어, (10900))는 브로드사이드로 방사하면서 55 GHz 내지 69 GHz의 유효 대역폭 내에서 사용될 수 있다. 브로드사이드 패턴은 상부 반구에서 지향성 빔을 생성하는 위상 배열 애플리케이션에서 이익이 될 수 있다. 또한, SRR 안테나 패키지(예를 들어, (10900))는 애플리케이션에 따라, 보다 특정한 주파수 대역을 커버하도록 주파수 스케일 업 또는 다운될 수 있다.
도 114는 일부 양태에 따라 도 109의 SRR 안테나 패키지 셀을 사용하는 예시적인 안테나 배열의 블록 다이어그램을 도시한다. 도 114를 참조하면, 안테나 배열(11400)은 도 109의 SRR 안테나 패키지(10900)와 유사한 복수의 안테나 패키지 셀을 포함하는 대규모의 밀리미터 파 위상 배열 안테나이다. 일부 양태에서, 안테나 배열(11400)은 SRR 안테나 패키지 셀의 임의의 배수(예를 들어, 4 x 4, 8 x 8, 및 16 x 16)를 포함하는, 타일링된 구성(tiled configuration)으로 배열된 SRR 안테나 패키지 셀의 배열체를 포함한다. 안테나 배열(11400)(뿐만 아니라 각각의 SRR 안테나 패키지 셀(예를 들어, SRR 안테나 패키지(10900))은 (도 14에 도시된) 특정 전기장(E-필드) 벡터 및 (도 14에 도시되지 않은) 특정 자기장(M-필드) 벡터와 연관된다.
안테나 배열(11400)은 SRR 안테나 패키지(10900)와 같은 다수의 SRR 안테나 패키지를 사용하여 형성될 수 있다. 도 114는 안테나 배열(11400) 내 제 2 금속화 층(11402) 및 제 3 금속화 층(11408)을 도시한다. 제 2 금속화 층(11402)은 복수의 단일 링 공진기(11404)를 포함한다. 단일 링 공진기(11404) 각각은 제 3 금속화 층(11408) 내에서 대응하는 세트의 링 공진기(예를 들어, 네 개의 동평면의 용량성으로 결합된 링 공진기)(11410)를 갖는다.
일부 양태에서, 층(11402 및 11408) 내의 링 공진기의 요소간 간격은 0.5λ로 설정될 수 있지만, 안테나 배열(11400)의 스캐닝 범위 요건에 기초하여 변경될 수 있다.
일부 양태에서, 구성된 패키지 상에서 금속 밀도를 균등하게 하기 위해, 비공진 다이폴(non-resonant dipole)(또는 더미(dummy) 금속 스트립)(11406 및 11412)이 인접한 공진기 요소 사이에 추가될 수 있다. 안테나 배열(11400)에서, SRR 안테나 공진기(예를 들어, 11404)는 하나의 단일 선형 편파(일부 예에서, 이중 편파가 대신 사용될 수 있음)를 형성하는 단일 안테나 포트로부터 급전될 수 있다. 도 114에 도시된 바와 같이, 비공진 다이폴(11406 및 11412)은 E-필드 벡터에 직교하여 방사 요소와 비공진 다이폴 사이의 결합을 감소시킨다.
도 116은 일부 양태에 따라 도 109의 SRR 안테나 패키지 셀의 적층체의 블록 다이어그램을 도시한다. SRR 안테나 패키지 셀(11600)은 각각 (11604-11622)으로 참조되는 열 개의 기판 층(Ml-M10)을 사용하여 형성될 수 있다. SRR 안테나 패키지 셀(11600)은 상부 기판 층(11622) 상에 배치된 동평면 링 공진기(11636), 기판 층(11618) 내에 배치된 단일 링 공진기(11634), 기판 층(11614) 내에 배치된 안테나 접지 평면(11632), 기판 층(11612) 내에 배치된 안테나 피드(11630), 기판 층(11616, 11618, 11620, 및 11622) 상에 배치된 비공진 다이폴(11638) 및 기판 층(11604 및 11614) 사이에 배치된 임피던스 변환기(예를 들어, 동축 임피던스 변환기(11640))를 포함한다.
SRR 안테나 패키지 셀(11600)은 단일 라우팅을 제공하는 열 개의 기판 층을 포함하지만, 양태는 이렇게 제한되지 않으며 안테나 패키지 셀(11600)은 상이한 개수의 기판 층을 포함할 수 있다. 일부 양태에서, 안테나 패키지 셀(11600)의 기판 층(예를 들어, 11604-11612)은 안테나 패키지 셀(11600)의 휘어짐을 경감시키기 위해 스택-업 대칭(stack-up symmetry)을 제공한다. SRR 안테나 패키지 셀(11600)은 PCB와 같은 표면 상에서 구현될 수 있다.
일부 양태에서, SRR 안테나 패키지 셀(11600)은 안테나 배열(예를 들어, 도 114에 도시된 바와 같은 위상 안테나 배열)의 서브배열의 부분으로서 서브배열 요소이다. 특정 양태에서, SRR 안테나 패키지 셀(11600)은 동축 임피던스 변환기(11640)를 통해 집적 회로, 예를 들어 무선 주파수 집적 회로(RFIC)(11602)의 복수의 포트 중 하나에 연결된다. 그러나, 양태는 이렇게 제한되지 않으며, SRR 안테나 패키지 셀(11600)은 더 큰 또는 더 작은 서브배열의 서브배열 요소일 수 있고, 다른 방법을 통해 RFIC에 연결될 수 있다. 또한, 각각의 서브배열은 일부 양태에서 위상 배열 안테나(예를 들어, 대규모의 mmWave 통신을 위한 위상 배열 안테나)를 구축하도록 구성될 수 있다.
특정 양태에서, 안테나 피드(11630)는 기판 층(11614) 상의 접지 평면에 인접하게 기판 층(11612) 상에 배치된다. 또한, 일부 양태에서, 안테나 피드(11630)는 임피던스 변환기(11640)에 연결된다. 임피던스 변환기(11640)에 연결함으로써, 안테나 피드(11630)는 SRR 안테나 패키지 셀(11600)에 의해 송신하기 위한 RF 신호를 수신하거나 또는 안테나 피드(11630)에 RF 신호, 예를 들어 SRR 안테나 패키지 셀(11600)에 의해 수신된 RF 신호를 송신할 수 있다. 일부 양태에서, 임피던스 변환기는 복수의 기판 층(예를 들어, 기판 층(11604-11612)) 내에 배치된 복수의 비아를 포함한다. 이러한 비아는 복수의 기판 층(예를 들어, 기판 층(11604-11612))을 통해 안테나 피드(11630)에 (예를 들어, RFIC 범프(11603)를 통해) RFIC(11602)를 연결할 수 있다. 특히, 임피던스 변환기(11640)의 비아는 RFIC(11602)를 안테나 피드(11630)에 연결하는 하나의 비아를 포함할 수 있다.
일부 양태에서, SRR 안테나 패키지 셀(11600)의 안테나 피드(11603)는 25Ω 스트립라인으로 설계된 등위상(equiphase) 피드 분배 네트워크로부터 급전될 수 있다. 임피던스 시스템은 전통적인 50Ω 스트립라인에 비해 스트립라인 쪽의 저항 손실을 감소시키기 위해 25Ω으로 선택될 수 있다.
일부 양태에서, SRR 안테나 패키지 셀(11600)은 기판 층(예를 들어, 층(11616-11622) 중 하나 이상) 상에 배치된 복수의 비공진 다이폴(11638)을 더 포함한다. 일부 양태에서, 비공진 다이폴(11638)은 SRR 안테나 패키지 셀(11600)의 금속 밀도를 증가시킬 수 있고, 이것은 휘어짐을 경감시킬 수 있다. 또한, 비공진 다이폴(11638)은 SRR 안테나 패키지 셀(11600)의 전기장에 직교하여 기판 층(11616-11622) 중 하나 이상의 층 상에 배치되어 비공진을 보장할 수 있다.
일부 양태에서, RFIC(11602)는 링 공진기(11636 및 11634), 안테나 피드(11630) 및 임피던스 변환기(11640)로부터 SRR 안테나 패키지 셀(11600)에 필요한 RF 신호를 수신하도록 구성된다. 또한, 일부 양태에서, RFIC(11602)는 링 공진기(11634 및 11636), 안테나 피드(11630) 및 임피던스 변환기(11640)를 통해 SRR 안테나 패키지 셀(11600)로부터 RF 신호를 송신하도록 구성된다. 일부 양태에서, RFIC(11602)가 플립 칩 접합(flip-chip attachment)을 통해 SSR 안테나 패키지 셀(11600)에 부착되지만, 양태는 이렇게 제한되지 않는다. RFIC(11602)는 (예를 들어, 무선 통신 디바이스 내) SRR 안테나 패키지 셀(11600)의 부분일 수 있거나, 또는 SRR 안테나 패키지 셀(11600)로부터 분리되고 SRR 안테나 패키지 셀(11600)에 동작 가능하게 연결될 수 있다. 또한, 일부 양태에서, RFIC(11602)는 SRR 안테나 패키지 셀(11600)에 의해 수신되고 이로부터 송신되는 통신 신호를 처리하기 위한 제어 신호 및 기저대역 신호를 수신하는 제어 및 기저대역 회로에 동작가능하게 연결될 수 있다.
도 115는 일부 양태에 따라 예시적인 SRR 안테나 패키지 셀(예를 들어, 11600)을 구성하는 한 세트의 층(11500)을 도시한다. 보다 구체적으로, 도시된 기판 층(11502-11520)은 도 116의 기판 층(11604-11622)에 대응한다. 도 115에 도시된 바와 같이, 동평면 링 공진기(11528)는 상부 기판 층(11520)에 위치되는 한편, 단일 링 공진기(11526)는 기판 층(11516)에 위치되어, 한 세트의 적층 패키지 링 공진기를 형성한다. 단일 링 공진기(11526)는 기판 층(11510)에 위치되는 안테나 피드(11522)에 연결된 안테나 포트(11524)를 포함한다.
일부 양태에서, SRR 안테나 패키지 셀(11600)은 기판 층(11514-11520) 상에 배치된 복수의 비공진 다이폴(11530)을 더 포함한다. 도 116에 유사하게, 비공진 다이폴(11530)은 기판 층들(11514-11520) 사이의 금속 밀도를 증가시킴으로써 SRR 안테나 패키지 셀(11600)의 휘어짐을 경감시키기 위해 사용될 수 있다. 비공진 다이폴(11530)은 SRR 안테나 패키지 셀(11600)의 전기장에 직교하여 배치되어 비공진을 보장할 수 있다.
도 117은 일부 양태에 따라 도 109의 SRR 안테나 패키지 셀용의 피드 라인으로서 사용될 수 있는, 복수의 스트립라인의 블록 다이어그램을 도시한다. 도 117을 참조하면, 접지 평면 층 사이에 배치되는, 기판 층(M5)(또는 도 115의 (11510))의 피드 라인의 다른 도면(11700)이 도시된다. 보다 구체적으로, 층(M5)은 접지 평면 층(M4(11508) 및 M6(11512)) 사이에 배치되는 복수의 스트립라인(예를 들어, 11702)을 포함할 수 있다. 예를 들어, 도 117은 접지 평면 층 중 하나(예를 들어, M4 또는 11508)의 금속화 표면(11708)을 도시한다. 스트립라인(11702)은 비금속화 영역(11710) 내에 위치되고, 복수의 접지 비아(11704)에 의해 차폐될 수 있다. 일부 양태에서, 각각의 스트립라인(11702)은 25Ω 스트립라인일 수 있다. 참조 번호(11702)가 도 117에서 단지 두 개의 스트립라인에 연결되어 있지만, 도 117에 도시된 나머지 스트립라인도 또한 참조 번호 (11702)로 참조된다(유사하게, (11704)는 도시된 모든 접지 비아를 가리키고, (11706)은 모든 스트립라인 벤드(bend)를 가리키고, (11710)은 도 117의 모든 비금속화 영역을 가리킨다).
SRR 안테나 패키지 셀(11600)의 피드 네트워크는 mmWave 주파수 체제에서 RFIC(11602)와 방사 요소(예를 들어, 11634 및 11636) 사이에서 손실의 소스일 수 있다. 일부 양태에서, 안테나 배열(예를 들어, 다수의 SRR 안테나 패키지 셀을 사용하는 도 114에 도시된 것과 같은 배열) 내의 각각의 SRR 안테나 패키지 셀용의 각각의 25Ω 스트립라인(11702)은 배열 내 모든 안테나 요소에 대해 동일한 삽입 위상(insertion phase)을 보장하도록 동일한 길이일 수 있다. 또한, 안테나 배열 내 각각의 SRR 안테나 패키지 셀용의 각각의 25Ω 스트립라인(11702)은 (예를 들어, 오버몰딩을 방지하기 위해) 접지 비아(11704)에 의해 차폐될 수 있다. 또한, 안테나 배열 내 각각의 SRR 안테나 패키지 셀용의 각각의 25Ω 스트립라인(11702)은 안테나 배열의 모든 피드 라인을 위한 주파수에 대해 평탄한 삽입 위상 응답을 보장하도록 부드러운 벤드(예를 들어, 벤드(11706)는 임의의 날카로운 각도의 벤드를 포함하지 않음)로 PCB 패키지 상에서 라우팅될 수 있다.
RF 통신 시스템은 종종 반도체 다이 상에 형성된 서브시스템(예를 들어, 전압 제어 발진기(VCO), 전력 증폭기, 송수신기, 모뎀, 안테나 서브시스템 등)을 활용한다. 휴대용 디바이스에 적용되는 바와 같은 증가하는 수의 무선 통신 표준은 안테나의 설계에 대해 중대한 과제를 유발할 수 있다. 안테나는 기본적으로 휴대용 디바이스 내 다른 구성요소와 다를 수 있는 카테고리의 구성요소를 제시하고 있다. 예를 들어, 안테나는 자유 공간에서 효율적으로 방사하도록 구성될 수 있는 반면, 다른 구성요소는 주위로부터 절연될 수 있다.
(고속 근거리 링크를 위한) 밀리미터 파(mmWave) 주파수에서 동작하는 안테나는 인기를 얻을 것으로 예상된다. 동작하는 통신 시스템의 하나의 예는 적합한 회로, 로직, 인테페이스 및/또는, 60 GHz 주파수 대역에서 동작하는 무선 기가비트 연합(WiGig)으로 불리는 코드 파 주파수를 포함할 수 있다. 또한, mmWave 무선 시스템의 사용은 5G 셀룰러 무선과 같은 표준을 위해 주요한 역할을 하도록 계획된다. 전형적으로 이러한 근거리 mm-파 무선 시스템은 송신기와 수신용 안테나 사이에 방해 받지 않은 시선(unobstructed line-of-sight)(LOS)를 필요로 한다. LOS 요건에 의하면, 송신 및 수신 안테나의 방향은 이들 각각의 메인 로브(main lobe)가 최대 무선 링크를 위해 서로 마주하는 것을 필요로 할 수 있다. 랩톱 컴퓨터, 태블릿, 스마트 폰 등과 같은 이동 디바이스의 현재의 안테나 설계는 커버리지에서 제한되고 mmWave 동작 주파수에서 큰 손실을 발생한다. 또한, 적합한 회로, 로직, 인터페이스 및/또는 코드 파 통신 시스템을 포함할 수 있고, 종종 안테나 시스템 내에서 도파관을 사용할 수 있다. 통신을 위한 도파관의 사용은 적합한 회로, 로직, 인터페이스 및/또는 코드 파 신호를 포함할 수 있지만, 고가일 수 있는 정밀한 마이크로 가공된 구성요소와 연관된다.
본 명세서에서 설명되는 도파관 구조는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 도파관 구조는 이러한 것으로 제한되지 않는다. 도 118a는 일부 양태에 따른 복수의 도파관 안테나를 사용하는 예시적인 이동 디바이스를 도시한다. 도 118a를 참조하면, 이동 디바이스(11800)는 도파관(11808)를 통해 신호를 무선으로 송신하거나 수신하기 위해 사용될 수 있는 무선 주파수 프론트 엔드 모듈(RFEM)(11802)을 포함할 수 있다. 일부 양태에서, 도파관(11808)는 디바이스(11800) 내부에서뿐만 아니라 디바이스(11800)의 외부에서도 지향적으로 밀리미터 파 무선 신호(예를 들어, WiGig 또는 5G 셀룰러 신호)와 같은 무선 신호를 통신하기 위해 사용될 수 있다. 도 118a에 도시된 바와 같이, 네 개의 별개의 도파관(11808)가 안테나로서 사용되어, 디바이스(11800) 외부의 상이한 안테나 로브에서 신호를 가이드할 수 있다.
도 118b는 일부 양태에 따른 도파관 전이 요소를 갖는 예시적인 무선 주파수 프론트 엔드 모듈(RFEM)을 도시한다. 도 118b를 참조하면, RFEM(11802)은 송수신기 서브시스템(11804), 전이 구조(11806) 및 도파관(11808)를 포함한다. 일부 양태에서, 무선 신호는 전이 구조(11806)를 통해 송수신기(11804)와 도파관(11808) 사이에서 전달될 수 있다. 전이 구조(11806)는 송수신기(11804) 및 도파관(11808)로부터/로 무선 신호를 전달하는 스트립라인 사이에서 전이를 위해 사용될 수 있다.
도파관(11808)은 전도성 재료로 코팅된 저손실 플라스틱 재료(예를 들어, 금속-코팅 테프론 재료 또는 다른 재료)로 만들어질 수 있다. 전이 구조(11806)는 접지된 커넥터 새시 내부에 급전 프로브를 갖는 마이크로 가공된 커넥터 또는 어댑터를 사용할 수 있다. 사용될 수 있는 신호 급전 기술은 도파관 내부에 배치된 마이크로스트립 패치 안테나의 근접장 결합(near field coupling)을 포함한다. 그러나, 전이 구조(11806)는 마이크로 가공된 커넥터 또는 어댑터 때문에 제조하는데 비용이 많이 들 수 있다.
일부 양태에서, (도 119a 내지 도 123과 관련하여 여기서 개시되는 바와 같은) 상이한 유형의 도파관 전이 구조는 PCB(또는 다른 기판) 상의 전송 선로로부터 도파관로 무선 신호(예를 들어, mmWave 무선 신호)를 급전하기 위해 사용될 수 있다. 전이 구조는 다층 PCB 상의 평탄한 전송 선로와의 연결부를 갖는 피드 프로브(feed probe)(예를 들어, 전기 또는 자기장 피드 프로브)를 포함할 수 있다. 도파관 전이 구조의 더 큰 부분은 PCB와 도파관 사이의 접지 연결부 및 PCB에 장착되는 금속 어댑터로 구현될 수 있는 도파관와의 기계적 장착 및 기계적 지지부를 포함할 수 있다. 어댑터는 PCB에 대해 (도면에 도시된 바와 같이) 스크류를 사용하여 장착되거나 또는 납땜될 수 있다. 전이 구조의 가장 작은 부분(예를 들어, 피드 프로브)이 PCB 안에 구현되기 때문에, 제안된 도파관 전이 구조 구성은 기계 가공이 어렵고 비쌀 수 있는 소형의 공차-민감성 부분을 사용할 필요가 없을 수 있다.
도 119a 및 도 119b는 일부 양태에 따른 도파관 안테나와 PCB 사이의 전이를 위한 도파관 구조의 사시도를 도시한다. 도 119a를 참조하면, 도파관(11906)과 PCB(11902) 사이의 전이를 위해 사용되는 어댑터(11904)의 분해도(11900)가 도시된다. PCB(11902)는 접지 층(11910a 및 11910b) 사이에 다수의 층(11908)을 포함할 수 있다. 전송 선로(11918)는 PCB(11902)의 한 면(예를 들어, 층(11910a)) 상에 배치될 수 있고, 도파관(11906)와 송수신기 서브시스템(예를 들어, 11804) 사이에서 밀리미터 파 무선 신호를 전달하기 위해 사용될 수 있다. 전송 선로(11918)는 마이크로스트립 라인, 스트립 라인 또는 동평면 도파관 전송 선로를 포함할 수 있는 평탄한 전송 선로일 수 있다. 일부 양태에서, 전송 선로(11918)는 접지가 있는(ground-backed) 동평면 도파관(coplanar waveguide)(CPW) 전송 선로일 수 있다. 일부 양태에서, 전송 선로(11918)는 동축 도파관 또는 다른 도파관와 같은 평탄하지 않은 유형의 전송 선로일 수 있다. 또한, 전송 선로(11918)는 기판 층(11908)의 DL 전기 층에 의해 접지 평면(예를 들어, 층(11910A))으로부터 분리된 전도성 구성요소를 포함할 수 있다. 전송 선로(11918)는 도파관(11906)로 및 이로부터 신호를 전달하기 위한 (예를 들어, 도 120a 내지 도 120b에 도시된 바와 같은) 피드 프로브를 포함할 수 있다.
PCB(11902)는 PCB(11902) 및 도파관(11906)가 어댑터(11904)를 통해 장착될 때 도파관(11906)를 수용하기 위해 사용될 수 있는 절개부(11912)를 더 포함한다. 어댑터(11904)는 스크류(11914)를 통해 또는 다른 수단을 통해 PCB(11902)에 장착될 수 있다(예를 들어, 어댑터(11904)는 PCB(11902)에 다른 수단을 통해 접착되거나 부착될 수 있다).
도파관(11906)은 저손실 재료(예를 들어, 테프론)로 만들어질 수 있고 금속화(또는 금속성) 층(11916)에 의해 덮일 수 있다. 어댑터(11904)는 PCB(11902) 및 도파관(11906)가 어댑터(11904)를 통해 연결될 때 금속화 층(11916)이 PCB(11902)의 접지 층(예를 들어, 11910a 및 11910b)에 연결될 수 있도록 금속으로 제조될 수 있다. 도 119b는 PCB(11902), 어댑터(11904) 및 도파관(11906)의 조립된 도면(11920)을 도시한다.
도 120a, 도 120b 및 도 120c는 일부 양태에 따른 도 119a 내지 도 119b의 도파관 전이 구조의 다양한 단면도를 도시한다. 도 120a를 참조하면, 도파관(11906) 및 PCB(11902)에 부착되는 어댑터(11904)의 단면도(12000)가 도시된다. 일부 양태에서, PCB(11902)는 접지 비아 펜스(12010)를 형성하는 복수의 비아를 포함할 수 있다. 접지 비아 펜스(12010)의 적어도 일 부분은 접지 평면 층(11910a 및 11910b)을 연결할 수 있다.
도 120b 및 도 120c는 스크류(11914)를 사용하여 PCB(11902) 및 도파관(11906) 상에 장착된 어댑터(11904)를 포함하는 도파관 구조의 추가 뷰(12002 및 12004)를 도시한다. 도면(12002)에 도시된 바와 같이, 어댑터(11904)는 어댑터(11904)가 PCB(11902) 상에 장착될 때 전송 선로(11918)를 수용하기 위한 개구(12016)를 포함할 수 있다. 일부 양태에서, PCB(11902)는 피드 프로브(12012)를 형성하도록 전송 선로(11918) 및 PCB(11902)를 통해 도금된 비아 중 하나 이상 더 포함할 수 있다. 피드 프로브(12012)는 도파관(11906)와 피드 라인(11918) 사이에서 무선 신호를 전달하기 위해 사용될 수 있다. 이와 관련하여, 전송 선로(11918)의 일 부분은 접지 비아 펜스(12010) 및 금속 어댑터(11904)를 통해 도파관의 접지 부분(예를 들어, 금속화 층(11916))에 연결될 수 있다(예를 들어, 전기 콘택은 도파관(11906)의 접지 평면 층(11910a, 11910b), 접지 비아 펜스(12010), 금속 어댑터(11904) 및 금속화 층(11916) 사이에 형성된다).
일부 양태에서, PCB(11902) 및 도파관(11906)이 어댑터(11904)에 장착될 때 PCB 전송 선로-대-도파관 전이 어댑터(11904)는 PCB(11902)의 에지(예를 들어, 피드 프로브(12012)의 위치에 가까운 PCB의 에지)와 도파관(11906)의 에지 사이에 형성된 에어 갭(12014)을 더 포함할 수 있다. 에어 갭(12014)은 임피던스 매칭을 위해 가변적인 치수(예를 들어, 폭, 높이 및/또는 깊이)를 가질 수 있다.
도 121a, 도 121b 및 도 121c는 일부 양태에 따른 임피던스 매칭 에어 캐버티를 포함하는 도 119a 내지 도 119b의 도파관 전이 구조의 다양한 사시도를 도시한다. 도 121a, 도 121b 및 도 121c를 참조하면, PCB(11902), 어댑터(11904) 및 도파관(11906)을 포함하는 웨이브 전이 구조의 추가 뷰(12100, 12102, 및 12104)를 도시한다. 보다 구체적으로, 뷰(12102 및 12104)는 접지 비아 펜스(12010) 및 피드 프로브(12012)에 관련한 공기 갭(12014)의 위치를 도시한다.
도 122는 PCB 및 도파관이 일부 양태에 따라 도 119a 내지 도 119b의 웨이브 전이 구조를 통해 장착될 때 에어 캐버티의 다른 뷰를 도시한다. 도 122를 참조하면, 다이어그램(12200)은 PCB(11902), 에어 갭(또는 캐버티)(12014), 및 테프론 도파관(11906)의 비유전율(Er)을 도시한다. 보다 구체적으로, 비유전율(Er)=1 은 에어 갭(12014) 내 공기와 연관되고, 비유전율(Er)=3 은 PCB(11902) 및 도파관(11906)와 연관된다. 도 122에 도시된 바와 같이, 인터페이스 경계에서 (예를 들어, PCB(11902)와 에어 갭(12014) 사이의 경계 및 공기 갭(12014)과 도파관(11906) 사이의 경계에서) 반사 신호(12202 및 12204)는 PCB(11902)와 도파관(11906) 사이에서 전파되는 두 개의 임피던스 신호 파로서 도시될 수 있다. 따라서, 에어 갭(12014)의 치수를 조절함으로써, 임피던스 매칭을 위해 임피던스가 변경될 수 있다.
도 123은 일부 양태에 따른 에어 갭에 관련하여 반사 계수 값의 시뮬레이션 결과의 그래픽 표현을 도시한다. 보다 구체적으로, 그래픽 다이어그램(12300)은 에어 갭(12014)의 폭과 관련하여 반사 계수(S11)의 값을 도시하는 예시적인 시뮬레이션 결과를 도시한다. 도 123에 도시된 바와 같이, 에어 갭(12014)은 어댑터(11904)를 사용하는 전이 구조의 임피던스 매칭을 최적화하기 위해 사용될 수 있다. 일부 양태에서, 폭 이외의 에어 갭 치수, 또는 에어 갭 형상이 임피던스 매칭을 위해 변경될 수 있다.
편파 다중-입력 다중-출력(MIMO) 안테나 구조 및 편파 다이버시티는 미래의 5G mmWave 무선 통신을 위한 극도로 높은 데이터 레이트의 핵심 인에이블러(key enabler) 중 하나가 되도록 계획된다. 이것은 mmWave 무선 통신 시스템에서 사용하기에 적합한 이중 편파된 안테나 및 안테나 배열에 대한 필요성을 만들어낸다.
이전의 솔루션은 이중 편파된 방사 반응을 생성하기 위해 복잡한 또는 상대적으로 복잡한 피드 네트워크 또는 안테나 패턴 형상을 갖는 다양한 유형의 평탄한 마이크로스트립 및 인쇄된 다이폴 안테나를 포함한다. 5G 및 WIGIG 편파 MIMO 시스템을 위한 최적의 또는 개시된 특성을 제공하기 위해, 안테나는 신호 피드 포트 사이에서 높은 격리를 갖는 거의 순수한 이중 편파된 반응을 보여야 한다. 또한, 안테나는 사이즈가 작아야 하고, PCB/실리콘 안으로 집적되는 것이 용이해야 하며 안테나 배열 내에서 단일 안테나 요소로서 이용가능하여야 한다. 두 번째 문제는 PCB/기판 집적 안테나에서 발생하는 유해한 손실적인 기판 파(substrate wave)의 여기(excitation)를 방지하는 것이다. 이전의 솔루션은 이중 편파된 방사 반응을 생성하기 위해 복잡한 또는 상대적으로 복잡한 피드 네트워크 또는 안테나 패턴 형상을 갖는 다양한 유형의 평탄한 마이크로스트립 및 인쇄된 다이폴 안테나를 포함한다.
위의 필요성에 대한 하나의 솔루션은 일부 양태에 따라, 공유 다이폴 아암을 갖는 두 개의 90도 폴디드 다이폴(folded dipole) 안테나를 사용하는 것이다. 이러한 적합하게 위치설정된 다이폴의 안테나 구조는 직교 편파된 안테나 쌍을 생성한다. 다이폴의 신호 피드가 잘 평형화될 때 안테나 사이에는 매우 약한 결합이 존재한다. 위에서 논의한 안테나는 안테나 구조가 다층 PCB 또는 기판 내에 집적되는 양태에서 구현될 수 있다. 또한, 기판 파 여기는 설명되는 안테나 구조의 평탄한 다이폴 아암 스트립에 적절하게 위치설정된 PCB 홀 및 안테나 영역에서의 PCB 유전체에 적절하게 위치설정된 PCB 홀을 설계함으로써 방지되거나 실질적으로 감소될 수 있다. 일부 양태에서, 표 4의 시뮬레이션된 구성 파라미터가 달성될 수 있다.
편파 기울어진 45 도
단일 안테나 요소 10 dB 임피던스 대역폭 2.5 GHz
주파수 범위 27.0 GHz - 29.5 GHz
중심 주파수 fc = 28.25 GHz
기준 임피던스 50 Ohms
단일 안테나 요소 실현된 이득 4-5 dBi
이득(메인 방향에서 +/- 60°쎄타/파이) 1-2 dBi
이득(메인 방향에서 +/- 90°쎄타/파이 -1-0 dBi
교차 편파 비율(반 전력(half power) 빈(bean) 폭) > 20 dB
전체 효율 > 0.8 (> -1 dB)
배열 요소 대 요소 격리 > 20 dB
아래에 설명되는 안테나 구조는 공유 안테나 아암이 매우 소형인 안테나 구조로 이중 편파된 반응을 달성할 수 있게 한다는 것과, 다이폴의 평형화된 특성이 별도의 노력 및 복잡성 없이 안테나 사이에 낮은 상호 결합을 제공한다는 것과, 이중 편파된 응답이 복잡한 급전 및 임피던스 매칭 네트워크 없이 달성된다는 것에서 위의 필요성에 대한 현재 알려진 솔루션에 대한 개선을 제공한다. 개시된 안테나 구조의 다른 개선은 PCB 또는 다른 유형의 다층 기판에 집적되는 것이 매우 용이하다는 것이다. 또한, 안테나의 평탄한 부분에 홀을 배치시키는 간단한 기술은 유해한 기판 파를 억제하는데 사용될 수 있다. 이러한 홀은 또한 안테나 구조 내 유전체 손실을 감소시킨다. 또한, 개시된 안테나 구조는 소형 안테나 배열의 빌딩 블록으로서 용이하게 사용된다. 관련 기술분야에서 통상의 기술자라면 전술한 개선이 하나 이상의 양태에서 달성될 수 있고, 본 명세서에서 설명되는 다양한 양태의 안테나 구조가 당면한 설계 문제에 따라 전술의 개선의 전부 또는 일부를 제공할 수 있다는 것을 인식할 것이다.도 124는 일부 양태에 따라 이중 편파된 안테나 구조를 도시한다. 안테나 구조(12400)는 두 개의 90도 폴디드 다이폴 안테나(12401, 12403)를 포함한다. 안테나 구조(12400)는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 도파관 구조는 이러한 것으로 제한되지 않는다. 폴디드 다이폴(12401)은 평탄한 아암(12402) 및 수직 아암(12410)을 포함한다. 폴디드 다이폴(12403)은 평탄한 아암(12406) 및 수직 아암(12410)을 포함한다. 폴디드 다이폴(12401, 12403)은 나란히 배치된다. 나란한 배치는 직교 편파된 안테나 쌍을 만든다.
각각의 다이폴(12401, 12403)은 자기 자신의 "안테나 아암"(12410), 및 (12404, 12408)에서 상징적으로 도시된 개별 피드를 갖는다. 안테나 아암(12410)은 두 다이폴 모두에 대해 공통이다. 다시 말해서, 아암(12410)은 일부 양태에 따라 두 개의 폴디드 다이폴의 공유된 안테나 아암이다. 도 124에 도시된 바와 같이, 각각의 폴디드 다이폴은 45 도 기울어진 선형 편파를 생성할 것이다. 두 개의 이러한 나란한 다이폴은 아래에서 추가적으로 상세히 논의되는 직교 편파 안테나 쌍을 생성할 것이다. 또한, 만약 두 개의 안테나가 잘 평형을 이룬다면, 안테나 사이에는 거의 결합이 없을 것이다.
도 125a 내지 도 125c는 일부 양태에 따라, 다층 PCB 상에 구현되는 도 124의 이중 편파된 안테나 구조를 도시한다. 하나의 양태에서, 도시된 다이폴은 대략 29 GHz의 주파수에서 동작하도록 구성된다. 도 125a 내지 도 125c에서, PCB(12503)는 대략 20 x 10mm의 접지 평면을 포함하며 안테나 구조(12511)가 집적되는 부분이다. 도 125c에서 열거되지만 각각의 도 125a 내지 도 125c에서 도시되는 다이폴 아암(12502, 12506)은 각각의 안테나가 논의 중의 양태에 따라, 대략 2 mm 길이의 평탄한 PCB 구리 스트립으로 구현되기 때문에, 특유하다. 두 안테나 모두에 의해 공유되는 수직 다이폴 아암(12510)은 PCB 상에 장착된 대략 2.5 길이의 수직 금속 바로 구현된다. 평탄한 다이폴 아암(12502, 12506) 상의 PCB 내 홀(12507)은 유해한 기판 파의 여기를 방지하거나 감소시키기 위해 사용된다. PCB(12503) 및 연장부(12509)는 물리적으로 동일한 PCB이다. 다시 말해서, 연장부(12509)는 단지 PCB 아웃라인의 형상이다.
도 126은 일부 양태에 따라, 도 125a 내지 도 125c에 도시된 안테나 구조의 시뮬레이션된 S-파라미터를 도시한다. 도 125a의 (12511)로서 열거되지만 도 125a 내지 도 125c의 각각에 도시된 안테나 구조는 CST MICROWAVE STUDIOTM(CSTTM MWSTM) 전자기 시뮬레이션 소프트웨어(SW)를 사용하여 시뮬레이션되었다. 이러한 특정 양태에서 설명되는 시뮬레이션에 대해, 이 예에서 PCB(12503) 재료는 FR4(=4.4)이고 모든 전도체의 재료는 구리이다. 시뮬레이션된 S-파라미터는 도 126에서 제공된다. 결과는 두 안테나(예를 들어, 상징적으로 도 124의 12401, 12403 및 도 125a 내지 도 125c에서 개략적으로 12501, 12503)가 29 GHz 대역에서 잘 임피던스 매칭되고 안테나 사이의 결합이 낮다는 것을 보여준다.
도 127a 및 도 127b는 일부 양태에 따라, 도 125a 내지 도 125c에 도시된 안테나 구조의 시뮬레이션된 원거리 장(far-field) 방사 패턴을 도시한다. 다이폴의 방사는 일부 양태에 따라, 메인 편파(main polarization) 성분보다 10 내지 30 dB 더 낮은 교차 편파(cross polarization) 성분으로 직교 편파된다. 이러한 PCB 구현에서 두 다이폴(12501, 12503)은 모두 대략 4 dBi의 최대 지향성 및 대략 3 dBi의 최대 이득을 갖는다. 시뮬레이션 결과는 안테나 구조(12511)가 의도된 방식으로 작동한다는 것을 나타낸다.
원하지 않는 유해한 표면 또는 기판 파 모드로의 전력 누설은 mmWave 회로에서 문제가 될 수 있다. 실제로 이것은 RF 전력이 기판 내로 누설되어, 추가적인 유전체 손실을 유발하고 방사 패턴 및 안테나의 편파를 파괴하는 것을 의미한다. 이러한 안테나 구조(12511)에서 표면 파 및 기판 파의 여기는 안테나 영역 상의 PCB에 홀을 드릴링함으로써 방지되거나 감소된다. 도 128a는 일부 양태에 따라, 표면 파 홀이 다이폴 아암의 평탄한 아암에 드릴링된 도 125a 내지 도 125c의 안테나 구조의 평면도를 도시한다. 도 128b는 일부 양태에 따라, 다른 구성으로 표면 파 홀이 드릴링된 도 125a 내지 도 125c의 안테나 구조의 평면도를 도시한다. 도 128a는 홀 - 이들 중 하나는 (12807)로 열거되고, 일부 양태에서 이들은 직경이 0.2 mm 임 - 이 평탄한 다이폴 아암(12802, 12806)에 위치되어 홀이 대략 최대 전기장의 영역에 위치되는 기술을 도시한다.
도 128b에는 표면 및 기판 파 여기를 더욱 억제하기 위해 영역(12812, 12814, 12816)에서 안테나로부터 떨어진 PCB에 추가적인 홀이 있는 대안적인 구현예가 도시된다. 다이폴 구조에서, 전기장 최대 위치는 전형적으로 다이폴 아암의 개방 단부 근처이다. 그러나, 이러한 양태에서, 가능한 안테나에 가까운 손실적 재료를 많이 제거하는 것이 일반적으로 유리하기 때문에, 홀은 안테나의 다른 덜 임계적인 영역에도 또한 배치된다. 손실적 재료는 기판을 포함하며 일부 양태에서 기판은 Flame Retardant 4(FR4)이다.
도 129는 일부 양태에 따라 이중 편파된 안테나 구조의 대안적인 구현예를 도시한다. 도 130a는 일부 양태에 따라 도 129의 안테나의 평면도를 도시하는 한편, 도 130b 및 도 130c는 일부 양태에 따라 도 129의 안테나의 사시도이다. 도 129에서, 도 125a 내지 도 125c에 도시된 공통 다이폴 아암(12510)은 각각의 안테나에 마다 가깝게 분리된 개별 다이폴 아암(12906, 12908)으로 각기 교체된다. 도 125a 내지 도 125c에 있는 바와 같이, 각각의 안테나는 개별 피드(12903, 12905)를 포함하고, 45 도 경사진 선형 편파를 생성한다. 유전체 기판(13003)은 εr=4.3이고 손실 탄젠트(loss tangent)=0.02@29 GHz인 유전체일 수 있다. 도 130a의 안테나는 일부 양태에 따라 이중 수직 요소(13010, 13011) 및 평탄한 다이폴 아암(13002, 13006)을 포함한다. 하나의 양태에서, 두 평탄한 아암 상의 홀(13015)은 PCB(13003) 상의 표면 파 또는 기판 파를 방지하거나 감소시키는데 도움이 된다. 추가의 홀(13012, 13014, 13016)은 표시된 바와 같이, 또한 레이트 표면 파(rate surface wave) 또는 기판 파를 방지하거나 감소시키는 것을 돕기 위해 배치될 수 있다. 피드(13005, 13007)는 기판(13003) 내 무선 주파수 집적 회로(RFIC)와 같은 신호 소스에 연결될 수 있다. 전형적으로 연결부는 마이크로스트립 또는 스트립라인 전송 선로에 의해 만들어질 수도 있다. 비아는 비아가 유발할 수 있는 가능한 임피던스 매칭 문제 때문에 일반적으로 mmWave 회로에서 회피된다. (예를 들어, 만약 RFIC가 피드보다 PCB의 반대 측 상에 위치되면) 때때로 비아가 사용될 필요가 있을 수 있다. 도시된 치수는 시뮬레이션 목적을 위해 사용되지만 관련 기술분야에서 통상의 기술자라면 다른 치수가 당면한 설계 문제에 따라 사용될 수 있다는 것을 인식할 것이다.
도 130b 및 도 130c는 일부 양태에 따른 도 129의 안테나의 사시도이다. 도 130b의 절결(cut-away)은 13011에서 두 개의 수직 아암 중 하나를 도시하는 한편 도 130c는 양 수직 아암(13010, 13011)을 도시한다.
도 131a는 일부 양태에 따라 도 130a 내지 도 130c의 안테나 구조에 대한 전체 방사 효율 대 주파수의 시뮬레이션을 도시한다. 본 명세서에서 사용되는 바와 같은 "전체 방사 효율"이라는 용어는 안테나에 의해 방사되는 전력 대 소스(예를 들어, RF 송수신기와 같은 50 옴 신호 생성기)로부터 최대 이용 가능 전력의 비율(dB 단위)을 의미한다. 전체 방사 효율은 안테나 구조에서 임피던스 미스매치 손실 및 손실(유전체 및 옴 손실(RF 에너지는 열이 됨))을 설명한다. 도 131a는 도 130c의 안테나 중 하나의 안테나의 효율(대칭인 두 개의 직교 안테나를 가지며, 따라서 두 안테나 모두의 효율은 동일할 것임)을 도표로 구성한다.
도 131b는 일부 양태에 따라, 도 130a 내지 도 130c에 도시된 유형의 4 x 1 안테나 배열의 평면도를 도시한다. 도 131c는 일부 양태에 따라, 도 131b에 도시된 유형의 4 x 1 안테나 배열의 사시도를 도시한다. 4 x 1 이중 편파된 안테나 배열은 일부 양태에 따라, 도 130a 내지 도 130c에 도시된 유형의 네 개의 이중 편파된 안테나 요소(13121, 13123, 13125, 13127) 각각을 포함한다. 안테나 요소 각각은 두 개의 수직 다이폴 아암(13110, 13111) 및 두 개의 평탄한 다이오드 아암(13102, 13106)을 포함한다. 배열은 시뮬레이션을 위해 표시되는 치수를 가지며 구조(13109)를 통해 메인 PCB(13103)에 부착된다. 안테나 요소는 PCB(13103)의 에지에 소형 안테나 배열을 형성할 수 있게 하며, 이것은 공간이 품귀한 이동 무선 디바이스에서의 구현하는 것을 매력적으로 만든다.
도 131d 및 도 131e는 일부 양태에 따라, 0° 변위 시(phasing), 도 131b 및 도 131c의 4 x 1 안테나 배열의 시뮬레이션 방사 패턴(13100D 및 13100E)을 도시한다. 도 131f 및 도 131g는 일부 양태에 따라, 120° 변위 시 도 131b 및 도 131c의 4 x 1 안테나 배열의 시뮬레이션 방사 패턴(13100F 및 13100G)을 도시한다. 각각의 시뮬레이션 패턴은 시뮬레이션된 패턴 도면에 표시되는 바와 같이, +45° 배열 또는 -45° 배열을 표시한다. 이들 도면의 결과는 도 131c의 구조로부터 온 것이며, 여기서 "+45"는 +45 도 경사진 다이폴에 의해 형성된 안테나 배열을 지칭하고 -45 도는 다른 다이폴에 의해 형성된 안테나 배열을 지칭한다. 도 131d 및 도 131e는 모든 개개의 안테나 피드가 입력 신호의 동일한 위상에서 여기되는 경우에 대한 것이다. 도 131f 및 도 131g는 각각의 피드의 위상이 옆에 있는 요소로부터 120도 변위된 경우에 대한 것이다. 이것은 배열의 패턴의 메인 로브가 중심으로부터 오프셋되도록 한다. 변위는 원하는 방향으로 안테나 메인 로브를 조향하기 위해 사용된다.
도 132의 도표 구성은 일부 양태에 따라 도 132의 안테나 배열의 이웃 안테나 사이 최악 사례 결합의 시뮬레이션을 도시한다. 도 132의 안테나 배열은 기판(13203) 상의 안테나를 포함한다. 안테나는 (13209)에서 시작하고 이웃 안테나(13202)를 포함한다. 예로서, 시뮬레이션 도표 성은 도 132의 (13202)에서 표시되는 두 개의 안테나와 같은 이웃 안테나 사이의 결합을 나타낸다. (S44 및 S55)는 두 개의 이웃 안테나(13202)의 입력 매칭("반사 손실")이고, (S45)는 이러한 두 개의 안테나 사이의 결합이다. 패턴으로부터 도시된 바와 같이, 안테나 사이의 결합은 일부 양태에 따라 모든 관심 주파수에서 -10 dB 미만인 것으로 도시되며, 이것은 MIMO 성능을 위한 충분한 격리이다.
도 133은 일부 양태에 따라 0° 변위 시 도 131b 및 도 131c의 4x1 안테나 배열에 대한 포락선 상관도를 도시한다. 도 133은 도 131b 및 도 131c의 두 개의 안테나 배열("+45 배열" 및 "-45 배열") 사이의 시뮬레이션된 포락선 상관도이다. 포락선 상관도는 두 개의 안테나 사이의 상관관계를 정량화하기 위해 사용된다. 포락선 상관도가 1이면, 안테나 둘 모두는 정확히 동일한 신호를 수신하며 이에 따라 MIMO 또는 다이버시티를 수신하는데 불필요하다. 이상적인 경우에, 포락선 상관도는 제로(zero)일 것이다. 일반적으로 0.4 미만의 포락선 상관도는 MIMO 성능에 대해 매우 양호한 것으로 간주된다.
도 134는 일부 양태에 따라 아래에서 설명되는 극좌표 시뮬레이션 방사 패턴을 위한 좌표계를 도시한다.
현재 WiGig 서브시스템을 위한 두 개의 구현예, 즉 임베디드 다이 무선 서브시스템 및 패키지-온-패키지 무선 서브시스템이 제안되었다. 다이는 일부 양태에서 실리콘 송수신기일 수 있고 안테나에 연결될 수 있다. 임베디드 다이 구현에서, 일부 양태에서 무선 시스템의 메인 기판 내부에 매립되는 다이 및 메인 기판 위의 표면 실장 디바이스가 있으며, 이 둘 모두는 등각 차폐부(conformal shielding)가 덮고 있다. 경우에 따라, 차폐부 아래에 선택적 몰드가 있다. 또한 추가의 안테나가 메인 기판의 하부 측면 및 실드 근처에 제공된 안테나 커넥터 상에 제공될 수 있다. 이것은 작은 xY 폼 팩터라는 장점을 가지만, 하부 측에서 안테나로부터만 방사가 있다는 단점이 있다.
제 2 구현예는 다이 및 메인 기판의 상부 측에 배치되는 표면 실장 디바이스를 갖는 패키지-온-패키지 무선 서브시스템을 포함하며, 메인 기판은 표면 실장 디바이스를 위한 그리고 다이를 위한 캐버티를 갖는 다른 기판에 의해 덮여 있을 수 있다. 일부 구현예에서, 안테나는 상부 측 및 하부 측 상에, 메인 기판 아래에 그리고 패키지-온-패키지 서브시스템 위에 배치될 수 있다. 되풀이 하면 메인 기판 상의 패키지-온 패키지-모듈 근처에 신호 커넥터가 있을 수 있다. 이러한 구현예는 패키지의 양 측면으로부터 방사가 있다는 장점을 갖지만 큰 XY 폼 팩터(긴 피드 라인 때문에 전력 손실로 귀결될 수 있음)가 있다는 단점이 있다.
본 명세서에서 개시되는 양태에서, 안테나 또는 다른 구성요소와 같은 주어진 많은 요소가 설명될 수 있다. 관련 기술분야에서 통상의 기술자라면 안테나 및 다른 구성요소의 설명된 개수가 설명을 위한 것이고 다른 개수의 안테나 및 다른 구성요소가 다른 양태에서 당면한 솔루션을 위해 요구되는 대로 구성될 수 있다는 것을 인식할 것이다.
도 135는 일부 양태에 따라, 메인 기판 내부에 매립된 다이 및 메인 기판 위의 차폐된 표면 실장 디바이스를 갖는 무선 시스템 패키지를 도시한다. 본 명세서에서 설명되는 무선 시스템 패키지는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330) 및 RF 회로(325)에 통합될 수 있지만, 무선 시스템 패키지는 이러한 것으로 제한되지 않는다.
무선 서브시스템(13500)이 도 135에 도시된다. 서브시스템의 메인 기판은 (13502)에서 도시된다. 무선 주파수 간섭(RFI) 및 전자기 간섭(EMI)을 차폐하기 위해 기계적 실드(13516)가 메인 기판에 연결된다. 실드의 내부(13518)에는 인덕터, 저항기, 캐패시터 등일 수 있는 표면 실장 디바이스가 있다. 다이(13504)는 메인 기판(13502) 내부에 매립되는 반면, 안테나(13506, 13508, 13510, 13512) 및 안테나 커넥터(13520)는 메인 기판에 부착되고 다이(13504)에 연결된다. 일부 양태에서 안테나는 메인 기판의 하부 상에 다른 안테나로 구성되어 안테나 배열을 구성한다. 일반적으로, 안테나는 실드를 통해 방사할 수 없고, 그래서 안테나는 이러한 영역 내에 배치되지 않는다. 결과적으로 일부 구현예에서 XY 폼 팩터가 작을 수 있지만, 구현예의 하부로부터만 방사가 있다.
도 136은 일부 양태에 따라, 이차 기판의 캐버티 내부의 메인 기판 위에 배치된 다이 및 표면 실장 디바이스를 갖는 무선 서브시스템을 도시한다. 무선 서브시스템(13600)이 도 136에 도시된다. 서브시스템은 서브시스템의 하부에서 일부 양태에서 메인 기판의 하단에 다른 안테나를 갖는 하나 이상의 안테나 배열로 구성된 안테나(13606, 13608, 13610, 13612)를 갖는 메인 기판(13602)을 포함한다. 다이 및 표면 실장 디바이스(도시되지 않음)는 이차 기판(13604) 내부에 구성될 수 있다. 안테나(13614, 13616)는 안테나 배열과 같이 이차 기판(13604)의 상부 표면 상에 다른 배열로 구성될 수 있다. 커넥터(13618)가 제공되고, 일부 양태에서 방사될 무선 신호를 제공하도록 다이에 연결된다. 전술한 것의 결과는 패키지-온-패키지 무선 서브시스템이다. 위에서 논의된 바와 같이, 양 측면으로부터 방사가 있지만, 경우에 따라 패키지-온-패키지 구성은 큰 XY 폼 팩터를 갖는다는 단점이 있으며, 이것은 이러한 구현예가 사용될 수 있는 이동 디바이스 내에서 이용 가능한 것보다 더 많은 공간을 차지할 수도 있다.
도 137은 일부 양태에 따라, 메인 기판 내부에 매립된 다이 및 이차 기판의 캐버티 내부에서 메인 기판 위에 배치된 표면 실장 디바이스를 갖는 무선 서브시스템을 도시한다. 무선 패키지(13700)는 일부 양태에 따라, 결합된 임베디드 다이 및 패키지-온-패키지 서브시스템이다. 패키지는 일부 양태에 따라, 제 2 또는 이차 기판(13713)에 부착된 제 1 또는 일차 기판(13702)을 포함한다. 다이(13704)는 논의 중의 양태에서 일차 기판(13702) 내부에 매립된다. 캐버티(13717)는 숨겨진 도면으로 도시된다. 안테나(13718) 및 이산적 디바이스(13720)와 같은 표면 실장 디바이스(각각 복수 개가 있을 수 있으며 안테나는 단독으로 또는 하나 이상의 배열로 구성된다)는 일부 양태에 따라 캐버티(13717)에 의해 덮이거나 또는 다른 방식으로 캐버티 내부에 위치되고, 일차 기판에 납땜되거나 또는 다른 방식으로 연결된다. 단독으로 또는 하나 이상의 안테나 배열로 구성된 안테나를 포함할 수 있는 일부 표면 실장 디바이스는 일부 양태에 따라 이차의 기판 내의 캐버티(13717) 내부에 위치된다. 안테나(13706, 13708, 13710, 13712)는 일부 양태에 따라 일차 기판(13702)의 하부에 장착된다. 아래의 추가 도면에서 도시된 바와 같이, 일부 양태에서 안테나는 다른 안테나와 함께 하나 이상의 안테나 배열로서 구성될 수 있다. 커넥터(13720)는 일부 양태에서, 다이(13704)에 무선 신호를 공급하기 위해 제공될 수 있다. 다른 양태에서 연성 상호연결부는 전체 시스템에서 하나 이상의 제 3 기판, 패키지 또는 보드에 제 1 기판 또는 제 2 기판을 연결할 수 있다. 임베디드 다이(13704)를 갖는 일차 기판(13702) 및 이차 기판(13713)의 캐버티 내부에 장착된 안테나를 포함하는 표면 실장 디바이스를 갖는 부착된 이차 기판은 일부 양태에 따라 임베드디 다이 플러스 패키지-온-패키지 조합을 포함한다. 또한, 일부 양태에서, 안테나 및/또는 안테나 배열은 상부 및 하부 이외에, 일차 기판 또는 이차의 기판 중 어느 하나, 또는 둘 모두의 측면 상에 배치되어 측면 방향에서 방사를 제공할 수 있다. 이러한 디바이스는 일부 양태에서 엔드-파이어 모드(end-fire mode)에서 동작할 수 있다.
도 138a는 일부 양태에 따라, 일차 기판 내부에 매립된 다이 및 이차 기판의 캐버티 내부의 일차 기판 위에 배치된 표면 실장 디바이스를 갖는 무선 시스템 패키지의 절결 사시도를 도시한다. 결합된 임베디드 다이/패키지-온-패키지 조합(13800)은 일차 기판(13802) 내에 매립된 다이(13804), 및 일부 양태에서 이차 기판(13813)의 캐버티(13817) 내부의 안테나 및 안테나 배열일 수 있는 표면 실장 디바이스(13818)를 갖는 이차 기판(13813)을 포함한다. (13820)에서와 같이, 캐버티(13817) 내부의 도시된 표면 실장 디바이스의 일부는 일부 양태에 따라 요구될 수도 있는 바와 같이 이산적 회로 구성요소일 수 있다. 일차 기판(13802)의 하부에는 안테나(13806, 13808, 13810, 13812)가 위에서 논의된 구성으로 존재한다. 이차 기판(13813)의 상부에는 일부 양태에 따라 위에서 논의된 바와 같이 단독으로 또는 안테나 배열로 장착된 안테나(13814 A, 13814B, 13816 A, 13816B)가 있다.
도 138b는 일부 양태에 따라 일차 기판의 하부 측면을 도시하는 도 138a의 무선 시스템의 사시도이다. 결합된 임베디드 다이/패키지-온-패키지 결합(13801)은 일차 기판(13802) 내에 매립된 다이(도시되지 않음) 및 일부 양태에서 이차 기판(13813)의 캐버티(13817) 내부에 장착된 안테나(13818) 및 안테나 배열을 포함할 수 있는 표면 실장 디바이스(13818, 13820)를 갖는 이차 기판(13813)을 포함한다. 캐버티(13817) 내부의 도시된 표면 실장 디바이스의 일부는 일부 양태에 따라 요구될 수도 있는 바와 같이 이산적 회로 구성요소(13820)일 수 있다. 일차 기판(13802)의 하부에는 안테나(13806, 13808, 13810, 13812)가 위에서 논의된 구성으로 존재한다. 이차 기판(13813)의 상부에는 일부 양태에 따라 단독으로 또는 안테나 배열로 장착된 안테나(13814 A, 13814B, 13816 A, 13816B)가 있다.
도 139는 일부 양태에 따라 이차 기판의 내부를 도시하는 도 138a의 무선 시스템의 사시도이다. 임베디드 다이/패키지-온-패키지 조합(13900)은 일차 기판(13902) 내에 매립된 다이(13904), 및 일부 양태에서 (13918)과 같은 표면 실장 디바이스를 갖는 이차 기판(13913)을 포함한다. 다이(13904)는 솔더 콘택(13925)에 의해 기판(13902)에 연결될 수 있다. (13918)과 같은 표면 실장 디바이스는 일부 양태에 따라 이차 기판(13913)의 캐버티(13917) 내부에 장착되는 안테나 또는 안테나 배열을 포함할 수 있다. 캐버티(13917) 내부의 도시된 표면 실장 디바이스의 일부는 일부 양태에 따라 요구될 수도 있는 바와 같은 이산적 회로 구성요소(13920)일 수 있다. 일차 기판(13902)의 하부에는 안테나(13906, 13908, 13910, 13912)가 위에서 논의된 구성으로 존재한다. 이차 기판(13913)의 상부에는 일부 양태에 따라 단독으로 또는 안테나 배열로 장착된 안테나(13914A, 13916A)가 도시된다. 커넥터(13922)는 일부 양태에서 다이(13910)를 위한 무선 신호의 소스로서 제공될 수 있다.
도 140a는 일부 양태에 따라 기계적 연결 및/또는 전기적 연결을 위한 솔더 콘택을 도시하는 도 138a의 무선 시스템의 부분 사시도이다. 다이(도시되지 않음)는 일부 양태에서 메인 기판(14002) 내부에 매립될 수 있다. 위에서 논의한 유형의 표면 실장 디바이스(14018, 14020)는 일부 양태에 따라 일차 기판(14002)에 연결된 것으로 도시된다. 일부 양태에서 위 도면의 일부에 도시된 바와 같이, 캐버티를 갖는 이차 기판은 표면 실장 디바이스를 덮을 수 있다. 일부가 (14022, 14024 및 14026, 14028)로 열거되는 솔더 콘택은 아래에서 더 상세히 논의되는 바와 같이, 일부 양태에서 이차 기판에 연결하는데 사용된다. 일부 양태에서 커넥터(14020)가 제공될 수 있다.
도 140b는 일부 양태에 따라, 도 140a의 솔더 콘택을 매칭하도록 이차 기판 상에 구성되는 솔더 콘택을 도시하는 도 138a의 무선 시스템의 부분 사시도이다. 일반적으로 (14000)으로 도시되는 상부 이차 기판(14013)은 일부 양태에서 위에서 논의된 유형의 캐버티(14017)를 포함한다. 일부가 (14022A, 14024A 및 14026A, 14028A)로 열거된 납땜 요소는 도 140a에 도시된 땜납 구성요소를 매칭시키며, 두 세트의 솔더 연결부가 리플로우(reflow)될 때, 두 기판 사이에서 기계적 연결 및 일부 양태에서는 또한 전기적 연결을 위해 사용될 수 있는 솔더 연결부를 제공하도록 이차 기판(14013) 상에 구성된다. 2 x 2 요소 배열 및 2 x 4 요소 배열이 도시되지만, 관련 기술분야에서 통상의 기술자라면 원하는 솔루션에 따라 일차 기판 및/또는 이차 기판의 상부, 하부 또는 측면 상에 N x M 요소 배열이 구성될 수 있다는 것을 이해할 것이다. 일부 양태에서, 안테나 배열이 일차 기판 및/또는 이차 기판의 상부, 하부 상에 그리고 측면을 따라 위치될 때, 방사 방향은 안테나 파이어링 및 안테나 극성의 알고리즘 제어에 따라 다수의 방향 중 어느 방향으로도 제어될 수 있다.
안테나의 에지-파이어 동작을 위한 필요성 때문에, 그리고 또한 이러한 안테나가 사용될 수 있는 이동 디바이스 내부의 매우 제한된 공간 때문에, 매우 작고, 5G mmWave 주파수에서 동작되고, 더 높은 엔드 다층 스택 업(end multilayer stack-up) 안테나보다 더 적은 공간을 차지하고 더 적은 제조 비용이 드는 에지-파이어 안테나를 찾는 것이 바람직하다. 하나의 솔루션은 작은 표면 구성요소가 사용자 이동 디바이스에서 사용하기 위한 메인 PCB의 에지에 납땜되거나 다른 방식으로 부착될 수 있다는 것이다. 이러한 솔루션은 도파관처럼 기능하도록 메인 PCB 접지에 연결되는 부분적으로 도금된 측면 벽 및 중심 비아를 갖는 이동 디바이스에서 공통적으로 보이는 (아래에서 논의되는 표 6에서와 같이) 한 조각의 저가 PCB를 절단함으로써 구현될 수 있다. 표면 구성요소의 도금되지 않은 부분은 도파관로부터 공기로의 임피던스 변환을 제공하는 연장된 유전체 재료이다. 연장된 유전체 재료의 길이는 주어진 구성에서 원하는 목적을 달성하도록 임피던스 매칭 및 빔 성형을 위한 튜닝 노브(tuning knob)로서 작용할 수 있다. 이러한 표면 구성요소가 정규 모노폴 안테나에 비교하여 더욱 지향적인 빔을 갖고 28 GHz에서 대략 30 %의 상당히 넓은 대역을 달성할 수 있으며, 또한 이중 편파 동작을 위해서도 사용될 수 있다는 것이 발견되었다. 일부 양태에서, 표 5의 시뮬레이션된 구성 파라미터가 달성될 수 있다.
편파 수직(보드에 직교함)
단일 안테나 요소 10 dB 임피던스 대역폭 2.5 GHz
주파수 범위 27.0 GHz - 29.5 GHz
중심 주파수 fc = 28.25 GHz
기준 임피던스 50 Ohms
단일 안테나 요소 실현된 게인 4-5 dBi
이득(메인 방향에서 +/- 60°쎄타/파이) 1-2 dBi
이득(메인 방향에서 +/- 90°쎄타/파이 -1-0 dBi
교차 편파 비율(반전력 빈 폭) > 20 dB
전체 효율 > 0.8 (> -1 dB)
배열 요소 대 요소 격리 > 20 dB
도 141a는 일부 양태에 따라, PCB에 부착된 표면 구성요소를 포함하는 단일 요소 에지-파이어 안테나를 도시한다. 단일 요소 에지-파이어 안테나는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 단일 요소 에지-파이어 안테나는 이러한 것으로 제한되지 않는다.표면 구성요소(14101)는 이동 디바이스의 메인 PCB일 수 있는 메인 PCB(14103)에 납땜되거나 다른 방식으로 부착된다. 표면 구성요소의 요소는 아래에서 논의되는 바와 같이 도금되고 도면의 표시된 치수를 가지며, 모노폴 안테나는 (14105)에서 표면 구성요소 내부에 있다. 모노폴 안테나(14105)는 무선 주파수 집적 회로(RFIC)와 같은 신호 소스에 부착될 수 있는 PCB의 하부로부터 6 mm 마이크로스트립 피드(14107)에 의해 급전될 수 있다. 모노폴 안테나(14105)는 피드라인(14107)에 부착된, 작은 PCB(14101) 내부에 있는 비아일 수 있다. 표면 구성요소는 도파관와 같은 커버로서 기능하고, 모노폴로부터 방사되는 빔이 레귤러 모노폴의 빔에 비해 상당히 더욱 지향성이도록 만든다. 연장된 유전체(14109)는 안테나(14105)를 포함하여, 표면 실장된 도금된 표면 요소(14101)를 대기 중으로 연장시킨 것으로 보여질 수 있다. 연장된 유전체(14109)는 도파관 내의 모노폴로부터 자유 대기(free air)로 점진적인 임피던스 전이를 제공한다. 유전체 길이는 튜닝 노브로서 작용할 수 있으며 - 길이는 원하는 임피던스 디자인 요건에 결정적임 -, 대기에 대해 개방-단부인 정규 도파관 안테나에 비교하여 광대역을 제공하는데 도움을 준다. 또한, 연장된 유전체는 길이가 단지 수 밀리미터이기 때문에, 이러한 에지-파이어 안테나는 공간이 품귀한 이동 디바이스의 PCB의 에지 상에 배치될 수 있다.
도 141b는 일부 양태에 따라, 도 141a의 단일 요소 안테나 구조의 도금 및 재료의 세부사항을 도시한다. 예를 들어, Isola FR408HR 재료일 수 있는, 하부 측면을 비롯한 표면 구성요소의 다섯 개의 측면 상의 구리 도금은 30 마이크론 구리일 수 있으며, 연장된 유전체 부분은 도금되지 않을 수 있다. 다시 말해서, 안테나 요소는 결합 없이, 단일의 소형 PCB 조각에 의해 구현될 수 있고, 이것은 대량 생산을 위한 비용을 낮출 수 있다. 예를 들어, 금속 및 유전체는 표 6에 도시되며, 이 표에서 금속 전도성은 S/m (Siemens per meter)으로 측정되며 이러한 양태에서는 구리 전도성이다. 이러한 양태에서 사용되는 재료에 대한 유전율(ε및 손실 탄젠트는 또한 표 6에서 보여진다. 표시된 금속은 표 6으로부터 보여질 수 있는 바와 같이, 일반적으로 사용되는 저렴한 PCB이다. 또한, 연장된 유전체 재료는 동일한 표면 구성요소의 도금되지 않은 부분이다. 안테나를 구현하는 하나의 방법은, 두 개의 구성요소(도파관 + 연장된 유전체)를 만들고 이들을 서로 부착하는 것 보다는 (빌트-인 비아/모노폴을 가진) 작은 조각의 정규 PCB를 절단하고 표면의 부분을 도금함으로써 구현된다. 이것은 이러한 양태가 저가이고 제조하기 용이한 이유 중 하나이다.
표면 구성요소 메인 PCB
금속 58*10^6 S/m 58*10^6 S/m
유전체 εr = 3.63
손실 탄젠트 = 0.01@28.25 GHz
(Isola FR408HR)
εr = 4
손실 탄젠트 = 0.018@28.25 GHz
(R-1551 WN (프리프레그))
도 141c는 일부 양태에 따라, 도 141a 및 도 141b에 도시된 단일 요소 안테나의 단부도를 도시한다. 모노폴 안테나(14105)는 이 양태에서, 주어진 치수로 도시되고, PCB 상부 층은 특정 두께, 여기서는 32 마이크론으로 도시된다. 언급된 다양한 치수 및 금속 및 다양한 유전체가 단지 일부 양태를 위해 사용되는 것이고, 이러한 다른 재료가 당면한 특정 설계에 따라 사용될 수 있다는 것이 관련 기술분야에서 통상의 기술자에 의해 이해될 것이다. 모노폴 안테나(14105)는 일부 양태에서 피드 라인(14107)에 부착되는 비아에 의해 형성될 수 있다.도 141d는 하나의 양태에 따라, 도 141a 및 도 141b에서 도시된 유형의 네 개의 안테나 요소를 포함하는 4-안테나 요소 배열을 도시하며, 각각이 반-파장(λ/2)의 분리를 갖는다. 이러한 양태에서, 각각의 안테나 요소는 치수, 재료 및 다른 파라미터에 대해 도 141a 내지 도 141c의 안테나 요소와 동일하며, 배열은 동일한 편파로 파이어링되도록 구성된다.
도 142는 일부 양태에 따라, 연장된 유전체의 두 개의 상이한 길이에 대해 도 141a 및 도 141b에 도시된 안테나의 대역폭을 도시한다. 곡선(14201)은 3.5 mm의 연장된 유전체에 대한 표시된 주파수 범위에 걸쳐 시뮬레이션된 대역폭을 도시한다. 곡선(14203)은 5 mm의 연장된 유전체에 대한 표시된 주파수 범위에 걸쳐 대역폭을 도시한다. 여기서 S11은 입력 임피던스 매칭을 위해 일반적으로 행해지는 바와 같이 마이너스 10 dB 지점에서 대역폭을 측정하는데 사용된다. 도 142의 곡선은 6 mm 피드 라인이 포함된 하나의 양태를 가지고 시뮬레이션된다. 시뮬레이션은 3.5 mm 길이의 더 짧은 연장된 유전체가 5 mm 길이의 더 긴 연장된 유전체를 갖는 양태보다 더 양호한 대역폭을 갖는 것을 나타낸다. 도시된 바와 같이 곡선(14201)에 대한 대역폭은 대략 23 GHz 내지 34 GHz이다. 그러나, 아래의 시뮬레이션된 곡선에 도시된 이득은 두 개 사이즈의 연장된 유전체의 대역폭 및 이득에 대해 상쇄관계(trade off)가 있다는 것을 나타낼 것이다.
도 143은 하나의 양태에 따라, 도 141a 및 도 141b에 도시된 안테나의 주파수 범위에 걸쳐 전체 효율을 도시한다. 이 그래프는 방사 전력에서 안테나 구조의 효율을 측정한다. 최선의 효율은 일반적으로 0 dB이지만, 마이너스 dB 측정 값은 안테나 구조에서의 손실을 나타내며, 이에 따라 주파수 범위에 걸쳐 더 낮은 효율을 나타낸다. 시뮬레이션된 결과는 이것은 3.5 mm 길이의 연장된 유전체에 대한 것인 도 143의 (14301) 및 5 mm 길이의 연장된 유전체에 대한 것인 (14303)에서 도시된다. 그래프에서 알 수 있는 바와 같이, 두 개 길이의 연장된 유전체에 대한 교차점은 대략 28.2 GHz이고, 따라서 도시된 이러한 안테나 구조에서, 3.5 mm의 더 짧은 길이 연장된 유전체가, 비록 감소하기는 하지만, 27 GHz과 28.2 GHz 사이의 더 양호한 효율을 갖는다. 그래프(14303)에 의해 도시된 5 mm의 연장된 유전체는 지점(14305)을 넘어서, 28.2 GHz와 29.5 GHz 사이에서 더 양호한 효율을 갖는다. 예시의 포인트는 상이한 길이의 연장된 유전체가 상이한 주파수에서 상이한 효율을 제공할 수 있기 때문에, 길이를 튜닝하는 것이 주어진 원하는 솔루션에 대한 파라미터 중 하나로서 사용될 수 있다.
도 144는 하나의 양태에 따라, 도 143의 것보다 더 큰 주파수 범위에 걸쳐 도 141a 및 도 141b에 도시된 안테나의 전체 효율을 도시한다. 도 144의 더 넓은 주파수 범위는 전체 성능의 더 양호한 표시이다. 그래프(14401)는 3.5 mm 길이의 연장된 유전체에 대한 것이고, 그래프(14403)는 5 mm 길이의 연장된 유전체에 대한 것이다. 28.2 GHz에서 전체 효율에 대한 교차점(14405)은 도 143 상의 교차점(14305)과 동일하다. 도 144는 그래프(14403)가 매우 빠르게 효율을 잃기 시작하는 지점인 대략 30.3 GHz의 더 높은 주파수에 제 2 교차점(14407)이 있는 한편 그래프(14401)는 상대적으로 일정한 효율을 유지하고, 사실상 주파수 범위의 부분에 걸쳐 효율을 증가시킨다. 결과적으로, 논의 중의 안테나 구조에 대한 총 효율이 조사중인 특정 주파수 범위에 종속한다는 것을 알 수 있다. 도 144는 3.5 mm의 연장된 유전체 길이를 나타내는 그래프(14401)가 5 mm의 연장된 유전체에 대한 그래프(14403)보다 더 양호한 대역폭을 갖는다는 사실의 더욱 양호한 예시이다. 이것은 도 142로부터 나온 결론의 확인이다.
도 145는 하나의 양태에 따라, 도 141a 및 도 141b에 도시된 안테나에 대해 주파수 범위에 걸쳐 최대 실현된 이득을 도시한다. 이러한 맥락에서 사용될 때, 최대 실현된 이득은 안테나로의 입력에서의 임피던스 미스매치를 고려하여, 단지 안테나 구조 자체뿐만 아니라 시뮬레이션된 이득 때문에 메인(최대) 방사 방향에서 얻어지는 시뮬레이션된 이득을 의미한다. 예를 들어, 시뮬레이션된 최대 실현된 이득은 6 mm 피드 라인을 고려하며, 이론적 이득뿐만 아니라, 이론적 이득 및 실제 안테나에서 연관된 다른 요인으로 인한 실제 이득으로 귀결된다. 마찬가지로 시뮬레이션된 양을 도시하는 다른 도면은 6 mm 피드라인을 고려한다. 그래프(14501)는 3.5 mm 길이의 연장된 유전체에 대해 주파수 범위에 걸쳐 최대 실현된 이득을 도시하고 그래프(14503)는 5 mm의 연장된 유전체에 대해 표시된 주파수에 걸쳐 최대 실현된 이득을 도시한다. 두 개의 그래프로부터 도시된 바와 같이, 3.5 mm 길이의 연장된 유전체가 도 142에서 도시된 바와 같이 더 넓은 대역폭을 가질 수 있지만, 더 긴 연장된 유전체, 즉 (14503)이 도 145에 표시된 주파수 범위에 걸쳐 더 양호한 최대 실현된 이득을 갖는다.
도 146은 양태에 따라, 도 141a 및 도 141b에 도시된 안테나에 대해 다른 주파수 범위에 걸쳐 최대 실현된 이득을 도시한다. 도 145의 주파수 범위는 도 146의 주파수 범위의 서브세트이다. 24 GHz 내지 34 GHz의 도 146의 더 넓은 주파수 범위를 통해 관찰될 때, 그래프(14601)에 의해 도시된 5 mm의 연장된 유전체를 갖는 안테나 구조의 최대 실현된 이득이 그래프(14603)에 의해 도시된 3.5 mm 길이의 연장된 유전체를 갖는 안테나 구조의 최대 실현된 이득보다 일관되게 그리고 증가되게 더 크다는 것을 알 수 있다. 따라서, 도 142는 더 짧은 길이의 연장된 유전체를 갖는 안테나 구조가 더 넓은 -10 dB 대역폭을 갖는 점을 도시한다. 도 146은 더 긴 길이의 연장된 유전체를 갖는 안테나 구조가 더 큰 최대 실현된 이득을 갖는 것을 도시한다.
도 147은 양태에 따라, 도 141d에 도시된 안테나 배열의 두 개의 인접 안테나 요소 사이의 격리를 도시한다. 도시된 주파수 범위에 걸쳐, 각각 5 mm 길이의 연장된 유전체를 갖는 인접 요소 사이의 격리를 도시하는 그래프(14703)는 그래프(14701)에 의해 도시된 3.5 mm 길이의 연장된 유전체를 갖는 배열의 두 개의 인접 요소 사이의 격리보다 뛰어나다는 것을 알 수 있다. 더욱 음의(negative) dB는 이웃 요소 사이에서 더 낮은 결합 레벨 및 이에 따른 더 양호한 격리를 의미한다. 도 142 내지 도 147을 함께 보았을 때, 설계자는 임의의 특정 설계에 대해 원하는 솔루션에 따라, 연장된 유전체의 길이에 대해 만들어지는 많은 상쇄 관계를 갖는다는 것이 명백하다. 이러한 세트의 도면은 예시된 양태에 대해 연장된 유전체 길이 튜닝이 어떻게 구현될 수 있는지, 다시 말해서 3.5 mm 길이 연장된 유전체 또는 5 mm 길이 연장된 유전체를 사용할 것인지를 도시한다. 관련 기술분야에서 통상의 기술자라면 이 그래프에서 단지 두 개의 연장된 유전체 길이, 즉, 3.5 mm 및 5 mm가 시뮬레이션되었지만, 다른 치수의 연장된 유전체 길이가 주어진 설계에 대해 필요에 따라 시뮬레이션되고 사용될 수 있다는 것을 이해할 것이다.
도 148a는 양태에 따라, 도 141a 및 도 141b에 도시된 안테나 요소에 대해 28.25 GHz에서 3차원 방사 패턴을 도시한다. 도 148b는 양태에 따라, 도 141a 및 도 141b에 도시된 안테나 요소에 대해 28.25 GHz에서 3차원 방사 패턴을 도시한다. 이러한 양태는 단일 안테나 요소에 대한 것이지만 상이한 연장된 유전체 길이를 갖는다. 이러한 두 개의 도면에서, 방사의 메인 방향은 논의 중의 안테나 양태가 에지-파이어 동작을 위해 구현된다는 점을 고려하면, PCB의 에지를 향한다. 두 개의 도면에서 도시된 바와 같이, 도 148a 및 도 148b의 각각에 대해 dB로 최대 실현된 이득은 각각 3.93 dB 및 5.17 dB이다. 도 148a 및 도 148b의 각각에서 쉐이딩은 각각의 방사 패턴에 인접한 수직의 실현된 이득 테이블에 단서가 된다는 사실로부터 방향을 알 수 있다. 논의 중의 안테나 요소에 대해, Z-X 평면을 따라 도 148a 또는 도 148b의 방사 패턴의 컷(cut)을 취한다면, E-평면의 방사 패턴이 보여질 것이고, 도 148a 또는 도 148b의 X-Y 평면을 따라 컷을 취한다면, H-평면에 대한 방사 패턴이 보여질 것이다.
도 148c는 양태에 따라, 도 141d에 도시된 4-안테나 요소 배열에 대해 28.25 GHz에서 3차원 방사 패턴을 도시하며, 여기서 각각의 안테나 요소는 제 1 연장된 유전체 길이를 갖는다. 도 148d는 양태에 따라, 도 141d에 도시된 4-안테나 요소 배열에 대해 28.25 GHz에서 3차원 방사 패턴을 도시하며, 여기서 각각의 안테나 요소는 제 2 연장된 유전체 길이를 갖는다. E-평면 및 H-평면 컷에 관련하여 도 148a 및 도 148b에 관해서 만들어진 것과 유사한 의견이 도 148c 및 도 148d에 관해 만들어질 수 있지만, 각각의 연장된 유전체 길이에 대한 이득은 각각의 방사 패턴에 인접한 실현된 이득 테이블에 적합한 안테나 패턴에 의해 보여지는 것과 같이 다르다. 실현된 이득에 대한 주석은 1.05E+01으로, 1.05 x 10^1 = 10.5 dB을 의미한다. 7.65E+00는 7.65 x 10^0 = 7.65 dB을 의미한다. 되풀이 하면 이것은 5 mm 유전체를 갖는 배열이 더 높은 이득(보다 포커싱된 빔/에너지)을 달성하는 것을 보여준다.
도 149는 양태에 따라, 도 141a 및 도 141b에 도시된 안테나 요소에 대해 주어진 주파수에서 E-평면 방사 패턴을 도시한다. 도 149에서, 방사 패턴(14901)은 3.5 mm 길이의 연장된 유전체에 대한 방사 패턴을 도시하고 방사 패턴(14903)은 5 mm의 연장된 유전체에 대한 방사 패턴을 도시한다. 도 149에서 도시된 바와 같이, 3.5 mm 길이의 연장된 유전체에 대한 방사 패턴(14901)은 (14903)에서 표시된 5 mm의 연장된 유전체를 갖는 요소보다 더 적은 이득을 갖는다.
도 150은 양태에 따라, 도 141a 및 도 141b에 도시된 안테나 요소에 대해 주어진 주파수에서 E-평면 교차 편파 방사 패턴을 도시한다. 도 148a 및 도 148b를 다시 참조하면, Z-X 평면에서 컷을 취하였다면, 이 컷은 0 도에에서 φ를 고정하는 것과 동등하며, 도 149에서와 같은 E-평면의 도면을 생성한다. 논의 중인 유형의 송신 안테나에 관련하여 동일 편파(co-polarization)(예를 들어, 송신 안테나와 실질적으로 동일한 편파)를 갖는 수신 안테나에 대해, 메인 방향으로 송신 안테나의 3 dB 이득보다 더 우수하게 측정될 것이며, 이 메인 방향은 안테나가 에지-파이어 동작을 위해 구성된 점을 고려하면, 이동 디바이스의 에지 방향이다. 반면에, 수신 안테나가 논의 중인 유형의 송신 안테나와 교차 편파(cross-polarization)(예를 들어, 송신 안테나와 실질적으로 수직 편파)에 있다면, 도 150의 방사 패턴에 대한 경우와 같이, 송신 안테나의 매우 작은 이득, 예를 들어, 즉 메인 방향에서 최대 약 -37 dB이 측정될 것이다.
도 151은 양태에 따라, 도 141a 및 도 141b에 도시된 안테나에 대한 H-평면 동일 편파 방사 패턴을 도시한다. 도 148a 및 도 148b를 다시 참조하면, 도 148a 또는 도 148b의 X-Y 평면에서 컷을 취하였다면, 이 컷은 90 도에 θ를 고정하는 것과 동등하며, H-평면의 뷰를 생성한다. 도 151에서와 같이, 논의 중인 유형의 송신 안테나에 관련하여 동일 편파를 갖는 수신 안테나에 대해, 도 151의 메인 방향에서 3 dB 이득보다 우수하게 측정될 것이다.
도 152는 양태에 따라, 도 141a 및 도 141b에 도시된 안테나에 대해 주어진 주파수에서 H-평면 교차 편파 방사 패턴을 도시한다. 이 방사 패턴은 논의 중인 유형의 송신 안테나와 교차 편파에 있는 수신 안테나에 대한 것이다. 되풀이 하면, 교차 편파 때문에 송신된 이득의 매우 작은 양, 예를 들어 메인 방향에서 대략 -35 dB이 측정된다.
도 153a는 일부 양태에 따라, 도 141a 및 도 141b에 도시된 단일 편파 안테나에 유사한 안테나 요소를 구현하기 위한 대안적인 아이디어를 도시한다. 안테나의 상측 부분 및 안테나의 하측 부분일 수 있는, 도금 부분(15301) 및 도금되지 않은 부분(15309) 및 안테나의 하부 부분을 포함하는 표면 구성요소는 메인 PCB(15303)와 병합(merge)된다. 이러한 양태에 따라, 참조 번호(15304)는 도파관 형상에 맞게 절단된 메인 PCB(15303)의 연장된 유전체 부분을 도시하고, 참조 번호(15305)는 작은 표면 구성요소 PCB 내부 비아에 의해 형성된 모노폴이다. 메인 PCB와 안테나 구조의 부분을 병합하면 메인 PCB(15303)의 표면 위의 전체 높이를 낮추게 되고, 이것은 특정한 소형 애플리케이션에서 중요할 수 있다. 이제 전자기 파의 일부가 메인 PCB(15303)를 통해 이동하기 때문에, 메인 PCB(15303)의 유전체 재료는 작은 표면 구성요소 PCB의 것과 유사한 유전체 손실을 가질 것으로 예상된다. 다시 말해서, 안테나의 부분은 메인 PCB(15303)의 표면 아래에 있어 높이를 줄여준다. 메인 PCB(15303)는 표면 구성요소의 것과 유사한 유전체 재료를 갖는다. 두 개가 서로 결합되어(일부 양태에서, 납땜되어) 도파관 구조를 형성한다.
예를 들어, 일부 양태에서, 표면 구성요소 PCB 및 메인 PCB의 유전체 재료는 10 GHz의 주파수에서 4.6의 ε및 10 GHz의 주파수에서 TanD = 0.004의 손실 탄젠트를 가질 수 있다. 이러한 파라미터를 갖는 PCB는 통상적으로 사용되는 PCB이다. 도파관 구성요소의 메인 PCB 부분을 만드는 것은 아래에서 논의되는 바와 같이 이중 편파를 위해 공급할 수 있는 수평 피드를 또한 가능하게 할 것이다. 전술한 파라미터의 PCB가 이러한 특정 양태의 시뮬레이션에 사용되었지만, 특정 구성의 요건에 따라, 논의된 것과 상이한 파라미터를 갖는 PCB가 사용될 수 있다.
도 153b는 양태에 따라, 더 두꺼운 메인 PCB(15303) 및 수직 피드 포트 및 수평 피드 포트, 및 수평 모노폴(15307)을 도시하는 추가의 상세 사항과 함께 도 153a에 도시된 안테나 요소를 도시한다. 각각은 일부 양태에 따라, RFIC에 연결되는 피드 트레이스를 갖는다. 메인 PCB(15303)의 두께가 도파관 높이의 적어도 절반이면, 수평 모노폴이 도파관의 높이의 절반인 것을 고려하면, 수평 마이크로스트립(15312)이 중간 지점에서 수평 모노폴(15307)에 급전할 수 있다. 수직 모노폴(15305)은 메인 PCB(도시되지 않음)의 하부 측면으로부터 마이크로스트립에 의해 급전될 수 있다. 수직 모노폴 및 수평 모노폴은 아래의 추가 상세사항에서 논의되는 바와 같이, 서로 수직이고, 이중 편파를 제공한다. 이러한 양태에서 도파관의 부분이 메인 PCB 내에 있기 때문에, 메인 PCB 내부에는 수직 금속 벽체가 있어야 한다. 이것은 또한 아래에서 논의되는, 밀집된 수직 비아에 의해 구현될 수 있다. 도 141a 및 도 141b에서 PCB는 작은 조각으로 절단되어 표면 구성요소 안테나가 된다. 일부 양태에 따라 이 경우에 메인 PCB의 절단은 없다.
도 154a는 일부 양태에 따라, 샌드위치된 구조로서 도 141a 및 도 141b의 표면 구성요소를 도시한다. 샌드위치된 구조의 표면 구성요소는 (15401 및 15401')에서 도시된다. 표면 구성요소(15401)는 메인 PCB(15403)의 상부에 있고 표면 구성요소(15401')는 메인 PCB(15403)의 하부에 있다.
도 154b는 양태에 따라, 도 154a에 도시된 안테나 요소를 추가적으로 상세하게 도시한다. 메인 PCB 내부의 급전 스트립라인(15407)은 표면 구성요소(15401) 내의 모노폴(15405)에 연결된다. 이 경우에, 메인 PCB가 샌드위치된 도파관 구조의 중앙에 있기 때문에, (15407)은 더 이상 안테나 구조의 하부로부터 급전될 수 없다. 일부 양태에 따라, 도시된 바와 같이 도파관의 단부로부터 급전되어야 한다. 이것은 실현된 이득에 대해 위에서 논의된 바와 같이, 이득 및 매칭에 영향을 줄 수 있다. 연장된 유전체(15409)는 표면 구성요소(15401)의 도금되지 않은 부분이다.
도 155a는 일부 양태에 따라, 작은 표면 구성요소와 메인 PCB를 함께 납땜한 이후의 도 153b의 이중 편파 안테나의 사시도이다. 도파관(15501)의 부분은 메인 PCB(15503)와, 연장된 유전체(15509)와 병합된다. 이중 편파 안테나의 특정 양태의 치수가 표시된다. 메인 PCB 상의 수평 마이크로스트립(15512)은 도파관 쪽으로 연장되고 수평 모노폴로서 작용한다. 비아(15514)는 메인 PCB의 상부 및 제 2 접지 금속 층을 연결하기 위해 사용된다. 도 155b는 일부 양태에 따라, 수직 모노폴(15505)에 대한 메인 PCB의 하부 측면 상의 마이크로스트립 피드라인(15511) 및 도파관의 내부 치수를 포함하는, 도 155a의 투명도(transparent view)를 도시한다. 시뮬레이션에서, 메인 PCB의 표면 아래의 도파관의 수직 접지 벽체의 부분은 이상적(고체) 금속으로 근사화된다. 실제로 이것은 밀집된 접지 비아에 의해 구현될 수 있다.
도 155c는 일부 양태에 따라, 도 155a 및 도 155b의 이중 편파 안테나의 정면도이다. 정면도는 도 155a 또는 도 155b의 연장된 유전체(15509) 안에서 확인된다. 치수는 일부 양태에 따라 안테나(15505)와 관련하여 도시된다. 이러한 양태에서 (15515)는 수평 모노폴로서 작용하는 수평 마이크로스트립의 연장된 부분이고, (15505)는 수직 모노폴이다.
도 155d는 일부 양태에 따라, 도 155a 및 도 155b의 이중 편파 안테나의 측면도이다. 이 도면에서, 수직 모노폴은 도파관의 수직 접지 벽체에 의해 차단되기 때문에 수직 모노폴이 보여질 수 없고, 수평 모노폴도 또한 메인 PCB의 상부 금속 층에 의해 차단된다. (15516)은 수평 마이크로스트립 피드(15514)가 들어가는 도파관 수직 접지 벽체 상의 개구를 도시한다. (15511)은 수직 모노폴을 위한 마이크로스트립 피드이다. 일부 양태에서 개구(15516)는 직사각형일 수 있다.
도 156a는 양태에 따른, 도 155a의 안테나의 수평 피드(15603) 및 수직 피드(15601) 둘 모두에 대한 반사 손실(S11) 곡선의 플롯이다. 둘 모두의 수직 피드 및 수평 피드(편파)는 약 28 GHz의 잠재적인 5G 대역을 커버하는 27 GHz 내지 34 GHz의 광대역 인풋 임피던스 매칭(S11 < -10 dB)을 달성한다. 곡선(15701)에 의해 도시된 수직 피드에 대한 최적 임피던스 매칭은 29.8 GHz에서 나오는 반면, 수평 피드에 대한 최적 포인트는 30.2 내지 30.4 GHz에 나온다.
도 156b 및 도 156c는 양태에 따라, 도 155a의 안테나의 수평 피드 및 수직 피드에 대해 28 GHz에서 시뮬레이션된 3D 실현된 이득 패턴을 도시한다. 두 도면은 각각의 피드에 대해 최대 실현된 이득(수평 피드에 대해 4.7 dB의 최대 실현된 이득 및 수직 피드에 대해 5.2 dB의 수직 실현된 이득)이 유사하다는 것을 도시한다.
도 157a는 양태에 따라, 표시된 주파수 범위에 대해 시물레이션된 수직 피드 E-평면 패턴 스윕(sweep)을 도시한다. 이것은 주파수 범위에 걸쳐서 1.1 dB의 이득 변동(27 GHz에서 4.7dB 및 29.6 GHz에서 5.8dB)을 도시한다. 도 157b는 양태에 따라, 표시된 주파수 범위에 대해 시물레이션된 수평 피드 H-평면 패턴 스윕을 도시한다. 이것은 주파수 범위에 걸쳐서 약 1dB의 이득 변동(27 GHz에서 3 dB 및 29.6 GHz에서 4 dB)을 또한 도시한다.
도 158은 양태에 따라, 세 개의 파이(phi) 설정 시, 도 155a의 안테나의 수평 피드 E-평면 패턴에 대한 실현된 이득을 도시한다. 패턴(15801)은 60 도에서 설정된 파이에 대한 이득을 도시하고, 패턴(15803)은 90도에서 설정된 파이에 대한 이득을 도시하고, 패턴(15805)은 120도에서 설정된 파이에 대한 이득을 도시한다. 결과는 수평 편파 패턴이 브로드사이드(90도)로부터 약 30도 좌 및 우에서 더 높은 이득을 얻는 것을 도시한다.
편파 다이버시티는 신호 품질 및 신뢰성을 개선하고 다중 경로 간섭 및 페이딩을 완화시키는데 도움이 되는 안테나 다이버시티 기술 중 하나이다. 편파 다이버시티는 일반적으로 임의의 여분의 대역폭 및/또는 안테나 사이의 물리적 분리를 요구하지 않으며 단지 하나의 이중-편파 안테나가 구현을 위해 사용될 수 있다. 불행하게도, 이중 편파 안테나는 두 개의 포트 사이에서 교차 결합(cross-coupling)을 겪는다. 이러한 안테나가 두 개의 편파를 얼마나 잘 분리하는지를 명시하기 위해, 통상적으로 안테나 포트-대-포트 분리, 교차 편파(cross-polarization) 및 편파 격리(polarization isolation)라는 용어가 사용된다. 다이버시티 이득은 교차 편파가 편파 다이버시티 방식을 잘 기능시키기 위한 정말로 중요한 것임을 표시하는, 안테나에서의 교차 결합에 종속한다. 예를 들어, 하나의 이중 편파 안테나 상의 두 개의 여기 포트는 상보적 쌍으로 이루어진 편파 안테나가 임의의 미스매칭된 편파에 의해 유발되는 간섭에 대한 면역성을 개선시킬 수 있도록 서로 격리되어야 한다.
도 159a는 일부 양태에 따른 직교의 수직 및 수평 여기를 갖는 안테나 요소를 도시한다. 본 명세서에서 설명되는 안테나 요소는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 안테나 요소는 이러한 것으로 제한되지 않는다. 도 159b는 일부 양태에 따른 +45 도 여기 및 -45 도 여기를 갖는 안테나 요소를 도시한다. 패치 요소(15901, 15903)를 사용하는 두 개의 주요한 이중 편파 안테나 구조(15900, 15902)가 도 159a 및 도 159b에 도시된다.
편파 다이버시티 기술은 도 159a에 도시된 바와 같은 안테나 요소(15901) 및 도 159b에 도시된 바와 같은 안테나 요소(15903)의 두 개의 직교 여기 방식(15907, 15909 및 15908, 15910)을 활용할 수 있다.
도 159a에서, 두 개의 포트(15907, 15909)는 각각의 포트가 수직 편파(V-편파) 및 수평 편파(H-편파) 각각을 나타내도록 직교하게 배치된다. 도 159b에서, 두 개의 여기 포트(15908, 15910)는 ±45 도 기울어진 여기로 배치된다. 편파는 도 159b에서 두 포트 모두에서 여기 신호 사이의 위상 관계에 의해 결정될 수 있다.
도 159a에 도시된 제 1 방법은 두 개의 직교 편파가 상관하지 않다라는 사실에 기초한다. 그러므로 두 개의 직교하게 정렬된 안테나 요소는 이들 사이에 편파 격리를 달성할 수 있다. 도 159b에 도시된 다른 방법은 ±45 도 기울어진 안테나 여기 요소에 관한 위상 관계에 의한 신호 소거 메커니즘을 이용한다. 도 160a는 일부 양태에 따라 V-편파를 결정하는 0도 위상차 프로세스의 사용을 도시하고, 도 160b는 일부 양태에 따라 H-편파를 결정하는 180 도 프로세스의 사용을 도시한다. 두 도면은 모두 ±45 도 기울어진 여기를 나타낸다.
도 160a는 수직 편파(16013)가 두 포트 모두에 동위상 여기로 구현될 수 있다는 것을 도시한다. 이 경우에, (16009, 16011)에서의 수평 편파는 역위상(anti-phase) 신호가 된다. 따라서, 이것은 일부 양태에 따라 소거되고 수직으로 편파된 방사(16013)를 발생한다.
도 160b는 수평 편파가 두 개의 포트 사이에서 180 도 위상차에 의해 실현될 수 있다는 것을 도시한다. 이 경우에, 수직 편파(16019, 16021)는 역위상 신호이고 소거된다. 따라서, 이것은 일부 양태에 따라 수평 편파 방사(16027)를 형성한다.
위의 두 개의 방법은 상이한 문제를 갖는다. 도 159a에 도시된 제 1 방법의 경우, 이러한 유형의 안테나는 여기 포트 또는 요소(15907, 15909)의 배치 때문에 편파 격리를 이룬다.
도 161a는 일부 양태에 따른 수직 및 수평 여기 포트를 갖는 도 159a의 안테나 요소를 도시한다. 도 161a에서, 여기 포트(16107, 16109) 각각은 직교하게 배치되고, 각각 수직 편파 및 수평 편파를 나타낸다. 도 161a에서 (16100)은 층류 구조(16101) 상의 안테나(16103)를 도시한다. 도 161b는 일부 양태에 따른 동일 편파 및 교차 편파의 시뮬레이션된 방사 패턴을 도시한다.
도 161b에서, 상부 트레이스(16121)는 동일 편파를 도시하고 하부 트레이스(16123)는 교차 편파를 도시한다. 동일 편파와 교차 편파 간의 차이는 편파 격리이며, 이러한 시뮬레이션된 경우 대략 23.86dB의 격리(예를 들어, 0 도에서 지점(m1)과 지점(m2) 사이의 dB의 차이)가 얻어진다. 편파 다이버시티를 위해, 더 높은 편파 격리를 가져 각각의 편파에 대해 더 양호한 방사 신호 품질이 얻어질 수 있는 것이 바람직하다. 각각의 포트(16107, 16109)는 각각의 편파를 각각 나타내기 때문에, 포트-대-포트 격리는 편파 격리에 비례한다. 그러므로 유한한 포트-대-포트 격리 때문에, 편파 격리는 이러한 유형의 안테나로 인해 쉽게 저하된다.
도 161b에 도시된 바와 같이, 유한한 포트-대-포트 격리 때문에, 나머지 편파 포트에 대한 원하지 않는 결합 신호는 이러한 안테나 구조에서 높은 교차 편파 레벨을 초래한다.
다른 한편, 도 159b에 도시된 안테나 구조는 두 포트 모두에 대해 본질적인 동시 여기를 필요로 하며, 편파는 위에서 설명된 바와 같이 여기 신호의 위상에 종속한다. 이러한 유형의 안테나 구조(15905)는 포트-대-포트 신호 결합에 대한 면역성을 가지며, 따라서 더 높은 편파 격리를 초래한다. 그러나, 이러한 구성은 동시 여기 조건에 대한 필요 때문에, 자체의 문제를 갖는다.
이것 때문에, 이러한 안테나는 일부 양태에서 편파 다이버시티를 위해 두 개의 편파를 격리하는 180 도 하이브리드 커플러, 예를 들어, 랫-레이스 링(rat-race ring)을 필요로 한다. 랫-레이스 링 및 다른 하이브리드의 사이즈가 상대적으로 z크기때문에, 안테나 요소의 사이즈 및 신호 피드 라인의 복잡성을 키우고, 또한 신호 손실을 증가시킬 수 있다. 이것은 원하는 요소-대 -요소 간격을 갖는 큰 안테나 배열을 생성하는 데 어려움을 야기한다.
도 162a는 일부 양태에 따른, 직교하게 여기되는 안테나 요소를 사용하는 4 x 4 배열 방식을 도시한다. 안테나 배열(16200)은 기판(16201) 상에 있는 것으로 도시된다. 이러한 이중 편파 안테나 배열 양태는 또한 단점을 갖는다. 요소(16203, 16205, 16207, 16209)는 4 x 4 배열의 네 개의 안테나 요소의 예로서 열거된다. 포트(P11 및 P12)는 각각 수평 극성 여기 포트 및 수직 여기 포트를 나타낸다.
포트(P13, P14), 포트(P15, P16) 및 포트(P17, P18) 각각은 수평 편파 여기 포트 및 수직 편파 여기 포트를 쌍으로 나타낸다. 4 x 4 배열의 나머지는 배열의 위 네 개의 안테나 요소가 설정된 것과 같이 설정된다.
도 162b는 일부 양태에 따른, 이중 편파 안테나 요소를 갖는 도 162a의 4 x 4 배열에 대한 시뮬레이션된 방사 패턴을 도시한다. 일부 양태에 따라, 플롯(16221)은 동일 편파를 도시하고, 플롯(16223)은 교차 편파를 도시한다.
도 162c는 일부 양태에 따라, 이중 편파 안테나 배열로 +45 도 스캔 각도에서 시뮬레이션된 방사 패턴을 도시한다. 시뮬레이션 결과에 기초하여, 이러한 배열 안테나는 지점(m1) 과 지점(m2) 사이의 차이로 도시된 바와 같이 도 162b에 도시된 바와 같은 단지 대략 23 dB라는 편파 격리를 달성하며, 이것은 도 162c에서 도시된 바와 같이, 더 높은 스캔 각도에서 더욱 저하될 수 있다.
도 162c는 저하를 도시하며, 비교해 볼 때, 더 높은 스캔 각도가 더 큰 저하를 가짐이 명확하다. 또한, 도면의 시뮬레이션 결과 그래프는 편파 격리의 단지 19.6 dB가 도 162c의 지점(m1)과 지점(m2) 사이의 차이로 도시된 바와 같은 +45 도 스캔 각도에서 달성될 수 있다는 것을 나타낸다. 교차 편파가 위상 배열 시스템에서 어려움을 주기 때문에, 이상적인 빔포밍 MIMO 애플리케이션에서, 개선된 또는 최고로 가능한 편파 격리가 바람직하다.
통상의 직교 이중 편파 안테나에 비해, 아래에서 논의되는 신호 소거를 위한 제안된 안테나 구성은 일부 양태에 따라 더 높은 교차 편파 억제를 가능하게 한다. 이러한 배열의 단일 안테나 요소에 대해, 제안된 안테나 토폴로지가 시뮬레이션되었고, 통상의 상대 안테나 토폴로지보다 11 dB 큰 교차 편파 억제를 보인다. 4 x 4 배열에 대해, 새로운 토폴로지가 시뮬레이션 되었고, 안테나 요소를 사용하는 4 x 4 배열에 비교하여 38 dB의 개선된 교차 편파 억제를 나타내었다.
시뮬레이션은 교차 편파 성능이 (예를 들어, 개시된 억제 기술이 없다면) 통상의 위상 배열 시스템에서 더 높은 스캔 각도에서 더욱 저하될 수 있다는 것을 보였다. 그러나, 개시된 안테나 배열 방식은 더 높은 스캔 각도에서도 높은 교차 편파 억제를 유지하여, 업링크 송신에 특히 중요한 무선 통신 시스템에서 더 양호한 신호 품질로 귀결된다. 또한, ±45 도 기울어진 안테나에 비해, 이러한 제안된 방법은 큰 부피의 180 도 하이브리드 또는 랫-레이스 커플러의 사용을 회피할 수 있고, 이에 따라 결과적으로 신호 피드 네트워크의 복잡성을 감소시킬 수 있다. 교차 편파 억제가 도 163a, 도 163b 및 도 163c의 안테나 요소와 관련하여 도시되고 아래에서 논의되는 제안된 4-포트 접근법에 의해 달성되기 때문에, 간단하고 소형의 단일 분할기가 도 165a 내지 도 165c에서 설명되는 바와 같이 피드 네트워크를 대체하기 위해 사용될 수 있다. 유사한 장점은 아래에서 도 166a 내지 도 166c와 관련하여 도시되고 논의되는 바와 같이, 안테나 배열의 일부 양태에 대해 달성될 수 있다.
위에서 논의한 이중 편파 안테나 구성 둘 모두에 관한 문제에 대한 솔루션으로서, 일부 양태에 따라, 원하지 않는 결합 신호에 의해 다른 편파 포트에 유발되는 교차 편파 레벨을 억제하기 위해 역위상 소거 기술이 직교 여기 안테나 구조에 적용될 수 있다. 여분의 역위상 포트가 각각의 편파 포트마다 제공될 수 있다. 따라서, 이중 편파 안테나 구성은 네 개의 포트(수직, 수평, 역-수직(anti-Vertical) 및 역-수평(anti-Horizontal))를 포함한다. 다른 편파 포트에 대한 원하지 않는 결합 신호가 역위상 포트로부터의 결합 신호에 의해 제거될 수 있으면서, 동일 편파 신호는 결합되고 개선된다. 이러한 양태는 도 163a 내지 도 163c의 안테나 요소에서 도시된다. 도 163a는 일부 양태에 따라, 역위상 구성의 이중 편파 차동(dual-polarized differential) 4-포트 패치 안테나를 도시한다. 도 163a의 4-포트 안테나 요소는 도 159a에 도시된 직교 여기 구조에 기초하며, 수직 여기 포트와 수평 여기 포트가 직교하게 배치된다. 또한, 두 개의 추가적인 (역-수평(역-H) 및 역-수직(역-V)) 포트는 교차 편파 억제를 개선하기 위한 토폴로지를 생성하기 위해 도입된다. 이러한 4-포트 구성에서, 대면하는 포트는 180 도 위상차(예를 들어, 도 163a에 도시된 바와 같은 수평 편파를 위한 H 및 역-H, 수직 편파를 위한 V 및 역-V)로 함께 여기될 수 있다. 도 163a의 제안된 안테나 요소 구조(16300)에서, 방사체(radiator)는 (16301)에서 도시되고, 커플러는 (16303)에서 도시된다. 4-포트 구조는 안테나 포트(16307)(수직 편파), (16309)(수평 편파), (16311)(역-수직) 및 (16313)(역-수평)를 포함한다. 교차 편파가 단일 요소에서 억제되기 때문에, 4-포트 안테나 요소(16307, 16309, 16311, 16313)를 포함하는 배열 안테나는 높은 편파 격리를 또한 달성할 수 있다.
도 163b는 일부 양태에 따른, 도 163a의 안테나 구성을 측면도로 도시한다. 도 163c는 일부 양태에 따른, 도 163a 및 도 163b의 안테나 구성을 위한 레벨(L1 내지 L6)을 포함하는 적층 구조 스택 업을 도시하지만, 6 개 초과의 레벨이 있을 수 있다. 도 163b의 (16302)에서, 방사체(16301)가 도 163c의 6-레벨 스택 업(16304) 예시의 레벨(L1)에 구현되는 것이 도시될 수 있다. 커플러(16303)는 이러한 양태에서 스택 업의 레벨(L4)에서 구현된다. 안테나 포트는 아래에서 추가적으로 상세히 논의되는 T-접합 분할기를 통해 급전된다. 다양한 포트가 레벨(L5)에 있고, T형 접합 분할기로부터 연결된 비아에 의해 급전되며, 이러한 T형 접합 분할기는 논의 중의 양태에서, GND 레벨(L6) 아래의 층에, 예를 들어, 레벨(L7)(도시되지 않음)에 있을 수 있다. (수평 포트에 급전하는) 비아(16309A'), (수직 포트에 급전하는) 비아(16307A') 및 (역-수평 포트에 급전하는) 비아(16313A')가 도시되고, (역-수직 포트에 급전하는) 비아(16311A')가 비아(16307A') 뒤에 숨겨져 있고, 따라서 도 163b의 측면도에 도시되지 않는다. 패치 안테나의 각각의 대면 에지에서의 전기장이 도 163d에 도시된 바와 같이 반대 극성(즉, 180 도 위상차)을 갖기 때문에, 추가의 역위상 신호는 교차 편파(비-방사 에지)에 원하지 않게 결합된 신호를 소거함으로써 교차 편파 레벨의 억제를 가능하게 하면서 도 163e에서 도시된 바와 같이 방사 에지에서 동일 편파를 결합하고 유지한다.
도 164는 일부 양태에 따른, 도 163a 내지 도 163c의 4-포트 안테나 구성 양태의 시뮬레이션된 방사 패턴을 도시한다. 도 164에서, 상부 트레이스(16421)는 동일 편파를 도시하고 하부 트레이스(16423)는 교차 편파를 도시한다. 이러한 시뮬레이션된 결과에 근거하여, 39.4 dB의 편파 격리가 달성된다. 이것은 도 161a의 직교 포트 경우에 대해 도 161b에 도시된 결과와 비교하여 대략 16 dB의 교차 편파 억제의 개선이다.
도 165a는 일부 양태에 따른, 피드 소스로부터 네 포트 각각으로의 피드 라인을 갖는 4-포트 여기 안테나 토폴로지를 도시한다. 피드 소스는 일부 양태에 따른 네 포트 각각의 무선 주파수 집적 회로(RFIC)일 수 있다. 도 165b는 일부 양태에 따라 적층 패치 안테나의 피동 패치)가 피드 라인 상에 겹쳐져 있는 도 165a의 4-포트 구성의 피드 라인을 도시한다. 도 165a에서, 피드 라인은 기판(16501) 상에 있는 것으로 도시된다. 일부 양태에 따라, RFIC 포트인 (16508)에서의 수직 피드 소스(P1V)는 T형 접합 분할기(16505)에 연결되며, 분할기(16505)는 수직 편파를 위한 안테나의 피드 지점(16507)에 연결되는 라인(16507A)에 연결된다. 라인(16509A)은 일부 양태에 따라, 역-편파(V)를 위해 T형 접합 분할기(16505)로부터 피드 지점(16509)에 연결된다. 양태에 따라, RFIC 포트인 수평 피드 소스(P1H)는 (16512)에서 T형 접합 분할기(16514)에 연결되는 것으로 도시된다. 라인(16511)은 분할기(16514)로부터 연결되어 수평 편파 피드 지점(16511)으로 이어지고, 반면에 분할기(16514)에 연결된 라인(16513A)은 역-H 피드 지점(16513)으로 이어진다. 도 165b에서, 도 165a의 피드 라인 구성은 적층 패치 안테나의 피동 요소가 (16515)에 겹쳐져 있는 것으로 도시된다. 피드 소스 및 피드 라인의 나머지는 도 165a의 것과 유사하거나 또는 동일하다. 이러한 구성은 개선된 격리 및 교차 편파 파라미터를 유지하면서, 사이즈, 피드 네트워크 및 비용을 감소시키는데 도움이 된다.
도 165c는 도 165b의 양태를 위한 12-레벨 스택 업을 도시한다. RFIC(16510)로부터 스트립라인 T형 접합 분할기로의 경로 및 구성은 일부 양태에 따라 도 165c의 패키지 스택 업의 층(L7)에 구현된다. 층(L7)은 일부 양태에 따라, 도 165c에 도시된 바와 같은 L8의 다른 접지 층 위에 있다. 제안된 4-포트 안테나 구조는 편파를 격리하는 랫-레이스 링을 필요로 하지 않고 이에 따라 신호 피드 네트워크의 단순화를 초래하기 때문에, 도 165a의 (16505, 16514)에서 도시된 간단하고 소형 T형 접합 분할기를 신호 피드 네트워크로서 사용할 수 있다.
도 165c의 스택 업에서, 일부 양태에서, 접지를 갖는 안테나는 여섯 개의 제 1 층(L1 내지 L6)으로 설계되고, 신호 피드라인은 층(L7)에서 설계된다. 논의 중의 양태에서, T형 접합 전력 분할기(16505, 16514)는 L7에서 단일 피드 층으로 구현된다. RFIC(16510)의 수직 편파 소스(16508)는 T형 접합 분할기(16505)에 연결된다. 분할기(16505)는 수직 포트(16507)에 연결되는 라인(16507A)에 연결된다. 라인(16509A)은 분할기(16505)로부터 역-V 포트(16509)로 연결된다. RFIC(16510)의 수평 편파 소스(16512)는 T형 접합 분할기(16514)에 연결된다. 분할기(16514)는 H 포트(16511)를 급전하도록 라인(16511A)에 연결된다. 라인(16513A)은 분할기(16514)에 연결되어 역-H 신호를 역-H 포트(16513)에 제공한다. 각각의 편파 포트마다 180 도 위상차는 일부 양태에서 위상 변위기에 의해 또는 물리적 전송 선로 길이에 의해 설계되는 위장 지연에 의해 생성될 수 있다. 관련 기술분야에서 통상의 기술자라면 다른 스택 업 설계가 가능하다는 것을 인식할 것이다.
위에서 설명된 바와 같이, 교차 편파 레벨을 억제하기 위해, 소거 포트가 교차 여기 안테나 구조에 도입된다. 두 개의 대면 포트가 180 도 위상차를 갖기 때문에, 원하지 않는 결합된 신호가 소거될 수 있다. 따라서, 이것은 편파 격리의 향상을 가져온다. 그러나, 배열 구성에서 다른 방법의 교차 편파 억제가 구현될 수 있다. 하나의 이러한 방법은 아래에서 논의되는 4-포트 안테나 배열 여기이다.
교차 편파가 위에서 논의된 바와 같이 단일 요소에서 억제되기 때문에, 4-포트 여기 안테나를 포함하는 배열 안테나는 더 높은 편파 격리 및 교차-편파 억제를 달성할 수 있다. 도 166a는 일부 양태에 따라, 피드 네트워크와 집적된 4-포트 요소를 사용하는 4 x 4 안테나 배열 방식을 도시한다. PCB(16601) 상의 4 x 4 안테나 배열이 (16600)에서 도시되며, 열 여섯 개의 안테나 요소 중 네 개가 (16603, 16605, 16607 및 16609)에서 열거된다. 안테나 요소(16603)를 위한 피드 네트워크((16603H)(수평) 및 (16603V)(수직 편파))는 도 165b의 이중 T형 분할기 피드 회로와 유사하다. 각각의 안테나 배열은 도 165b에 도시된 바와 같은 4-포트 여기 안테나 요소를 포함하며, 각각의 안테나 요소 사이에 0.5 λ의 거리가 있다.
도 166b 및 도 166c는 일부 양태에 따른, 도 166a의 4-포트 안테나 배열에 대한 시뮬레이션된 방사 패턴 결과를 도시한다. 시뮬레이션된 결과에 기초하여, 도 166b의 시뮬레이션된 패턴(16621 및 16623) 상의 지점(m1)과 지점(m2) 사이의 차이에 의해 도시된 바와 같이, 대략 61 dB의 편파 격리가 달성되며, 도 166b에서 상부 트레이스(16621)는 동일 편파를 도시하고 하부 트레이스(16623)는 교차 편파를 도시한다. 이것은 도 4b의 이중 편파와 비교해 볼 때 대략 37 dB의 개선이다.
또한, +45 도 스캔 각도에서 시뮬레이션된 방사 패턴 결과가 도 166c의 시뮬레이션된 패턴(16622 및 16624) 상의 지점(m1)과 지점(m2) 사이의 차이에 의해 도시되며, 도 166c에서 상부 트레이스(16622)는 동일 편파를 도시하고 하부 트레이스(16624)는 교차 편파를 도시한다. 대략 59 dB의 편파 격리가 달성된다. 이것은 도 162c에 도시된 결과와 비교하여 교차 편파 억제의 대략 40 dB 개선이다. 60-도 스캔 각도에서도, 도 166c에서 도시된 바와 같이 57dB의 편파 격리가 달성될 수 있다. 이것은 제안된 4-포트 안테나 요소를 포함하는 배열이 더 큰 스캔 각도에서도 더 높은 편파 격리를 달성할 수 있다는 것을 확인해 준다.
4-포트 여기 배열 안테나에 추가하여, 역위상 소거 기술은 N x M 배열 구성(N 및 M은 짝수이며, 예를 들어, 2 x 2, 2 x 4, 4 x 4 등임)으로 적절하게 2-포트 직교 여기 안테나 요소를 사용하는 배열을 생성함으로써 실현될 수 있다. 수직 및/또는 수평 방향에서 역으로 된 다른 인접한 배열 서브섹션과 하나의 배열 서브섹션을 정렬함으로써, 개시되는 역위상 소거 기술은 아래에서 논의되는 바와 같은 안테나 배열 구성으로 구현될 수 있다.
제 1 구성이 도 167a에 도시된다. 도 167a는 일부 양태에 따라 2-포트 이중 편파된 안테나 요소를 사용하는 배열 구성을 도시한다. 위에서 설명된 바와 같은, 2-포트 이중 편파된 안테나 요소의 배열은 배열(16706, 16708, 16710, 및 16712)을 포함하는 것으로 도 167a의 (16700)에서 도시된 2-포트 이중 편파 안테나 요소를 사용하는 것이다. 각각의 안테나 요소는 일부 양태에 따라, 배열 서브섹션(16706)에 대해 [P11, P12], [P13, P14], [P21, P22], [P23, P24]에서 도시된 바와 같은 2-포트를 가지며, 여기서 포트는 V 편파 및 H 편파 신호를 쌍으로 급전 받도록 구성된다. 각각의 2 x 2 요소 서브섹션은 4 x 4 배열을 구성하도록 배열 내 다른 서브섹션 각각에 대해 역으로 된다.
예를 들어, 배열(16706 및 16708) 간의 수평 역은 포트(P11, P13, P21 및 P23)에 대해 수평으로 역으로 된 포트(P15, P17, P25, P27)에 의해 도시된다. 배열(16706 및 16710) 간의 수직 역은 포트(P12, P14, P22 및 P24)에 대해 수직으로 역으로 된 포트(P32, P34, P42 및 P44)에 의해 도시된다. 남아 있는 2 x 2 서브섹션의 요소의 포트 간의 수평 및 수직 역은 유사하게 도시된다. 180 도 위상차 신호로 각각의 2 x 2 배열 서브섹션을 여기함으로써, 이러한 4 x 4 배열 안테나는 교차 편파를 더욱 억제할 수 있다. 도 167b 및 도 167c는 일부 양태에 따른, 도 167a의 안테나 배열의 시뮬레이션된 방사 패턴 결과를 도시한다.
도 167b에서, 상부 트레이스(16721)는 동일 편파를 도시하고 하부 트레이스(16723)는 교차 편파를 도시한다. 시뮬레이션 결과에 기초하여, 대략 54.8 dB의 편파 격리가 달성되며, 이것은 도 162b와 비교하여 대략 32 dB의 개선이다. 또한, +45 도 스캔 각도에서 시뮬레이션된 방사 패턴은 도 167c에 도시되는데, 도 167c에서 상부 트레이스(16722)는 동일 편파를 도시하고 하부 트레이스(16724)는 교차 편파를 도시한다. 대략 56 dB의 편파 격리가 달성된다. 이것은 도 162c에 도시된 결과와 비교하여 대략 36 dB의 교차 편파 억제이다. 또한 이 경우, 더 높은 교차 편파 억제가 도 167b 및 도 167c의 각각의 동일 편파 도표 구성과 교차 편파 도표 구성 간의 dB 차이의 비교로부터 보여지는 바와 같이 심지어 60 도 스캔 각도보다 더 높게 유지된다.
도 168a는 일부 양태에 따라 2-포트 이중 편파된 안테나 요소를 사용하는 다른 배열 구성을 도시한다. 2 x 2 배열 서브섹션(16806, 16808, 16810 및 16812)이 도시된다. 2 x 2 배열의 안테나 요소는 2 x 2 배열 서브섹션 내의 나머지 안테나 요소 각각에 대해 역으로 된 2 x 2 배열 서브섹션 내 각각의 인접한 안테나 요소를 갖는다. 예를 들어, 요소(16806A)의 포트(P11)는 요소(16806B)의 포트(P13)에 대해 수평으로 역이다. 요소(16806A)의 포트(P12)는 요소(16806C)의 포트(P22)에 대해 수직으로 역이다. 요소(16806A)의 포트(P11 및 P12)는 요소(16806A)에 대각으로 대향하는 요소(16806D)의 포트(P24 및 P23)에 대해 각각 역이다. 이 경우, 포트(P11)는 포트(P23)에 대해 수평으로 역이고, 포트(P12)는 포트(P24)에 대해 수직으로 역이다. 일반적으로, 논의 중의 양태에서, 각각의 요소는 서브섹션 내에서 직각으로 위치하는 다른 요소에 대해 역인 하나의 포트를 갖고, 서브섹션 내에 있는 대각으로 대향하게 위치되는 요소에 대해 역인 두 개의 포트를 갖는다. 일반적으로, 이상적인 대칭 배열 구성과 비교하여 약간의 저하가 예상될 수 있다. 비대칭을 회피하는 것이 더 양호한 안테나 성능을 달성할 것으로 예상될 수 있다.
180 도 위상차 신호로 각각의 인접한 안테나 요소를 여기함으로써, 이러한 배열 안테나 구성은 교차 편파 레벨을 억제할 수 있다. 도 168b 및 도 168c는 일부 양태에 따라, 도 168a의 안테나 배열 구성에 대한 방사 패턴에 관한 시뮬레이션 결과를 도시한다. 도 168b에서, 상부 트레이스(16821)는 동일 편파를 도시하고 하부 트레이스(16823)는 교차 편파를 도시한다. 시뮬레이션 결과에 기초하여, 대략 63.5 dB의 편파 격리가 달성되며, 이것은 도 162b와 비교하여 대략 40 dB의 개선이다. 또한, +45 도 스캔 각도에서 시뮬레이션된 방사 패턴은 도 168c에 도시되는데, 도 168c에서 상부 트레이스(16822)는 동일 편파를 도시하고 하부 트레이스(16824)는 교차 편파를 도시한다. 대략 74 dB의 편파 격리가 달성되며, 이것은 도 162c에 도시된 결과와 비교하여 대략 55 dB의 교차 편파 억제 개선이다. 또한 이 경우에, 높은 값의 교차 편파 억제가 60 도 스캔 각도보다 더 높게 유지된다.
무선 통신의 편재성은 계속해서 많은 도전적인 과제를 제기하고 있다. 특히, 5G의 출현에 따라 각종 디바이스 및 사용되는 스펙트럼의 둘 모두로 인해 추가의 과제가 진화되어 왔다. 다른 이유 중에서도, 방사되는 전파의 공간 커버리지에 대한 필요성 때문에, 또는 이동 디바이스가 다른 장소로 이동될 때 신호의 강도를 유지하는 것 때문에, 또는 사용자가 때때로 이동 디바이스를 다르게 향하게 하기 때문에, 도전적인 문제가 발생된다. 이것은 많은 수의 안테나 사용, 변하는 극성, 방사 방향, 변하는 시간에 방사되는 전파의 변하는 공간적 다이버시티, 및 관련된 문제로 이어질 수 있다. 특히, 통신에 사용되는 주파수 대역의 범위는 가장 최근에 면허 대역과 비면허 대역의 캐리어 결합과 곧 다가올 mmWave 대역의 사용의 통합 때문에 증가하고 있다.
커가는 우려에 관한 하나의 문제는 밀리미터 파 빔포밍 안테나와 관련된 비능률이다. 보다 구체적으로, 밀리미터 파 빔포밍 안테나는 일 방향으로 커버리지를 제공하고 좁은 빔을 갖는다. 밀리미터 파 안테나가 이동형(예를 들어, V2X mmWave 통신)인 경우, 일 방향으로 기지국에 정렬되어야 할 것이고 일정 시간 후에 상이한 방향으로 정렬되어야 할 수 있다. 또한, 단일 밀리미터 파 안테나는 고주파수로 통신할 때 대기를 통한 신호 침투 손실이 클 수 있어 (예를 들어, 첫 1 미터에 대해 60 dB 손실 대 2G/3G/4G이 경우 첫 1미터에 대해 36-38 dB 손실) 비능률적일 수 있다.
양태는 360° 커버리지를 제공하도록 mmWave 빔 조향 및 안테나 스위칭을 위한 시스템, 디바이스, 장치, 조립체, 방법 및 컴퓨터 판독 가능한 미디어에 관한 것이다. mmWave 빔 조향 및 안테나 스위칭 양태는 도 3a에 도시된 mmWave 통신 회로(300)에 통합될 수 있지만, mmWave 빔 조향 및 안테나 스위칭 양태는 이러한 것으로 제한되지 않는다. 안테나 블록은 복수(예를 들어, 적어도 네 개)의 위상 안테나 배열을 포함할 수 있으며, 각각의 안테나 배열은 이중 편파(예를 들어, 수평 또는 수직으로 편파)될 수 있어 빔이 수평으로 또는 수직으로 조향될 수 있다. 또한, 안테나 블록 내의 위상 안테나 배열의 각각은 별개의 송수신기와 연관될 수 있어, 하나 이상의 송수신기는 이용 가능한 eNB를 스캐닝하는데 전용될 수 있는 반면, 나머지의 하나 이상의 송수신기는 mmWave 신호 통신을 위해 사용될 수 있다. 예를 들어, 두 개의 이용 가능한 송수신기는 eNB와 2 x 2 MIMO 통신을 위해 사용될 수 있는 반면에, 나머지 두 개의 송수신기는 나중의 핸드오버를 위해 이용 가능한 eNB를 스캐닝하는데 사용될 수 있다.
도 169는 일부 양태에 따른 차량 사물(vehicle-to-everything)(V2x) 통신을 위한 복수의 안테나 배열을 갖는 마스트-장착된(mast-mounted) mmWave 안테나 블록을 도시한다. 도 169를 참조하면, 안테나 블록(16900)은 안테나 마스트(16916)에 장착될 수 있는 안테나 배열(16906, 16908, 16910, 및 16912)을 포함한다. 안테나 배열(16906-16912)을 갖는 안테나 마스트(16916)는 플랫폼(16914) 상에 장착될 수 있다. 플랫폼(16914)은 인쇄 회로 보드일 수 있고 도 174에 도시된 송수신기 및/또는 다른 구성요소와 같은 하나 이상의 다른 구성요소를 포함할 수 있다. 일부 양태에서, 안테나 블록(16900)은 이동 유닛(예를 들어, 차량)에서 밀리미터 파 통신을 위해 사용될 수 있다. 이와 관련하여, 안테나 블록(16900)은 차량 루프 상에 장착을 위해 공기역학적인 커버(16902), 예를 들어 "샤크 (놈가 fin)핀" 커버를 포함할 수 있다.
도 169에 도시된 바와 같이, 네 개의 안테나 배열(16906- 16912) 각각은 각각의 배열이 인접 안테나 배열로부터 90° 만큼 오프셋되는 구성(16904)으로 안테나 마스트(16916) 상에 장착될 수 있다. 이와 관련하여, 제 1 안테나 배열(16906)이 서쪽 방향으로 향하면, 나머지 배열(16908, 16910 및 16912)은 북쪽, 동쪽 및 남쪽 방향으로 향한다. 안테나 블록(16900)이 네 개의 안테나 배열로 도시되지만, 본 개시내용은 이러한 관점으로 제한되지 않으며 상이한 구성의 상이한 개수의 안테나 배열이 또한 사용될 수 있다.
도 170은 일부 양태에 따른 단일의 진화된 노드-B(eNB)와 통신하는 밀리미터 파 안테나 배열에서 예시적인 빔 조향 및 안테나 스위칭을 도시한다. 도 170을 참조하면, 통신 시스템(17000)은 eNB(17002)와 통신하고 있는 네 개의 안테나 배열(16906-16912)을 갖는 도 169의 안테나 블록(16900)을 포함할 수 있다. 안테나 블록(16900)은 이동하는 차량 상에 위치될 수 있고, 도 170은 차량이 위치(P1)로부터 위치(P3)로 이동할 때 안테나 블록(16900)의 세 개의 별개의 위치를 도시한다. 도 170에 도시된 바와 같이, 시간 인스턴스(T1)에서, 안테나 블록(16900)을 갖는 차량은 위치(P1)에 있고 안테나 배열(16910)을 사용하여 eNB(17002)와 통신한다. 안테나 블록(16900)을 갖는 차량이 시간 인스턴스(T2)에 위치(P2)로 이동될 때, 안테나 블록(16900)은 안테나 배열(16910)을 계속 사용하여 (위치(P1)에서 사용되는 빔과 상이한 빔으로) eNB(17002)와 통신할 수 있다. 안테나 블록(16900)을 갖는 차량이 시간 인스턴스(T3)에서 위치(P3)로 이동될 때, 안테나 블록(16900)은 (안테나 배열(16908)이 eNB(17002)의 방향으로 향하고 있기 때문에) 안테나 배열을 스위칭하고 안테나 배열(16908)을 사용하여 eNB(17002)와 통신할 수 있다. eNB(17002)로부터 발신하는 신호의 수신된 신호 강도는 수신된 신호의 방향(예를 들어, eNB(17002)의 방향)을 결정(추정)하기 위해 사용될 수 있고 eNB의 결정된 방향과 정렬되는 대응하는 안테나 배열을 사용하는 데 사용될 수 있다.
도 171은 일부 양태에 따른 복수의 eNB와 통신하는 밀리미터 파 안테나 배열에서 예시적인 빔 조향 및 안테나 스위칭을 도시한다. 도 171을 참조하면, 통신 시스템(17100)은 eNB(17102 및 17104)와 통신하고 있는 네 개의 안테나 배열(16906-16912)을 갖는 도 169의 안테나 블록(16900)을 포함할 수 있다. 안테나 블록(16900)은 위치(P0)로부터 위치(P4)로 방향(17106)으로 이동하는 차량 상에 위치될 수 있다. 일부 양태에서, 네 개의 안테나 배열(16906-16912) 각각은 하나 이상의 밀리미터 파 대역에서 동작할 수 있는 대응하는 송수신기와 연관될 수 있다. 도 171에 도시된 바와 같이, 시간 인스턴스(T0)에서, 안테나 블록(16900)을 갖는 차량은 위치(P0)에 있고 안테나 배열(16912)을 사용하여 안테나 빔(17112)을 통해 eNB(17104)와 통신하고 있다.
일부 양태에서, 안테나 배열(16906-16912) 각각은 이중 편파된 위상 안테나 배열일 수 있으며, 따라서 하나의 수평방향으로 편파된 빔 및 하나의 수직방향으로 편파된 빔이 두 개의 송수신기를 사용하여 안테나 배열(예를 들어, 2 x 2 MIMO 구성)로부터 동시에 통신될 수 있다. 예를 들어, 안테나 배열(16912)은 빔(17112)으로서 나타내는 수평 및 수직 편파된 빔을 사용하여, 두 개의 송수신기를 통해 eNB(17104)와 2 x 2 MIMO 구성으로 통신될 수 있다(예를 들어, 하나의 송수신기는 수직 편파된 빔 및 안테나 배열(16912)과 통신하고 제 2 송수신기는 동일한 안테나 배열(16912)을 사용하여 수평 편파된 빔으로 통신할 수 있다).
두 개의 송수신기는 eNB(17104)와 통신하기 위해 사용되기 때문에, 나머지 송수신기(예를 들어, 네 개의 송수신기 통신 디바이스가 도 174에 도시된 바와 같은 차량에서 사용되는 경우, 두 개의 나머지 송수신기)는 다른 eNB에 이용 가능한 통신 채널을 스캔하는데 사용될 수 있다. 예를 들어, 도 171에 도시된 바와 같이, 남아있는 하나 이상의 안테나 배열(16906-16910)은 이용 가능한 eNB에 대해 스캔하도록 하나 이상의 빔(17108)을 사용할 수 있다. 일부 양태에서, 하나 이상의 스캐닝 빔(17108)은 다른 eNB(17102)가 통신을 위해 이용가능하다고 결정할 수 있다. 스캐닝 빔(17108)과 연관된 송수신기는 eNB(17102)로부터의 신호를 수신하는데 사용될 수 있고, 수신된 신호는 수신 신호 강도 표시기(received signal strength indicator)(RSSI) 또는 이러한 신호와 연관된 다른 신호 품질 메트릭(quality metric)을 결정하기 위해 추가로 처리될 수 있다. 새로운 eNB로 스위칭할 것인지 아닌지에 대한 결정은 RSSI 또는 다른 품질 메트릭에 기초하여 만들어질 수 있다.
시간 인스턴스(T1)에서, 안테나 블록(16900)을 갖는 차량은 위치(P1)에 있고 안테나 배열(16912 및 16910)을 사용하여 안테나 빔(17114 및 17116)을 각각 사용하는 eNB(17104 및17102)와 동시에 통신하고 있다. 안테나 블록(16900)을 갖는 차량과 eNB(17104 및 17102) 사이의 통신은 네 개의 이용 가능한 모든 송수신기를 사용하여, 이중 편파된 안테나 배열(16912 및 16910)과 2 x 2 MIMO 통신을 사용할 수 있다. 시간 인스턴스(T1)에서, 안테나 블록(16900)과 연관된 프로세서(예를 들어, 도 174의 응용 프로세서(17403))는 안테나 블록이 eNB(17104 및 17102) 둘 모두에 연결되어 있는 동안, 신호 품질 측정치에 기초하여 eNB(17104)로부터 eNB(17102)로의 스위칭을 결정할 수 있다. 예를 들어, eNB 사이의 스위칭은 문턱 레벨 아래로 떨어지는 수신 신호 품질(예를 들어, 수신 신호 강도)에 기초할 수 있다.
시간 인스턴스(T2)에서, 안테나 블록(16900)을 갖는 차량은 위치(P2)에 있고 안테나 배열(16910)과 연관된 안테나 빔(17118)을 사용하여 eNB(17102)와만 통신한다. 유사하게, 시간 인스턴스(T3)에서, 안테나 블록(16900)을 갖는 차량은 위치(P3)에 있고 안테나 배열(16910)과 연관된 안테나 빔(17120)을 사용하여 eNB(17102)와 통신한다. 위치(P3)에 있는 동안, 빔(17120)을 송신하는데 사용되지 않는 나머지 송수신기는 나머지 안테나 배열 중 하나 이상의 안테나 배열을 사용하여 eNB(17102)에 이용 가능한 통신 채널을 스캔하기 위해 사용될 수 있다. 나머지 하나 이상의 배열로부터의 신호 품질이 더 높은 경우에
시간 인스턴스(T4)에서, 차량은 위치(P4)에 있고 안테나 빔(17122)을 사용하여 eNB(17102)와 통신하기 위해 안테나 배열(16910)으로부터 안테나 배열(16908)로 스위칭하였다. eNB(17102)와의 통신은 안테나 배열(16908)을 위한 두 개의 송수신기 및 이중 편파를 사용하는 밀리미터 파 2 x 2 MIMO 구성을 사용하여 수행될 수 있다(예를 들어, 하나의 송수신기로부터 하나의 수직 편파된 빔 및 제 2 송수신기로부터 하나의 수평 편파된 빔은 eNB(17102)와 통신을 위해 사용될 수 있다). 안테나 블록(16900)을 사용하는 밀리미터 파 통신 디바이스(예를 들어, (17400))는 안테나 빔(17122) 및 이용 가능한 송수신기 중 두 개를 통해 eNB(17102)와 통신하고 있는 동안, 나머지 송수신기는 스캐닝 빔(17110)을 사용하여 이용 가능한 통신 채널을 스캔하도록 나머지 안테나 배열 중 하나 이상의 안테나 배열을 사용할 수 있다.
일부 양태에서, 밀리미터 파 통신 디바이스(예를 들어, 도 174의 17400) 내 하나 이상의 송수신기는 전용 스캐닝 송수신기이고 하나 이상의 안테나 배열(16906-16912)을 사용하여 eNB 또는 기지국에 이용 가능한 통신 채널을 계속적으로 스캔한다. 이와 관련하여, 밀리미터 파 통신 디바이스는 제 1 eNB(예를 들어, (17104))와 연결될 수 있고, 전용 스캐닝 송수신기가 제 2 eNB(예를 들어, eNB(17102))의 위치를 찾은 후에, (도 171에서 위치(P1)에 도시된 바와 같이) 연결이 두 eNB(17104 및 17102) 모두에 설정될 수 있다. 시간 인스턴스(T2)에서, 밀리미터 파 통신 디바이스가 eNB(17104)와의 연결을 차단하고 단지 eNB(17102)와만 안테나 빔(17118)을 통해 통신함에 따라, 소프트 핸드오프(soft handoff)가 달성되었다.
일부 양태에서, 밀리미터 파 통신 디바이스 내 하나 이상의 수신기는 새로운 eNB에 이용 가능한 통신 채널을 스캐닝하는데 전용될 수 있다. 일단 새로운 eNB가 검출되고 신호 품질 표시기가 수신된 신호에 대한 문턱 레벨 위에 있으면, 현재의 eNB와 통신을 중지하고 새로운 eNB와의 연결을 시작함으로써 하드 핸드오프(heart handoff)가 수행될 수 있다.
도 172는 일부 양태에 따른 복수의 안테나 배열을 갖는 안테나 블록을 사용하는 복수의 디바이스와의 동시적 밀리미터 파 통신을 도시한다. 도 172를 참조하면, 통신 시스템(17200)은 복수의 차량(17204, 17206, 및 17208) 및 eNB(17202)를 포함한다. 차량(17204-17208) 각각은 안테나 블록(예를 들어, (16900))으로 구성될 수 있으며 밀리미터 파 통신 디바이스(예를 들어, 17400)는 하나 이상의 밀리미터 파 주파수 대역 및/또는 하나 이상의 다른 통신 대역을 통해 통신하도록 구성될 수 있다.
일부 양태에서, 차량(17208)은 (17210)으로 표시된 사고 또는 다른 도로 위험 때문에 이동하지 못할 수 있다. 차량(17206)은 스캐닝 신호(17212)를 사용하여 도로 위험(17210)을 검출할 수 있는 온보드 차량 카메라 및/또는 근접 센서를 포함할 수 있다. 차량(17206)은 제 1 안테나 배열을 사용하여 eNB(17202)와 빔(17216)을 통해 통신하고, 제 2 안테나 배열을 사용하여 인접 차량(17204)과 빔(17220)을 통해 통신할 수 있다. 일부 양태에서, 차량(17206)은 빔(17220)을 통한 통신을 사용하여 검출된 도로 위험(17210)을 차량(17204)에 알릴 할 수 있음과 동시에, (17206)은 빔(17216)을 통해 eNB(17202)와 통신한다.
일부 양태에서, eNB(17202)는 (예를 들어, 차량(17208) 또는 다른 차량에 의해) 도로 위험(17210)을 통지 받을 수 있고, eNB(17202)는 통신하고 있는 다른 차량에 도로 위험(17210)을 통지할 수 있다. 차량(17204)이 도로 위험(17210)의 통지를 차량(17206)이 받기 전에 받는 경우, 차량(17204)은 차량(17206)에 다가오는 도로 위험(17210)을 알리기 위해 빔(17218)을 통한 통신을 사용할 수 있다.
이와 관련하여, 차량(17204-17208) 각각은 복수의 송신 및 수신 통신 경로를 동시에 사용할 수 있다. 예를 들어, 송신/수신 경로가 eNB와 통신하고 있은 경우, 나머지 경로는 V2V 통신을 사용하여 이웃 차량과 통신(또는 V2x 통신을 사용하여 인프라 또는 사람과 통신)을 위해 사용될 수 있다.
일부 양태에서, 애플리케이션 프로세서(예를 들어, (17403))는 eNB(17202)와 4G/LTE 통신, 다른 차량과 5G 통신(V2V 통신), 및 차량-대-사람 인터페이스를 위한 Wi-Fi/802.11 통신을 사용할 수 있다.
도 173은 일부 양태에 따른 복수의 안테나 배열을 포함하는 안테나 블록에 의한 밀리미터 파 통신을 위해 사용될 수 있는 복수의 빔을 도시한다. 도 173를 참조하면, 통신 시스템(17300)은 eNB(17302)와 통신 중인 안테나 블록(17304)(디바이스(17400)와 같은 밀리미터 파 통신 디바이스의 부분일 수 있음)을 포함할 수 있다. 안테나 블록(17304)은 이중 편파된 안테나 배열(17306-17312)을 포함할 수 있다.
안테나 블록(17304)을 사용하는 밀리미터 파 통신 디바이스가 이동할 수 있기 때문에, 밀리미터 파 통신 링크가 eNB(17302)와 설정됨에 따라 빔 획득이 수행될 수 있다. 예를 들어, 밀리미터 파 통신 디바이스는 이용 가능한 빔(17314-17318)을 찾아볼 수 있고 각각의 이용 가능한 빔에 대해 RSSI(또는 다른 신호 품질 표시기)를 측정하고, 가장 높은 측정된 신호 품질 표시기를 갖는 빔(예를 들어, 빔(17316))을 선택할 수 있다. 측정된 신호 품질 표시기의 테이블은 나중의 참조를 위해 저장될 수 있고 빔을 스위칭하거나 핸드오버를 수행하기 위해 사용될 수 있다.
일부 양태에서, 통신 빔은 주어진 영역을 커버하도록 미리 선택될 수 있어 각각의 빔의 방향이 알려질 수 있다(또는 방향은 위상 변위기가 빔에 사용된 설정을 받음에 따라 계산될 수 있다). 이와 관련하여, 일단 빔이 eNB와의 통신을 위해 선택되면, eNB의 방향이 결정될 수 있다. 차량이 이동함에 따라, 상이한 빔이 이동의 방향 및 현재의 eNB의 방향에 기초하여 선택될 수 있다.
도 174는 일부 양태에 따른 도 169의 복수의 안테나 배열을 갖는 안테나 블록을 사용하는 예시적인 밀리미터 파 통신 디바이스의 블록 다이어그램을 도시한다. 도 174를 참조하면, 통신 디바이스(17400)는 애플리케이션 프로세서(17403), 모뎀(17402), 중간 주파수(IF) 변환 블록(17404), 송수신기 배열(17440), 스위치 배열(17450), 및 안테나 배열 세트(17460)를 포함할 수 있다.
안테나 배열 세트(17460)는 도 169의 안테나 블록(16900)과 유사할 수 있다. 보다 구체적으로, 안테나 배열 세트(17460)는 이중 편파된 안테나 배열(17424, 17426, 17428, 및 17430)을 포함할 수 있다. 안테나 배열(17424-17430) 각각은 송수신기 배열(17440) 내 대응하는 송수신기(17442, 17444, 17446 및 17448)와 연관된다. 도 174에 도시된 바와 같이, 안테나 배열(17424-17430) 각각은 이중 편파된 안테나 배열(예를 들어, 4 x 4 안테나 배열)이며, 상이한 편파(예를 들어, 수평 또는 수직)일 수 있고 송수신기 배열(17440) 내 두 개의 송수신기에 의해 동시에 송신될 수 있는 는 두 개의 별개의 IF 데이터 입력을 받을 수 있다.
스위치 배열(17450)은 대응하는 IF 데이터 입력(17406)에 연결될 수 있는 단일 스위치(17408, 17410, 17412 및 17414)를 포함한다. 스위치(17408-17414) 각각은 안테나 배열 세트(17460)에 전달되는 대응하는 스위칭된 출력 신호(17416, 17418, 17420, 및 17422)를 생성한다.
동작 시, 모뎀(17402)으로부터의 데이터는 IF 변환 블록(17404)을 통해 IF 데이터(17406)로 변환될 수 있다. IF 데이터(17406)는 스위치 배열(17450)에 전달될 수 있다. 애플리케이션 프로세서(17403)는 어느 송수신기가, 그리고 어느 안테나 배열이 eNB 및/또는 다른 차량과 신호를 통신하기 위해 사용될 수 있는지, 그리고 어느 송수신기 및 안테나 배열이 이용 가능한 eNB 또는 기지국의 하나 이상의 통신 채널에 사용될 수 있을지를 결정할 수 있다. 이와 관련하여, 애플리케이션 프로세서(17403)는 스위치 배열(17450) 내 하나 이상의 스위치(17408-17414)를 파이어할 수 있으며, 하나 이상의 스위칭된 출력 신호(17416-17422)를 안테나 배열 세트(17460) 내 대응하는 안테나 배열에 전달된다.
RF 서브시스템((RF-sub-system) 또는 (REF))은 높은 데이터 레이트 요건 때문에, WiGig 및 5G 양태를 위해 더 새로운 모바일 무선 디바이스 내에 통합될 필요가 있다. 이러한 종류의 RF-서브시스템은 WiGig 및 5G 주파수에서 동작을 위해 요구되는 작은 사이즈를 고려하면, 종종 마이크로스트립 배열로서 구성되는 마이크로스트립 안테나를 사용한다. 마이크로스트립 안테나(또한 인쇄된 안테나로서 알려짐)는 일반적으로 인쇄 회로 보드(PCB) 상에 마이크로스트립 기술을 사용하여 제조되는 안테나를 의미한다. 개개의 마이크로스트립 안테나는 일반적으로 PCB 상의 다양한 형상의 금속 호일의 패치(패치 안테나)를 포함하며, 금속 호일 접지 평면은 보드의 타측 상에 있거나 또는 접지 평면이 PCB의 내측 레벨에 있다. 마이크로스트립 안테나는 주로 브로드사이드에서 방사하는데, 이것은 5G 및 WiGig 동작의 모든 유스 케이스에 대해 적합하지 않을 수 있다. WiGig RG 서브시스템은 사용되는 마이크로스트립 안테나의 방사 방향 제약 때문에 종종 랩톱의 리드에 배치된다. 또한, 안테나가 5G mmWave 핸들 시스템에서 신체를 향해서 (또는 디스플레이를 향해) 방사하면, 브로드사이드 방사는 특정 흡수율(Specific Absorption Rate)(SAR) 문제를 유발할 수 있다. 이러한 문제에 대한 솔루션은 백투백(back-to-back) 적층된 복수의 RFS를 사용하여 5G를 위한 전방향 커버리지를 얻는 것을 포함할 수 있다. 그러나 이것은 디바이스의 두께 및 비용을 증가시키고, PCB의 표면 상에서 넓은 면적을 필요로 하여, 최적 또는 개선된 설계를 위해 필요할 수 있는 것보다 서브시스템을 더 크게 만든다. 또한, 마이크로스트립 안테나는 일반적으로 광대역을 달성할 수 없고, 실제로 때로는 협대역폭만을 달성할 수 있다. 이러한 마이크로스트립 안테나의 배열은 일부 환경에서 모든 방향으로 방사되도록 구성될 수 있지만, 대역폭 및 RFS 사이즈를 개선하는 것과 같은, 전반적인 성능을 개선하는데 이용 가능한 와이드 스코프(wide scope)가 여전히 존재한다. 패치 안테나는 일반적으로 광대역을 제공하지 않고 이러한 유형의 개선이 어려울 수 있다. 그러므로 WiGig 및 5G 기술을 위해, 그리고 다른 mmWave 안테나 설계를 위해 사용되는 안테나 및 안테나 배열이 필요하다.
위에 대한 솔루션은 PCB 제조 프로세스에 의해 제조되는 기판 비아를 포함하는 비아 안테나이다. 다양한 양태에서, 비아 안테나는 비아 안테나에 사용되는 비아의 3D 구조 때문에, 다른 안테나보다 더 적은 표면적을 차지하고, 5G 기술에서 이용 가능한 대역폭을 갖는다. 비아 안테나는 일부 양태에서 RFS의, 유전체 층을 포함하는 PCB의, 또는 마더보드의 내부 층에 설계될 수 있다는 제조적 이점을 제공한다. 또한, 비아 안테나는 PCB 내부의 보이지 않는 층에 배치될 수 있기 때문에 기본적으로 비가시적으로 만들어질 수 있다. 비아 안테나는 모노폴로서 또는 다이폴로서 설계될 수 있다. 예를 들어, 단일의 피드 비아 양태는 모노폴 비아 안테나로서 기능할 것이며, 백투백 비아 양태는 비아 안테나가 다이폴로서 기능하게 할 것이다. 또한, 비아 안테나는 5G 기술 유스 케이스의 경우 매우 바람직한 엔드-파이어 방사를 제공하는 배열로서 구성될 수 있다. 비아 안테나의 엔드-파이어 방사 패턴은 랩톱의 베이스에 WiGig RFS를 배치하는데 유용할 수 있어서 케이블 길이 및 손실을 줄일 수 있다. 또한, 여전히 일부 양태에서, 인보드(inboard) 비아 안테나는 5G 및 WiGig 기술을 위해 설계되어, WiGig RFS 또는 5G RFS를 랩톱의 베이스에 배치하는 옵션을 제공할 수 있다. 비아 안테나는 또한 원하는 방사 방향을 잃지 않으면서 마더보드와 통합될 수 있다. 다른 장점은 5G 동작에 필요한 RFS의 개수의 감소를 포함하는데, 왜냐하면 비아 안테나 배열은 더 앞선 설계에서 복수의 RFS 사용했던 결과인, 적어도 두 개의 커버리지 방향을 갖는 엔드-파이어 방사를 위해 구성될 수 있기 때문이다.
비아 안테나는 PCB의 일체적 부분일 수 있기 때문에 설계 비용 절약이 또한 제공된다. 비아 안테나는 또한 비아 안테나를 위한 피드 네트워크와 함께 본질적으로 PCB의 임의의 중간 층에도 설계될 수 있다. 위에서 논의된 바와 같이, 일부 상황에서, 단지 하나의 RF를 가지고 완전한 360 도 커버리지를 얻는 것은 어렵다. 이것에 대한 솔루션은 5G를 위한 360 도 커버리지를 얻기 위해 백투백 적층된 복수의 RFS를 사용하는 것을 포함할 수 있다. 그러나 이것은 디바이스의 두께 및 비용을 늘리고, PCB의 표면 상에 넓은 면적을 필요로 하여, 최적한 또는 개선된 설계를 위해 필요할 수 있는 것보다 서브시스템을 더 크게 만든다. 다른 한편, 비아 안테나는 백투백 배치될 때, 양호한 전방위 커버리지를 제공하며, 단지 단일의 RFS를 사용하면서 이러한 문제를 해결할 수 있다.
5G RFS에 이용 가능한 솔루션은 PCB 상에 인쇄된 패치 안테나를 갖는다. 패치 안테나의 일방향성 방사로 인해, 5G 시스템은 최대의 방향 커버리지를 위해 두 개 이상의 RFS를 필요로 할 수 있다. 시스템에 더 많은 RFS를 추가하면 더 많은 공간 및 더불어 추가적인 비용을 차지한다. 유사하게, 기존의 WiGig RFS는 마이크로스트립 및 평평한 다이폴 안테나의 활성 안테나 배열을 갖는다. 배열은 모든 방향으로 방사되도록 구성될 수 있지만, 대역폭 및 RFS 사이즈를 개선하는 것과 같은 여전히 전반적인 성능을 개선하기 위해 이용 가능한 와이드 스코프가 여전히 존재한다. 패치 안테나는 일반적으로 광대역을 제공하지 않으며 자체로는 이러한 유형의 개선이 어려울 수 있다.
현재의 표준 WiGig RFS 사이즈는 대략 20 x 7 x 1.7 mm(길이 x 폭 x 높이)이다. 성능을 저하시키지 않으면서 제안된 비아 안테나 배열을 사용함으로써 RFS 길이는 더욱 감소될 수 있다. 현재의 WiGig RFS는 주로 랩톱의 리드에 배치될 수 있다. RFS에 제안된 비아 안테나 구현을 사용하는 것은 랩톱의 베이스에, 그리고 일부 양태에서 다른 위치에 RFS를 배치하는 옵션을 제공할 것이다. 비아 안테나는 PCB의 복수의 내측 유전체 층을 사용하여 PCB 내부에 설계될 수 있다. 이것은 비아 안테나 배열를 설계하는데 융통성을 부여하며, 일부 양태에 따라 본질적으로 임의의 PCB 층의 피드 네트워크는 안테나 파라미터를 튜닝하는데 도움을 준다.
도 175a는 일부 양태에 따른 이동 전화에 구성된 비아 안테나 배열의 예시이다. 본 명세서에서 설명되는 비아 안테나 배열은 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 비아 안테나 배열은 이러한 것으로 제한되지 않는다. 도 175a는 이동 폰상의 비아 안테나(17505, 17507)의 배열을 도시한다. 두 개의 방향으로 방사하는 비아 안테나의 능력 때문에, 비아 안테나 또는 본 명세서에서 (17505)에서와 같은 네 개의 요소 비아 안테나 배열은 (17505A, 17507A)에서와 같이 도시된 이동 전화의 Y-방향으로 방사하도록 x-축에 실질적으로 평행하게 배치될 수 있다. 동일한 이유로, 네 개의 요소 비아 안테나(17507)는 일부 양태에 따라 (17505A, 17507A)에서와 같이 도시된 이동 전화의 x-방향으로 방사하도록 Y-축에 실질적으로 평행하게 배치될 수 있다.
도 175b는 일부 양태에 따른 마더보드 PCB 상에 구성된 비아 안테나 배열의 예시이다. (17509)에서의 회로 구성요소 및 전도성 라인을 포함하는 마더보드(17502)는 (17511)에서의 비아 안테나 배열을 포함한다. 두 개의 방향으로 방사하는 비아 안테나의 능력 때문에, 이동 전화의 경우에서와 같이, 방사는 또한 두 개의 방향(17511A 및 17511B)으로 있을 수 있다.
도 175c는 일부 양태에 따라 랩톱에 구성된 비아 안테나 배열의 예시이다. 위에서 논의된 비아 안테나의 두 방향 방사 때문에, 비아 안테나 배열 배치는 방사 방향(17517A)을 갖는 (17517)에서의 랩톱의 리드에 제한되지 않고, 일부 양태에 따라, 방사 방향(17519A)을 갖는 (17519)에서, 그리고 방사 방향(17521A)을 갖는 랩톱의 베이스 상의 (17521)에서 또한 배치될 수 있다.
일반적으로 말해서, 비아 안테나 배열은 당면한 유스 케이스의 방향, 주파수 및 방사 패턴 요건을 만족하는 임의의 위치에 배치될 수 있다. 하나의 중요한 장점은 비아 안테나가 특정 흡수율(SAR)을 줄이는데 도움을 주기 위해 배치될 수 있다. 다시 말해서, 이동 전화에 대해 도 175a에 도시된 바와 같이, 비아 안테나 배열은 배열의 가장 강한 방사가 이동 전화에 대고 말을 할 때 사람의 귀에서 멀어지는 방향이도록 배치될 수 있다. 도 175a에 도시된 바와 같이, 일차 방사는 Y-방향(17505A) 또는 X-방향(17507A)에서 있을 수 있는 반면에, 이동 전화의 사용자는 일부 양태에서, 일반적으로 Z-방향(Z-방향은 페이지 안으로 또는 밖으로의 방향일 수 있음)에 있을 것이다.
원한다면, 추가의 장점은 방사를 단일 방향으로 제공하는 것과 관련이 있다. 비아 안테나의 방사가 일반적으로 두 개의 대향하는 방향이지만, 단일 방향으로의 방사가 요구되면, 일부 양태에 따라 원하는 방향으로 방사를 반사하기 위해, 원하지 않는 방사의 방향으로 비아 안테나 또는 비아 안테나 배열의 반대편에 반사기가 배치될 수 있다.
PCB 내의 비아는 일반적으로 복수의 층 안으로 금속 트레이스를 상호 연결하기 위해 사용되어 왔다. PCB 비아는 원통형, 직사각형, 원뿔형 및 다른 기하학적 형상과 같은 상이한 형상 및 사이즈를 가질 수 있다. 비아 안테나는 일부 양태에서 중공(hollow) 또는 중실(solid)로 설계될 수 있다. 때때로 비아는 비아의 홀이 금속으로 채워지지 않고 도금되어, 비아를 중공으로 만든다. 다른 예에서, 비아 홀은 완전히 또는 부분적으로 금속에 의해 채워져, 비아를 중실로 만들 수 있다. 차이는 유스 케이스의 요건 및/또는 비아에 대한 PCB 제조 프로세스에 따를 수 있다. 성능은 일부 양태에 따른 원하는 요건에 도달하려는 노력으로 시뮬레이션될 수 있다. 비아 안테나는 일부 양태에 따라 비아의 하부의 중심 또는 에지에서 급전될 수 있다. 비아 안테나의 접지 및 하부는 동평면에서 설계되고 동평면 도파관(CPW) 라인을 사용하여 급전될 수 있다. 비아는 임의의 개수의 층을 통하여 PCB 내에 제조될 수 있다. 설명된 비아 안테나는 또한 일부 양태에 따라 동일한 접근법을 사용하여 설계될 수 있다.
도 176a는 일부 양태에 따른 다층 PCB 안의 비아 안테나의 단면도이다. PCB(17600)는 일부 양태에 따라, N 개의 층(층(1) 내지 층(N))을 갖는 스택 업(17601)으로 도시되며, 여기서 N은 정수이다. 하나 이상의 층은 유전체 층일 수 있다. 다른 층은 전도성 층일 수 있다. 비아(17603)는 비아가 원뿔형 비아이면, 만곡될 수 있는 내측 섹션을 갖는 것으로 도시된다. 그러나, 비아 안테나의 비아는 원뿔형 형상으로 제한되지 않는다. 비아는 원뿔형, 원통형, 또는 필요한 솔루션의 요건을 충족시키는 임의의 다른 형상일 수 있다. 비아의 외측 표면은 (17603A) 및 (17603B)에서 단면으로 도시되고, 내측 섹션은 도면에서 (17603A)와 (17603B) 사이에 있다. 라인(17605)은 일부 양태에 따른 피드 라인일 수 있다.
도 176b는 일부 양태에 따른 비아 안테나의 사시도이다. 비아(17603)는 일부 양태에서 PCB(17601) 내의 복수의 층에 있는 것으로 등각투영도로 도시된다. 위에서 논의된 바와 같이, 비아 안테나의 동작은 모노폴 안테나로서 기능한다. 따라서, 비아 안테나는 마이크로스트립 안테나보다 더 넓은 대역폭을 가지며, 이에 따라 비아 안테나에게 특히 밀리미터 파장에서 장점을 제공한다. 일반적으로 비아 안테나 성능은 PCB에 종속적이지 않다. 또한, 비아 안테나와 접지(GND) 사이의 도 176a에서 갭(G) 및 이러한 양태에서 비아 안테나의 일반적으로 원통형 형상은 일반적인 마이크로스트립 안테나와 비교하여 대역폭을 증가시키도록 기능한다.
성능은 또한 층 및 비아에 사용되는 층의 개수에 의해 영향을 받는다. 비아에 사용되는 층은 다양한 양태에서 당면한 애플리케이션에 성능 특성을 제공하도록 조정될 수 있는, 때로는 안테나를 "튜닝"한다고 불리는 파라미터일 수 있다. 일부 양태에서 PCB의 상측 층에 있는 비아 안테나의 성능은 설계의 주어진 주파수에서 성능 요건이 충족되는지 결정하기 위해 평가될 수 있다. 요건이 충족되지 않으면, 원하는 성능에 도달하려는 노력으로 튜닝 프로세스에서, PCB의 더 깊은 층이 비아 안테나를 위해 사용될 수 있다.
장점의 하나의 예로서, 원하는 성능이 비아의 양태에서 추가적인 높이를 필요로 한다면, 비아는 높이를 증가시키도록 하나 이상의 추가 층에 재구성될 수 있다. 장점의 다른 예로서, 도 176b의 비아 안테나(17603)는 일부 양태에서 랩톱의 리드일 수 있는 도시된 구조의 상부에서 출현한다. 안테나가 리드 상에서 보여지지 않는 것이 바람직하다면, 비아는 제 1 층 또는 하부 층에서가 아니라, 내부 층에서 만들어 질 수 있고, 만약 이것이 원하는 솔루션이면, 비아 안테나는 보이지 않을 것이다.
도 177a는 일부 양태에 따라, PCB의 비아의 상부 측으로부터 바라본 내부 도면 내 PCB 비아 안테나의 예시이다. 비아 안테나(17703)는 다양한 라미네이트 층으로 구성된 PCB(17701)에서 도시된다. 도 177b는 일부 양태에 따라 PCB의 하부로부터 바라본 PCB 비아 안테나의 예시이다. 급전은 PCB의 임의의 바람직한 층에서 이루어질 수 있다. 이 예에서, CPW인 피드 라인(17705)이 도시된다. 그러나, 스트립라인, 마이크로스트립 라인 또는 임의의 다른 적합한 전송 선로와 같은 임의의 평탄한 피드 메커니즘이 사용될 수 있다. 방사는 비아에 수직 방향인 대신에 비아 안테나의 비아 둘레의 방향에 있을 것이며, 그래서 방사 방향은 일부 양태에 따라 비아 안테나가 배치되는 곳에 따라 달라진다. 비아 안테나는 일부 양태에 따라, 중공 또는 중실로 설계될 수 있고, 비아의 하부의 중심 또는 에지에서 급전될 수 있다. 비아 안테나의 접지 및 하부는 동일한 평면에서 설계될 수 있다.
도 178a는 일부 양태에 따른 비아 안테나 배열의 평면도이다. 도 178a는 PCB(17801) 내 비아 안테나 배열 설계를 도시하며, PCB에서 배열 내 안테나 요소(17803)의 개수는 일부 양태에 따라, 안테나 이득 및 빔 폭 요건에 기초하여 결정될 수 있다. 비아 안테나는 위에서 논의된 바와 같이, 모노폴 및 다이폴 안테나의 특성인 에지-파이어 방사로 동작할 것이다. 비아 안테나 배열은 RFS의 부분에서 또는 RFS의 부분으로서 또는 RFS에 근접하여 배치될 수 있다. 일부 양태에서, 비아 안테나 배열은 또한 별개의 작은 PCB에 설계된 다음, 마더보드 또는 RFS에 부착되거나 또는 다른 방식으로 연결되어, 안테나 방사에 영향을 미치는 표면 파의 충격을 회피하거나 또는 다른 잡음의 감소를 제공하거나 또는 디바이스 내 장애물에 의해 차단될 수 있는 도달이 어려운 방향으로 방사를 제공할 수 있다. 도 178b는 일부 양태에 따른 비아 안테나를 위한 수직 피드의 예시이다.
도 178c는 일부 양태에 따라 비아 안테나를 위한 수평 피드의 예시이다. 비아 안테나는 수직 피드 또는 수평 피드에 의해 급전될 수 있고, 수직 또는 수평 피드는 일부 양태에 따라 설계에 의해 결정되는 안테나 성능에 미치는 피드 라인 간섭을 감소시키도록 선택된다. 일반적으로 수직 피드는 일부 양태에 따라, 급전되고 있는 비아가 도 178b에 도시된 바와 같이 (17803)에 있는 (17805A)에서 도시된다. 수평 피드는 일부 양태에 따라, 급전되고 있는 비아가 (17803)에 있는 (17805A)에서 도시된다. 두 구성은 모두 수직방향으로 콘(cone)을 여기시키며 따라서 편파는 각각의 유형의 피드에 대해 동일할 것이다.
방사는 두 개의 방식으로 발생될 수 있다. 하나는 커버리지의 주어진 방향으로 대기를 통한 안테나로부터의 방사이다. 다른 하나는 PCB 자체의 유전체 재료를 통해 이동하고, 최종적으로 대기를 통해 방사되는 방사이다. 따라서, 선택된 유전체 재료의 선택은 유전체를 통한 원하지 않는 방사를 감소시키는데 중요하다. 더 낮은 유전 상수와 매우 낮은 유전 손실은 이러한 방사를 감소시키는데 바람직하다. 이러한 유형의 원하지 않는 방사를 억제하는 추가의 방법은 이러한 원하지 않는 방사를 제거하지 않는 경우라면 줄어드는 경향이 있는, 비아 근처의 유전체 재료에 홀을 드릴링하는 것이다. 일부 양태에 따르면, 이것의 예시는 도 188에 도시된다. 도시된 양태에서, 홀(18807)은 비아 안테나(18803)에 인접한 PCB(18801)에 드릴링된다. 홀은 때로는 표면 파로 불리는 방사가 이동할 수 있는 연속적인 평면이 있는 것을 방지하기 때문에, 홀은 이러한 방사를 감소시키고 배열 안테나 방사에 미치는 영향을 감소시키는 기능을 할 것이다. 다시 말해서, 홀은 효과적으로 불연속적인 PCB를 생성하여 표면 파가 생성될 때, 표면 파가 약해지고 안테나 성능에 영향을 주지 않도록 한다.
도 179a는 일부 양태에 따른 다이폴 비아 안테나로서 구성된 백투백 비아의 사시도이다. 다이폴 비아 안테나(17900)는 일부 양태에 따라, 백투백 비아(17903A, 17903B)를 포함하고, 1.6 mm 두께의 Flame Retardant 4 (FR4) 기판에 구성된다. 일부 양태에 따라, 단일 콘 비아의 높이는 0.7 mm이고, 상부 및 하부 직경은 각각 4 mm 및 0.25 mm이고, 다이폴의 하부 사이의 갭은 0.2 mm이다. 도 179b는 일부 양태에 따라, PCB 라미네이트 층을 도시하며 다이폴 비아 안테나로서 구성된 도 179a의 백투백 비아의 사시도이다. PCB(17901)의 라미네이트 층은 일부 양태에 따라 (17903A, 17903B)에서 도시된 백투백 비아와 함께 측면도로 도시된다. 일부 양태에 따라, 급전은 두 개의 비아 사이(17903A, 17903B)에 배치된 위에서 설명된 바와 같은 피드 라인에 의해 도시된다. 피드라인은 일부 양태에서, (17904)에서 원통 둘레에 배치될 수 있으며, 여기서 급전은 각각 + 및 -일 수 있다. 설계 치수는 유스 케이스에 대해 원하는 성능을 얻도록 시뮬레이션에 의해 얻어진다.
도 180은 일부 양태에 따라, 도 179a 및 도 179b의 다이폴 비아 안테나 구성에 대한 반사 손실의 그래프이다. 다이폴 비아 안테나 동작은 27.5 GHz 내지 30.5 GHz의 광대역폭에서 도시된다. 삼각형의 네 개의 데이터 포인트는 논의 중의 양태에 대한 표7에 제시된 시뮬레이션 결과의 표시를 제공한다.
-9.05 dB 27.5 GHz에서
-12.7 dB
-24.4 dB
28 GHz에서
29 GHz에서
-16.3 dB 29.5 GHz에서
도 181a는 일부 양태에 따라, 루트비히 정의(Ludwig definition)를 사용하는 27.5 GHz의 주파수에서 도 179a 및 도 179b의 다이폴 비아 안테나 구성에 대한 시뮬레이션된 원거리장 동평면 방사 패턴이다. 논의 중의 양태에 대해 메인 로브 크기는 0도에서 2.08 dB이고, 각도 빔 폭(3 dB)이 55.1도이고 사이드 로브 레벨이 -12.7 dB로서 시뮬레이션된다. 설계는 양호한 엔드 파이어 이득을 도시하며 패턴이 두 개의 반대 방향으로 커버리지를 제공하는 것을 도시한다.도 181b는 일부 양태에 따라, 루트비히 정의를 사용하여 28 GHz의 주파수에서 도 179a 및 도 179b의 다이폴 비아 안테나 구성에 대해 시뮬레이션된 원거리장 동평면 방사 패턴이다. 메인 로브 크기는 0 도에서 2.38 dB이고, 각도 빔 폭(3 dB)이 54.9 도이고 사이드 로브 레벨이 -12.2 dB로서 시뮬레이션된다. 설계는 양호한 엔드 파이어 이득을 되풀이 하여 도시하며 패턴이 두 개의 반대 방향으로 커버리지를 제공하는 것을 도시한다.
도 181c는 일부 양태에 따라, 루트비히 정의를 사용하여 29.5 GHz의 주파수에서 도 179a 및 도 179b의 다이폴 비아 안테나 구성에 대해 시뮬레이션된 원거리장 동평면 방사 패턴이다. 메인 로브 크기는 0도에서 2.03 dB이고, 각도 빔 폭(3 dB)이 54.9 도이고 사이드 로브 레벨이 -10.0 dB로서 시뮬레이션된다. 설계는 양호한 엔드 파이어 이득을 되풀이 하여 도시하며 패턴이 두 개의 반대 방향으로 커버리지를 제공하는 것을 도시한다.
도 182는 일부 양태에 따른 5G 기술에 대해 28 GHz에서의 동작을 위한 두 개 요소 비아 안테나 설계이다. 두 개 요소 비아 안테나 배열 설계는 5G 기술의 28 GHz를 위한 것이다. 비아 안테나는 27.5 GHz 내지 29.5 GHz의 5G 밀리미터 파 주파수 대역을 커버하도록 구성된다. 콘의 상부 직경은 3.6 mm이고 콘의 하부 직경은 0.4 mm이다. 콘의 높이는 0.6 mm이다. 콘은 유전 상수 4.4를 갖는 0.8 mm 두께의 FR4 PCB 상에 구성된다. 안테나는 엔드 파이어 방사를 얻기 위해 피드에 대응하게 수평으로 배치되며, 비아의 중심 사이 거리는 8.80 mm이다.
도 183은 일부 양태에 따라, 도 182의 두 개 요소 비아 안테나 배열 설계에 대해 안테나 반사 손실의 시뮬레이션된 그래프이다. 시뮬레이션 결과는 (18302)에서 안테나 사이의 격리 및 (18301 및 18305)에서 안테나 둘 모두의 반사 손실을 포함한다. 최소 반사 손실은 29.5도에서 있다. 지점(5)에서의 격리는 27.7 GHz에서 -20.2 dB이고, 지점(7)에서의 격리는 31.8 GHz에서 -26.3 dB이다.
도 184a는 일부 양태에 따른 27.5 GHz의 주파수에서 동작하는 도 182의 두 개 요소 비아 배열의 시뮬레이션된 방사 패턴이다. 이 도면은 27.5 GHz에서 안테나 배열 방사 패턴(18401A)을 도시한다.
도 184b는 일부 양태에 따른 29.5 GHz의 주파수에서 동작하는 도 182의 두 개 요소 비아 배열의 시뮬레이션된 방사 패턴이다. 이 도면은 29.5 GHz에서 안테나 배열 방사 패턴(18401B)을 도시한다.
도 185는 일부 양태에 따른 PCB에 설계된 비아 안테나의 사시도이다. 이 도면은 PCB가 여섯 개의 유전체 층 및 0.8mm의 두께를 갖는 것을 도시한다. 유전체 재료의 전기적 유전율은 3.3이고, 네 번째 및 다섯 번째 층의 두께는 0.2 mm이고 다른 층은 0.1 mm이다. 비아 안테나는 PCB의 세 번째 층 내지 네 번째 층을 통해 설계된다. 비아의 설계 치수 및 형상은 57 GHz 내지 66 GHz를 커버하는 WiGig 주파수 대역을 커버하는 시뮬레이션에 의해 얻어진다.
도 186a는 일부 양태에 따른 도 185의 비아 안테나의 접지 평면의 저면도이다. 안테나는 콘의 더 작은 직경의 에지에서 급전된다.
도 186b는 일부 양태에 따른 도 185의 비아 안테나의 측면도이다. 치수는 도 185의 치수와 일치한다.
도 186c는 일부 양태에 따른 도 185의 비아 안테나의 사시도이다. 치수는 도 185 및 도 186b의 치수와 일치한다.
도 187은 일부 양태에 따라, 도 185의 비아 안테나에 대한 비아 안테나 반사 손실의 시뮬레이션된 그래프이다. 지점(1)에서, 반사 손실은 57.0 GHz에서 -6.4 dB이다. 지점(2)에서, 반사 손실은 66.2 GHz에서 -8.7 dB이다.
안테나 또는 안테나 배열의 원하지 않는 편파 방사 대 원하는 편파 방사의 비율은 교차 편파로 알려져 있다. 교차 편파는 안테나 배열의 상이한 편파된 안테나 요소 사이의 격리 및 안테나 방사 효율에 영향을 준다. 전형적으로, 연속적인 접지 평면에 걸친 3D 안테나 요소는 안테나 스탠드얼론 효율(antenna standalone efficiency) 및 안테나 배열 효율(antenna array efficiency)을 저하시키는 일부 레벨의 원하지 않는 교차 편파 및 인접한 요소와의 원하지 않는 결합을 보인다. 적어도 하나의 공개된 논문은 평면 안테나의 기하학적 구조에 대한 결함 접지 구조(defected grounded structure)(DGS)를 이용한 안테나 교차 편파 감소를 설명하고 있다. "Printed Antenna Designs Using Defected Ground Structures-FERMAT www.e-fermat.org/files/articles/1534d5380e9790.pdf"라는 제목의 리뷰 논문은 교차 편파를 감소시키는 마이크로스트립 패치 안테나 요소하의 다양한 DGS 기하학적 구조를 도시한다. 다음의 도면에 도시되는 DGS 구조의 일부는 3D 콘 안테나와 함께 시뮬레이션된다. 이러한 구조는 교차 편파의 상당한 감소를 보이지 않는다. 이러한 구조는 평면 안테나에 적합할 수 있지만, 3D 모노폴/콘 유형의 안테나 구조에는 적합하지 않다.
접지에 수직일 수 있는 3D 안테나 아래의 접지 평면을 수경하는 것은 일부 양태에 따라, 배열에 대한 요소 대 요소 결합 및 교차 편파를 감소시켜 안테나 스탠드얼론 효율 및 안테나 배열 효율을 개선시킬 것이라는 것이 발견되었다. 도 189a 내지 도 190c는 일부 양태에 따라, 3D 콘 안테나를 위한 수정된 접지 구조의 구성요소를 도시한다.
도 189a는 PCB(18903) 상의 3D 원추형 안테나 요소(18901)의 평면도(18900)를 도시하며, 여기서 안테나 요소는 PCB의 상부에 납땜될 수 있다. 안테나 요소는 일부 양태에서 PCB(18903)의 상부에 있을 피드 라인(18905)에 의해 급전된다. 도 189a는 일부 양태에 따라, 도 189a의 원추형 3D 안테나를 위한 PCB 내에 있는 접지 평면(18907)을 (18902)에서 도시한다.
도 189b는 일부 양태에 따른 3D 안테나 아래의 접지 평면을 도시한다. 접지 평면(18907)은 구리일 수 있다.
도 189c에서, 수정된 접지 평면(18908)과 같은 접지 평면 수정은 일부 양태에 따라, PCB 층(18909) 상에 도시되며, 3-D 안테나(18901) 아래에서, (18911)에서 도시된 바와 같이 연속적인 접지 평면을 선택적으로 슬롯을 형성(slotting)하고 그럼으로써 수정하는 것을 포함하며, 이것은 일부 양태에서, 교차 편파를 감소시키고 원하는 방사 이득을 개선하는 전자기 효과를 유도한다. 영역(18910, 18912)은 금속이 없는 영역이다.
배열에서 구성될 때, 이러한 양태는 연속적인 접지 평면을 나누고 또한 배열 내 요소 대 요소 연결을 감소시킬 것이고 하나 이상의 부대적 표면 파를 감소시킬 것이다. 이러한 양태는 5G 및 WiGig 안테나 배열 방사 효과를 개선할 것이고, 5G, WiGig 및/또는 안테나가 어떤 종류의 PCB 상에서 동작할지가 설계되는 안테나 유형과 같은 다른 밀리미터 파 모노폴에 대해 유용할 것이다. 이러한 수정을 채용하는 일부 양태는 교차 편파에서 상당한 개선을 보이는 것이 발견되었다.
교차 편파 방사는 일부 양태에서 -7 dB 만큼 감소되었고, 동일 편파는 이러한 양태에서 1dB 만큼 개선되며, 따라서 본 명세서에서 설명되는 개선은 다중-입력 다중-출력(MIMO) 시스템에서 편파 다이버시티에 대해 이상적이다.
도 189d는 (18911')와 같은 다양한 결함 접지 평면과 (18901)과 같은 3D 콘 안테나 콘을 도시한다. 이러한 도면의 구현예의 적어도 일부가 시뮬레이션되었다. 시뮬레이션의 결과는 이러한 결함 접지 구조가 도 189a 내지 도 189c의 구성이 행하는 것과 같은 교차 편파의 상당한 제거를 보이지 않는 것을 도시한다. 결함 접지 구조는 3D 모노폴/콘 유형의 안테나에 대해 교차 편파를 감소시키기에 적합한 것으로 보이지 않는다.
본 명세서에서 설명되는 개선은 일부 양태에서, PCB 내 접지 평면 위에 있는 3D 모노폴 안테나 요소의 성능 및 거동을 개선한다. 이것은 특히 안테나 배열이 전형적으로 사용되는 밀리미터 파(mmWave) 애플리케이션에 적용가능하다. 이러한 안테나 배열에서, 각각의 안테나는 원하는 편파로 이상적으로 방사하도록 설계된다. 그러나, 실제로, 원하는 방사 편파에 부가하여, 원하는 편파에 본질적으로 수직인 편파 쪽에서 누출이 있다. 일부 양태에서, 안테나 방사체 요소 아래의 개시된 접지 평면 수정은 원하지 않는 편파 쪽의 누출을 감소시키고 원하는 편파의 방사는 개선하여, 안테나 효율을 개선하고 안테나 배열의 부분으로서 사용되기에 더욱 적합하게 한다.
작은 폼 팩터 디바이스에서 5G 및 WiGig 애플리케이션을 위한 모노폴 유형 안테나의 사용은 일부 양태에서, 마이크로스트립 패치 안테나에 비해 몇가지 물리적 장점을 갖는다. 그러나, 모노폴 안테나는 안테나 방사 효율 및 수직 편파와 수평 편파 사이의 격리에 영향을 주는 더 높은 교차 편파를 보인다. 교차 편차 문제를 해결하기 위해 또는 일부 양태에서 교차 편파 문제를 개선하기 위해, 모노폴 안테나 아래의 안테나 접지는 일부 양태에 대해 위에서 논의된 바와 같이 원하지 않는 편파로의 방사 누출을 감소시키도록 선택적으로 수정될 수 있다.
본 명세서에서 설명되는 안테나 구조는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 안테나 구조는 이러한 것으로 제한되지 않는다. 도 190a 내지 도 190c 는 일부 양태에 따라, 상이한 유형의 접지 평면과 함께 콘 형상 모노폴 안테나 구조의 예를 도시한다. 도 190a에서, (19000)은 큰 접지 평면(19007) 상의 모노폴 3D 안테나(19001)를 도시한다. 도 190b에서, (19002)는 유한한 정사각형 형상의 접지 평면(19009)과 함께 3-D 원추형 모노폴 안테나(19001)의 예시이다. 비록 정사각형 형상 접지 평면이 도시되지만, 다른 형상, 예를 들어, 직사각형 또는 원형이 또한 사용될 수 있다. (19004)에서, 도 190c는 원추형 형상 안테나(19001) 아래의 대각으로 슬롯 형성된 유한한 접지 평면(19009)을 도시하며, 여기서 대각 슬롯은 일부 양태에 따라 (19011)에서 도시된다.
도 190a 내지 도 190b에서, 안테나(19001)는 본질적으로 수직이고, 접지 평면은 수평이며, 예를 들어, 두 개는 서로 수직이다. 도 190a 내지 도 190c에서 도시된 바와 같이 상이한 접지 평면을 갖는 안테나(19001)는 개시된 양태의 결과를 보여주는 EM-시뮬레이션 소프트웨어, 즉 Computer Simulation TechnologyTM (CST)를 사용하여 시뮬레이션되었다. 이 결과는 도 191a 및 도 191b에 도시된다. 도 191a 및 도 191b는 일부 양태에 따라, 도 190a 내지 도 190c의 안테나 구조 사이의 방사 패턴 비교를 도시한다.
도 191a는 도 190a의 큰 접지 평면의 경우, 도 190b의 정사각형 접지 평면의 경우, 및 도 191c의 슬롯 형성된 접지 평면의 경우의 교차 편파 비교를 도시한다. 도 191a는 일부 양태에 따라, 큰 접지(19003) 및 유한한 정사각형 형상 접지 평면(19009)이 매우 유사한 교차 편파 레벨을 가지며, 대략 -3 dB의 피크를 갖는 것을 도시한다. 수정된 접지는 일부 양태에 따라, 상당히 더 낮은 교차 편파 레벨을 갖고, 동일한 원추형 안테나(19001)에 대해 대략 -10 dB의 매우 낮은 피크를 갖는다.
도 191b는 세 개의 상이한 접지 구조에 대한 안테나 동일 편파 방사 이득을 도시한다. 일부 양태에 따라, 도 190c의 수정된 접지 평면(19011)이 원하는 방향에서 도 190a의 큰 접지 평면(19003) 또는 도 190b의 정사각형 접지 평면보다 실제로 더 높은 이득을 갖는 것을 알 수 있다. 따라서, 대각 슬롯 접지 평면(19011)과 같은 수정된 접지 평면은 교차 편파 감소를 원하는 경우에 매우 유용할 수 있다. 도 192a 및 도 192b는 일부 양태에 따라, 도 190a 내지 도 190c의 안테나 구조의 일부의 보다 상세한 예시이다. 원추형 형상 3-D 안테나는 도 192a에서 사시도로 (19201)에서 도시된다. 상부(또는 최대) 직경(19201) 및 하부(또는 최소) 직경(19203)이 도시된다. 안테나 구조는 5G 애플리케이션 위한 28 GHz에서 방사하도록 설계된다. 콘의 치수는 도 192a에 도시되며 세 개의 상이한 접지 평면을 갖는 콘 안테나가 시뮬레이션된다. 접지 평면은 도 190a 내지 도 190c에 도시된다. 도 192b는 일부 양태에 따라, 대각으로 슬롯 형성된 유한 접지 평면(19011) 치수를 도시하며, 원추형 안테나의 하부 직경은 PCB의 타 측면 상의 콘 배치를 표시하도록 (19203)에서 점선으로 도시된다. 접지 평면(19211)은 일부 양태에 따라 안테나 아래의 전류 이동 경로를 나누도록 대각으로 슬롯 형성된다.
도 193a 및 도 193b는 일부 양태에 따라, 3D 안테나 구조의 평면도 및 저면도를 도시한다. 3D 안테나 요소는 도 193a의 (19301)에서 도시되고 대각으로 슬롯형성된 접지 평면(19311)은 도 193b에서 도시되며, 영역(19310, 19312)은 비금속화된다.
도 194는 일부 양태에 따른 도 192a 및 도 192b의 안테나의 반사 손실 간의 그래프 비교이다. 도면에서, 큰 접지 평면의 경우는 (19403)에서, 유한한 정사각형 형상 접지 평면의 경우는 (19407)에서, 그리고 수정된, 즉, 대각으로 슬롯 형성된 접지 평면의 경우는 (19411)에서 도시되며, 여기서 일부 양태에서, 참조 번호는 도 190a 내지 도 190c의 참조 번호에 대응한다. 일부 양태에 따라, 도표 구성(19403)에 대해 -6.5 dB의 28 GHz, 도포 구성(19407)에 대해 -10.0 dB, (19411)에 대해 -18.0 dB의 반사 손실 도면은 수정된 또는 이러한 구성에서 대각으로 슬롯 형성된 접지 평면(19411)이 큰 접지 평면의 경우(19403) 또는 정사각형 접지 평면(19407)의 경우 보다 상당히 더 양호한 반사 손실을 갖는다는 것을 도시한다.
도 195a 내지 도 195c는 일부 양태에 따라, 도 190a 내지 도 190c의 접지 구조 간의 E-필드 분배를 도시한다. 도 195a에서, 원추형 안테나는 (19501)에서 도시될 수 있다. 이 경우에 대한 E-필드 분배는 (19502, 19504 및 19506)에서 도시된다. 도 195b에서, 원추형 안테나는 (19501')에서 도시될 수 있고, 이 경우에 대한 E-필드 분배는 (19502', 19504' 및 19506')에서 도시된다. 도 195c에서, 원추형 안테나는 (19501")에서 다시 도시될 수 있고, E-필드 분배는 (19502", 19504" 및 19506")에서 도시된다. 대각으로 슬롯 형성된 유한한 접지 평면 안테나가 다른 두 개의 접지 평면의 것과 상이한 E-필드 분배를 가지며, 일부 양태에 따라, 수정된 대각으로 슬롯 형성된 접지 평면에 의한 E-필드 변화는 도 195a 및 도 195b의 E-필드와 비교하여, 교차 편파를 상당히 감소시킨다는 것이 도 195c로부터 매우 명확해진다.
도 196a 내지 도 196b는 일부 양태에 따른 수정된 접지 평면이 있는 그리고 수정된 접지 평면이 없는 다섯 개 요소 콘 안테나 배열을 도시한다. 도 196a는 (19601)로서 열거되는 하나의 안테나를 갖는 그리고 반사기(19602)를 갖는 콘 안테나 배열(19600)을 도시한다. 도 196b 및 도 196c는 각각 접지 평면 수정이 있는 그리고 접지 평면 수정이 없는 접지 평면을 도시한다. 도 197a 및 도 197b는 일부 양태에 따라, 수정된 접지 평면이 있는 그리고 수정된 접지 평면이 없는 교차 편파 방사 패턴 비교를 도시한다. 도 197a는 교차 편파 이득이 대략 7 dB 만큼 감소된 것을 도시하는 한편, 도 197b는 28.25 GHz에서 비교를 수행하여, 수정된 접지 평면을 이용하여 동일 편파 이득이 2.5 dB 만큼 증가된 것을 도시한다. 시뮬레이션된 결과는 3-D 안테나 아래의 수정된 접지 평면의 이익을 도시한다.
도 198a 및 도 198b는 일부 양태에 따라, 안테나 방사에 대한 접지 평면의 효과를 도시하며, 배열의 다섯 개의 안테나 요소 중 단지 두 개가 두 도면의 각각에 도시된다. 도 198a는 수정이 없는 접지 평면의 경우에 도 197b의 안테나 배열의 에지를 향한 방향을 도시한다. 일부 양태에 따라 최대 방사가 콘에 직각인 경우. 도 198b는 수정된 접지 평면을 갖는 배열이 일부 양태에 따라 에지의 양 측면에서 방사의 평형을 이루어 매우 대칭인 에지-파이어 방사를 나타내는 것을 도시한다. 다시 말해서, 도 198b에서, 방사 패턴은 방사가 도 198a에서 도시된 슬롯 형성된 접지 평면이 없는 접지 평면과 비교하여, 원추형 배열에 거의 완벽하게 직각인 것을 도시한다.
도 199는 일부 양태에 따른 수정된 접지 평면을 갖는 안테나 배열에 대한 반사 손실 및 격리의 비교를 도시한다. 도 200은 일부 양태에 따른 수정되지 않은 접지 평면을 갖는 안테나 배열에 대한 반사 손실 및 안테나 요소 사이의 격리의 비교를 도시한다. 두 개의 도면은 수정된 접지 평면에 대한 반사 손실 및 격리의 개선을 도시한다. 안테나 배열 설계에는 더 높은 격리가 중요하며, 따라서 3-D 안테나 아래에서 수정된 접지 평면의 다른 장점이 제공된다.
도 201a 내지 도 201b는 일부 양태에 따라, 테스팅을 위해 3D 안테나와 함께 사용되었던 슬롯 형성된 접지 평면을 갖는 PCB를 도시한다. 도 201a는 교차 슬롯 형성된 접지 평면(20111)을 갖는 PCB(20101)를 (20100)에서 도시한다. PCB의 상부는 안테나 피드 배열 및 장착 패드(도시되지 않음)를 가지며, 반면에 PCB의 하부는 대각으로 슬롯 형성된 유한한 접지 평면을 갖는다. 원추형 안테나 요소의 하부가 도시되며, 이 중 하나가 (20103)에서 열거된다. 원추형 안테나 요소는 피드 라인에 의해 급전되며, 이 중 하나가 (20107)에서 열거된다.
도 201b는 도시된 피드 라인을 갖는 이러한 셋업을 도시하는데, 그 중 하나의 피드 라인이 (20107)로서 열거되고 슬롯은 도시되지 않다. 도 201c는 배열의 하나의 3D 원추형 안테나 요소가 (20100)에서 도시되고 피드 라인(20107)이 도시된 PCB의 상부를 도시한다. 타원형 요소 - 그 중 하나가 (20109)로 열거됨 - 는 이러한 양태에 대해 다양한 요소를 테스트 장치에 연결하는 커넥터이다. 3.5 유전 상수 및 0.15 mm 두께의 FR-4 재료가 안테나 장착 패드, 안테나 피드 라인 및 접지 사이에 사용된다. 안테나 장착 패드 및 안테나 피드 라인은 PCB의 동평면 상에 만들어 질 수 있는 반면, 슬롯 형성된 접지 평면은 일부 양태에 따라 FR4 기판의 다른 측면 상에서 만들어 질 수 있다. 원한다면, 몇 개의 추가의 유전체 층이 PCB를 강화하기 위해 추가될 수 있다.
테스트의 결과는 수정되지 않은 접지의 경우 반사 손실이 받아들이기 어렵게 높은 15 dB이지만, 수정된 접지 평면의 경우 반사 손실이 수용가능하게 넓은 대역폭으로 보다 수용 가능한 (대략) -5 dB인 것을 나타내었다.
이동 데이터 사용은 거의 해마다 두 배의 비율로 기하급수적으로 커지고 있고, 이러한 추세는 계속될 것으로 예상된다. 비록 최근 셀룰러 기술의 발전이 이동 네트워크의 성능 및 용량을 개선시켰지만, 이러한 진보가 이동 데이터 네트워크 서비스를 위해 예상되는 수요를 여전히 수용하지 못할 것이라고 널리 생각된다.
증가하는 이동 네트워크 용량에 대한 하나의 접근법은 더 높은 주파수 무선 대역을 활용하는 것이다. 예를 들어, 밀리미터 파 통신은 30-300 GHz 범위의 무선 주파수를 사용하여, 예를 들어, 20 Gb/s 정도의 오늘날의 표준에 의해 엄청난 대역폭을 제공한다. 밀리미터 파 무선 신호의 전파는 2-5 GHz 범위라는 더 친숙한 무선 신호와 상당히 상이하다. 우선, 이들의 범위는 대기 중에서 감쇠로 인해 그에 비해 상당히 제한된다. 또한, 밀리미터 파 신호는 벽, 빌딩 및 다른 물체로 인해 더 낮은 주파수 신호보다 더 큰 정도로 차단, 반사, 굴절 및 산란을 겪는다. 이러한 물리적 과제는 또한 통신 시스템 설계자에게 약간의 유용한 기회를 제공한다. 예를 들어, 밀리미터 파 송신의 제한된 범위는 많은 복수의 사용자 장비 디바이스가 있을 수 있는 도시 블록, 사무실 건물, 학교, 경기장 등에서 자원-요소(시간 슬롯 및 주파수)를 고밀도 배치로 재활용하는데 밀리미터 파 송신이 적합하도록 만들어 준다. 또한, 정확한 방향성 제어를 위한 잠재력은 다중 사용자 다중 입력/다중 출력(multi-user multiple input/multiple output)(MU-MIMO) 기술을 광범위하게 사용할 수 있는 기회를 제공한다. 고도로 지향성인 무선 네트워크에서 이러한 기회를 실용적으로 사용하기 위한 솔루션이 필요하다.
밀리미터 파 또는 유사한 고주파수 통신 시스템은 전형적으로 링크 설정에 적절한 신호 대 잡음 비(SNR)를 달성하고, 5G/뉴 라디오(new radio)(NR) 통신에 공통적인 통신 채널 차단 문제를 극복하기 위해 기지국 및 사용자 장비에서 방향성 빔포밍을 채용한다. 5G 통신 시스템이 여덟 개만큼 많은 컴포넌트 캐리어(8-CA)가 결합된 적어도 하나의 밀리미터 파 대역에서의 동작을 지원할 것으로 예상된다. 이러한 유형의 통신을 다를 수 있는 5G 수신기 회로를 구현하는 것은 믹서 포트에서의 국부 발진기(LO) 멀티플렉싱 문제와 연관된 제한 때문에 어려운 것일 수 있다.
본 명세서에서 사용되는 것으로서, "스위치 모드"라는 용어는 입력 RF 신호가 단일 기저대역 출력을 생성하기 위해 처리되고 사용되는 수신 동작 모드를 나타낸다. 이와 관련하여, 스위치 모드는, RF 입력 신호가 연속적인 캐리어 결합 신호를 포함하여 ADC 프로세싱 이전에 채널 필터의 대역폭보다 작은 대역폭을 갖는 기저대역 신호를 생성하는 경우에 사용될 수 있다.
본 명세서에서 사용되는 것으로서, "분할 모드"라는 용어는 입력 RF 신호가 두 개의 기저대역 출력 신호를 생성하도록 분할되고 처리될 수 있는 수신 동작 모드를 나타낸다. 이와 관련하여, 분할 모드는 RF 입력 신호가 비연속적인 캐리어 결합 신호 또는 연속적인 캐리어 결합 신호를 포함하여 ADC 프로세싱 이전에 채널 필터의 대역폭보다 높은 대역폭을 갖는 기저대역 신호를 생성하는 경우에 사용될 수 있다.
본 명세서에 설명된 스케일러블 수신기 아키텍처는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)에 통합될 수 있지만, 스케일러블 수신기 구조는 이것으로 제한되지 않는다. 도 202는 스위치 모드 및 분할 모드에서 동작하는 수신기의 블록도를 도시한다. 도 202를 참조하면, 스위치 모드 및 분할 모드에서 각각 동작하는 수신기(20202 및 20230)가 도시된다. 수신기(20202)는 저잡음 증폭기(LNA)(20218), 믹서(20214), 버퍼(20206 및 20212), 분주기(20208) 및 멀티플렉서(20210 및 20222)를 포함할 수 있다.
수신기(20202)의 동작 동안, 차동 LO 신호(20204)가 초기에 버퍼(20206)에 의해 버퍼링된 다음, 분주기(20208) 및 멀티플렉서(20210)에 전달된다. 멀티플렉서(20208)의 출력에서 LO 신호는 버퍼(20212)에 의해 버피링된 다음 하향 변환 믹서(20214)에 전달된다. 입력되는 RF 신호(20220)는 분할되고 LNA(20218)에 의해 증폭된 다음 버퍼(20212)의 출력으로부터의 차동 LO 신호를 사용하여 믹서(20214)에 의해 하향 변환된다. 믹서(20214)의 하향 변환된 출력은 멀티플렉서(20222)를 통해 함께 결합되고, 단일 기저대역 출력 신호(20224)(BB1)로서 전달된다.
수신기(20230)는 LNA(20244), 믹서(20240), 버퍼(20232 및 20238), 분주기(20234) 및 멀티플렉서(20236 및 20248)를 포함한다. 수신기(20230)의 동작 동안, 차동 LO 신호(20205)가 초기에 버퍼(20232)에 의해 버퍼링된 다음 분주기(20234) 및 멀티플렉서(20236)에 전달된다. 멀티플렉서(20236)의 출력에서 LO 신호는 버퍼(20238)에 의해 버퍼링된 다음 하향 변환 믹서(20240)에 전달된다. 입력되는 RF 신호(20246)는 분할되고 LNA(20244)에 의해 증폭된 다음 믹서(20238)의 출력으로부터의 차동 LO 신호를 사용하여 믹서(20214)에 의해 하향 변환된다. 하향 변환된 믹서(20214)의 출력은 멀티플렉서(20248)를 통해 개별적으로 출력되고, 별개의 기저대역 출력 신호(20250)(BB1 및 BB2)로서 전달된다.
수신기(20202 및 20230)는 6 GHz 보다 높은 주파수에서 동작될 때 단점과 연관될 수 있다. 보다 구체적으로, LO 분배 회로의 스위치(20210 및 20236)는 특히 mmWave 주파수에서 동작될 때 믹서(20214 및 20240)를 구동하는 데 필요한 LO 드라이브에서 어려움을 야기할 수 있다. 보다 구체적으로, 믹서가 mmWave 주파수에서 25 % 듀티 사이클 LO 파형으로 구동되어야 할 때, LO 분배의 전류 방전이 어려워질 수 있다. 전류 방전이 캐리어 결합(Carrier Aggregation)(CA) 사례를 처리하기 위해 필연적으로 분할 모드에서 작동해야 할 때 전류 방전은 더 높아질 수 있다.
일부 양태에서, 도 202의 수신기 아키텍처에서 LO 분배는 LO 분배 네트워크에서 멀티플렉서(20210 및 20236)를 제거함으로써 간략화될 수 있다. 또한, LO 분배 네트워크에서 멀티플렉서(20210 및 20236)를 제거함으로써, 수신기(20202 및 20230)는 하향 변환 믹서의 출력에서 멀티플렉서(20222 및 20248)를 제거함으로써 더 간략화될 수 있고, 이것은 각각의 믹서상의 부하를 줄여준다. 일부 양태 및 연관된 진리표에 따른 업데이트된 수신기 아키텍처의 하이레벨 다이어그램이 도 203에 도시된다.
도 203은 일부 양태에 따른 세그멘트화된 저잡음 증폭기(LNA) 및 세그멘트화된 믹서를 사용하는 수신기(20300)의 블록도를 도시한다. 수신기(20300)는 두 개의 별개의 RF 프로세싱 경로(20306 및 20308)를 포함한다. 각각의 프로세싱 경로는 세그멘트화된 LNA 및 세그멘트화된 믹서를 포함할 수 있다. 예를 들어, RF 프로세싱 경로(20306)는 LNA1-A(20312) 및 LNA1-B(20314)를 포함하는 세그멘트화된 LNA 및 믹서(20316 및 20318)를 포함하는 세그멘트화된 믹서를 포함한다. 유사하게, RF 프로세싱 경로(20308)는 LNA2-A(20322) 및 LNA2-B(20324)를 포함하는 세그멘트화된 LNA 및 믹서(20326 및 20328)를 포함하는 세그멘트화된 믹서를 포함한다. 하향 변환 믹서(20316 및 20318)는 LO 신호(20310)를 사용할 수 있고, 하향 변환 믹서(20326, 20328)는 LO 신호(20311)를 사용할 수 있다. LO 신호(20310 및 20311)는 차동 LO 신호일 수 있다(예를 들어, LO 신호(20310 및 20311)는 하나 이상의 동위상(I) 및 직교(Q) LO 신호 구성요소를 포함할 수 있다).
도 203에 도시된 바와 같이, 수신기(20300)는 동일한 디자인을 갖는 인접 및 비인접 캐리어 결합(CA) 전송신호의 수신을 가능할 수 있게 하는 방식으로 LNA 및 하향 변환 믹서 캐스케이드의 분할된 구현예를 사용한다. LNA 및 믹서는 다운링크 신호의 구성에 따라 (예를 들어, 도 204에서 도시된 바와 같은 제어 회로에 의해 생성된 제어 신호를 통해) 인에이블 또는 디스에이블될 수 있는 각각의 RF 프로세싱 경로에서 두 개의 동일한 절반으로 분할된다. LNA의 어떤 세그멘트(20312, 20314, 20322, 20324) 및 믹서의 어떤 세그멘트(20316, 20318, 20326, 20328)가 인에이블되는지를 선택함으로써, 수신기(20300)의 개시된 솔루션은 비인접 및 인접 캐리어 결합 다운링크 신호를 수신하면서, 입력 RF 신호(20304)를 수신하는 수신기 입력을 바라보는 본질적으로 일정한 입력 임피던스를 유지한다. 도 202의 수신기(20202 및 20230)와 비교하여, 수신기(20300)의 구성은 믹서(20316/20318 및 20326/20328)로의 LO 입력(20310 및 20311)을 멀티플렉싱하지 않고 실행될 수 있다. 믹서로의 LO 입력을 멀티플렉싱하는 것은 5G 애플리케이션에서 필요하지 않기 때문에, 예시적인 수신기(20300)의 예는 도 202의 수신기와 비교하여 더 간단하고 더 효율적이다.
진리표(20302)는 수신기(20300)의 동작 모드(예를 들어, 스위치 동작 모드 또는 분할 동작 모드)에 기초하여 어떤 LNA이 개시될 수 있는지를 도시한다. 예를 들어, 수신기(20300)는 스위치 동작 모드를 이용하여 인접 캐리어 결합 신호를 처리한다(그 결과 ADC 프로세싱 이전에 채널 필터의 대역폭보다 작은 대역폭을 갖는 기저대역 신호를 발생한다). 스위치 동작 모드 동안, 입력 RF 신호(20304)는 RF 프로세싱 경로(20306)에만 전달되어, LNA1-A 및 1-B 및 믹서(20316 및 20318)을 사용하여 제 1 기저대역 출력 신호(20320)를 생성할 수 있다. LNA2-A 및 2-B (및 믹서(20326 및 20328))는 비활성 상태를 유지할 수 있거나 전원이 꺼져 있을 수 있다. 유사하게, 스위치 모드 동안, 입력 RF 신호(20304)는 RF 프로세싱 경로(20308)에만 전달되어, LNA2-A 및 2-B 및 믹서(20326 및 20328)를 사용하여 제 2 기저대역 출력 신호(20330)를 생성할 수 있다. LNA1-A 및 1-B (및 믹서(20316 및 20318))는 비활성 상태를 유지하거나 전원이 꺼져 있을 수 있다.
수신기(20300)는 분할 동작 모드를 사용하여 비인접 캐리어 결합 신호(또는 ADC 프로세싱 전에 채널 필터의 대역폭보다 높은 대역폭을 갖는 기저대역 신호를 생성하는 인접 캐리어 결합 신호)를 처리할 수 있다. 분할 동작 모드 동안, 입력 RF 신호(20304)는 제 1 신호 부분이 RF 프로세싱 경로(20306)에서 처리되고 제 2 신호 부분이 RF 프로세싱 경로(20308)에서 처리되도록 분할될 수 있다. 일부 양태에서, LNA1-A는 LNA2-B가 턴 오프되는 동안 활성화될 수 있고, LAN2-A는 LNA2-B가 턴 오프되는 동안 활성화될 수 있다. 다른 분할 동작 모드의 예에서, LNA1-A는 LNA1-B가 턴 오프되는 동안 활성화될 수 있고, LNA2-B는 LNA2-가 턴 오프되는 동안 활성화될 수 있다.
도 204는 일부 양태에 따른 인접 캐리어 결합 신호를 처리하기 위해 분할 모드에서 동작하는 세그멘트화된 저잡음 증폭기(LNA) 및 세그멘트화된 믹서를 사용하는 수신기의 블록도를 도시한다. 도 204를 참조하면, 수신기(20400)는 두 개의 별개의 RF 프로세싱 경로(20402 및 20404)를 포함한다. 각각의 프로세싱 경로는 세그멘트화된 LNA 및 세그멘트화된 믹서를 포함할 수 있다. 예를 들어, RF 프로세싱 경로(20402)는 LNA1-A(20406) 및 LNA1-B(20408)를 포함하는 세그멘트화된 LNA 및 믹서(20410 및 20412)을 포함하는 세그멘트화된 믹서를 포함한다. 유사하게, RF 프로세싱 경로(20404)는 LNA2-A(20414) 및 LNA2-B(20416)를 포함하는 세그멘트화된 LNA 및 믹서(20418 및 204202)을 포함하는 세그멘트화된 믹서를 포함한다. 하향 변환 믹서(20410 및 20412)은 LO 신호(20403)를 사용할 수 있고, 하향 변환 믹서(20418, 20420)는 LO 신호(20405)을 사용할 수 있다. LO 신호(20403 및 20405)는 차동 LO 신호일 수 있다(예를 들어, LO 신호(20403 및 20405)는 하나 이상의 동위상(I) 및 직교(Q) LO 신호 구성요소를 포함할 수 있다).
예시적인 분할 모드 동작에서, 수신기(20400)는 RF 입력 신호(20401)를 수신할 수 있다. RF 입력 신호(20401)는 연속 캐리어 결합 신호(20403)일 수 있다. 도 204에 도시된 바와 같이, 연속 캐리어 결합 신호(20403)는 총 800 MHz의 신호 대역폭을 갖는, 여덟 개의 구성요소 캐리어(각각 CC1 내지 CC8, 100 MHz)를 포함할 수 있다. 분할 동작 모드 동안, 인접 캐리어 결합 신호(20403)는 구성요소 캐리어(CC1 내지 CC4)가 제1 RF 프로세싱 경로(2402)에 의해 처리될 수 있고, 구성요소 캐리어(CC5 내지 CC8)가 제 2 RF 프로세싱 경로(20404)에 의해 처리될 수 있도록 분할될 수 있다. 이 경우, 결합된 신호 대역폭(800 MHz)이 채널 필터(20424 또는 20434)의 대역폭을 초과하기 때문에 분할 모드가 사용된다.
제 1 RF 프로세싱 경로(20402)는 기저대역 신호(20422)를 생성할 수 있고, 기저대역 신호(20422)는 필터링된 기저대역 신호(20426)를 생성하는 채널 필터(20424)에 의해 필터링될 수 있다. 필터링된 기저대역 신호(20426)는 ADC(20428)에 의해 디지털화되어 디지털 신호 구성요소 캐리어(CC1 내지 CC4)를 포함하는 연속 구성요소 캐리어 신호에 대응하는 디지털 신호(20430)를 생성한다.
유사하게, 제 2 RF 프로세싱 경로(20404)는 기저대역 신호(20432)를 생성할 수 있고, 기저대역 신호(20432)는 필터링된 기저대역 신호(20436)를 생성하는 채널 필터(20434)에 의해 필터링될 수 있다. 필터링된 기저대역 신호(20436)는 ADC(20438)에 의해 디지털화되어 디지털 구성요소 캐리어(CC5 내지 CC8)를 포함하는 연속적인 구성요소 캐리어 신호에 대응하는 신호(20430)를 생성한다.
일부 양태에서, 수신기(20400)는 적합한 회로, 로직, 인터페이스 및/또는 코드를 포함할 수 있는 제어 회로(20450)를 더 포함할 수 있고, 분할 동작 모드와 스위치 동작 모드뿐만 아니라 다른 제어 기능 사이에서 스위칭하는데 사용되는 하나 이상의 제어 신호를 생성하도록 구성될 수 있다. 예를 들어, 제어 회로(20450)는 제 1 RF 프로세싱 경로(20402) 및 제 2 RF 프로세싱 경로(20404) 내의 하나 이상의 회로를 활성화(예를 들어, 전원 켜기) 또는 비활성화(예를 들어, 전원 끄기)하는데 사용될 수 있는, Rx1 제어 신호(20452) 및 Rx2 제어 신호를 각각 생성할 수 있다.
예를 들면, 제어 회로(20450)는 입력 RF 신호(20401)와 연관된 신호 특성의 (예를 들어, 기지국으로부터의) 정보를 수신할 수 있고, 예를 들어, 입력 특성은 입력 RF 신호(20401)가 인접 또는 비 연속적인 캐리어 결합 신호인지 여부, 신호(20401)의 대역폭 등을 표시하는 정보를 포함할 수 있다. 제어 회로(20450)는 또한 외부 디바이스로부터의 지원 없이 입력 RF 신호(20401)에 관한 그러한 결정을 내릴 수도 있다. 예를 들어, 제어 회로(20450)는 입력되는 RF 신호(20401)가 총 800 MHz의 대역폭을 갖는 여덟 개의 구성요소 캐리어를 포함하는 연속적인 캐리어 결합 신호(20403)라고 결정할 수 있다. 그 다음에 제어 회로(20450)는 제어 신호(20452 및 20454)를 발행하여 분할 동작 모드를 활성화할 수 있다. 보다 구체적으로, 제어 신호(20452 및 20454)는 LNA(20406 및 20414 또는 20406 및 20416)(및 대응하는 믹서)이 출력 신호(20430 및 20440)를 생성하기 위해 두 프로세싱 경로 모두를 활성화할 수 있게 한다. 일부 양태에서, 제어 회로(20450)는 입력되는 RF 신호(20401)의 대역폭이 채널 필터(20424 및 20434)와 연관된 대역폭 또는 ADC(20428 및 20438)와 연관된 대역폭보다 높은 것으로 결정을 내릴 때 분할 동작 모드를 활성화할 수 있다. 일부 양태에서, 제어 신호(20452 및 20454)는 또한 LAN, 믹서 중 하나 이상 또는 분할 동작 모드 동안 사용되지 않는 다른 회로를 비활성화(또는 전원 끄기)하는데 사용될 수 있다.
일부 양태에서, 제어 회로(20450)는 입력 RF 신호(20401)가 비 연속적인 캐리어 결합 신호라고 결정될 때 스위치 동작 모드를 개시할 수 있다. 스위치 동작 모드 동안, 제어 회로는 제 1 RF 프로세싱 경로(20402)를 활성화하여 전체 입력 RF 신호(20401)를 처리하는 제어 신호(20452)를 생성할 수 있다. 제어 회로(20450)는 또한 전체 제 2 RF 프로세싱 경로(20404)를 비활성화하거나 전원을 끄는 제어 신호(20454)를 생성할 수 있다.
일부 양태에서, 제어 신호(20452 및 20454)는 게이트 바이어스를 토글 링함으로써, 인에이블/디스에이블 핀을 사용함으로써, 또는 다른 방법에 의해 수신기(20400) 내의 각종 회로를 활성화 또는 비활성화하는데 사용될 수 있다. LNA 인에이블 핀의 예가 도 205, 도 206, 도 208 및 도 209에 도시된다.
본 명세서에 설명된 솔루션은 또한 스케일러블 수신기 아키텍처의 구현예가 채널 필터(예를 들어, (20424 및 20434)) 및 아날로그-디지털 변환기(ADC, 20428 및 20438)의 대역폭 제한을 해결할 수 있게 한다. 5G 통신 시스템은 무려 적어도 여덟 개의 결합된 구성요소 캐리어를 가진 적어도 1 밀리미터파 대역의 동작을 지원한다. 각 구성요소 캐리어는 100 MHz의 대역폭을 갖고, 총 800 MHz의 RF 신호 대역폭(예를 들어, 신호(20403))을 가질 수 있다. 필터(20424, 20434) 및 ADC(20428, 20438)는 800 MHz의 RF 대역폭을 처리하기 위한 성능 및 선형성 목표를 충족시키는 중요한 과제를 안고 있다. 강력한 차단 요인으로부터 ADC를 보호하려면 고차원 채널 필터가 필요할 수 있다. 수동 R-C 필터는 ADC 앞에 적절한 보호(필터링)를 제공하지 못할 수 있으므로, 능동 필터가 필요할 수 있다. 그러나 800 MHz의 RF 대역폭을 처리할 수 있는 능동 필터를 달성하는 것은 능동 필터에 사용되는 op 앰프에 필요한 매우 높은 이득 대역폭 제품으로 인해 기존 CMOS 기술로 구현하기가 어려울 수 있다.
본 명세서에서 논의되는 수신기 아키텍처 구현 기술은 (1) 수신된 신호를 하향 변환하는데 사용되는 국부 발진기 파형의 멀티플렉싱을 제거하는 것, 및 (2) 분리 동작 모드에서 사용되지 않을 때, 수신기를 들여다 보는 입력 임피던스에 영향을 미치지 않고, RF 프로세싱 경로의 절반을 전원 끄기(또는 셧다운) 하는 것을 포함할 수 있다.
제안된 아키텍처는 도 20302에 도시된 수신기 솔루션에 비해 몇 가지 장점이 있다. 첫째, (예를 들어, 도 203 내지 도 209에 도시된 바와 같은) 제안된 아키텍처는 수신된 구성요소 캐리어를 두 개의 (또는 다수의) 전용 경로로 분할함으로써 매우 넓은 대역폭의 능동 채널 필터 및 매우 높은 성능의 ADC를 구현할 때의 과제를 극복한다. 개시된 아키텍쳐 또는 기술의 두 번째 장점은 멀티플렉서 회로에서 LO 신호의 혼합으로 인한 상호변조 곱(intermodulation product)의 감소 또는 제거를 일으키는 LO 신호의 멀티플렉싱을 제거하는데서 생긴다. 개시된 아키텍처 또는 기술의 세 번째 장점은 (예를 들어, 스위치 동작 모드 동안) 수신기의 절반을 셧다운(또는 파워 오프) 시킴으로써 도출되며, 이는 전력 효율성 및 더 긴 배터리 수명을 가져올 것이다. 개시된 아키텍처 또는 기술의 네번째 장점은 LO 분배에서의 단순화이며, 이것은 (특히 분할 동작 모드에서 동작할 때) 6 GHz보다 높은 주파수에서 전력 절감으로 이어진다. 마지막으로, 전체 수신기 아키텍처에서의 단순화로 인해, 제어 로직(예를 들어, 제어 회로(20450))이 단순화될 수 있다.
도 205는 일부 양태에 따른 LNA 입력에서의 신호를 분할하는 스위치 모드에서 동작하는 세그멘트화된 LNA 및 세그멘트화된 믹서를 사용하는 수신기의 블록도를 도시한다. 도 205를 참조하면, 수신기(20500)는 도 203 및 도 204의 수신기(20300 및 20400) 각각의 보다 상세한 다이어그램을 나타낼 수 있다. 수신기(20500)는 LNA 슬라이스(20504, 20506, 20508 및 20510)를 포함하는 세그멘트화된 LNA을 포함할 수 있다. 예를 들어, LNA(20504 및 20506)는 하나의 세그멘트화된 LNA를 형성할 수 있고, LNA(20508 및 20510)는 다른 세그멘트화된 LNA를 형성할 수 있다. 하나의 세그멘트화된 LNA가 W라는 유효 사이즈를 갖는다면, LNA(20504 및 20506)와 같은 각각의 LNA 슬라이스는 도 205에서 도시된 바와 같이, W/2라는 유효 사이즈를 갖는다. 유사하게, LNA 슬라이스(20508 및 20510)를 포함하는 세그멘트화된 LNA는 W라는 유효 사이즈를 가질 수 있고, LNA 슬라이스(20508 및 20510)는 W/2라는 유효 사이즈를 갖는다.
각각의 LNA(20504, 20506, 20508, 및 20510)는 대응하는 하향 변환 믹서(20512, 20514, 20516 및 20518)뿐만 아니라, 채널 필터(20536, 20538, 20540 및 20542)에도 연결된다. 각각의 믹서(20512, 20514, 20516 및 20518)는 대응하는 LNA로부터 수신된 증폭 RF 신호를 하향 변환하는데 사용되는 차동 LO 신호를 수신하도록 구성된다.
예시적인 스위치 동작 모드에서, 입력 RF 신호(20502)는 LNA(20504 및 20506)에만 전달될 수 있다. LNA(20504), 믹서(20512) 및 필터(20536)를 포함하는 RF 프로세싱 경로는 기저대역 출력 신호의 동위상(I) 구성요소(20544)를 생성하는데 사용된다. 보다 구체적으로, 믹서(20514)로부터의 신호 출력(20515A 및 20515B)는 믹서(20512)로부터의 신호 출력(20513A 및 20513B)과 함께 사용되어 차동 기저대역 신호(20520 및 20522)를 생성하고, 차동 기저대역 신호(20520 및 20522)는 필터(20536)에 의해 필터링되어 기저대역 출력 신호의 I 신호 구성요소(20544)를 생성한다.
유사하게, LNA(20506), 믹서(20514), 및 필터(20538)를 포함하는 RF 프로세싱 경로는 기저대역 출력 신호의 직교(Q) 구성요소(20546)를 생성하는데 사용될 수 있다. 보다 구체적으로, 믹서(20514)로부터의 신호 출력(20515C 및 20515D)은 믹서(20512)로부터의 신호 출력(20513C 및 20523D)과 함께 사용되어 차동 기저대역 신호(20524 및 20526)를 생성하고, 차동 기저대역 신호(20524 및 20526)는 필터(20538)에 의해 필터링되어 기저대역 출력 신호의 Q 신호 구성요소(20546)를 생성한다. 도 205에 도시된 예시적인 스위치 동작 모드에서, LNA(20508 및 20510) 및 이들 LNA와 연관된 전체 프로세싱 경로(차동 LO 신호 분배를 포함함)는 효율을 위해 비활성화되고 턴 오프될 수 있다. 도 205에 도시된 바와 같이, 각각의 믹서(20512 내지20516)는 I 및 Q 신호 출력을 생성한다.
일부 양태에서, 스위치 동작 모드는 LNA(20508 및 20510)와 연관된 RF 프로세싱 체인에 의해서만 수행될 수 있는 반면, LNA(20504 및 20506)와 연관된 RF 프로세싱 체인은 비활성화되고 턴 오프될 수 있다. RF 입력 신호(20502)가 LNA(20508 및 20510)에 의해 처리되고 있다면, 대응하는 증폭된 신호는 차동 LO 신호에 기초한 하향 변환을 위해 믹서(20516 및 20518)에 전달된다. 믹서(20516)는 차동 기저대역 신호(20528 및 20530)를 생성하고, 차동 기저대역 신호(20528 및 20530)는 필터(20540)에 의해 필터링되어 기저대역 출력 신호의 I 신호 구성요소(20548)를 생성한다. 믹서(20518)는 차동 기저대역 신호(20532 및 20534)를 생성하고, 차동 기저대역 신호(20532 및 20534)는 필터(20542)에 의해 필터링되어 기저대역 출력 신호의 Q 신호 구성요소(20650)를 생성한다.
도 206은 일부 양태에 따른 LNA 입력에서의 신호를 분할하는 분할 모드에서 동작하는 세그멘트화된 LNA 및 세그멘트화된 믹서를 사용하는 수신기의 블록도를 도시한다. 도 206를 참조하면, 수신기(20600)는 도 203 및 도 204의 수신기(20300 및 20400) 각각의 보다 상세한 다이어그램을 나타낼 수 있다. 수신기(20600)는 LNA 슬라이스(20604, 20606, 20608 및 20610)를 포함하는 세그멘트화된 LNA을 포함할 수 있다. 예를 들어, LNA(20604 및 20606)는 하나의 세그멘트화된 LNA를 형성하고, LNA(20608 및 20610)는 다른 세그멘트화된 LNA를 형성할 수 있다. 하나의 세그멘트화된 LNA가 W라는 유효 사이즈를 갖는다면, LNA(20604 및 20606)와 같은 각각의 LNA 슬라이스는 도 206에서 도시된 바와 같이, W/2라는 유효 사이즈를 갖는다. 유사하게, LNA 슬라이스(20608 및 20610)를 포함하는 세그멘트화된 LNA는 W라는 유효 사이즈를 가질 수 있고, LNA 슬라이스(20608 및 20610)는 W/2라는 유효 사이즈를 갖는다.
각각의 LNA(20604, 20606, 20608 및 20610)는 대응하는 하향 변환 믹서(20612, 20614, 20616 및 20618)뿐만 아니라, 채널 필터(20636, 20638, 20640 및 20642)에 각각 연결된다. 각각의 믹서(20612, 20614, 20616, 및 20618)는 대응하는 LNA 슬라이스로부터 수신된 증폭 RF 신호를 하향 변환하는데 사용되는 차동 LO 신호를 수신하도록 구성된다.
예시적인 분할 동작 모드에서, 입력 RF 신호(20602)는 LNA(20606)에 전달되는 제 1 RF 신호 부분 및 LAN(20608)에 전달되는 (나머지의) 제 2 RF 신호 부분 부분으로 분할될 수 있다. LNA(20606), 믹서(20614) 및 필터(20636 및 20638)를 포함하는 RF 프로세싱 경로는 제 1 기저대역 출력 신호의 I 성분(20644) 및 Q 성분(20646)를 생성하는데 사용될 수 있다. LNA(20608), 믹서(20616) 및 필터(20640 및 20642)를 포함하는 RF 프로세싱 경로는 제 2 기저대역 출력 신호의 I 성분(20648) 및 Q 성분(20650)를 생성하는데 사용될 수 있다.
보다 구체적으로, 믹서(20614)로부터의 신호 출력(20615A 및 20615B)은 차동 기저대역 신호(20620 및 20622)를 생성하는데 사용될 수 있으며, 차동 기저대역 신호(20620 및 20622)는 필터(20636)에 의해 필터링되어 제 1 기저대역 출력 신호의 I 신호 구성요소(20644)를 생성한다. 믹서(20614)로부터의 신호 출력(20615C 및 20615D)은 차동 기저대역 신호(20624 및 20626)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20624 및 20626)는 필터(20638)에 의해 필터링되어 제 1 기저대역 출력 신호의 Q 신호 구성요소(20646)를 생성한다.
유사하게, 믹서(20616)로부터의 신호 출력은 차동 기저대역 신호(20628 및 20630)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20628 및 20630)는 필터(20640)에 의해 필터링되어 제 2 기저대역 출력 신호의 I 신호 구성요소(20648)를 생성한다. 믹서(20616)로부터의 신호 출력은 또한 차동 기저대역 신호(20632 및 20634)를 생성하는데 사용되고, 차동 기저대역 신호(20632 및 20634)는 필터(20642)에 의해 필터링되어 제 2 기저대역 출력 신호의 Q 신호 구성요소(20650)를 생성한다.
도 206에 표시된 바와 같이, 분할 동작 모드는 LNA(20606 및 20608)와 연관된 RF 프로세싱 체인에 의해서만 모드가 수행될 수 있는 반면에, LNA(20604 및 20610)뿐만 아니라 대응하는 믹서(20612 및 20618)(및 이들 믹서에 LO 신호를 제공하는 LO 분배 회로의 일부)와 연관된 RF 프로세싱 체인은 비활성화되고 턴 오프될 수 있다.
도 207은 일부 양태에 따른, 예시적인 국부 발진기(LO) 신호 생성 회로의 블록도를 도시한다. 도 207을 참조하면, 본 명세서에 개시된 수신기(예를 들어, 도 203, 도 204, 도 205, 도 206, 도 208 및 도 209에 도시된 수신기)와 관련하여 사용될 수 있는 LO 분포 아키텍처가 도시된다. LO 분배 아키텍처(20700)는 다수의 세그멘트화된 믹서마다 차동 LO 신호를 생성하는데 사용될 수 있는 LO 생성기(20702 및 20714)를 포함한다. LO 생성기(20702)에 의해 생성된 LO 신호는 분주기 블록(20704)에 의해 나누어진 다음 강도 1 인 버퍼(20706) 내에 버퍼링될 수 있다. 각각의 버퍼링된 LO 신호는 분할되고 강도 2의 버퍼(20708)에 의해 다시 버퍼링될 수 있다. 최종 차동 LO 신호(20710)는 필요에 따라 버퍼로부터 출력될 수 있다. 예를 들어, 제어 회로(20450)는 어떤 LO 차동 신호(20710)가 대응하는 믹서 슬라이스로 전달될 수 있는지를 표시하는데 사용될 수 있는 인에이블 신호를 생성할 수 있다. LO 생성기(20712)에 의해 생성된 LO 신호는 분주기기 블록(20714)에 의해 나누어진 다음 강도 1의 버퍼(20716) 내에 버퍼링될 수 있다. 각각의 버퍼링된 LO 신호는 분할되고 강도 2를 가진 버퍼(20718)에 의해 다시 버퍼링될 수 있다. 최종 차동 LO 신호(20720)는 필요에 따라 버퍼로부터 출력될 수 있다. 도 207에는 강도 2를 가진 버퍼가 도시되어 있지만, 본 개시내용은 이러한 것으로 제한되는 것은 아니며 다른 유형의 버퍼도 사용될 수 있다.
진리표(20722)는 본 명세서에 개시된 수신기 아키텍처의 다양한 동작 모드에 대해 어떤 차동 LO 신호가 활성화되고 사용될 수 있는지의 예를 제공한다. 예를 들어, (예를 들면, 도 205에 도시된 바와 같이) LO1을 사용하는 스위치 모드 동안, LO1 생성기(20702)는 온(on)이고 LO2 생성기(20712)는 오프(off)이다. 특정 동작 모드 및 활성화된 특정 LO 차동 신호는 표(20722)에서 볼 수 있다. 표(20722)에서 보는 바와 같이, 수신기가 스위치 또는 분할 동작 모드에서 동작하는지에 따라, LO 분배 아키텍처(20700)의 일부가 턴 오프될 수 있고, 그 결과 효율성과 절력 절감을 가져온다.
표(20722)의 하단 행에서 보는 바와 같이, LO1 및 LO2를 갖는 분할 모드 동안, 네 개의 "a" 출력은 ON이고 네 개의 "b" 출력은 OFF이다. 다른 양태에서, LO1 및 LO2를 갖는 분할 모드 동안, 네 개의 "b" 출력이 ON일 수 있고 네 개의 "a" 출력이 OFF일 수 있다.
도 208은 일부 양태에 따른 LNA 출력에서의 신호를 분할하는 스위치 모드에서 동작하는 세그멘트화된 출력 LNA 및 세그멘트화된 믹서를 사용하는 수신기의 블록도를 도시한다. 도 208을 참조하면, 수신기(20800)는 LNA 슬라이스(20812, 20814, 20816 및 20818)를 포함하는 세그멘트화된 출력 LNA(20802)를 포함할 수 있다. 각각의 LNA(20812, 20814, 20816, 2018)는 대응하는 하향 변환 믹서(20804, 20806, 20808 및 20810)뿐만 아니라 채널 필터(20828, 20830, 20844 및 20848)에 연결된다. 각각의 믹서(20804, 20806, 20808, 및 20810)는 차동 LO 신호를 수신하도록 구성되고, 차동 LO 신호는 LNA(20802)의 대응하는 LNA 슬라이스로부터 수신된 증폭된 RF 신호를 다운 변환하기 위해 사용된다.
예시적인 스위치 동작 모드에서, 입력 RF 신호(20852)는 LNA(20802)에 전달된 다음 LNA 슬라이스(20812 및 20814)에 의해서만 증폭되도록 라우팅될 수 있다. 이와 관련하여, 입력 RF 신호는 LNA(20802) 출력에서 라우팅 또는 분할된다. 도 208에 도시된 스위치 동작 모드 시나리오에서, RF 입력 신호(20852)의 복제본이 LNA 슬라이스(20812 및 20814)에 전달된 다음 대응하는 믹서(20804 및 20806)로 출력한다. LNA(20812), 믹서(20804) 및 필터(20828)를 포함하는 RF 프로세싱 경로는 기저대역 출력 신호의 동상(I) 구성요소(20832)를 생성하는데 사용된다. 보다 구체적으로, 믹서(20804 및 20806)로부터의 신호 출력은 차동 기저대역 신호(20820 및 20822)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20820 및 20822)는 필터(20828)에 의해 필터링되어 기저대역 출력 신호의 I 신호 구성요소(20832)을 생성한다.
유사하게, LNA(20814), 믹서(20806) 및 필터(20830)를 포함하는 RF 프로세싱 경로는 기저대역 출력 신호의 직교(Q) 구성요소(20834)를 생성하는데 사용될 수 있다. 보다 구체적으로, 믹서(20804)로부터의 신호 출력은 믹서(20806)로부터의 신호 출력과 함께 차동 기저대역 신호(20824 및 20826)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20824 및 20826)는 필터(20830)에 의해 필터링되어 기저대역 출력 신호의 Q 신호 구성요소(20834)를 생성한다. 도 208에 도시된 예시적인 스위치 동작 모드에서, LNA(20816 및 20818) 및 이들 LNA와 연관된 전체 프로세싱 경로(차동 LO 신호 분배 및 하향 변환 믹서를 포함함)는 효율을 위해 비활성화되고 턴 오프될 수 있다. 도 208에 도시된 바와 같이, 각각의 믹서(20804 내지 20810)는 I 및 Q 신호 출력을 둘 모두 생성한다.
일부 양태에서, 스위치 동작 모드는 LNA(20816 및 20818)와 연관된 RF 프로세싱 체인에 의해서만 수행될 수 있는 반면, LNA(20812 및 20814)와 연관된 RF 프로세싱 체인은 비활성화되고 턴 오프될 수 있다. RF 입력 신호(20852)가 LNA(20816 및 20818)에 의해 처리되고 있다면, 대응하는 증폭 신호는 차동 LO 신호(LO2)에 기초한 하향 변환을 위해 믹서(20808 및 20810)에 전달된다. 믹서(20808)는 차동 기저대역 신호(20836 및 20838)를 생성하고, 차동 기저대역 신호(20836 및 20838)는 필터(20844)에 의해 필터링되어 기저대역 출력 신호의 I 신호 구성요소(20846)를 생성한다. 믹서(20810)는 차동 기저대역 신호(20840 및 20842)를 생성하고, 차동 기저대역 신호(20840 및 20842)는 필터(20848)에 의해 필터링되어 기저대역 출력 신호의 Q 신호 구성요소(20850)를 생성한다.
도 209는 일부 양태에 따른 LNA 출력에서의 신호를 분할하는 분할 모드에서 동작하는 세그멘트화된 출력 LNA 및 세그멘트화된 믹서를 사용하는 수신기의 블록도를 도시한다. 도 209를 참조하면, 수신기(20900)는 LNA 슬라이스(20912, 20914, 20916 및 20918)를 포함하는 세그멘트화된 출력 LNA(20902)를 포함할 수 있다. 각각의 LNA(20912, 20914, 20916 및 20918)는 대응하는 하향 변환 믹서(20904, 20906, 20908)뿐만 아니라 채널 필터(20928, 20930, 20944 및 20948)에 연결된다. 각각의 믹서(20904, 20906, 20908 및 20910)는 차동 LO 신호를 수신하도록 구성될 수 있고, 차동 LO 신호는 LNA(20902)의 대응하는 LNA 슬라이스로부터 수신된 증폭된 RF 신호를 하향 변환하는데 사용된다.
예시적인 분할 동작 모드에서, 입력 RF 신호(20952)는 LNA(20902)에 전달된 다음 LNA 슬라이스(20914 및 20916)에 의한 증폭을 위해 분할될 수 있다. 이와 관련하여, 도 209에 도시된 바와 같이, 입력 RF 신호(20952)는 LNA(20902) 출력에서 분할된다. 도 209에 도시된 분할 동작 모드 시나리오에서, RF 입력 신호(20952)의 두 부분은 각각 LNA 슬라이스(20914, 20916)로 전달된 다음 대응하는 믹서(20906 및 20908)로 전달된다. LNA(20914), 믹서(20906) 및 필터(20928 및 20930)를 포함하는 RF 프로세싱 경로는 LNA 슬라이스(20914)에 전달된 RF 입력 신호(20952)의 제 1 부분에 대응하는 제 1 기저대역 출력 신호의 동상(I) 구성요소(20932) 및 직교(Q) 구성요소(20934)를 생성하는데 사용될 수 있다. 보다 구체적으로, 믹서(20906)로부터의 신호 출력은 차동 기저대역 신호(20920 및 20922)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20920 및 20922)는 필터(20928)에 의해 필터링되어 제 1 기저대역 출력 신호의 I 신호 구성요소(20932)를 생성한다. 믹서(20906)로부터의 신호 출력은 또한 차동 기저대역 신호(20924 및 20926)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20924 및 20926)는 필터(20930)에 의해 필터링되어 제 1 기저대역 출력 신호의 Q 신호 구성요소(20934)를 생성한다.
유사하게, LNA(20916), 믹서(20908) 및 필터(20944 및 20948)을 포함하는 RF 프로세싱 경로는 LNA 슬라이스(20916)에 전달된 RF 입력 신호(20952)의 제 2 부분에 대응하는 제 2 기저대역 출력 신호의 I 성분(20946) 및 Q 성분을 생성하는데 사용될 수 있다. 보다 구체적으로, 믹서(20908)로부터의 신호 출력은 차동 기저대역 신호(20936 및 20938)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20936 및 20938)는 필터(20944)에 의해 필터링되어 제 2 기저대역 출력 신호의 I 신호 구성요소(20946)를 생성한다. 믹서(20908)로부터의 신호 출력은 또한 차동 기저대역 신호(20940 및 20942)를 생성하는데 사용될 수 있고, 차동 기저대역 신호(20940 및 20942)는 필터(20948)에 의해 필터링되어 제 2 기저대역 출력 신호의 Q 신호 구성요소(20950)를 생성한다.
도 210은 일부 양태에 따른 스위치 모드에서 동작하는 수신기의 예시적인 LO 분배 방식을 도시한다. 도 210을 참조하면, 제 1 LO 분배 방식(21000)은 도 202의 수신기(20202)와 같이, 스위치 모드에서 동작하는 수신기와 관련하여 사용될 수 있다. 제 2 LO 분배 방식(21040)은 도 205의 수신기(20500)와 같이, 스위치 모드에서 동작하는 다른 수신기와 관련하여 사용될 수 있다. 제 1 LO 분배 방식(21000)은 분주기(21004 및 21022)뿐만 아니라, 버퍼(21002, 21006, 21008, 21010, 21012, 21014, 21020, 21024, 21026, 21028, 21030 및 21032)를 포함한다. 제 1 LO 분배 방식(21000)은 또한 입력 LO 신호(LO1 및 LO2)에 대응하는 생성된 차동 LO 신호를 사용하는 하향 변환 믹서(21016, 21018, 21034 및 21036)를 포함한다.
제 2 LO 분배 방식(21040)은 분주기(21044 및 21062)뿐만 아니라, 버퍼(21042, 21046, 21048, 21050, 21052, 21060, 21064, 21066, 21068 및 21070)를 포함한다. 제 2 LO 분배 방식(21040)은 또한 입력 LO 신호(LO1 및 LO2)에 대응하는 생성된 차동 LO 신호를 사용하는 하향 변환 믹서(21054, 21056, 21072 및 21074)를 포함한다.
도 210에 도시된 바와 같이, 제 1 LO 분배 방식(21000)은 하나의 분주기, 일곱 개의 버퍼, 및 두 세트의 믹서를 사용한다. 비교하자면, 제 2 LO 분배 방식(21040)은 분주기, 다섯개의 버퍼 및 한 세트의 믹서를 사용한다. 이와 관련하여, LO 분배 네트워크의 단순화로 인해 제 2 LO 분배 방식(21040)으로 현재 약 20 % 절감의 시뮬레이션 기반 추정치가 실현될 수 있다.
도 211은 일부 양태에 따른 분할 모드에서 동작하는 수신기에 대한 LO 예시적인 분배 방법을 도시한다. 도 211을 참조하면, 제 1 LO 분배 방식(21100)은 도 202의 수신기(20230)와 같이, 분할 모드에서 동작하는 수신기와 관련하여 사용될 수 있다. 제 2 LO 분배 방식(21140)은 도 206의 수신기(20600)와 같이, 분할 모드에서 동작하는 다른 수신기와 관련하여 사용될 수 있다. 제 1 LO 분배 방식(21100)은 분주기(21104 및 21122)뿐만 아니라, 버퍼(21102, 21106, 21108, 21110, 21112, 21114, 21120, 21124, 21126, 21128, 21130 및 21132)를 포함한다. 제 1 LO 분배 1방식(21100)은 또한 입력 LO 신호(LO1 및 LO2)에 대응하는 생성된 차동 LO 신호를 사용하는 하향 변환 믹서(21116, 21118, 21134 및 21136)를 포함한다.
제 2 LO 분배 방식(21140)은 분주기(21144 및 21162)뿐만 아니라, 버퍼(21142, 21146, 21148, 21150, 21152, 21160, 21164, 21166, 21168 및 21170)를 포함한다. 제 2 LO 분배 방식(21140)은 또한 입력 LO 신호(LO1 및 LO2)에 대응하는 생성된 생성된 차동 LO 신호를 사용하는 변환 믹서(21154, 21156, 21172 및 21174)를 포함한다.
도 211에 도시된 바와 같이, 제 1 LO 분배 방식(21100)은 열 개의 버퍼 및 네 개의 믹서를 사용한다. 비교하자면, 제 2 LO 분배 방식(21140)은 여섯 개의 버퍼 및 단지 두 개의 믹서를 사용한다. 이와 관련하여, LO 분배 네트워크의 단순화로 인해 제 2 LO 분배 방식(21140)으로 현재 약 40 % 절감의 시뮬레이션 기반 추정치가 실현될 수 있다.
mmWave 주파수 범위에서 동작하는 마이크로파 안테나 서브시스템은 마이크론 범위에서 극히 작다. 그 결과 섀시 사이즈 요건 때문에 그리고 구성요소 및 안테나의 밀집한 패키징 때문에 공간이 중요한 mmWave 이동 디바이스에서 사용하기 위한 안테나 및 무선 서브시스템의 사이즈, 특히 두께를 줄이는 방법을 발견하는 것이 중요하다. 사이즈 축소가 특히 필요한 하나의 분야는 신중하지 않은 구성요소보다 많은 볼륨을 차지하는 이산적 구성요소이다. 따라서 이산적 구성요소를 초박형 기술로 제작함으로써 이산적 구성요소의 볼륨을 줄일 필요가 있다. 동시에 열적, 전기적 및 기계적 오버레이 문제가 해결되어야 하고 줄어들어야 한다. 비용 개선 또한 주요한 고려 사항이다. 구성요소, 안테나 및 안테나 서브시스템을 서로의 위 또는 측면상에 오버레이시키면 서브시스템의 사이즈와 두께가 둘 모두 줄어든다. 오버몰드에서 상호연결부가 있는 오버몰드를 사용하는 것은 서브시스템의 측면에 안테나가 배치될 수 있게 하고 경쟁 기술보다 우수한 열 및 기계적 개선을 제공하는 다른 하나의 개념이다.
도 212는 일부 양태에 따른, 커넥터를 사용하는 몰딩되지 않은 적층 패키지-온-임베디드 다이 무선 시스템(unmolded stacked package-on-package embedded die radio system)의 측면도이다. 본 명세서에 설명된 임베디드 다이 무선 시스템은 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열 회로(330)에 통합될 수 있지만, 임베디드 다이 무선 시스템은 이것으로 제한되지는 않는다.
양태는 몰딩되지 않은 패키지(21205) 및 패키지(21207)를 포함하는 몰딩되지 않은 적층 패키지-온-패키지 임베디드 다이(21200)를 포함한다. 패키지(21205)는 내부에 RFIC(21206)가 내장되는 PCB와 같은 라미네이트 기판을 포함할 수 있다. 이러한 맥락에서 사용되는 바와 같은 "몰딩되지 않은"이라는 용어는 다이(21206)가 몰드 또는 캡슐화부 내에 감싸여지지 않는다는 것을 의미한다. 패키지의 다양한 부분의 z-높이에 대하여 예시된 치수는 단지 예의 목적일 뿐이며, 패키지가 사용되는 이동 디바이스의 볼륨이 매우 제한될 때 적용되는 극히 작은 치수를 예시하는 역할을 한다. 또한, PCB(21205)의 상부 및 하부에서 처음 몇 마이크론은 RFIC가 내장된 PCB의 코어 앞에 있을 수 있는 예비 함침(PrePreg) 층일 수 있다. 프리프레그는 자체 두께 때문에 사용된다. 프리프레그의 두께는 매우 얇을 수 있는데, 예를 들어, 25 um 또는 30 um일 수 있다. 프리프레그는 에폭시 재료일 수 있지만, 라미네이트 재료, 예를 들어 구리 클래드 라미네이트(Copper Clad Laminate)(CCL)일 수도 있다. 이 기술은 유기 폴리머 기반의 라미네이트로 제한되지 않고 세라믹 기반의 무기 층일 수도 있다. 안테나 기판 업계에서 사용되는 것으로, "코어"는 프리프레그와 같이, 기판의 다른 영역보다 두껍고 기판의 다른 영역보다 단단한 기판의 내부 부분을 의미할 수 있다. 패키지(21205)는 패키지 내에서 캡슐화되지 않은 PCB와 같은 층류 기판(laminar substrate)이라는 점에서 몰딩되지 않는다. 실드(21201)는 구성요소(21203)를 RFI/EMI로부터 차폐하기 위해 패키지(21205)의 상부에 있다. 커넥터(21223)는 하나 이상의 패키지를 외부 세계에 연결할 수 있다. 일부 양태에서, 커넥터(21223)는 시스템에 의한 송신을 위해 중간 주파수(IF) 신호를 제공한다. 패키지(21205)는 일부 양태에 따른, 적절히 트레이스 및 비아에 의해 아래에서 논의되는 다양한 안테나 및 안테나 배열에 급전을 제공하는 RFIC 다이(21206)를 포함한다.
하나의 RFIC 다이(21206)가 도시되어 있지만, 관련 기술분야에서 통상의 기술자라면 하나 이상의 주파수 대역에서 동작하기 위해 하나보다 많은 RFIC 다이가 제공될 수 있다는 것을 인식할 것이다. 다시 말해, 양태에서 적어도 하나의 RFIC 다이가 있을 수 있다. 도시된 패키지는 일부 양태에 따른, 많은 상이한 구성, 동작 주파수 및 대역폭의 안테나 및 안테나 배열을 포함할 수 있다. 도 212에서, 안테나 구조(21209, 21211, 21213, 21215 및 21219)가 도시된다. 이러한 안테나 구조는 측면도에서는 하나의 안테나일 수 있고, 또는 도면의 페이지에서 보면 1xN, 2xN,..., NxN 요소 배열과 같은 안테나 배열일 수 있다. 하나의 예에서, 안테나(21209)는 본 양태에서, 패치 안테나 요소(21210 및 21212) 사이의 165 마이크론의 거리(d2)를 갖는 그리고 패치 안테나 요소(21210)와 접지 사이의 다른 치수(d1)를 갖는 듀얼 패치 안테나일 수 있다. 거리(d1 및 d2)에 따라, 패치 안테나의 볼륨이 달라지기 때문에 안테나의 대역폭은 달라질 것이다.
아래에서 논의되는 바와 같이, PCB(21205)는 레벨(L1 내지 L6)로서 본 양태에서 도시된 층류 구조를 갖는다. 다양한 레벨로 인하여, (21210, 21212)와 같은 안테나 요소는 듀얼 패치 안테나 요소 사이의 다양한 거리(d2)에 배치될 수 있으며, 레벨의 다양성 때문에, 패치 안테나 요소(21210)와 GND 사이의 거리(d1) 또한 다양하게 설정될 수 있고, 그 결과 주어진 디자인에 요구될 수 있는 대로 대역폭을 선택할 수 있다. 달리 말하면, 듀얼 패치 안테나 요소(21210 및 21212) 사이의 거리는 165 마이크론으로 제한되지 않지만, 이용 가능한 조밀하게 패킹된 라미네이트 레벨 때문에 임의의 몇 개의 거리로 설정될 수 있다. 이 거리는 대역폭을 측정하는 능력을 구축하는 듀얼 패치 안테나 요소(21210)와 접지 평면(21214) 사이의 거리와 동일하다. 그러나, 레벨(L1 내지 L6)은 많은 양태 중 하나일 뿐이다. 다른 디자인은 도시된 여섯 개의 층(L1 내지 L6)보다 훨씬 더 많이 매우 조밀하게 패킹된 층을 가질 수 있으며, 이렇게 매우 조밀하게 패킹된 층은 필요에 따라 다양한 기능에 사용될 수 있다.
도 212의 설명을 계속하면, 일부 양태에서 (21224)는 안테나 또는 위에서 간략히 논의된 1xN, 2xN,..., NxN 요소 배열과 같은 안테나 배열일 수 있다. 일부 양태에서, (21224)는 때로는 표면 실장 기술(surface mounted technology)(SMT)라고 불리는 표면 실장 디바이스(surface mounted device)(SMD)에 의해 구성된 자립형 안테나일 수 있다. 일부 양태에서, PCB(21205) 내에 요구된 안테나 또는 안테나 배열을 위한 충분한 높이가 없다면, 안테나 또는 안테나 배열(21210, 21212)는 예를 들어, 일부 양태에 따른, 필요한 볼륨을 제공하기 위해 PCB(21205)의 상부에 배치된 안테나 요소(21212)로 구성될 수 있다. 다른 예에서, 듀얼 패치 요소(21212)는 PCB(21205)의 상부 대신에 표면 실장 디바이스(21224)의 상부에 배치되어, 일부 양태에서, 위에서 논의한 바와 같이 증가된 볼륨 및 개선된 대역폭을 제공하는 부가적인 높이를 안테나 또는 안테나 배열에 제공할 수 있다.
다른 예는 안테나(21215)에서 볼 수 있다. 이러한 예에서, 안테나(또는 위에서 논의한 바와 같이 안테나 배열)(21215)는 기판(21205) 내의 패치 안테나 요소(21218)를 포함하는데, 이 패치 안테나 요소는 위에서 논의한 바와 같이 복잡하고 매우 조밀하게 패킹된 기판일 수 있다. 듀얼 패치 안테나 요소(21217)는 제 2 안테나 보드(21207) 상에 있을 수 있다. 일부 양태에서, 안테나 보드(21207)는 PCB(21205)와 아주 같은 조밀하게 패킹된 층류 기판일 수 있는 유전체, 세라믹, PCB 등일 수 있다. 그 결과, 안테나 기능이 하나보다 많은 안테나 보드 사이 또는 안테나 보드 중에 배분되어 패키지-온-패키지 구성을 형성할 수 있다. 그러므로 하나의 매체 상에 z-높이가 충분하지 않으면, 일부 양태에서, 대역폭, 더 낮은 손실 등과 같은 원하는 파라미터를 제공할 볼륨을 얻기 위해, 안테나의 일부가 (21207)과 같은 제 2 매체 상에 구현되어 원하는 z-높이를 제공할 수 있다. 다시 말해서, 일부 사례에서, mmWave 또는 다른 주파수에서 작동하기 위한 폼 팩터 요건으로 인해 극히 작은 치수의 기판 두께가 주어지면, 안테나 요소(및 이산적 구성요소)는 하나 이상의 추가 매체상에 배치될 수 있다.
일부 양태에서, 안테나 요소는 PCB(21205)의 상부 및/또는 하부, PCB(21205)의 측면 및 다양한 추가 구성에 배치될 수 있으므로, 필요에 따라 추가적인 기판 두께 및 증가된 대역폭을 초래할 수 있다. 유사하게, 안테나 기능도 마찬가지로 상이한 안테나 보드, 예를 들면, 주 매체로 간주될 수 있는 PCB(21205) 및 이차 매체로 간주될 수 있는 안테나 보드(21207) 사이에서 또는 그 안테나 보드 사이에서 분할될 수 있다.
또한, 기판의 위 또는 아래 또는 측면의 그러한 매체는 접지, 차폐, 급전 등과 같은 다양한 기능을 위해 사용될 수 있다. 또한, PCB(21205)의 상부에는 하나 초과의 매체(21224)가 있을 수 있다. PCB(21205) 상부에는 또한 다수의 안테나 매체가 있을 수 있고, 각각의 안테나 매체는 각각이 위에서 논의된 바와 같이 안테나 또는 안테나 배열의 일부 또는 전부를 제공한다. 안테나 매체를 PCB(21205)의 아래 또는 측면에 배치하는 경우도 마찬가지이다. 또한, 이차 매체는 필요에 따라 이득을 개선하기 위해 또는 필요에 따라 안테나의 패턴을 형상화하기 위해 기생 요소에 사용될 수 있다.
안테나(21211, 21213, 21215 및 21219)는 안테나 보드(21207) 상에 구성되고 RFIC 다이(21206)로부터 급전되는 다른 안테나 또는 안테나 배열일 수 있다. 또한 비아(21220, 21222)가 도시되어 있다. 일부 양태에서, 많은 비아가 있을 수 있다. 일반적으로, 기판(21205)이 더 두꺼울수록, 비아(21220, 21222)의 직경이 더 커진다. 초박형 기판이 필요한 일부 양태에서, 다른 양태에 대해 아래에서 논의되는 바와 같이, 비아는 훨씬 더 작은 직경을 가질 수 있다. (21228)과 같은 비아는 (21227)과 같은 솔더 연결부에 의해 RFIC 다이(21206)에 연결될 수 있다. 비아는 페이지를 바라 보아 수평 층(21230)이 보이는, 무선 서브시스템 내의 다른 곳의 구성요소에 연결하기 위해 하나 이상의 수평 층(21230)에 의해 연결될 수 있다.
도 213은 일부 양태에 따른, 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. 도 213에서, 일부 양태에 따르면, 패키지(21300)는 레벨(21301), 예를 들어 PCB와 같은 안테나 보드, (예를 들어, PCB 제조 중에 주입될 수 있는) 몰드 또는 캡슐화부인 레벨(21303) 및 PCB와 같은 안테나 보드를 포함할 수 있는 레벨(21305)을 포함하는 기판을 포함한다. 레벨(21301)은 트레이스와 같은 전도성 레벨(21307)을 포함할 수 있고, 레벨(21303)은 (21309)와 같은 전도성 레벨 및 흔히 "스루-몰드 비아(through-mold via)"라고 호칭하는 (21319, 21319')와 같은 비아를 포함할 수 있으며, 레벨(21305)은 솔더 연결부(21226)에 의해 전도성 레벨(21309)에 연결된 전도성 레벨(21311)을 포함할 수 있고, 일부 양태에서, 패키지(21300)의 전도성 레벨 및 비아는 다이(21306, 21308)로부터 다양한 안테나 및 다른 구성요소에 급전하도록 구성 가능하다. 전도성 레벨(21307 및 21311)은 짧은 수평 층으로 도시되어 있지만, 다양한 양태에 따르면, 실제로 이들 레벨은 더 긴 전도성 층일 수 있다.
일부 양태에서, 전도성 레벨(21307, 21311)은 재분배 층(redistribution layer)(RDL)을 사용하여 만들어질 수 있다. 비아(또는 몰딩된 패키지의 스루-몰드 비아)는 구리 스터드에 의해, 몰드 또는 다른 층을 관통하는 레이저에 의해, 전도성 잉크 또는 다른 수단에 의해 만들어질 수 있다. 비아, 전도성 층 및/또는 RDL를 사용함으로써, 다이(들)는 일부 양태에서 SMD(21316, 21318, 21320) 상에 또는 그 내부에 구현된 안테나일 수 있는, 패키지의 임의의 면상의 안테나 및 안테나 배열에 매우 빠르게 연결할 수 있다. 조밀하게 패킹된 비아 및 조밀하게 패킹된 수평 층 때문에, 다이는 급전 구조의 팬 아웃이 거의 없거나 또는 본질적으로 전혀 없이도 기판(21301, 21305) 상의 안테나 또는 안테나 배열에 연결될 수 있다. 또한, (21319, 21319')와 같은 스루-몰드 비아는 다이 또는 다이 주변의 금속화 층(여기에 예시된 층(21309) 만이지만, (21319 또는 21319')와 같은 비아의 상부는 비아 상부의 금속화 층(도시되지 않음)에 연결될 수 있음)에 연결된 조밀하게 패킹된 비아의 트렌치에서 구성되어, 일부 양태에서, RFI 및 EMI로부터 다이 및 다른 구성요소를 차폐하는 패러데이 케이지를 형성할 수 있다. 비아는 단일의 포스트와 같은 매우 작은 비아일 수 있다.
스루-몰드 비아(21319, 21319')(스루 몰드 비아)와 같이 패키지 사이의 고밀도 상호연결부를 갖는 패키지 온 패키지를 사용할 때, 우리는 패키지를 개별적으로 제조할 수 있고, 하부 다이 대 그 상부 또는 아래의 다른 다이에 맞추어진 이종의 재료를 사용할 수 있다. 개개의 다이는 다이를 적층하기 전에 다이 각각의 패키지에서 테스트될 수 있기 때문에 수율이 또한 개선된다. 필요하다면 몰드가 완전히 제거될 수 있고 우리는 스루 몰드 비아를 상부 패키지에 연결되어 수직 상호연결부 로 작용하는 솔더 볼로 대체할 수 있다는 것을 이해하는 것도 또한 중요하다.
도 213의 양태에서, 두 개 이상의 다이(21306, 21308)가 기판 내에 포함될 수 있으며, (21310)과 같은 구리 충전제, 솔더 콘택일 수 있고 또는 LGA/VGA 패드일 수 있는 솔더 범프 또는 일부 양태에서는 패키지와 같은 콘택에 의해 부착될 수 있다. 다이(21306, 21308)는 플립 칩 다이, 웨이퍼 레벨 칩 스케일 패키지(Chip Scale Package)(CSP), 와이어 본딩 가능한 다이 등과 같은 임의의 양태의 다이일 수 있다. 대안적으로, 단일 다이가 사용될 수 있다. (21316, 21318, 21320)과 같은 안테나는 기판의 제 1 면상에 구성되는 반면, 안테나(21316', 21318', 21320')은 기판의 반대면상에 구성될 수 있다.
전술한 안테나는 도 213과 관련하여 설명된 것과 동일한 유형의 안테나일 수 있으며, 일부 양태에서는 SMD 상에 또는 SMD 내에 있을 수 있다. 또한, 안테나(21316, 21318, 21320)는 안테나 배열로서 구성될 수 있다. 또한, 전술한 임의의 안테나 또는 모든 안테나와 같은 안테나는 도 213의 안테나(또는 안테나 배열)(2131224)와 관련하여 논의한 바와 같이 SMD상에서 또는 SMD 내에 구현될 수 있다.
패키지(21300)의 일측 또는 양측(예컨대, (21301), (21305))에는 또한 이산적 구성요소(21322, 21324 및 21322', 21324')가 구성될 수 있다. 또한, 때로는 시스템 인 패키지(system in a package)라고 불리는 시스템(21321, 21321')은 (레벨 또는 PCB(21301)의 상부 표면상과 같은) 상부 및/또는 ((21305)의 하부와 같은) 하부 및/또는 패키지(21300)의 측면상에 구성되어, 일부 양태에서 패키지-온-패키지 구성을 제공할 수 있다.
SIP(21321, 21321')는 SIP(21321, 21321')이 구성되는 레벨(21301, 21303, 21305)을 포함하는 패키지와 매우 유사한 시스템일 수 있다. SIP(21321, 21321')는 여러 가지 방법으로 패키지 상에 적층되고 패키지에 물리적으로 연결될 수 있다. 추가의 다이(21306, 21308)는 일부 양태에서 (21326)으로 도시된 적합한 콘택에 의해 기판(21303)에 연결될 수 있다. 이러한 적합한 콘택은 구리 충전제, 솔더 범프 또는 패키지를 포함할 수 있다. 콘택(21326)은 패키지-온-패키지 양태의 본체 내의 아주 작은 연결부일 수 있다. 이러한 시스템 구성은 패키지-온-패키지 구성을 예시한다.
또한, 각 패키지의 하나 이상의 다이는 설명한 바와 같이 패키지 내의 밀도가 대단히 높기 때문에, 5G 주파수에서 동작하는 하나의 다이 및 WiGig 주파수에서 동작하는 제 2 다이와 같은 동일한 주파수 또는 상이한 주파수에서 동작하도록 구성될 수 있다. 또한, 패키지-온-패키지 양태의 안테나/안테나 배열은, 예를 들어 이동 디바이스의 방향 때문에, 필요될 수 있는 바와 같이 다수의 방향 중 어느 방향으로든 또는 본질적으로 모든 방향으로 방사할 수 있다. 다시 말해서, 안테나 및 안테나 배열은 패키지(21300)의 도처에 배치될 수 있는데, 이것은 일부 양태에 따라서, 원하는 대로 패키지(21300)의 상부, 하부 및 측면 상에서 또는 이들의 조합에서, 그리고 원하는 대로 패키지(21321, 21321') 상의 또는 그 내부의 안테나 및 안테나 배열 구성에서 패키지(21321, 2132)를 적층하고 물리적으로 연결함으로써 본질적으로 패키지의 원하는 모든 방향을 의미한다. 전술한 것 이외에, 일부 양태에 따르면, 솔더 볼(21326)이 패키지-온-패키지 양태 내에 있고 매우 작고 매우 밀접하게 이격될 수 있지만, 솔더 볼(21313, 211315)은 "외부 세계와의" 연결부이기 때문에, 패키지(21300)는 솔더 볼 또는 콘택(21326)보다 큰 것으로 도시되는 솔더 볼(21313, 21315)에 의해 또 다른 보드(도시되지 않음) 상에 납땜될 수 있다.
예를 들어, 일부 양태에 따르면, 패키지(21300)가 또한 솔더 볼(21313, 21315)에 의해 납땜된 보드는 전화, 태블릿, 이동 디바이스 또는 다른 최종 사용자 장비를 위한 호스트 보드일 수 있다.
도 212 및 도 213의 주요한 차이점은 도 213의 다이가 기판 내의 다이의 구성을 보호하고 강화하는 몰드에 의해 둘러싸인 것이다. 몰딩된 양태의 장점은 도 212의 몰딩되지 않은 기판의 임베디드 다이(212)가 대량으로 제조하기가 어렵다는 것이다.
몰딩된 기판 구조는 개개의 다이가 적층되기 전에 다이 각각의 패키지에서 테스트될 수 있기 때문에, 전술한 바와 같이, 개선된 수율로 인해 대량 생산에 보다 적합하다. 또한, 몰딩된 구성에서, (21312, 21314)와 같은 구성요소는 몰딩된 기판 내에 용이하게 구성될 수 있다. 도 212의 구현된 다이는 일부 양태에 따라서, 단일 다이만을 내장하는데 특유하다. 또한, 도 213의 몰딩된 구성은 몰딩되지 않은 구성보다 더 많은 고밀도 층을 가능하게 한다. 도 212의 임베디드 다이에서, 모든 구성요소는 하나의 시스템으로서 연결된다.
하나의 비어와 같은 하나의 부품이 고장 나면, 기판 내의 전체 시스템이 고장난다. 도 213의 몰딩된 구성에서, 기판 자체는 개별적으로 제조될 수 있고, 다이를 연결하는 층은 개별적으로 연결될 수 있으며, 시스템은 마지막 단계에서 모든 부품을 함께 납땜하는 최종 단계까지 함께 연결되지 않다. 내부에 땜납이 존재하지 않는 도 212의 양태에서, 시스템은 대부분 구리 비아로 구성되거나 또는 모든 구리 비아가 거의 동시에 조립될 수 있다. 환원하면, 몰딩된 적층 패키지를 구축하는 프로세스는 몰딩되지 않은 패키지를 구축하는 프로세스와 매우 상이하다.
예를 들면, 도 213에서, 스터드가 상부 패키지의 하단 층 상에 배치되거나 도금될 수 있으며, 이러한 스터드는 높은 종횡비 및 매우 작은 직경으로 도금될 수 있다. 그런 다음 상단 및 하단 패키지가 땜납 또는 열 기계 압축을 사용하여 연결된다. 오버몰드는 액체이며, 주입된 다음 흘러들어 틈을 덮는다. 이것은 몰딩되지 않은 패키지에 비해 더 높은 밀도 및 더 높은 수율의 프로세스이다.
몰딩된 양태의 장점은 도 212의 몰딩되지 않은 기판의 임베디드 다이가 대량으로 제조하기가 어렵다는 것이다. 몰딩된 기판 구성은 위에서 논의한 바와 같이, 개개의 다이는 개개의 다이가 적층되기 전에 다이 각각의 패키지에서 테스트될 수 있기 때문에 개선된 수율로 인해 대용량 제조에 보다 적합하다. 또한, 몰딩된 구성에서, (21312, 21314)와 같은 구성요소는 몰딩된 기판 내에 용이하게 구성될 수 있다. 도 212의 구현된 다이는 일부 양태에 따라서 단일 다이만 내장하는데 특유하다.
또한, 몰딩된 구성은 몰딩되지 않은 구성보다 더 많은 밀집한 층을 가능하게 한다. 도 212의 임베디드 다이에서, 모든 구성요소는 하나의 시스템으로서 연결된다. 하나의 비아와 같은 하나의 부품이 고장 나면, 기판 내의 전체 시스템이 고장난다. 도 213의 몰딩된 구성에서, 기판 자체는 개별적으로 제조될 수 있고, 다이를 연결하는 층은 개별적으로 연결될 수 있으며, 시스템은 마지막 단계에서 모든 부품을 함께 납땜하는 최종 단계까지 함께 연결되지 않는다. 내부에 땜납이 존재하지 않는 도 212의 양태에서, 시스템은 대부분 구리 비아로 구성되거나 또는 구리 비아 모두가 거의 동시에 조립될 수 있다.
도 214는 일부 양태에 따른, 추가적인 세부 사항을 보여주는 몰딩된 적층 패키지 또는 임베디드 다이 서브시스템 무선 시스템의 측면도이다. 일부 양태에서 개별적 구성요소 기술의 레벨이 표 8에 표시된다.
항목 옵션-1 옵션-2 주목
A 0.23-0.27 mm 0.23-0.27 mm 이 높이는 다이 및 낮은 프로파일 캐패시터를 수용한다
B 0.08 mm 0.1 mm 3L 코어리스 초박형 인터포저
C 0.11 mm 0.11 mm 몰드 두께
D 0.06 mm 0.06 mm 다이 두께
E 0.050-0.1 mm 0.05-0.1 mm 3L 코어리스 기판 또는 3L RDL
F 0.11-0.13mm 0.11-0.13 mm 마이크로 BGA 높이
H 0.65 mm-0.75 mm 0.67 mm-0.77 mm 적층을 포함하는 패키지 총 높이
실드 등각 등각
패키지(21401)는 제 1 패키지를 도시하고 패키지(21403)는 제 2 패키지를 도시한다. 도 214는 SMD 및 다른 구성요소를 패키징 기판(예를 들어, 라미네이트) 또는 무선 서브시스템의 호스트 PCB 내에 인쇄함으로써 형성된 프론트엔드(iSFE) 또는 외부 기판 프론트 엔드(eSFE) 기능과 같은 초박형 기술의 사용에 의해 극적으로 높이 및 용적이 감소된 것을 도시한다. 예를 들어, 도 214에서, 항목(21415)은 잡음 감소에 유용한 디커플링 캐패시터(decoupling capacitor)(DECAP)이고, (21414)는 아래에서 논의되는 iSFE로서 구현된 필터, 발룬(balun)(예를 들어, 변압기), 멀티플렉서, 커플러, 고조파 필터 또는 안테나 등과 같은 기능이다. 화살표(21413)는 기판 내에 iSFE로서 기판 내에 인쇄된 RF 기능을 표시한다. 항목(21429, 21431, 21433)은 일부 양태에 따라, mmWave, Wi-Fi 및 LTE 무선 시스템을 각각 구현하는 다이이다. 주목할 것은 eSFE(21414) 및 DECAP(21415)가 대략 다이의 높이이고, 이것은 이러한 기능으로 인해 극단적인 높이 및 용적 감소가 가능해진다.화살표(21409)는 필요에 따라 상단에서부터 하단 및 외부로 짧은 동축-유형(coax-type) 접지-신호-접지(round-signal-ground)(GSG) 전이가 있는 PCB 레벨을 표시한다. GSG는 임피던스를 고도로 제어되게 하고 몰드를 통해 또는 공기를 통해 상단에서부터 하단으로의 방출 신호를 감소시키는 론치(launch)이다. 화살표(21411)는 외부로의 짧고 낮은 손실 전이를 표시하며, 필요에 따라 타겟 임피던스는 솔더 볼(21819)에 의한 것일 수 있는 30 내지 60 옴이다.
또한 다이(21406)를 (21435)와 같은 DECAP 및 eSFE(21437)에 의해 구현되는 기능에 연결할 수 있는 수평 연결부(21417)가 도시된다. 몰드(21424) 내의 다이(21406)는 또한 솔더 연결부(21423)를 통해 레벨(214301)의 수평 연결에 연결될 수 있는 (21419)와 같은 수평 연결부 및 (21421)과 같은 스루-몰드 바아에 의해 다이(21429, 21431, 21433)에 연결될 수 있다.
도 214는 하나의 패키지가 레벨(214301)상의 다이(21429, 21431, 21433)에서 mmWave, Wi-Fi 또는 LTE와 같은 하나 이상의 주파수 범위에서 동작하는 무선 장치를 구현할 수 있고 제 2 패키지가 다이(21406)에서 mmWave, Wi-Fi 또는 LTE와 같은 다른 주파수 범위에서 동작하는 무선 장치를 구현할 수 있는 패키지 온 패키지 구현예를 도시한다. 패키지(21401)의 기능(21414, 21415) 및 패키지(21403)의 기능(21435, 21437)은 더 이상 별개 기능으로 구현될 필요가 없고 대신에 PCB 자체에 바로 인쇄될 수 있다. 필터, 발룬, 멀티플렉서, 커플러, 고조파 필터 또는 안테나와 같은 기능을 구현하는데 사용되는 DECAP 및 인덕터와 같은 구성요소의 극적으로 얇은 치수는 매우 얇아서 이러한 구성요소가 PCB 자체에 새겨질 수 있다는 것을 표 8에서 볼 수 있다. iSFE 및 eSFE 기술은 아래에 설명되는 바와 같이, 필요하면 다이와 동일한 평면에서, 이러한 구성요소를 PCB에 바로 새겨질 수 있는 기능을 제공한다.
도 215는 일부 양태에 따른, RF 프론트엔드의 스탠드얼론 구성요소를 갖는 컴퓨팅 플랫폼의 단면도를 도시한다. 도 215는 컴퓨팅 플랫폼(예를 들어, 핸드헬드 폰의 회로 기판)의 단면(21500)을 도시한다. 단면(21500)은 PCB(21501), 솔더 볼(21502), 마이크로 범프 및 재분배 층을 갖는 라미네이트 또는 기판(21503), RF 능동 및 수동 디바이스(21504)(예를 들어, 무선 칩), 표면 실장 디바이스(SMD)(21505 및 21506), 및 몰드 화합물(21507)을 포함한다.
SMD(21505 및 21506)는 전술한 발룬, 안테나, 다이플렉서, 멀티플렉서, 필터(예를 들어, 대 통과 및 저역 통과 필터) 등과 같은 프론트 엔드 구성요소를 포함할 수 있다. 이들 SMD는 중요한 기능을 수행한다. 예를 들어, 발룬은 공통 모드 잡음을 제거하는데 사용되고, 다이플렉서 및 멀티플렉서는 안테나 공유를 가능하게 하며, 대역통과/저역통과 필터는 원하지 않는 신호 및 블로커(blocker)를 차단한다. 추가 서비스를 제공하기 위해 더 많은 주파수 대역이 컴퓨팅 플랫폼에 추가됨에 따라, 구성요소의 수는 더 늘어난다. 그러나 이들 구성요소는 플랫폼 면적의 약 50 % 내지 70 %를 차지할 수 있고 전체 재료 명세서(Bill of Materials)(BOM)의 약 30 % 내지 50 %를 소비할 수 있다.
일부 양태는 패키징 기판(예를 들어, 라미네이트) 또는 호스트 PCB에 SMD 및 다른 구성요소를 인쇄함으로써 형성된 집적 기판 프론트엔드(iSFE) 또는 외부 기판 프론트엔드(eSFE)를 설명한다. 이와 같이, 플랫폼의 측면 면적과 높이의 절감이 실현된다. 또한 고도로 집적된 컴퓨팅 플랫폼이 달성된다.
일부 양태는 제 1 면을 갖는 다이(예를 들어, 프로세서 다이) 및 제 1 면을 따라 다이에 결합되는 제 1 세트의 솔더 볼을 포함하는 장치(예를 들어, 컴퓨팅 플랫폼)를 설명한다. 장치는 제 1 세트의 솔더 볼트에 인접한 라미네이트 기반 기판을 더 포함하고, 라미네이트 기반 기판은 그 안에 내장된 평형 필터(balanced filter)를 포함하고, 평형 필터는 제 1 세트의 솔더 볼 중 적어도 하나를 통해 제 1 다이에 통신 가능하게 연결된다. 여기서, 라미네이트는 iSFE를 형성한다. 일부 양태에서, 사용 가능한 층의 수에 따라, iSFE 부분이 다이 바로 아래에 있을 수도 있다.
일부 양태에서, 제 1 주파수 대역을 위한 제 1 전송 경로 및 제 1 주파수 대역과 상이한 제 2 주파수 대역을 위한 제 2 전송 경로를 포함하는 장치가 제공된다. 일부 양태에서, 장치는 노드가 안테나에 연결되도록 제 1 및 제 2 전송 경로에 공통인 노드를 더 포함한다. 일부 양태에서, 장치는 공통 노드에 연결된 전송-제로 회로(transmission-zero circuit)를 포함한다.
일부 양태에서, 전송-제로 회로는 입력과 출력 사이에서 신호 전송이 중단되는 주파수인 전송 제로를 제공한다. 예를 들어, 필터는 통과대역 에지 주파수 및 통과대역 리플과 함께 전송 제로 주파수를 사용하여 필터의 입력 및 출력 사이의 전달 함수를 형성하고 필터의 응답을 형성한다. 일부 양태에서, 전송-제로 회로를 갖는 장치는 iSFE의 일부이다.
다양한 양태의 iSFE는 실리콘-온-인슐레이터(Silicon-on-Insulator)(SOI) 또는 고 저항성 Si 또는 고 비용 라미네이트 패키지 상의 저온 동시 소성 세라믹(LTCC) 프로세스 또는 집적 수동 디바이스(Integrated Passive Device)(IPD)와 같은 다른 집적 방식보다 비용이 저렴할 수 있다. 다양한 양태의 iSFE는 실리콘(Si)을 스탠드얼론 구성요소로서 맞춤 설정되고 또는 Si가 상주하는 Si 패키지 또는 PCB에 집적될 수 있다. 단면(21500)은 SMD(21505 및 21506)가 집적된 라미네이트(21503)를 도시한다.
도 216는 일부 양태에 따른, 라미네이트 또는 기판 내의 RF 프론트엔드의 스탠드얼론 구성요소를 갖는 컴퓨팅 플랫폼의 단면도를 도시한다. 도 216은 컴퓨팅 플랫폼의 단면(21600)을 도시한다. 임의의 다른 도면의 요소와 동일한 참조 번호(또는 명칭)를 갖는 도 216의 요소는 설명된 것과 유사한 임의의 방식으로 동작하거나 기능할 수 있지만, 이러한 것으로 제한되는 것은 아니다. 도 216은 본 명세서에서 iSFE 및 eSFE를 설명하기 위해 사용된다. 도 216은 안테나를 도시하지 않지만, 후속 도면은 도 216에서 설명된 구성요소 및/또는 기술이 초박형 프로세서 다이-안테나 구성요소 칩을 얻기 위해 어떻게 mmWave 및 다른 주파수 범위 안테나로 구현될 수 있는지를 도시할 것이다.
단면(21600)은 SMD(21605 및 21606)가 집적된 라미네이트(21603)를 도시한다. 단면(21200)과 비교할 때, 개별 구성요소(21505 및 21506)가 더 이상 스탠드얼론 구성요소로서 필요하지 않으며 라미네이트(21603)에 완전히 집적되어 완전히 iSFE 구성요소를 형성하기 때문에 BOM이 감소한다. 일부 양태에서, 라미네이트(21603)는 최소 층 카운트(예를 들어, 5 개 미만의 층)를 갖는 표준 실리콘 패키지 기판 기술을 사용하고 기판(21603) 내의 전체 프론트엔드의 기능성을 통합/인쇄한다. 다양한 양태의 라미네이트 기반 기판(21603)은 코어 기반 기판 또는 코어 없는 기판과 같은 전통적인 방식을 사용하여 저렴한 비용으로 제조된다. 다양한 양태의 라미네이트 기반 기판(21603)은 얇은 코어 및 얇은 예비 함침 층을 갖는 실리콘 패키지 또는 스탠드얼론 구성요소에 도움이 된다. 다양한 양태의 라미네이트 기반 기판(21603)은 또한 팬-아웃 (fan-out) 및 iSFE에 도움이 된다. 일부 양태에서, 라미네이트(21603)는 기판 두께의 이용 가능성에 따라 최소 수의 층 또는 다수의 층으로서 하나의 금속 층을 가질 수 있다.
일부 양태에서, 단일 층 또는 1.5 층 라미네이트 또는 낮은 층 카운트를 사용할 때, 비아 대신에 솔더 연결이 사용될 수 있고, 메인 PCB상의 디바이스 아래의 영역은 인덕터 및 캐패시터의 일부로서 사용될 수도 있다. 도 216은 기판의 상부 측 및 하부 측 상의 솔더 볼을 도시하지만, 솔더 볼은 솔더 볼이 정규 표면 실장 기술(Surface Mount Technology)(SMT) 연결부로 대체되는 랜드 그리드 어레이(Land Grid Arra)(LGA) 연결부로 대체될 수 있다고 생각된다. 일부 양태에서, 상단 및 하단 또는 평면 중 하나 상에는 Cu(구리) 기둥이 사용할 수 있다. 일부 양태에서, 기판은 집적된 수동 구성요소 옆에 다이를 위한 캐버티를 가질 수 있다.
일부 양태에서, 라미네이트(21603)는 일반적인 패키지 및 PCB에서 사용되는 재료를 사용하여 제조될 수 있다. 일부 양태에서, 라미네이트(21603)의 재료 투과성(sr)은 2 내지 30을 범위로 한다. 일부 양태에서, 라미네이트(21603)의 두께는 밀도 및 절연 요건에 따라 2 ㎛ 내지 200 ㎛의 범위일 수 있다. 일부 양태에서, 라미네이트(21603)는 마이크로비아 및 스루-홀을 사용하여 제조될 수 있거나 상호연결부 중 하나만을 사용하여 제조될 수 있다. 일부 양태에서, 라미네이트(21603)는 하나의 코어/프리프레그 재료를 갖는 최소 두 개의 금속 층일 수 있다. 일부 양태에서, 라미네이트 기반 기판은 마이크로비아와 독립적이다.
최소 개수의 금속 층 또는 얇은 패키징 기판을 사용할 때, 국부적인 접지의 존재는 중대한 기생을 추가시킬 수 있다는 것이 이해되지만; 그러한 기생은 어떤 경우에는 매우 유용하기 때문에 상호 결합된 인덕터 간의 커플링을 저하시킬 수도 있다. 하나의 이러한 양태에서, 패키지의 주요 층은 특정 영역에서 국지적으로 존재하지 않을 수 있다. 또한, 개략도의 여러 구성요소가 SMT 대역통과 필터, SMT 캐패시터 및 인덕터 또는 Si 캐패시터 및 인덕터와 같은 개별 구성요소 또는 Si 캐패시터 및 인턱터 상의 구성요소를 사용하여 구현될 수도 있다고 생각된다. 모든 부분이 항상 기판 상에서 인쇄된 구성요소로서 집적되는 것은 아니다. 일부 양태는 또한 그러한 기판의 코어 없는 구현예에서 홀수 개의 층을 가질 수 있다. 최소 수의 층을 사용할 때, 다양한 양태의 기술은 유연한/구부릴 수 있는 전자 장치에 매우 적합하다.
재료, 두께, 설계 규칙 및 아키텍처의 올바른 조합을 사용함으로써, 완전한 Wi-Fi, BT(Bluetooth) 및 글로벌 항행 위성 시스템(global navigation satellite system)(GNSS) 프론트엔드가 구현되어 기판(21603)에 집적될 수 있다. 그러나 양태는 위의 통신 표준으로 제한되지 않는다. 경우에 따라, 10 GHz보다 큰 WiGig 또는 5G 신호와 같은 다른 표준과 연관된 하드웨어가 구현되어 기판(21603)에 집적될 수 있다. 이와 같이, 실리콘 칩(21604) 주위의 스탠드얼론 구성요소의 전부는 아니더라도 많은 부분이 완전히 또는 거의 완전히 제거될 수 있고, 패키지는 더 얇고, 더 저렴하고, 더 작고, 더 양호하게 수행하는 것으로 만들어질 수 있다. 예를 들어, 몰드 화합물(21607)의 두께는 몰드 화합물(21607)의 두께보다 작으며, 따라서 패키지 두께(예를 들어, 높이)가 감소된다.
일부 양태에서, 라미네이트(21603)는 최소 수의 회로로 다른 주파수 대역의 다른 평형 필터에 연결될 수 있는 각각의 주파수 대역에 대해 집적된 평형 필터를 포함한다. 이와 같이, 일부 양태에 따라서 다수의 대역에 걸쳐 단일 종단 안테나 공유 또는 다이폴 안테나 공유가 달성된다. 일부 양태에서, 지배적인 유도성 및 지배적인 기생 용량성 설계가 사용되어 상당한 추가 처리 비용 없이 그리고 비표준 PCB/기판 재료가 필요 없이 초박형 기판(21603) 및 PCB(21601)에 프론트엔드 구성요소를 집적한다. 기생 캐패시턴스를 사용함으로써, 최소의 수의 물리적으로 실현 가능한 구성요소가 사용되어 대역 내 및 대역 외의 원하는 응답을 달성한다. 일부 양태에서, 패키지 자체에는 물리적인 접지가 사용되지 않는다. 대신에, 일부 양태에서, 기준 기판의 접지는 라미네이트(21603) 및/또는 PCB(21601)의 금속 층을 없애는데 사용된다.
도 217은 일부 양태에 따라, 라미네이트/기판에 부분적으로 구현되는 스마트 디바이스 또는 컴퓨터 시스템 또는 SoC(시스템-온-칩)를 도시한다. 연결성(connectivity)(21770)은 여러 상이한 유형의 연결성을 포함할 수 있다. 일반화를기 위해, 컴퓨팅 디바이스(21700)는 셀룰러 연결성(21772) 및 무선 연결성(21774)을 갖는 것으로 도시된다. 일반적으로 셀룰러 연결성(21772)은 무선 캐리어에 의해 제공되는, 예컨대 세계 이동 통신 시스템((global system for mobile communications)(GSM) 또는 변형물 또는 파생물, 코드 분할 다중 접속(code division multiple access)(CDMA) 또는 변형물 또는 파생물, 시분할 다중화(time division multiplexing)(TDM) 또는 변형물 또는 파생물, 또는 기타 셀룰러 서비스 표준을 통해 제공되는 셀룰러 네트워크 연결성을 지칭한다. 무선 연결성(또는 무선 인터페이스)(21774)은 셀룰러가 아닌 무선 연결성을 지칭하며, 개인 영역 네트워크(예컨대, 블루투스(Bluetooth), 근거리 네트워크(예컨대, Wi-Fi) 및/또는 광역 네트워크(예컨데, WiMax) 또는 기타 무선 통신을 포함할 수 있다. 일부 양태에서, 안테나, 발룬, 다이플렉서, 트리플렉서, 멀티플렉서, 대역통과 필터, 저역통과 필터 등과 같은 셀룰러 연결성(21774)의 다양한 프론트엔드 구성요소가 iSFE로서 구현된다.
위의 기술은 무엇보다도 이동 디바이스 구현에서 용도를 발견할 것이다. 이동 디바이스 IC 안테나 애플리케이션의 과거 구현예에서, 프로세서 IC는 안테나와 직접 인터페이스하였다. 그러나, 미래의 mmWave 및 다른 주파수 범위 애플리케이션은 멀티플렉서, 발룬, 필터 등과 같은 중간 기능이 프로세서 다이와 안테나 사이의 회로에 배치되도록 요구할 것이다. 이동 전화와 같은 사용자 디바이스 내의 공간은 극히 적기 때문에, 오늘날 이산적 구성요소 및 표면 실장 디바이스(SMD)에 의해 대개 구현되는 이러한 기능은 이러한 이산적 구성요소 및 SMD보다 훨씬 얇아야 하고 훨씬 적은 용적을 차지하여야 한다. 예를 들어, 미래의 스택 업 두께는 다이의 경우 100 마이크론 미만의 범위에 있을 것으로 예상되고 구성요소의 경우 200 마이크론 미만의 범위에 있을 것으로 예상된다. 따라서 이러한 구성요소는 초박형이어야 할 것이다.
또한, 미래의 구현예는 mmWave 애플리케이션을 Wi-Fi, WiGig 및 LTE 애플리케이션과 결합할 수도 있다. 따라서 다양한 주파수 범위에서 동작하는 네트워크 간의 연결이 필요할 것이다. 그러므로 패키지-온-패키지 또는 사이드-바이-사이드(side-by-side) 구현예에서 예를 들어 mmWave 안테나 솔루션과 Wi-Fi 안테나 솔루션 사이에는 중간 회로가 필요할 것이다. LTE 및 WiGig 안테나 솔루션과의 상호연결에서도 마찬가지일 수 있다. 다시 말해서, 서로 다른 주파수에서 중간 구성요소가 있는 스택형 무선 장치가 바람직할 수 있다. 패키지-온-패키지를 사용하면 더 많은 무선 장치, 더 많은 필터링 및 더 많은 다른 무선 구성요소가 존재하고, 이것은 밀도가 지속적으로 증가할 가능성이 있기 때문에, 칩과 안테나 사이의 SMD와 구성요소는 초박형, 초 저프로파일 및 PCB-유형 솔루션이어야 한다. iSFE 및 eSFE 기술은 이러한 기능 및 상호연결을 위한 초박형 구성요소의 필요성에 대한 솔루션을 제공한다. iSFE 및 eSFE 기술을 사용하면 발룬, 필터 등과 같은 필요한 기능을 기판 자체에 바로 인쇄할 수 있으므로 PCB 유형의 구성요소인 초박형 부품을 만들 수 있고, 위의 이산적 구성요소 및 상대적으로 큰 SMD와 같은 높이가 있는 구성요소를 제거하거나 실질적으로 줄일 수 있다. 다시 말해서, ISFE 및 eSFE 기술을 사용하면, 필요한 기능은 구성요소가 아닌 인쇄된 인덕터 및 캐패시터 그리고 일반적으로 이산적 구성요소에서서 통상 발견되는 다른 기능으로서 인쇄물 자체에 인쇄될 수 있다. 다수의 상이한 인덕터 및 캐패시터는 기판에 인쇄될 수 있으며, 다른 것들 중에서도, Wi-Fi 주파수에서부터 LTE 주파수 내지 mmWave 주파수에 이를 수 있는, 패키지-온-패키지 구성으로 구현된 상이한 네트워크의 상호연결에 사용될 수 있다. 하나의 예로서, 이층 구조가 캐패시터로서 구현되었으며, 여기서 캐패시터 플레이트는 20 내지 30 마이크론 범위이다. 이러한 결과는 구성요소를 (두께의 관점에서) 가능한 한 거의 보이지 않게 그리고 다이와 동일한 평면에 있도록 얇게 만든다.
도 218은 일부 양태에 따라, 다이와 안테나(들) 사이에 구성될 수 있는 위에서 논의된 초박 구성요소를 사용하여 몰딩된 패키지-온-패키지 임베디드 다이 무선 시스템(molded package-on-package embedded die radio system)의 측면도이다. 도 218의 패키지-온-패키지 구현예는 도 215의 구현예와 매우 유사하지만, 도 218에서 eSFE 기술에 의해 구현되는 발룬, 필터 등과 같은 기능은 일부 양태에 따라 PCB(21808) 자체에 새겨질 수 있다. 패키지(21801)는 제 1 패키지를 도시하고 패키지(21803)는 제 2 패키지를 도시한다. 도 218은 SMD 및 다른 구성요소를 패키징 기판(예를 들어, 라미네이트) 또는 무선 서브시스템의 호스트 PCB 내에 인쇄함으로써 형성된 집적 기판 프론트엔드(iSFE) 또는 외부 기판 프론트 엔드(eSFE) 기능과 같은 초박형 기술의 사용에 의해 극적으로 높이 및 용적이 감소된 것을 도시한다. 예를 들어, 도 218에서, 항목(21805)은 디커플링 캐패시터(DECAP)이고, (21811)는 iSFE 구성요소며, 이들 둘 모두는 아래에서 논의되는 iSFE로서 구현된 필터, 발룬, 멀티플렉서, 커플러, 고조파 필터 또는 안테나 등과 같은 RF 기능을 구현하기 위해 회로에서 사용될 수 있다. 이러한 RF 기능은 iSFE로서 기판에 인쇄될 수 있다. 항목(21806, 21807, 21809)은 일부 양태에 따라, mmWave, Wi-Fi 및 LTE 무선 시스템을 각각 구현하는 다이이다. 주목할 것은 eSFE(21811) 및 DECAP(21805)가 대략 다이의 높이의 범위에 있고, 이것은 이러한 기능이 iSFE 및/또는 eSFE 기술로 구현되기 때문에 이러한 기능으로 인해 극단적인 높이 및 용적 감소가 가능해진다는 것이다. 화살표(21821)는 필요에 따라 상단에서부터 하단 및 외부로 짧은 동축-유형의 접지-신호-접지(GSG) 전이가 있는 PCB 레벨을 표시한다. 화살표(21823)는 외부로의 짧고 낮은 손실 전이를 표시하며, 필요에 따라 타겟 임피던스는 솔더 볼(21819)에 의한 것일 수 있는 30 내지 60 옴이다. 다이 (21806) 및 eSFE 구성요소 (21807)는 PCB (21808) 상에 새겨질 수 있고, PCB 상에서 eSFE 구성요소(21807)는 다이(21806)와 안테나(도면에서 공간 고려 사항 때문에 도시되지 않음) 사이에 연결된, 위에서 설명한 바와 같은 회로의 일부 또는 회로를 형성한다. 또한 다이(21806)를 (21815)와 같은 DECAP 및 eSFE(21817)에 의해 구현되는 기능에 연결할 수 있는 수평 연결(21812)이 도시된다. 몰드(21824) 내의 다이(21806)는 또한(21812)와 같은 수평 연결 및 스루-몰드 비아(마찬가지로 도시되지 않음)를 통해 다이(21806)와 안테나(들)(도시되지 않음) 사이의 기능을 구현할 수 있는 DECAP(21815) 및 iSFE(21817)에 연결될 수 있다.
도 218는 하나의 패키지가 레벨(21801) 상의 다이(21807, 21809)에서 Wi-Fi 또는 LTE와 같은 하나 이상의 주파수 범위에서 동작하는 무선 장치를 구현할 수 있고 제 2 패키지가 다이(21806)에서 mmWave와 같은 다른 주파수 범위에서 동작하는 무선 장치를 구현할 수 있는 패키지 온 패키지 구현예를 도시한다. 패키지(21801) 내의 기능(21805, 21811) 및 패키지(21803) 내 또는 패키지(21803) 상의 기능(21813, 21815, 21817)은 별개 구성요소로서 구현되지 않고 대신에 PCB 자체에 바로 인쇄될 수 있다. 이것은 iSFE 및 / 또는 eSFE 기술이 제공하는 이점이며, 다이와 동일한 레벨의 eSFE(21813)와 같은 새김 기능의 추가적인 이점은 이러한 구성요소를 PCB 상에 바로 새겨 넣을 수 있는 기능을 제공하는 것으로, 원한다면 다이와 동일한 평면에서, 위에서 설명한대로 구현된다. 또한, 설명된 패키지-온-패키지 양태에서, eSFE 및 iSFE 기능은 상호 교환될 수 있다. 예를 들어, Wi-Fi 다이(21807)를 지원하는 iSFE 또는 eSFE는 mmWave 다이(21806) 아래에 또는 동일한 레벨에 놓일 수 있으며 반대의 경우도 가능하다. 다시 말해서, 특정 주파수 범위에서 특정 다이를 지원하는 iSFE/eSFE는 지원하는 다이와 동일한 평면에 있을 필요는 없다. 이것은 일부 양태에 따라, 다이를 지원하는 iSFE/eSFE 회로를 지원되는 다이와 상이한 평면에서 배치하여 상이한 평면에서 이용 가능한 더 많은 공간을 활용할 수 있다는 이점을 제공한다.
도 219는 일부 양태에 따라, 세 개의 패키지가 서로 적층된 몰딩된 적층 패키지-온-패키지 임베디드 다이 무선 시스템의 측면도이다. (21901, 21902 및 21903)의 세 개의 패키지는 일반적으로(21900)으로 도시된다. 일부 양태에서, 패키지는 다이(21906)의 동작에 의해 LTE 주파수에서, 다이(21908)의 동작에 의해 Wi-Fi 주파수에서, 그리고 다이(21910)의 동작에 의해 mmWave에서 각각 동작하는 것으로 도시된다. 패키지는 (21924, 21926)의 몰드를 갖는 몰딩된 몰드 패키지일 수 있다. 도시된 구성요소는 일부 양태에 따라 본질적으로 도 218에서 설명된 것과 동일한 각각의 구성요소고, 유사한 기능을 가질 수 있으며, 일부 양태에서, eSFE 구성요소 및 iSFE 구성요소는 다이와 안테나 사이에서 회로 기능을 제공한다. eSFE 및 iSFE 기능은 상호 교환될 수 있다. 예를 들어, Wi-Fi 다이(21908)를 지원하는 iSFE 또는 eSFE는 mmWave 다이(21910)의 아래에 또는 동일한 레벨에 놓을 수 있기 때문에 일부 양태에서 공간의 사용에 유리할 수 있다.
본 명세서에서 고려되는 서브시스템 각각은 집적된 칩, 시스템 인 패키지(ystem in package), 프로세서상에서 실행되는 소프트웨어 등을 사용하여 구현될 수 있다.
두 개의 칩, 즉 BBIC 및 본 명세서에서 RFIC라고도 지칭될 수 있는 무선 주파수 프론트 엔드(RFFE)에 기초할 수 있는 5G 30 GHz 및 40 GHz 대역과 함께 WiGig 60 GHz 대역을 위한 mmWave RF 아키텍처가 개시된다. 일부 양태에 따라, BBIC와 RFIC는 단일 RF 케이블을 통해 서로 연결된다. 개시된 아키텍처는 24 내지 29.5 GHz 스펙트럼 또는 37 내지 45 GHz 스펙트럼에서의 5G 2 x 2 다중입력-다중출력(multiple in-multiple out)(MIMO) 안테나 배열를 위한 동시적이고 자율적인 송신 및 수신과 병행하여, 57 내지 70 GHz 스펙트럼에서의 WiGig 2 x 2 MIMO를 위한 동시적이고 자율적인 송신 및 수신을 가능하게 한다. 전술한 두 개의 5G 주파수 대역은 일부 양태에 따라, 최신 WiGig 채널과 조합된 최근에 만들어진 5G 합의 스펙트럼을 포함하는 전세계 상품 재고 관리 단위(worldwide stock keeping unit)(SKU) 제품을 지원하기 위해 일반적으로 사용되는 주파수 대역이다. 다시 말해서, 이동 전화는 주어진 지역에서 지원되는 5G 주파수 대역(즉, 특정 지역에서 송신 및 수신에 사용되는 대역)과 상관없이, 전 세계에서 동작하도록 구성될 수 있다. 개시된 시스템은 IC 서브시스템 사이에 단 하나의 케이블을 사용하는 추가된 이점 및 일부 양태에서 매우 적은 수의 주파수 신시사이저를 사용하는 경우, 최소 수의 신디사이저를 사용하는 추가된 이점을 이러한 기능에다 제공한다. 주파수 신시사이저는 일반적으로 디지털 위상 동기 루프(digital phase lock loop)(DPLL) 및 전압 제어 발진기(또는 디지털 제어 발진기)를 포함한다. DPLL 및 신시사이저라는 용어는 본 명세서에서 상호 교환 가능하게 사용될 수 있다. 개시된 아키텍처는 24 내지 29.5 GHz 스펙트럼 및 37 내지 45 GHz 스펙트럼 유스 케이스에 대한 것이지만, 관련 기술분야에서 통상의 기술자는 개시된 아키텍처가 이러한 사용 사례로 제한되지 않는다는 것을 인식할 것이다. 다른 주파수 대역이 궁극적으로 다양한 지리적 구역에 의해 결정되어야 한다면, 개시된 아키텍처는 결정된 유스 케이스의 스펙트럼에서 동작할 것이다.
본 명세서의 일부 사례에서, 24 내지 29.5 GHz 스펙트럼은 28 GHz, 29.5 GHz 또는 30 GHz로 지칭될 수 있고, 37 내지 45 GHz 스펙트럼은 39 GHz 또는 40 GHz로 지칭될 수 있고, 57 내지 70 GHz 스펙트럼은 단지 약식 표기법의 문제로서 60 GHz 또는 70 GHz 스펙트럼이라고 지칭될 수 있다.
5G의 표준 그룹은 현재 5G 생태계에 대해 위의 두 개의 5G 주파수 대역 중 하나만이 임의의 주어진 시간에 디바이스를 위해 사용될 것이라는 것에 동의하였다. 예를 들어, 미국에서는 5G 주파수 대역 중 하나가 지원되어 사용될 수 있는 반면, 다른 5G 주파수 대역은 유럽에서 지원되어 사용될 수 있다. 다른 국가의 예가 제공될 수 있다. 또는 하나의 인터넷 서비스 제공자(internet service provider)(ISP)가 5G 주파수 대역 중 하나에서 서비스를 제공하는 반면 동일한 국가의 다른 ISP가 5G 주파수 대역 중 두 번째에서 서비스를 제공하는 것이 있을 수 있다. 위의 5G 주파수 대역 중 하나만 디바이스를 위해 지정된 시간에 사용되는 것으로 합의되었기 때문에, 두 개의 5G 주파수 대역 중 하나는 주어진 지역에서 "사용되지 않음" 또는 "지원되지 않음"(즉, 주어진 지역에서 송신 또는 수신을 위해 사용되지 않음)이 될 것이며, 사용되지 않는 특정 대역은 디바이스가 사용되는 국가 또는 사용되는 ISP에 달려 있다.
2 x 2 MIMO 안테나 서브시스템의 경우, 동일한 주파수 대역에서 송신 및 수신되는 두 개의 정보 스트림(예를 들어, 수직 편파 정보 스트림 및 수평 편파 정보 스트림)이 있다. 궁극적으로 MIMO 안테나 서브시스템으로부터 동일한 주파수로 방사될 두 개의 정보 스트림을 BBIC 또는 유사한 서브시스템에서부터 단일 RF 케이블을 통해 RFIC 또는 유사한 서브시스템으로 동시에, 용납할 수 없는 왜곡 없이 또는 다른 RF 문제 없이 어떻게 송신할지가 관심 사항이다. 개시된 시스템의 하나의 양태는 위의 두 개의 5G 대역 중 "사용되지 않는" 주파수 대역을 사용하여 BBIC와 RFIC를 연결하는 RF 케이블을 통해 주파수 대역의 두 개의 MIMO 스트림 중 하나를 송신/수신하고, 그럼으로써 두 개의 정보 스트림 사이의 충분한 격리를 제공하는 것, 그리고 두 개의 5G 주파수 대역 사이가 분리되기 때문에, 신호에 의해 야기되는 왜곡을 무선 사용자 디바이스가 상업적으로 송신 및 수신을 용인할 수 있는 레벨로 감소시키는 것이다. 일부 양태에 따라, 동작시, BBIC는 베이스밴드로부터/RF로 및 RF로부터/베이스밴드로 직접 변환을 수행하며, RFIC는 5G 및 WiGig 용 통합 안테나 서브시스템에 배치된 mmWave 안테나 요소로/로부터 송신/수신을 위해 신호의 분할/결합을 주로 수행한다. 시스템은 일부 양태에 따라 아래에서 도 220에 도시된다.
제 2 MIMO 스트림을 위해 대안의 ("사용되지 않는") 5G 대역을 사용하는 것은 각 대역마다 BBIC의 단일 체인만을 필요로 하므로, 실리콘 사이즈를 절감할 수 있다. 두 MIMO 스트림 모두에 대해 단일 DPLL을 사용하면 전력 소비뿐만 아니라 실리콘 공간을 더 많이 절감할 수 있다. 두 MIMO 스트림 모두에 대해 단일 DPLL을 사용하면 두 스트림 간의 위상 잡음 일관성이 제공되어, 공통 기준 클록을 갖는 두 개의 신시사이저 솔루션과 대조적으로, MIMO 성능, 예를 들어 링크 예산 및 수신 감도에 기여한다. RFIC에서 신디사이저를 회피함으로써 실리콘 사이즈를 절감하고 신시사이저 VCO의 풀링 영향 및 주파수 점프를 제거하거나 크게 줄임으로써, Tx에서 Rx 로의 전환을 훨씬 빠르게 할 수 있으며 궁극적으로 더 나은 시스템 성능에 이르게 한다. 또한, 일부 양태에서, RFIC에 신시사이저가 없다는 것은 RFIC가 대규모 배열 빔포밍을 수행하기 위해 독립적인 신시사이저가 있는 다수의 RFIC 간의 복잡한 동기화 구성 및 교정(calibration)을 필요로 하지 않는 것을 의미한다. RF mmWave 주파수로의 직접적인 변환은 원하지 않는 스퍼(spur) 및 방출에 대한 복원력이 개선되어 일반적으로 대형 필터를 회피하고 이에 따라 실리콘 사이즈가 절감된다. 또한 본 명세서에서 설명되는 바와 같이 mmWave 주파수로의 직접적인 변환은 롱텀 에볼루션(Long Term Evolution)(LTE), 무선 근거리 네트워크(Wireless Local Area Network)(WAN), 블루투스(BT) 및 글로벌 항행 및 위성 시스템(GNSS)과 같은 다른 통신 프로토콜의 mmWave 주파수와 6GHz 이하 주파수 사이의 (아래에서 논의되는) 양호한 분리(아래에서 설명 함)로 인하여, 이들 프로토콜과의 공존성을 개선한다.
도 220은 일부 양태에 따른 5G 및 WiGig를 위한 mmWave RF 아키텍처의 하이 레벨 블록도이다. 시스템(22000)은 RF 케이블(22002 내지 22002)을 통해 하나 이상의 RFIC(22003 내지 22003)에 연결되는 BBIC(22001)를 포함한다. 일부 양태에서, 하나 이상의 케이블이 있을 수 있으며, 각각의 케이블은 도면에서 수직 점으로 표시된 바와 같이 자체의 RFIC를 갖는다. 다시 말해서, N 개의 케이블과 N 개의 RFIC가 있을 수 있다. 일부 양태에서, N의 값은 예를 들어 기지국의 경우 8이고, 예를 들어 이동 전화의 경우 2일 수 있다. 관련 기술분야의 통상의 기술자라면 설계 요건에 따라 N이 상이한 최대 값을 갖는 시스템을 구현할 수 있다. 각 RFIC는 5G 및 WiGig용 mmWave 안테나 서브시스템(22005 내지 22005)에 연결된다. 일부 양태에서, 도면에서 수직 점으로 표시된 바와 같이 하나 이상의 안테나 서브시스템이 있을 수 있다. 다시 말해서, 위에서 논의한 바와 같이 예시적인 N의 예시 값을 갖는 N 개의 안테나 서브시스템이 있을 수 있다. BBIC(22001)에서 수행되는 기저대역에서 RF 로의 변환(및 그 반대의 변환)은 일부 양태에서 단 두 개의 신시사이저: 57 내지 70 GHz 스펙트럼의 WiGig를 위한 직접적인 상향/하향 변환을 위한 하나의 신시사이저와, 병렬의 5G 듀얼 MIMO 스트림 - 아래에서 논의되는 바와 같이 스펙트럼의 스트림 쌍 중 하나는 24 내지 29.5 Ghz에 있고 스펙트럼의 스트림 쌍 중 하나는 37 내지 45 GHz 스펙트럼에 있음 - 을 위한 다른 신시사이저로 수행된다. RFIC(22003 내지 22003)에는 추가 신시사이저가 필요하지 않다.
도 221은 일부 양태에 따른 5G 및 WiGig를 위한 mmWave RF 아키텍처의 하이 레벨 블록도이다. 도 221의 상향 변환 방식은 송신기(TX)에 대한 것이다. 수신기(RX)에 대한 하향 변환은 개념상 본질적으로 동일하다. 일반적으로, 5G MIMO 듀얼 스트림은 충분히 격리된 단일 RF 케이블을 가로질러 분리된다. (두 개 중) 제 1 MIMO 스트림은 5G 24 내지 29.5 GHz 주파수 대역에서 또는 5G 37 내지 45 GHz 주파수에서, 송신 중에 기저대역으로부터 RF로 직접 상향 변환되고 수신 중에 RF로부터 기저대역으로 하향 변환된다. 제 2 MIMO 스트림은 37 내지 45 GHz 대역 또는 24 내지 29.5 GHz 대역 중 현재 사용되지 않는 대체 RF 대역을 사용한다. 단일 신시사이저는 24 내지 29.5 GHz 대역의 경우 RF에 3/2를 곱하고 또는 37 내지 45 GHz 대역의 경우 RF에 2/3을 곱함으로써 RF 주파수와 중간 주파수(IF)를 둘 모두 생성한다. 일부 양태에 따라, 그 다음에 LO 주파수는 IF로부터 RF를 감산하여 생성된다. IF 및 LO 둘 모두는 RF의 제 1 MIMO 스트림과 충분히 격리된 단일 케이블을 통해 추진된다. 일부 양태에서, RFIC에서, 송신 중에, 믹서는 IF 와 LO 신호를 곱함으로써 제 2 MIMO 스트림을 위한 RF 주파수를 재생하는데 (또는 수신 중에 BBIC로부터의 LO 신호에 곱함으로써 RF 신호를 IF로 변환하기 위해) 사용된다. 일부 양태에서, 각각의 MIMO 스트림은(PA, LNA, 위상 변위기 및 결합기/결합기를 비롯한) RF 체인을 통해 전용 안테나 배열에 연결되며, 각 스트림은 서로 다른 편파를 갖는다(하나의 스트림은 수평 편파로 향하고 다른 하나의 편파는 수직 편파로 향한다). 일부 양태에 따라, 전술한 곱셈 및 전술한 감산은 체배기 회로를 포함하는 주파수 변환기 및 감산 회로를 포함하는 주파수 변환기, 또는 두 회로 모두의 조합에 의한 주파수 변환으로 간주될 수 있다.
시스템(22100)은 BBIC(22101)를 포함하는 결합 아날로그 RF 실리콘을 포함한다. 이러한 양태에서, DAC(22110)는 믹서(22112)에 연결되고, 믹서(22112)는 증폭기(22114)에 연결되고, 증폭기(22114)는 BPF(22160)의 뱅크 내의 대역 통과 필터(BPF)(22116)에 연결된다. 디지털-아날로그 변환기(DAC)(22110)는 5G 수평 편파된 광대역 신호를 믹서(22112)로 전송한다. DAC(22111)는 믹서(22113)에 연결되고, 그 출력은 증폭기(22115)에 연결되고, 증폭기는 BPF(22117)에 연결된다. 디지털 위상 고정 루프(Digital Phase Lock Loop)(DPLL)(22118)를 포함하고 디지털 제어 발진기(Digital Controlled Oscillator)(DCO)(도시되지 않음)를 포함할 수 있는 신시사이저는 DAC(22111)로부터의 광대역 수직 편파된 신호를 믹서(22113)를 통해 5G 37GHz-45GHz 대역으로 상향 변환하는 5G 37GHz-45GHz 스펙트럼 신호를 생성하고, 그 다음에 이 신호는 증폭되어 BPF(22117)에 의해 케이블(22102)을 통해 RCIF(22103)로 전송된다. 국부 발진기(LO) 신호 5G LO 12-15 GHz는 (22122)에서 37-45 GHz 대역의 5G 신호에 1/3을 곱함으로써 생성되고, 그런 다음 LO 신호는 증폭기(22124) 및 BPF(22126)를 통해 케이블(22102)을 경유하여 전송된다. 24-29.5 GHz 대역 신호인 제 2 5G RF 신호는(22120)에서 신시사이저 출력 신호에 2/3을 곱하여 생성된다. 24-29.5 GHz 대역의 5G RF 신호는 믹서(22112)에서 DAC(22110)로부터의 기저대역 수평 편파된 신호와 혼합되어 기저대역 수평 편파된 신호를 24-29.5 GHz 대역으로 상향 변환한다.
또한, 일부 양태에서, 수직 편파된 스트림 및 수평 편파된 스트림은 2 x 2 MIMO 안테나 서브시스템에 의한 Tx 또는 Rx를 위해 동일한 5G 주파수 대역에 있을 것이기 때문에, 하나의 스트림이 케이블 상의 다른 스트림을 왜곡시키지 않고 동일한 케이블을 통해 BBIC로부터 RFIC로 전송해야 한다. 이것은, 일부 양태에서, 충분한 주파수에 의해 분리된 두 개의 주파수 대역의 두 개의 스트림을 전송하여 두 개의 스트림이 케이블을 통해 전송될 때 두 스트림 간의 격리를 제공하기 위하여, 스트림 중 하나를 BBIC에서 상이한(즉, "사용되지 않는") 주파수 대역으로 변위시킴으로써 달성될 수 있다. 두 개의 스트림이 RFIC에 의해 수신될 때, 상이한 주파수 대역으로 변위되었던 스트림은 RF 체인을 통해 안테나 서브시스템에 의해 전송을 위한 그 주파수 대역으로 다시 변위될 수 있다. 다른 말로 하면, BBIC로부터 케이블을 통해 송신될 때, 두 개의 스트림은 주파수 대역에 의해 분리되고, RFIC에 의해 수신된 후에 두 개의 스트림은 실리콘에서 별개의 RF 체인에 의해 분리될 것이다. 예를 들어, 두 개의 스트림이 30 GHz 대역의 수직 편파된 신호와 30 GHz 대역의 수평 편파된 신호이면, 두 개의 스트림 중 하나는 케이블을 통한 전송을 위해 40 GHz 대역으로 상향 변환될 수 있고, 그런 다음 RFIC에서 수신될 때는 다시 30 GHz 대역으로 하향 변위된이다. 상향 변환 및 하향 변환에도 불구하고, 존재할 수 있는 하나의 주파수 대역에서 자기 유도된 잡음을 최소화 또는 해결하고 다른 주파수 대역에서 잡음을 유발하기 위해 두 개의 스트림이 상대적으로 동일한 전력 레벨(어쨋든 MIMO를 위한 요건임)에 있게 제공하는 주의를 기울일 수 있다. 일부 양태에서 상대적으로 동일한 전력 레벨에 있는 두 스트림은 서로 약 10 dB 내의 전력 레벨을 의미할 수 있다. 또한, 아래에서 설명되는 바와 같이, 각 주파수 대역의 신호는 위의 잡음을 줄이기도 하는, 각각의 신호를 분리하기 위해, 케이블의 입력에서 BBIC상의 자신의 BPF 및 케이블의 출력에서 RFIC 상의 자신의 BPF를 가져야 한다. 그런 다음 두 스트림이 전송될 수 있는데, 하나의 스트림은 사용자 디바이스가 사용되는 특정 지역에서 지원되고 사용되는 5G 주파수 대역을 통해 전송될 수 있고, 다른 스트림은 특정 지역에서 사용되지 않는 또는 "지원되지 않는" 5G 주파수 대역을 통해 전송될 수 있다.
다음의 설명에서, 사용자 디바이스와 연관된 지역 또는 ISP가 28 ㎓ 대역에서 동작하면, 스위치(22130A)의 콘택(22131)은 위치(22134)로 설정될 것이고, 스위치(22150A)의 콘택은 위치(22154)로 설정될 것이며, 일부 양태에 따르면 "사용되지 않는" 주파수 대역은 39 GHz 대역이다. 유사하게, 사용자 디바이스가 39 GHz 대역을 지원하는 지역 또는 ISP 영역으로 이동되면, 스위치(22130A)의 콘택(22131)은 위치(22132)로 설정될 것이고 스위치(22150A)의 콘택(22151)은 위치(22152)로 설정될 것이며, 일부 양태에 따르면, "사용되지 않는" 주파수 대역은 28 GHz 대역이다. "콘택"이라는 단어는 기계적 연결을 의미할 수도 있지만, 본 명세서에서 사용되는 "콘택"은 전자 디바이스가 특정 위치로 편향되거나 그렇지 않으면 특정 위치로 "설정"되는 전기적 연결을 의미할 수도 있다. 본 명세서에서 스위치는 전계 효과 트랜지스터(field effect transistor)(FET) 회로 및 다른 디바이스 회로와 같은 적절한 전자 디바이스 회로로서 구현될 수 있다. 전자 디바이스는 본 명세서에서 설명된 스위치로서 작용할 수 있고, 사용자 디바이스가 하나의 지역 또는 ISP 영역으로부터 다른 지역 또는 ISP 영역으로 이동할 때 적절한 위치로 자동 설정되어 본 단락에서 위에서 논의된 것처럼 "사용되지 않는" 주파수 대역이 "사용" 주파수 대역이 되도록 구성될 수 있다.
RFIC(22103)는 BPF 뱅크(22162)에 도시된 BPF(22130, 22140, 22150)를 포함한다. BPF(22130)는 위에서 논의한 바와 같이 지역 또는 ISP에 따라 위치(22132 및 22134)로 설정 가능한 콘택(22131)을 갖는 스위치(22130A)에 연결된다. 위치(22132)는 믹서(22138)에 연결되고, 그 다음 믹서(22138)는 결합기/증폭기(22139)에 연결되고, 그 출력은 안테나 서브시스템(22190)에 연결된다. BPF(22130, 22140 및 22150)는 일부 양태에 따라 케이블(22102)에 연결된다. BPF(22130)는 입력(22129)에서 BPF(22116)를 통해 케이블(22102)에 진입하는 24-29.5 GHz 대역의 수평 편파된 RF 신호를 수신한다. BPF(22140)는 입력(22141)에서 BPF(22126)를 통해 케이블에 진입하는 12-15 GHz 사이의 LO 신호를 수신한다. BPF(22150)는 (22149)에서 BPF(22117)를 통해 케이블에 진입하는 37-45 GHz 대역의 수평 편파된 신호를 수신한다. BPF(22150)는 위치(22152 및 22154)로 설정 가능한 콘택(22151)을 갖는 스위치(22150A)에 연결된다. 위치(22154)는 결합기/전력 증폭기(22158)에 연결되어, 일부 양태에 따라, 39 GHz 대역의 수직 편파된 신호를 안테나 서브 시스템(22190)에 제공한다. 입력(22141)에서 수신된 12-15 GHz 대역의 LO 신호는 BPF(22140)로부터 라인(22142)을 통해 믹서(22138 및 22156)로 진행한다. 믹서(22156)는 결합기/전력 증폭기(22158)에 연결된다. 스위치(22130A)의 콘택(22131)이 위치(22132)로 설정될 때, 24-29.5 Ghz 대역의 수평 편파된 신호는 믹서(22138)로 진행하고, 믹서에서 12-15 GHz 대역의 LO 신호와 혼합되어 39 GHz 대역의 수평 편파된 신호를 결합기/전력 증폭기(22139)에 제공한 다음 안테나 서브시스템(22190)에 제공한다. 따라서, 39 GHz 대역의 수직 편파된 신호는 위치(22152)로부터 결합기/전력 증폭기(22153)를 통해 직접 진행한다. 일부 양태에 따라, 39 GHz 대역의 수평 편파된 신호는 스위치(22130A)의 콘택이 위치(22132)에 의해 믹서(22138)에 연결될 때 발생되며 믹서(22138)에서 LO 신호와 24-29.5 GHz 신호의 혼합은 수평 편파된 39 GHz 신호를 생성한다.
스위치(22130)의 콘택(22131)이 위치(22134)로 설정될 때, BPF(22116)를 통해 BPF(22130)의 입력(22139)에서 수신된 24-29.5 GHz 대역의 수평 편파 신호는 이후 결합기/전력 증폭기(22136)로 직접 진행하여 안테나 서브시스템(22190)으로 전송된다. 스위치(22150A)의 콘택(22151)이 위치(22154)로 설정될 때, BPF(22130)의 입력(22139)에서 BPF(22117)를 통해 수신된 37-45 GHz 대역의 수직 편파된 신호는 믹서(22156)에서 BPF(22140)로부터의 12-15 GHz 대역의 LO 신호와 혼합되어 28 GHz 대역의 수직 편파된 신호를 생성한다. 따라서, 28 GHz 대역의 수직 편파된 신호는 혼합함으로써 생성되고 28 GHz 대역의 수평 편파된 신호는 스위치(22130A)가 콘택(22134)으로 설정됨으로써 직접 생성되어 수평 편파된 신호를 결합기/전력 증폭기(22136)로 직접 전송한다. 도 221a는 일부 양태에 따라, 도 221과 관련하여 설명된 5G 40GHz 주파수 대역에 대한 주파수 할당의 개략도이다. 도 221의 주파수 상향 변환 방식은 송신에 대한 것이다. 수신에 대한 하향 변환 방식은 본질적으로 송신의 방식과 개념적으로 동일하다. 도 221에서, DPLL1은 일부 양태에 따라 5G 수직 편파된 신호를 37-43.5 GHz 주파수 대역으로 상향 변환하는데 사용될 수 있는 5G 37-43.5 GHz 주파수 대역의 신호를 제공하는 것으로 도시된다. 일부 양태에 따라, 37-43.5 GHz 주파수 대역의 신호에 2/3을 곱하면 수평 편파된 5G 신호를 24-29.5 GHz 주파수 대역으로 상향 변환하는데 사용될 수 있는 5G 24-29.5 주파수 대역의 신호를 생성한다. DPLL1로부터의 출력 신호는 또한 일부 양태에 따라, 도시된 바와 같이 12-15 GHz 주파수 대역의 LO 신호를 형성하기 위해 1/3로 곱해질 수 있다. 도 221a에서, DPLL2는 WiGig 57-70 GHz 주파수 대역의 신호를 제공하는 것으로 도시된다. 이러한 WiGig 신호는 일부 양태에 따라, WiGig 수평 편파된 신호 및 WiGig 수직 편파된 신호를 5G 40GHz 주파수 대역을 위한, 또한 전송을 위해 상향 변환하기 위한, 주파수 할당의 개략도에 대해 설명되었던 것과 아주 동일하게 변조하는데 사용될 수 있다.
상이한 MIMO 스트림 간의 위상 잡음 일관성(phase noise coherence)은 두 개의 대역(40 GHz 또는 30 GHz) 중 하나의 사용되지 않는 5G 주파수 대역을 가로질러 스트림을 변위시키기 위해 사용되는 신시사이저 소스가 또한, 도 221b에 도시된 바와 같이, 스트림을 적절한 송신 주파수로 다시 변위시키는데 사용되는 개념을 따라함으로써 보존된다. 도 221b는 일부 양태에 따라, 사용되지 않는 5G 주파수 대역을 가로질러 두 개의 주파수 대역 스트림 중 제 2 주파수 대역 스트림을 변위시키는데 사용되는 신시사이저 소스를 도시한다. 도 221b에서, 케이블(22102)은 일부 양태에 따라 케이블의 개별 라인을 통해 신호(IF1, IIF2) 및 국부 발진기 신호(LO)를 반송한다. 신호(IF2)는 (22112B)에서 국부 발진기 LO와 혼합되어 IF2 신호를 적절한 5G 대역으로 상향 변환한다. 그 다음, 상향 변환된 신호는 믹서(22138B)에 입력되며, 믹서에서 동일한 LO 신호는 신호를 적절한 5G 대역으로 하향 변환하는데 사용된다. 상향 변환은 위상 잡음을 추가하지만 하향 변환은 아래의 수학식 (1) 및 수학식 (2)에 따라 동일한 위상 잡음을 감해준다. 결과는 실험실 테스트에 의해 확인되었다.
[수학식 1]
Figure pct00032
[수학식 2]
Figure pct00033
일부 양태에서, 신호(IF2) 대 신호(IF1)는 1 GHz와 동등한 대략 ΔΤ<1nsec의 지연 차를 갖는다. 도 221c는 100 MHz의 주파수 대역에 걸친 위상 잡음 전력의 관점에서 위상 잡음 스펙트럼을 도시한다. 해당 주파수 대역에 걸친 곡선(22170)은 100 MHz 보다 높은 미미한 잡음 기여를 나타낸다.
도 222는 일부 양태에 따른, 40 GHz 주파수 대역을 위한 5G의 전송 상향 변환 주파수 방식을 도시한다. "사용되지 않는" 5G 30 GHz 대역을 활용하여 5G 40 GHz 대역으로 상향 변환하는 예가 도시된다: 수직 편파 스트림은 30 GHz 대역으로 직접 변환되는 반면 수평 편파 스트림은 30 GHz 대역을 사용한 다음 LO와 혼합함으로써 다시 40 GHz 대역으로 역변환된다.
도 222에서, 시스템(22200)은 케이블(22202)을 통해 RFIC(22203)에 연결된 BBIC(22201)를 포함한다. 도 222는 도 221과 매우 유사하지만 WiGig 신호를 두 개의 5G 신호와 병렬로 가산한다. BBIC(22201)에서, DAC(22231)는 출력으로서 기저대역 WiGig를 갖는다. DAC(22231)는 믹서(22233)에 연결된다. 적절한 WiGig 주파수 대역, 여기서는 57-71 GHz용 DPLL(22234)은 믹서(22233)로의 제 2 입력으로서 연결된다. 그 다음, 혼합 기능은 일부 양태에 따라, WiGig 대역 57-71 GHz의 WiGig RF를 제공하며, WiGig RF는 증폭기(22235)로 진행한 다음 BPF 뱅크(22260) 내의 BPF(22237)로 진행한다. 일부 양태에 따라, RFIC(22203)는 WiGig RF 57-71 GHz 대역 신호를 케이블(22202)을 통해 (22238)에서 입력으로서 수신하는 BPF 뱅크(22262) 내 BPF(22241)를 포함하며, 여기서 이 신호는 결합기/전력 증폭기(22243)로 직접 전송된 다음 적절한 기가헤르쯔로 안테나 서브시스템(22290)으로 전송된다.
DAC(22210)와 믹서(22212), DPLL(22218), 체배기(22220 및 22222), 증폭기(22214, 22224) 및 BPF(22216, 22226)의 조합은 도 221의 DAC(22110), 믹서(22112), DPLL(22118)과 체배기(22120 및 22122), 증폭기(22114, 22124) 및 BPF(22116, 22126)의 조합과 본질적으로 동일한 조합이고, 본질적으로 동일한 기능을 제공한다. 다시 말해서, 도 221의 DPLL(22118)과 같은 DPLL(22218)은 37-45 GHz 주파수 대역의 수직 편파된 5G 신호를 생성한다. 일부 양태에 따라, 유사하게, 도 221에서와 같이, 37-45 GHz 대역의 신호는 1/3이 곱해져서 12.3-15 GHz 대역의 국부 발진기 신호(LO)를 제공하고, 그 다음 국부 발진기 신호는 증폭기(22224)에서 증폭되고 케이블(22202)을 지나 BBP(22226)를 통해 전송된다. 일부 양태에 따라, DPLL(22218)로부터의 신호는 또한(22220)에서 2/3이 곱해져서 24-29.5 GHz 주파수 대역의 신호를 제공하며, 이 신호는 DAC(22210)로부터의 5G 수평 편파된 기저대역 신호를 2믹서(22212)에 의해 24-29.5 GHz의 수평 편파된 신호로 상향 변환시킨다. 마찬가지로, DAC(22211), 믹서(22313), 증폭기(22315) 및 BPF(22317)의 조합은 도 221의 DAC(22111), 믹서(22113), 증폭기(22115) 및 BPF(22117)의 조합과 본질적으로 동일하고 본질적으로 동일한 기능을 제공한다. 다시 말해서, DAC(22211)로부터의 수직 편파된 기저대역 신호는 믹서(22213)를 통해 37-45 GHz 대역의 수직 편파된 신호로 상향 변환되며, 일부 양태에 따라, 이 신호는 증폭기(22215) 및 BPF(22217)를 통해 케이블(22202)에 제공된다.
RFIC(22203)는 대역 통과 필터(22230), 스위치(2223OA), 콘택(22221), 믹서(22238) 및 결합기/전력 증폭기(22239)의 조합을 포함하고, 이 조합은 도 221의 BPF(22130), 스위치(22130A), 콘택(22131), 및 믹서(22138)의 조합과 본질적으로 동일하고, 동일한 기능을 제공한다. 유사하게, BPF(22240)는 라인(22242)을 통해 믹서(22238) 및 믹서(22256)에 연결되며, 이 조합은 도 221의 BPF(22140), 라인(22142), 믹서(22138), 및 믹서(22156)의 조합과 본질적으로 동일하고 본질적으로 동일한 기능을 제공한다. 마지막으로, BPF(22250), 스위치(22250A), 콘택(22251) 및 결합기/전력 증폭기(22253)의 조합은 도 221의 BPF(22150), 스위치(22150A), 콘택(22151), 믹서(22156) 및 결합기/전력 증폭기(22158)와 본질적으로 동일하고 본질적으로 동일한 기능을 제공한다. 결과적으로, 도 221에서와 같이, 39 GHz 대역의 수평 편파된 신호는 위치(22232)로 설정된 콘택(22231)에 의해 제공되어 39 GHz 대역의 수평 편파된 신호는 수평 편파된 24-29.5 GHz 신호에 의해 생성되어 BPF(22216)를 통해 케이블(222202)을 통해 BPF(22230)로 진행한다. 그런 다음 그 신호는 믹서(22238)의 동작에 의해 12.3-15 GHz 대역의 LO 신호로 하향 변환되고, BPF(22226)를 통해 케이블(22202)을 통해 RFIC(22203)의 BPF(22240)의 입력(22241)으로 진행한다. 도 221과 유사하게, RFIC(22203)의 스위치(22250A)가 자신의 콘택(22251)을 위치(22252)로 설정할 때, 39 GHz 대역의 수직 편파된 신호는 BBIC(22201)의 BPH(2217)를 통해 37-45 GHz 신호로부터 케이블(22202)을 통해 BPF(22250)의 입력(22249)로 직접 진행하여 결합기/전력 증폭기(22253)로 진행한다. 그러므로 수직 편파된 39 GHz 주파수 신호 대역이 직접 생성된다.
도 223는 일부 양태에 따라, 28 GHz 전송 시나리오에 대한 전송 상향 변환 주파수 방식을 도시한다. 이 경우, 28 GHz 대역은 지리적 또는 ISP 요건을 이유로 동작 중이라고 가정되기 때문에, 스위치(22330A)는 그의 접점을 위치(22333)으로 설정하고 스위치(22350A)는 그의 접점을 위치(22352)로 설정한다. 도 223에서, BPF(22330), 스위치(22330A), 위치(22333)로 설정된 콘택(22331) 및 결합기/전력 증폭기(22336)는 일부 양태에 따라, BPF(22130), 스위치(22130A), 위치(22134)로 설정된 콘택(22231) 및 결합기/전력 증폭기(22136)와 본질적으로 동일한 기능을 제공하고 본질적으로 동일한 조합이다. BPF(22340), 믹서(22356), BPF(22350), 스위치(22350A), 위치(22352)로 설정된 콘택(22351), 및 결합기/전력 증폭기(22358)는 일부 양태에 따라, 도 221의 BPF(22150), 스위치(22150A), 위치(22154)로 설정된 콘택(22151), 믹서(22156) 및 결합기/전력 증폭기(22158)와 본질적으로 동일한 조합이고 본질적으로 동일한 기능을 제공한다.
이제 28 GHz 대역의 수직 편파된 신호 및 수평 편파된 신호를 포함하는 스트림으로 돌아가면, 수평 편파된 신호는 일부 양태에 따라, BBIC(22301)의 믹서(22312)에서 기저대역으로부터 24-29.5 GHz 대역으로 상향 변환되어 증폭기(22314) 및 BFP(22316)를 통해 케이블(22302)의 입력으로 전송된다. 그러므로 24-29.5 GHz 대역의 수평 편파된 신호는 BPF(22330)의 입력(22329)에서 수신되고, 일부 양태에 따라, 안테나 서브시스템(22390)으로의 전송을 위해 결합기/전력 증폭기(22336)로 직접 전송된다.
수직 편파된 신호는 일부 양태에 따라, BBIC(22301)의 믹서(22313)에서 37-45 GHz 대역으로 상향 변환되고 증폭기(22315) 및 BPF(22317)를 통해 케이블(22302)의 입력으로 전송된다. 이러한 수직 편광된 신호는 케이블(22302)을 통해 BPF(22350)의 입력(22349)에서 수신된다. 신호는 위에서 논의한 바와 같이 위치(22352)로 설정된 스위치(22350A)의 콘택트(22351)를 통해 전송된다. 그러므로 37-45 GHz 대역의 수직 편파된 신호는 믹서(22356)로 전송된다. 일부 양태에 따라, 12.3-15 GHz 대역의 국부 발진기 신호(LO)는 BBIC(22301)의 증폭기(22324) 및 BPF(22326)를 통해 케이블(22302)로 전송된다. 그 신호는 BPF(22340)의 입력(22341)에서 RFIC에 의해 수신되고 라인(22342)을 통해 두 믹서(22338 및 22356) 모두로 전송된다. 37-45 GHz 대역의 수직 편파된 신호는 믹서(22356)에서 LO 신호와 함께 하향 변환되어 이제 28 GHz 주파수 대역의 수직 편파 신호를 생성하며, 일부 양태에 따라, 이 신호는 결합기/전력 증폭기(22358)로 전송된 다음 안테나 서브시스템(22390)으로 전송된다.
위에서 논의한 시스템은 두 5G 주파수 대역이 모두 주어진 지역에서 동시에 동작하지 않는다는(지원되지 않는다는) 가정하에 동작한다. 일부 지역에서 두 5G 주파수 대역이 모두 동시에 동작해야 하도록 조건이 변경되면, 일부 양태에 따라, 이것은 BBIC에 여분의 주파수 신시사이저를 제공함으로써 달성되므로 두 5G 주파수 대역이 모두 케이블을 통해 동시에 전송될 수 있다. 이것은 위에서 논의한 바와 같이, WiGig 주파수 대역과 및 5G 주파수 대역이 케이블을 통해 전송되는 것과 매우 유사하다. 이 경우에, 그럼에도 RFIC의 각 5G 주파수 대역마다 RF 체인이 있어야 되지만, 믹서가 신호를 원래의 5G 주파수 대역으로 변위시킬 필요는 없다.
도 224a는 일부 양태에 따른, BBIC 블록도의 제 1 섹션이다. 도 224b는 일부양태에 따른, BBIC 블록도의 제 2 섹션이다. 224a 및 도 224b는 위에서 논의한 LO 신호가 빠져 있다. 그러나, 도 224a 및 도 224b에는 이하에서 논의되는 기능을 설명하기 위해 포함된다.
도 224a는 도 222 및 도 223에 도시된 시스템의 추가적인 세부 사항을 도시한다. 이러한 양태에서, 회로는 동상 및 직교(IQ) 구성요소의 관점에서 설명된다. 예를 들어, (22401)은 송신 체계를 도시하고, 여기서 요소(22403A)는 동위상 신호 소스를 도시하고, 반면에 (22405A)는 WiGig에 대한 직교 신호 소스를 도시한다. 요소(22407)는 적절한 클록 생성을 도시한다. (22403)에서, 동일한 유형의 요소에 대한 수신 체계가 도시된다. 송신 체계와 수신 체계 둘 모두의 두 개의 5G 주파수 대역 각각마다 동일한 요소가 도시된다. 도 224b에서 스위치(22451, 22452, 22455)는 시스템을 Tx 모드, Rx 모드로 또는 테스트 및 필요하다면 교정을 위해 루프백 모드에 위치하도록 동작한다. 루프백은 전자 신호, 디지털 데이터 스트림 또는 항목의 흐름이 의도적인 프로세싱 또는 수정 없이 이들의 소스로부터 시스템을 통해 이들의 소스로 다시 라우팅하는 것을 지칭한다. 이것은 주로 시스템의 전송 또는 전달 인프라를 테스트하는 방법이다. 다양한 구현예 또는 양태가 존재한다. 하나의 예로서, 단 하나의 통신 종단점(endpoint)이 있는 통신 채널이 테스트될 수 있다. 이러한 채널에 의해 전송된 모든 메시지는 즉시 동일 채널에 의해서만 수신된다. 전기 통신에서, 루프백 디바이스는 서빙 교환국으로부터의 액세스 회선의 전송 테스트를 수행하는데, 이것은 일반적으로 서빙되는 단말기에서 인력의 도움을 필요로 하지 않는다. 개시된 시스템은 일부 양태에 따라, 시스템의 테스트 및 교정을 위해, 통상적으로 BBIC의 교정을 위해, 스위치에 의해 RFIC를 단절시키고 Tx 및 Rx 사이의 루프를 폐쇄함으로써 이러한 능력을 갖는다. 일부 양태에서, 테스트 및 교정은 IQ 불평형을 해결한다. Tx, Rx 및 루프백 모드는 도시되지 않은 (하나를 초과하는 디지털 프로세서를 포함할 수 있는) 프로세서로부터 제어 신호를 수신하는 무선 송수신기 제어(radio transceiver control)(RTC)(22457)에 의해 제어된다. 스위치(22451, 22452, 22455) 각각은 일부 양태에 따라, 스위치 중 하나에 대해 열거된 세 개의 위치를 (22453, 22453A)(상부), (22453B)(하부) 및 (22453C)(중간)으로서 갖는다. 스위치(22453)의 콘택이 (22453A)로 스위칭될 때(그리고 스위치(22451 및 22455)의 콘택이 또한 상부 위치로 스위칭될 때), 시스템은 Tx 모드에 있다. 스위치(22453)의 콘택이 (22453B)로 스위칭될 때 (그리고 스위치(22451 및 22455)의 콘택이 하부 위치로 스위칭될 때) 시스템은 Rx 모드에 있다. 스위치(22453)의 콘택이 (22453C)로 스위칭될 때 (그리고 스위치(22451 및 22455)의 콘택도 또한 중간 위치로 스위칭될 때) 시스템은 루프백 모드에 있다. 일부 양태에서, 루프백 모드는 필요에 따라, 위에서 논의한 테스트 및 교정을 수행하는데 사용될 수 있다.
중간 주파수(IF) 스위치(22458)는 위에서 논의된 바와 같이, 최대 네 개의 RFIC로/로부터 스트림을 송신 및 수신하기 위한 스위치/결합기/결합기로서 동작하며, 각각의 RFIC는 자신의 케이블을 갖는다. 여기서 케이블은 (22402-1, 22402-2, 22402-3 및 22402-4)로서 도시된다. 이러한 방식으로 네 개의 스트림 중 하나 이상이 송신/수신을 위해 스위칭될 수 있다.
신시사이저 A 및 신시사이저 B의 예시적인 블록도는 도 224b에서 또한 도시된다. 주파수 신시사이저를 설계할 때, 합성되는 정확한 주파수는 일반적으로 신시사이저의 초기 주파수가 아니다. 일반적으로 초기 주파수는 2의 배수 또는 최종 주파수의 2의 제수일 수 있다. 여기서 신시사이저는 전압 제어 발진기(voltage controlled oscillator)(VCO) 또는 디지털 제어 발진기(digital controlled oscillator)(DCO)(도시되지 않음) 및 (22460)과 같은 DPLL을 포함한다. DCO는 DPLL에 의해 엄격하게 제어되는 특정 주파수를 생성한다. 궁극적으로, 신시사이저는 일부 양태에 따라, 특정 주파수, 예를 들어 송신될 주파수를 제공할 것이다. 두 개의 분주기(22462, 22464)는 이들의 입력 주파수, 여기서는 46-60 GHz 대역의 신호를 2로 나누어, (23-30 GHz 대역 및 11.5-15 GHz 대역으로) 표시된 신호를 제공한다. 그 다음 이들 신호는 도면에 표시된 것과 같이 각각 더해져 지금부터 5G 용의 37-45GHz 대역의 LO 주파수(본 명세서에서 약식 표기법으로 40 GHz로서 표시됨)와 같은 원하는 주파수를 생성한다. 신시사이저 B는 초기 주파수 대역(46-60 GHz)의 신호가 11.5-15 GHz 대역의 신호에 더해져서 WiGig 용 57-70 GHz (여기에서는 약칭 표기법으로 LO-60 GHz로서 표시됨) 대역의 신호에 도달한다는 점을 제외하고는 유사하게 동작한다.
도 225는 일부 양태에 따른, 상세한 무선 주파수 집적 회로(radio frequency integrated circuit)(FRIC) 블록도이다. 도 225는 도 222 및 도 223의 RFIC 구성요소와 유사하지만 추가 세부 사항을 갖는다. RFIC(22500)에서 듀플렉서(22505)를 통한 RFIC와의 이중 제어(duplex control)는 무선 송수신기 제어(Radio Transceiver Control)(RTC)(22503)에 의해 제어된다. 저전력 디지털 위상 고정 루프(low power digital phase locked loop)(LPDPLL)(22501)는 클록킹을 제공한다. LPDPLL(22501)는 저하된 주파수 성능을 갖지만 전력 소비는 매우 낮다. LPDPLL(22501)은 일부 양태에서, RTC(22503) 용의 클록으로서 사용된다. LPDPLL(22501)은 절력 보존을 위해 의도적으로 저하되지만 클록 용으로 매우 적합하다. RTC(22503)는 일부 양태에서, 시스템을 송신 모드로 놓고, 시스템을 수신 모드에 놓고, 시스템을 루프백 모드에 놓고, 특정 레지스터를 다양한 기능을 위해 설정하고, 개선된 또는 최적의 구성을 위해 각종 증폭기의 증폭을 튜닝함으로써 그러한 기능을 위해 사용된다. 무선 장치는 대단히 아날로그 시스템이지만, 여기서 RTC(22503)로의 RTC 데이터로서 표시된, 전용 제어 정보를 샘플링하는 디지털 시스템인 RTC에 의해 달성될 수 있는, 디지털적으로 제어되어야 하는 전술한 기능과 같은 기능이 있다. 이러한 RTC 데이터는 시스템 프로세서로부터 이러한 정보를 수신하는 BBIC로부터 나오는 케이블을 통해 온다.
일부 양태에서, 모든 정보는 케이블을 통해 전송 및 수신되며, 그래서 케이블을 통해 오는 네 개의 신호(5G 28 GHz 대역 신호, 5G 39 GHz 대역 신호, LO 및 WiGig 대역 신호) 이외에, 제어 신호 또한 케이블을 통해 온다. 결과적으로, 개시된 5G mmWave 시스템 내에는 무선 송수신기 제어 시스템이 존재한다. 일부 양태에서, RTC는 케이블의 각 측에 구성요소를 갖고 있고, 상주하고 있는 mmWave 시스템의 주파수와 간섭하지 않는 자체의 주파수를 갖고 있으며, 개시된 mmWave 시스템과 RTC 시스템 간의 통신을 제공한다. 달리 말하면, 일부 양태에 따라, 도 225에 도시된 RFIC는 RTC(22503)에 의해 제어되며, RTC(22503)는 제어 프로세서로부터 나오는 케이블을 통해 제어 명령을 수신한다. 일부 양태에서, BBIC는 이동 디바이스의 마더 보드 상에 위치될 수 있지만, RFIC는 일반적으로 안테나 서브시스템을 통해 신호를 공중에 방사할 수 있는 장소에 위치된다. 일부 양태에서, RFIC는 이동 디바이스 내부의 스크린 바로 아래에 있는 유리에 아교 접착될 수 있다.
도 225는 송신을 위한 전력 증폭 및 수신을 위한 저잡음 증폭을 표시하기 위해, (22504)와 같은 위상 변위기 및 반대 방향의 두 개의 삼각형으로 도시된 양방향 증폭기(22506)를 비롯한, (22502)와 같은 쿼드 안테나 제어 쌍을 도시한다. 증폭기(22506)는 개별 증폭기일 수도 있고, 하나는 송신용이고 하나는 수신용이다. 증폭기가 어느 방향으로든 증폭을 위한 양방향 증폭기이면, 일부 양태에서, 증폭기는 아래에서 논의되는, RTC로부터의 커맨드에 따라 송신용 전력 증폭기(PA) 및 수신용 저잡음 증폭기(LNA)로 스위칭될 것이다. 도 225에서, 쿼드 쌍(22515, 22517)은 WiGig 57-70 GHz 대역을 위한 것이고, 쿼드 쌍(22544, 22546)은 5G 24-29HGz 대역을 위한 것이며, 쿼드 쌍(22565, 22568)은 5G 37-44 GHz 대역을 위한 것이다. 쿼드 쌍(22515, 22517)은 양방향 증폭기(22511, 22513)를 통해 결합기/결합기(22509)에 연결된다. 스위치(22531, 22551) 및 믹서 서브시스템(22538, 22556)은 도 222 및 도 223의 RFIC 스위치-믹서 회로의 일반적인 예시이다.
도 226는 일부 양태에 따라, 케이블 상의 제어 신호를 도시하는 전체 시스템의 블록도이다. BBIC(22601)는 케이블(22602)을 통해 RFIC(22603)에 연결된다. 수평 편파된 5G 스트림(H)은 DAC(22610) 및 ADC(22611)에서 각각 RFIC(22605)로의 송신 및 RFIC(22605)로부터의 수신을 위해 생성되는 것으로 도시된다. DAC(22610), ADC(22611), 및 DPLL(22613)은 도 222 및 도 2223의 대응하는 구성요소에 대해 설명된 바와 같이 동작한다. 수직 편파된 5G 스트림(V)은 DAC(22621) 및 ADC(22623)에서 각각 RFIC(22603)로의 송신 및 RFIC(22603)로부터의 수신을 위해 생성되는 것으로 도시된다. DAC(22621), ADC(22623), 및 DPLL(22613)은 도 222 및 도 2223의 대응하는 구성요소에 대해 설명된 바와 같이 동작한다. 12.3-15 GHz 대역의 LO 신호는 도 222, 도 223에서 설명한 바와 같이 생성되고, 케이블(22602)에 의해 라인(22643)을 통해 전송된다. 증폭기(22615, 22617)는 스위치(22620)에 의해 선택된 능동 증폭기인 H 개의 송신 및 수신 스트림을 위한 증폭기이다. 유사하게, 증폭기(22626, 22628)는 스위치(22622)에 의해 선택된 능동 증폭기인 V 개의 송신 및 수신 스트림을 위한 증폭기이다. 일반적으로 말하자면, 스위치는 시스템의 송신 및 수신을 제어하는 제어 프로세서에 의해 제어될 수 있다. 일반적으로 스위치는 모두 송신 모드이거나 모두 수신 모드이다.
RFIC 측에서, V 개 스트림 및 H 개 스트림 및 LO가 송신 및 수신을 위해 수신된다. 수직 편파된 스트림 및 수평 편광된 스트림의 전송을 위한 안테나 제어 쿼드(antenna control quad)는 각각(22664 및 22666)에서 도시된다. 제어 쿼드는 위상 변위기, PA 및 LNA를 포함하며, 이들은 일부 양태에서 송신/수신을 위해 각각 PA 및 LNA로 스위칭 가능한 양방향 증폭기에 통합될 수 있다. 24-29.5 GHz 대역의 수직 편파된 신호는 라인(22652)을 통해 수신된다. 스위치(22630A)가 (22634) 위치에 있을 때, 24-29.5 GHz 대역의 수평 편파된 신호는 쿼드 안테나 제어(22674)로 송신된다. 스위치(22630A)가 (22632) 위치에 있을 때, 24-29.5 GHz 대역의 수평 편파된 신호는 믹서(22638)의 12-15 GHz 대역의 5G LO 신호와 혼합되고 결과적인 37-45 GHz 대역의 수평 편파된 신호(22638)는 믹서(22638)로부터 안테나 제어 쿼드(22670)로 송신된다. 37-45 GHz 대역의 수직 편파된 신호는 라인(22654)에서 수신된다. 스위치(22650A)가 (22661) 위치에 있을 때, 37-45 GHz 대역의 수직 편파된 신호는 안테나 제어 쿼드(22672)로 송신된다. 스위치(22650A)의 콘택이 (22663) 위치에 있을 때, 37-45 GHz 대역의 수직 편파된 신호는 믹서(22656)로 송신되고, 믹서(22656)에서 라인(22653)을 통해 12-15 GHz 대역의 LO 신호와 혼합되며, 결과적인 24-29.5 GHz 대역의 수평 편파된 신호(22638)가 믹서(22656)로부터 안테나 제어 쿼드(22676)로 송신된다. 전술한 것은 송신 모드에 대한 것이다. 수신 모드에서, 동작은 정반대이며 수신된 신호를 BBIC 01에 제공한다.
도 225와 관련하여 위에서 논의한 바와 같이, 일부 양태에 따라, RFIC는 제어 프로세서로부터 케이블을 통해 제어 명령어를 수신하는 RTC(22503)에 의해 제어된다. 이것은 도 226에 도시되며, 도 226에서 제어 모뎀(22664), LPDPLL(22662) 및 기준 클록(22666)은 각각 라인(22668)을 통해 프로세서로부터 수신된 제어 신호를 라인(22645 및 22646)을 통해 RFIC(22603)에 제공한다. 기준 클록(22676)은 (도 225에서 논의된 바와 같이) RFIC의 RTC(22603)에 클록킹을 제공하고, 또한 LPDPLL(22662) 및 제어 모뎀(22664)에도 클록킹을 제공한다. 또한, 라인(22641, 22642, 22643, 22644, 22645 및 22646); 및 라인(22651, 22652, 22653, 22654, 22655 및 22656)으로부터 알 수 있는 바와 같이, 모든 정보는 BBIC(22601)로부터 RFIC(22603)로 및 RFIC(22603)로부터 BBIC(22601)로 케이블(22602)을 통해서만 전송된다. 제어에 사용되는 기준 클록(766)은 케이블(22602)을 통해 한 방향으로만, 즉 RFIC에만 전송된다는 것이 관심 대상이다. 제어 모뎀(22664)은 BPF(22671)에 연결되고, LPDPLL(22662) 및 기준 클록(22666)은 BBIC 측의 저역 통과 필터(22673)에 연결된다. 제어 모뎀(22663)은 라인(755)을 통해 BPF(765)에 연결되어 BBIC 내의 제어 모뎀(22664)으로부터 제어 신호를 수신한다. 유사하게, RFIC 측에서, 일부 양태에 따라, LPDPLL(22661)은 LPF(22667)에 연결되어 라인(22656)으로부터 신호를 수신한다.
추가의 관심사는 모든 신호가 전술한 라인을 따라 진행하기 때문에, 신호가 적절한 구성요소에 양방향으로 전송되도록 신호를 케이블(22602)의 각 측에 떼어 내어 분리하기 위해 BPF의 뱅크(및 일부 제어 신호의 경우 LPF)가 케이블(22602)의 각각의 측에 필요하다는 사실이다. 신호는 일부 양태에 따라, 송신 모드 및 수신 모드 동안 BBIC로부터 RFIC로 및 RFIC로부터 BBIC로 전송된다.
도 227은 일부 양태에 따라, 다양한 채널 섹션 옵션에 걸쳐 스위핑을 위한 무선 주파수(RF), 중간 주파수(IF) 및 국부 발진기(LO) 주파수의 개략적인 할당을 도시한다. 도 227은 WiGig와 5G 채널의 결합인 여러 가지 채널 옵션, 본 명세서에서는 12 개의 가능한 채널이 있음을 도시한다. 도 227은 WiGig DPLL 신호를 (22701)로 도시한다. 5G 수직 편파된 30 GHz 신호 및 수평 편파된 30 GHz IF 신호는 (22703) 및(22705)로 도시된다. 국부 발진기 신호는 (22707)로 도시된다. 5G 제어 신호는 (22709)로 도시되고, WiGig 제어 신호는 (22711)로 도시된다.
도 227은 선택된 채널에 무관하게, 수직 축으로부터 볼 때 모든 신호끼리 및 모든 신호 사이에 양호한 주파수 분리가 존재하다는 것을 도시한다. 이러한 주파수 분리는 위에서 논의한 바와 같이 케이블을 통해 전송될 때 주파수 대역의 충분한 격리를 가능하게 한다. 일반적으로, 격리를 원한다면 케이블 및 주파수 대역 분리를 통해서는 추가적인 격리가 제공되지 않으며, 일부 양태에서, 도 222, 도 223 및 도 226과 관련하여 논의된 바와 같이, 주파수 대역은 BPF(및 하나의 제어 사례에서는 LPF)를 통해 수신된다. 도 227에 대한 정보는 간단한 시뮬레이션에서 도출되었다. 선의 두께는 대역폭과 동등하다. 예를 들어, WiGig 신호는 57-71 GHz 대역에 있고 4 GHz 폭일 수 있으며, 반면에 5G 신호는 1 GHz 폭일 수 있다. LO 신호는 대역폭이 매우 좁은 것으로 보인다. 5G 제어 신호는 300MHz 대역폭일 수 있다. 각 선의 두께는 대역 내의 채널 폭을 반영한다.
도 228은 일부 양태에 따른, 고정 주파수 LO 송신기 상향 변환 방식을 도시한다. 처음에 주목할 것으로, 도 228에서는 도 226에서 BBIC 측 및 RFIC 측 둘 모두에 대해 도시되고 개시된 시스템에 중요하다고 위에서 논의된 BPL 및 LPL의 설명을 생략한다. 마찬가지로, 아래에서 논의되는 도 229는 BBIC 측의 BPL 및 LPL을 생략한다. BPL 및 LPL은 두 도면 모두에서 단지 도면의 공간이 부족하기 때문에 생략된다. 그러나, 실제로는 BPL 및 LPL은 도 226에 도시되고 설명된 바와 같이 도 228 및 도 229 둘 모두에서 위치되어 기능할 것이라는 것을 이해하여야 한다.
도 228에서, BBIC(901)는 단일 케이블(22802)을 통해 RFIC(22803)에 연결된다. BBIC(22801)는 송신 구성에서, 증폭기(22816)에 부착된 믹서(22812)에 부착된 DAC(22810)를 포함한다. 증폭기(22816)의 출력은 아래에서 논의되는 바와 같이 5G 23.8-30.3 GHz 주파수 대역에서 수직으로 편파된 신호이고, 도 222, 도 223 및 도 226에서와 동일한 방식으로 케이블(22802)을 통해 전송된다. 위에서 언급했듯이, 도면 공간을 고려해야 하기 때문에, 케이블의 각 측에 있는 BPF는 도시되지 않는다. 23.8 내지 30.3 GHz의 5G 주파수 범위를 갖는 DPLL(22818)은 또한 믹서(22812)에 연결된다. 일부 양태에 따르면, DPLL(22818)은 또한 믹서(22812A)에 연결된다. 제 2 신디사이저(22818A)(저전력 디지털 위상 고정 루프(low power digital phase locked loop)(LPDPLL)라고 지칭함)는 13.2GHz의 고정 주파수 신호를 생성한다. LPDPLL(22818A)은 믹서(22812A) 및 증폭기(22824)에 연결된다. 일부 양태에 따르면, 증폭기(22824)의 출력 신호는 13.2 GHz 주파수의 신호이고, LOIC 신호로서 케이블(22802)을 통해 RFIC(22803)로 전송된다. DAC(22811)는 믹서(22813)에 연결되고, 믹서(22813)는 믹서(22812A)에도 연결된다. 믹서(22813)의 출력은 증폭기(22815)에 연결된다. 일부 양태에 따르면, 증폭기(22815)의 출력은 아래에서 논의되는 바와 같이 37-43.5 GHz 주파수 대역에서 수직으로 편파된 신호이고, 케이블(22802)을 통해 RFIC(22803)로 전송된다. 일부 양태에 따라, RFIC(22803)는 도 222, 도 223 및 도 226의 RFIC(22203, 22303 및 22603)와 본질적으로 동일한 구성이고 본질적으로 동일한 기능을 제공한다. BBIC(22801)는 또한 WiGig 회로(22831, 22833, 22834 및 22835) 및 이와 연관된 RFIC(22803) 내의 회로를 포함한다. 5G 57-72 GHz 주파수 대역에서 증폭기(22835)의 출력 신호는 도 222, 도 223 및 도 226에서와 동일한 방식으로 케이블(22802)을 통해 전송된다. 이 회로의 동작은 위에서 논의한 바와 같으므로, 여기서는 설명되지 않는다.
동작시에, 일부 양태에 따르면, DAC(22810)로부터의 수평 편파된 기저대역 신호는 믹서(22812)에서 DPLL(22818)로부터의 5G 23.8 내지 30.3 GHz 대역의 신호와 혼합된다. 믹서(22812)의 출력은 23.8 내지 30.3 GHz 주파수 대역에서 수평으로 편파된 5G 신호이며, 일부 양태에 따라, 이후 이 출력은 케이블(22802)을 넘어 증폭기(22816)를 통해 RFIC(22803)로 전송된다. LPDPLL(22818A)의 출력은 일부 양태에 따라, 13.2 GHz의 LO 신호로서 케이블(22802)을 통해 RFIC(22803)에 전송된다. DPLL(22818) 및 LPDPLL(22818A)의 출력은 믹서(22812A)에서 혼합되어 5G 37-43.5GHz 주파수 대역의 5G 신호를 제공하며, 이 신호는 믹서(22813)에 연결된다. DAC(22811)의 기저대역 출력과 믹서(22812A)의 출력은 일부 양태에 따라, 믹서(22813)에서 혼합되어 5G 37-43.5GHz 주파수 대역에서 DAC(22811)로부터의 수직 편파된 5G 신호를 제공하며, 이 신호는 케이블(22802)을 통해 RFIC로 전송된다. 케이블(22802)을 통해 전송되는 신호에 관련한 RFIC(903)의 동작은 도 222, 도 223 및 도 226에 대해 설명된 것과 본질적으로 동일하며, 여기에서는 설명되지 않는다.
예를 들어, LO 주파수로서 13.2 GHz를 사용하는 도 228에 도시된 고정 LO 방식은 또한 MIMO 위상 잡음 주파수 잡음 일관성을 가능하게 하며, 정확한 제어 타이밍을 위해서도 사용될 수 있는 RFIC에서의 고정 LO 기준을 갖는 장점이 있다. 이 방식은 고정 LO를 생성하기 위해 도 228의 신시사이저(22818A)를 추가로 필요로 한다. 다시 말해서, 도 222, 도 223 및 도 226에서와 같은 두 개의 신시사이저 대신에, 도 228의 이러한 양태에서는 세 개의 신시사이저가 존재할 것이다. 그러나, 이러한 부가적인 신시사이저(22818A)는 상향 변환 및 하향 변환 둘 모두에 사용되기 때문에, 유도된 위상 잡음은 상쇄되고 그래서 본 명세서에서 사용된 다른 신시사이저와 비교할 때, 적어도 10의 승수만큼 낮은 전력을 소비할 수 있다.
도 229는 일부 양태에 따라, 고정 LO를 갖는 제 1 변환과, 뒤를 이어 가변 LO를 갖는 제 2 변환을 구비한 무선 시스템의 이중 변환을 도시한다. 본 명세서에서 설명된 직접 변환은 기저대역에서부터 RF로 직접 진행하는 경우 장점이 있지만, 동위상 및 직교(IQ) 교정 문제가 발생할 수 있다. 일부 양태에서, 이러한 문제를 해결하고 IQ 교정을 단순화하기 위해 이중 변환이 사용될 수 있다. 이중 변환은 궁극적으로 원하는 주파수보다 낮은 주파수로의 제 1 변환 및 궁극적으로 원하는 주파수로의 제 2 변환을 사용하여 구현될 수 있다. 도 229는 이중 변환이 사용된다는 것을 제외하고는 도 222 및 도 223과 관련하여 설명된 바와 같이 동작한다. 도 229에서, DAC(22910)는 수평 편파된 기저대역 신호를 출력하고, 제 2 혼합기(22944)에 연결된 제 1 혼합기(22912)에 연결된다. 제 1 DPLL(22934)은 일부 양태에 따라, 48 GHz에서 고정 5G 신호를 생성하며, 이 신호는 8 GHz 신호를 달성하기 위해 1/6이 곱해지고, 이 신호는 믹서(22912)에 연결된다. 제 2 DPLL(22942)은 제 2 믹서(22944)로 전송되는 13-21 ㎓ 대역의 5G 신호를 생성한다. 믹서(22912)에서의 제 1 변환시, DAC(22910)로부터의 수평 편파된 신호는 고정 8 GHz 주파수의 수평 편파된 신호로 상향 변환된다. 이 시점에서, 시스템은 도 224b의 스위치(22451, 22453, 및 22455)에 관하여 위에서 논의한 바와 같이 루프백 모드에 놓일 수 있고, 교정 문제는 이러한 궁극적으로 원하는 주파수보다 낮은 고정 주파수(여기서는 8 GHz)로 제 1 변환된 이후에 해결될 수 있다. 이러한 이중 변환은 제 1 변환이 상대적으로 낮은 주파수 범위의 고정 주파수로 변환되면 IQ 불평형이 보다 쉽게 해결할 수 있다는 이점을 제공한다.
제 2 믹서(22944)에서의 제 2 변환은 수평 편파된 8 GHz 신호를 원하는 22-29.5 GHz 주파수 범위의 수평 편파된 5G 신호로 상향 변환한다. 원하는 22-29.5 GHz 주파수 범위의 이러한 수평 편파된 신호는 일부 양태에 따라, 케이블(22902)을 통해 전송되는 증폭기(22914)의 출력이다. 일부 양태에 따라, DAC(22911)로부터 수직 편파된 신호는, 더 낮은 주파수가 24 GHz이고 이에 따라 믹서(22946)에서의 제 2 변환이 수직 편파된 신호를 원하는 37-48 GHz 주파수 범위로 상향 변환한다는 점을 제외하고는, 마찬가지로 DAC(22910)로부터의 수평 편파된 신호에 대하여 이중 변환하도록 동작하는 이중 변환으로 변환되며, 이 신호는 증폭기(22915)를 통해 케이블(22902)을 거쳐 전송된다. 일부 양태에 따라, DPLL(22918)의 48 GHz 출력 신호는 1/3로 곱해져 16 GHz의 5G LO 신호가 되고, 이 신호는 증폭기(22924)의 출력으로서 케이블(22902)을 통해 전송된다. RFIC(22903)는 도 222, 도 223 및 도 226의 제 2 RFIC와 동일한 방식으로 기능하며 여기에서는 설명되지 않는다.
도 230은 일부 양태에 따른 DTC 구조(23000)를 도시한다. DTC 구조(23000)는 통신 디바이스의 DTx 송신기에 제공될 수 있다. DTC 구조(23000)는 도 3c에 도시된 송신 회로(315)의 디지털 송신 회로(365)에 통합될 수 있지만, 구조(23000)는 이것으로 제한되지 않는다. 도 230은 단순화된 아키텍처를 도시하며; DTC 구조(23000)는 다른 양태에 도시된 것보다 많은 요소를 포함할 수 있다. 도 230에 도시된 DTC 구조(23000)는 아래에서 보다 상세히 논의되는 바와 같이, mmWave 주입-고정 발진기(injection-locked oscillator)(23024)에서 저조파 주입 고정(sub-harmonic injection locking)과 결합된 시간-인터리브(time-interleaved) DTC(23010)를 제공할 수 있다. DTC 기반 위상 변조기 및 위상 변위기에서 시간 인터리빙된(time-interleaved) DTC(23010) 및 mmWave 주입 고정 발진기(23024)를 조합하여 사용하면 차세대 시스템에서 사용되는 대역폭을 통해 진폭 및 위상 변조 신호를 생성할 수 있다.
DTC 구조(23000)는 목표 mmWave 주파수보다 낮은 RF 주파수에서 동작할 수 있다. DTC(23010)에 필요한 클록(23002)은 기준 신호(REF)로부터 도출될 수 있다. 특히, 기준 신호는 위상 고정 루프(phase lock loop)(PLL) 또는 체배 지연 고정 루프(multiplying delay-locked loop)(MDLL)(23004)를 통해 클록(23002)에 공급될 수 있다. PLL/MDLL(23004)의 출력은 디지털 제어 발진기(DCO)(23006)에 공급될 수 있다. DCO(23006)의 출력은 채널 대역폭, 예를 들어, 1700 MHz에서부터 원하는 mmWave 주파수에 이르기 까지를 범위로 한다. DCO(23006)의 출력은 PLL/MDLL(23004)에 피드백으로서 공급될 뿐만 아니라 시간 인터리빙된 DTC(23010)의 개개의 DTC(23012) 각각으로의 입력으로서 공급될 수 있다. 일부 양태에서, 기준 발진 신호를 수신하는 수단 및/또는 기준 발진 신호의 주파수를 저주파 신호로 감소시키는 수단은 DCO(23006)에 의해 구현될 수 있다.
시간 인터리빙된 DTC(23010)는 개개의 DTC(23012) 및 논리 결합기(23014)를 포함할 수 있다. 논리 결합기(23014)는 일부 양태에서 OR 게이트 또는 배타적 OR(xOR) 게이트 일 수 있다. 일부 양태에서, 단일 논리 결합기(23014)가 사용될 수 있다. 논리 결합기(23014)와 관련하여 다수의 개개의 DTC(23012)를 사용하는 것은 개개의 DTC(23012) 단독보다 더 높은 동작 속도를 가능하게 할 수 있다. 시간 인터리빙된 DTC(23010)는 최대 약 6 GHz의 주파수에서 동작하도록 제한될 수 있지만, 일부 양태에서는 더 높은 주파수가 얻어질 수 있다. 일부 양태에서, 입력 신호에 따라, 저주파 신호의 위상을 변조하여 저주파 신호의 주파수보다 높은 주파수에서 위상 변조된 신호를 생성하기 위한 수단 및/또는 DTC로부터의 위상 변조된 신호를 발진기 회로에 전송하기 위한 수단은 시간 인터리빙된 DTC(23010)에 의해 구현될 수 있다.
QPSK 또는 그 이상의 변조의 경우, I/Q 데이터는 직교-극 변환기(rectangular to polar converter)(23028)에 공급될 수 있다. 일부 양태에서, 직교 입력 신호를 극 출력 신호로 변환하기 위한 수단은 직교-극 변환기(23028)에 의해 구현될 수 있다. 직교-극 변환기(23028)는 복소수를 극 형태로 변환할 수 있다. 결과는 아날로그 위상 변조 신호일 수 있다. 위상 변조된 신호는 결합기(23030)에서 미리 결정된 위상 변위(Q)와 결합되어 위상 변조된 신호를 미리 결정된 양만큼 전진 또는 지연시킬 수 있다. 결합기(23030)로부터의 출력은 직병렬 변환기(23026)에 공급될 수 있다. 직병렬 변환기(23026)는 결합기 출력을 디지털 워드로 변환 할 수 있고 디지털 워드의 다수개의 사본을 시간 인터리빙된 DTC(23010)의 개개 DTC(23012) 모두에 동시에 제공할 수 있다. 디지털 워드는 개개 DTC(23012) 중 어느 것이 특정 시간에 펄스를 제공하는지에 관한 타이밍을 표시할 수 있다. 각각의 개개 DTC(23012)는 RF-DCO(23006)보다 낮은 주파수에서 펄스를 제공할 수 있고, 그 위상이 다르므로 개개 DTC(23012)는 상이한 시간에 활성화 및 비활성화된다.
위의 예시적인 양태에서와 같이, 개개의 DTC(23012)는 논리 결합기(23014)에서 결합되어 개개 DTC(23012) 단독의 주파수보다 n 배보다 높은 주파수일 수 있는 중간 주파수 신호를 제공할 수 있으며, 여기서 n은 시간 인터리빙된 DTC(23010) 내의 개개 DTC(23012)의 개수이다. 개개 DTC(23012)는 디지털 워드에 기초하여 원하는 위상 변조를 적용할 수 있다. 따라서, 시간 인터리빙된 DTC(23010)는 또한 일부 양태에서 시간 인터리빙된 DTC(23010)의 복수의 개개 DTC(23012)에 디지털 워드 - 디지털 워드는 극 출력 신호에 종속함 - 를 제공하기 위한 수단, 디지털 워드에 기초하여 개개의 DTC(23012)를 트리거하기 위한 수단, 극 출력 신호에 기초하여 디지털 워드의 병렬 사본을 생성하여 개개의 DTC(23012)에 전달하기 위한 수단, 개개의 DTC(23012)로부터의 출력을 논리적으로 결합하여 위상 변조된 신호를 생성하기 위한 수단, 위상 변조를 도입하기 위해 매 기간마다 기준 발진기 신호의 에지를 동적으로 지연하여 위상 변조된 신호를 생성하기 위한 수단 또는 더 낮은 주파수 신호에 기초하여 에지 보간을 사용하여 자기 정렬 위상 신호를 생성하기 위한 수단 중 하나 이상을 구현할 수 있다.
시간 인터리빙된 DTC(23010)의 출력은 출력 클록 회로(23020)에 제공될 수 있다. 출력 클록 회로(23020)는 펄스 성형기(23022) 및 mmWave 주입 고정 발진기(23024)를 포함할 수 있다. DTC(23010)의 출력은 펄스 성형기(23022)에서 조절되어 DTC(23010)의 출력의 상대 고조파 내용(relative harmonic content)을 증폭할 수 있다(즉, m x fDTC). 고조파 내용은 목표 mmWave 주파수일 수 있다. 펄스 성형기(23022)로부터의 조절된 DTC 출력은 주입 고정 발진기(23024)에 주입될 수 있고, 주입 고정 발진기(23024)는 고조파 내용에 고정하여 목표 mmWave 주파수의 출력을 생성할 수 있다. 일부 양태에서, 아래의 도 233에 도시된 바와 같이, 펄스 성형기(23022)는 주입 고정 발진기(23024)에 통합될 수 있다. 일부 양태에서, 위상 변조된 신호에 기초하여 발진기 회로에서 mmWave 주파수에서의 위상 변조된 신호를 생성하기 위한 수단은 출력 클록 회로(23020)에 의해 구현될 수 있다. 출력 클록 회로(23020)는 또한 일부 양태에서 위상 변조된 신호의 고조파를 증폭하기 위한 수단 및 발진기 회로의 발진기 신호를 고조파에 고정하여 출력 발진기 신호를 생성하기 위한 수단 또는 직렬 연결된 트랜지스터를 통해, 전류를 탱크 회로로 주입하여 탱크 회로가 mmWave 주파수에서 공진하도록 유도하기 위한 수단 중 하나 이상을 구현할 수 있다.
도 231은 일부 양태에 따른 개방 루프 교정된(open loop calibrated) DTC 아키텍처(23100)를 도시한다. DTC 아키텍처(23100)는 도 230에 도시된 것과 동일한 DTC 아키텍처일 수 있다. DTC 아키텍처(23100)는 PLL/MPLL(23104) 및 위상 주입(phase injection)(PI) 회로(23130)를 포함할 수 있다. 전압 제어 발진기(VCO)(23102)는 PLL/MPLL(2314)에 공급되는 출력을 제공할 수 있다. VCO(23102) 및 PLL/MPLL(23104)은 PLL/MPLL(23104) 내의 회로를 보다 상세하게 도시하는 것을 제외하고는, 도 230에 도시된 것과 동일할 수 있다.
PLL/MPLL(23104)은 다중 모듈러스 분배기(multi-modulus divider)(MMD)(23106) 및 한 쌍의 플립 플롭(23108)을 포함할 수 있다. MMD(23106)는 VCO(23102)로부터의 신호의 주파수를 DTC에 의해 관리 가능한 주파수로 감소시킬 수 있다(그리고 전력 소모를 더 적게 한다). MMD(23106)의 출력은 플립 플롭(23108) 각각의 입력에 공급될 수 있다. VCO(23102)로부터의 클록 신호의 포지티브 에지 및 네거티브 에지는 포지티브 에지 플립 플롭 및 네거티브 에지 플립 플롭으로도 지칭되는 상이한 플립 플롭(23108)을 트리거하는데 사용될 수 있다. 포지티브 및 네거티브 에지 플립 플롭(23108)으로부터의 출력은 PI 회로(23130)에 공급될 수 있다.
포지티브 및 네거티브 에지 플립 플롭(23108)으로부터의 출력은 PI 회로(23130) 내의 인버터(버퍼)(23110)의 세트에 공급될 수 있다. 인버터 세트(23110)는 예를 들어 두 쌍의 인버터를 포함할 수 있다. 인버터 세트(23108)는 예를 들어 두 쌍의 인버터를 포함할 수 있다. 플립 플롭(23108)으로부터의 출력은 인버터(23110)의 각 쌍으로의 입력으로서 제공될 수 있다. 인버터(23110)의 제 1 쌍으로부터의 출력은 멀티플렉서(MUX)(23112)에 제공될 수 있고, 인버터(23110)의 제 2 쌍으로부터의 출력은 코스 에지 인터폴레이터(Course Edge Interpolator)(CEI)(23114)에 제공될 수 있다.
MUX(23112) 및 CEI(23114)로부터의 출력은 프로그램 가능한 디지털 제어 코스 에지 인터폴레이터(PG-DCEI)(23120)에 공급될 수 있다. MUX(23112) 및 CEI(23114)는 인버터(23110)로부터의 신호 중 하나를 선택하기 위해 사용될 수 있다. PG-DCEI(23120)는 MUX(23112) 및 CEI(23114)로부터 신호를 수신하는 한 쌍의 인버터(23122)를 포함할 수 있다. 입구측 인버터 출력은 복수의 셀(23124)에 공급될 수 있고, 각각의 셀은 MOSFET 체인을 포함하며, 그 각각의 출력은 접지와 공급 전압 사이에서 스윙한다. 일부 양태에서, 셀의 개수(23124)는 2N일 수 있으며, 여기서 N은 양의 정수이다. 각각의 셀(23124)의 출력은 DTC의 출력으로서 논리 결합기에 공급되기 전에 출구측 인버터(23126)에 제공될 수 있다.
도 232a는 일부 양태에 따른 클록 주파수를 증가시키는 DTC의 시간 인터리빙을 도시하고; 도 232b는 일부 양태에 따른 도 232a의 클록 신호를 도시한다. 도 232a에 도시된 아키텍처(23200)는 도 230에 도시된 DTC의 버전일 수 있다. 아키텍처(23200)는 예를 들어 한 쌍의 DTC를 포함할 수 있으며, 각각의 DTC는 아날로그 부분(23210) 및 디지털 부분(23220)을 포함할 수 있다. 이것은 편의상 도 230 및 도 231에 도시된 아키텍처의 단순화로 간주될 수 있다. 실제로, 아날로그 부분(23210) 및 디지털 부분(23220)의 수는 두 개를 초과할 수 있다. 기준 발진기 신호는 아날로그 부분(23210)에 공급될 수 있고, 위에서와 같이 MMD(23212)뿐만 아니라 PI(23214)를 포함할 수 있다. MMD(23212) 출력은 클록 신호로서 디지털 부분(23220)에 공급될 수 있으며, 그 출력은 차례로 MMD(23212)에 피드백되어 PI(23214)에 제공될 수 있다. DTC 아날로그 부분(23210)으로부터의 출력 신호(f0)는 DTC 주파수를 2f0으로 두배로 만드는데 사용될 수 있는 배타적 OR(xOR)(23222)에 공급될 수 있다.
도 232b에 도시된 바와 같이, 다수의 단계가 DCO 클록 신호를 생성하는데 사용된다. 기준 클록 신호에 기초하여, 시간 인터리빙된 DTC 내의 각각의 DTC는 VCO로부터의 DCO 클록 신호의 주파수보다 적은 주파수를 갖는 순방향 및 역방향 클록 신호를 제공할 수 있다. 도 232b에 도시된 DTC의 수는 두 개(N = 2)이지만, 이 개수는 위에서 같이 바뀔 수 있다. 도시된 바와 같이, 제 1 DTC의 역방향 및 순방향 클록 신호는 각각 사이클의 0 및 1/4만큼 오프셋되고, 제 2 DTC의 역방향 및 순방향 클록 신호는 각각 사이클의 1/2 및 3/4만큼 오프셋된다. 각각의 DTC의 역방향 및 순방향 클록 신호는 개별적으로 xOR될 수 있으며, 이것은 기준 클록 신호의 주파수를 두 배로 한 xOR된 클록 신호를 생성한다. 그 다음 상이한 DTC로부터의 xOR된 클록 신호는 또한 xOR되어, 원하는 mmWave 주파수에서의 DCO 클록 신호를 생성한다. DTC(23010)에 의해 생성되고 발진기(23024)에 주입된 신호는 RF-DCO(23006)의 주파수의 신호일 수 있거나, 양태에 따라 달라질 수 있다.
도 233은 일부 양태에 따른 펄스 형상을 갖는 직렬 주입 고정 발진기(eries injection locking oscillator)(23300)를 도시한다. 도 233의 양태에 도시된 바와 같이, 펄스 성형기(23022) 및 주입 고정 발진기(23024)는 별개의 회로 또는 칩에 제공되는 대신, 주입 고정 발진기(23300)로서 일체로 형성될 수 있다. 그러나, 다른 양태에서, 두 개의 회로 - 원하는 고조파를 증폭하는 제 1 회로와 고조파에 고정시키고 출력 신호를 생성하는 제 2 회로 - 는 상이한 회로에 제공될 수 있다.
주입 고정 발진기(23300)는 탱크 회로(23302)뿐만 아니라 주입 잠금 회로(23320)를 포함할 수 있다. 주입 고정 회로(23300)는 탱크 회로(23302)에 교란(perturbation)을 주입하기 위해 병렬 디바이스(MOSFET)(23306)를 교차 결합 쌍(23310)에 부가하는 것을 필요로 할 수 있다. 교란은 RC 션트(23304)를 통해 병렬 회로의 입력에 도입된다.
위상 잡음을 개선하기 위해, 발진기(23300)는 자동 뱅크 선택(automatic bank selection)(ABS) 프로세스와 같은, 프로세스를 통해 주입된 신호의 거의 정확한 고조파가 되도록 조정될 수 있다. 병렬 주입으로, 탱크 전류(23302)는 자유 발진 발진기(free-running oscillator) 전류와 주입된 신호가 중첩된 신호를 제공할 수 있다. 이것은 탱크 전압과 전류가 주입된 신호에 비해 위상 변이를 거치게 한다. 탱크 회로(23302) 내로 주입된 신호의 강도를 증가시키기 위해, RC 션트(23304)는 사이즈가 정해질 수 있다. 이것은 주입 강도, 위상 잡음과 발진기 고유 진동수의 튜닝 사이의 상쇄 관계를 생성할 수 있다.
단일 주입 디바이스를 사용하는 대신에, 도시된 바와 같이 직렬 주입 고정 회로(23320)가 사용될 수 있다. 일부 양태에서, 직렬 주입 고정 회로(23320)는 포지티브 및 네거티브 에지 입력 둘 모두에서 제공될 수 있다는 것을 알아야 한다. 직렬 주입 고정 회로(23320)는 상이한 신호가 주입되는 다수의 디바이스(23306)를 포함할 수 있다. 구체적으로, 주입된 신호는 서로 다른 위상을 갖는 개개의 신호를 포함할 수 있고, 그럼으로써 개개의 신호의 펄스의 길이와 비교하여 개개의 신호는 둘 모두 비교적 짧은 기간에 걸쳐 오직 동일한 값(도시된 바와 같이 양의 값)만 된다. 탱크 회로(23302)는 주입된 신호(전류)와 동위상이되도록 강제되거나 조정될 수 있어, 위에서 시사한 상쇄 관계를을 개선한다. 또한, 이것은 전류 소비를 증가시키지 않으면서 또는 발진기의 부하 Q를 악화시키지 않으면서 주입 강도의 증가를 제공할 수 있다.
위상 변조된 국부 발진기(LO)는 포화된 전력 증폭기를 구동하여 극변조 송신기(polar transmitter)를 제공할 수 있다. In some aspects, a class C/D D-1 E/F/F-l power amplifier may be used rather than a class A or A/B amplifier, thereby reducing the amplifier power consumption. 진폭 변조는 가중된 전류, 캐패시턴스 또는 공급 조정과 같은 다양한 수단을 통해 전력 증폭기에 도입될 수 있다.
도 234은 일부 양태에 따른 mmWave 주파수 신호를 제공하는 방법을 도시한다. 방법은 도 230 내지 도 233에 도시된 구조에 의해 수행될 수 있다. (23402) 단계에서, 기준 발진기는 RF 발진 신호를 생성할 수 있다. RF 발진 신호는 DTC 동작에 비효율성을 증가시키는 주파수 범위, 예를 들어, 약 6 GHz보다 높은 주파수 범위에서 발생될 수 있다. 이러한 RF 발진 신호는 mmWave 주파수에서 위상 변조된 출력 신호를 생성하는데 사용될 수 있다.
동작(23404)에서, 수신된 RF 발진 신호는 더 낮은 주파수 신호로 감소될 수 있다. 더 낮은 주파수 신호는 다중 모듈러스 서브시스템에 의해 감소될 수 있고, 따라서 정수로 분주된 RF 발진 신호일 수 있다. 감소된 주파수 신호는 실질적으로 약 6Hz 미만, 예를 들어 수백 MHz 내지 몇 GHz일 수 있다.
동작(23406)에서, 직교(I/Q) 입력 신호가 수신될 수 있다. 직교 입력 신호는 극 신호(진폭/위상)로 변환될 수 있다. 극 신호는 또한 디지털 워드로 변환되어 DTC에 공급될 수 있다. DTC는 다수의 개개의 DTC를 포함할 수 있고, 개개 DTC의 출력은 논리 OR 또는 xOR 게이트를 사용하여 결합된다. 디지털 워드는 개개 DTC에 동시에 제공될 수 있다.
동작(23408)에서, 더 낮은 주파수 신호의 위상은 DTC에서 변조될 수 있다. 위상 변조는 변환된 입력 신호에 의해 제어될 수 있다. 개개의 DTC로부터의 출력은 결합되어 더 낮은 주파수 신호보다 높은 주파수에서의 위상 변조 신호를 생성할 수 있다. 일부 양태에서, 더 높은 주파수는 RF 발진 신호의 주파수보다 높다.
위상 변조된 신호는 DTC로부터 발진기 회로로 전송될 수 있다. 동작(23410)에서, 발진기 회로는 mmWave 주파수에서 위상 변조된 신호를 생성할 수 있다. 발진기 회로는 mmWave 주파수에서의 입력 위상 변조된 신호의 고조파를 증폭하고 전류를 고조파에서 탱크 회로에 주입하여 탱크 회로가 mmWave 주파수에서 공진하도록 유도할 수 있다. 전류 주입은 발진기 회로의 출력 신호를 고조파에 고정시켜 mmWave 주파수에서 출력 발진기 신호를 생성할 수 있다. 전류 주입은 직렬 연결된 트랜지스터를 통해 이루어질 수 있다.
통신 중에, 통신 디바이스는 또한 송신기 및 수신기 체인에서 아날로그 신호와 디지털 신호 사이의 신호를 변환할 수 있다. 일부 통신 디바이스에서, 송신기 및 수신기 체인은 필터 및 증폭기를 포함할 수 있다. 이러한 회로 및 백플레인 문제는 출력 신호의 생성시에 비균질성을 유발할 수 있으며 비이상적인 출력 신호가 생성되게 유발할 수 있다. 통신 디바이스 설계자는 신호 이상(ideality)으로부터의 편차의 원인을 지속적으로 밝혀내고 하나 또는 둘 모두의 하드웨어 또는 소프트웨어 솔루션을 사용하는 채널 등화를 통해 편차를 수정한다.
채널 등화는 결정 피드백 등화기(decision feedback equalizer)(DFE)를 이용하는 피드백 등화 및/또는 수신기의 피드포워드 등화기(FFE)를 통한 피드포워드 등화를 통해 수행될 수 있다. 일반적으로 송신기 FFE와 달리 아날로그 영역에서만 구현될 수 있는 수신기 FFE 설계는 일부 요구 사항에 대해 충분하지 않을 수 있다. 아날로그 구현은 증가된 데이터 레이트, 탭 수, 에너지 효율뿐만 아니라 이용 가능한 제한된 회로 면적에 대한 요구로 인해 설계하고 구현하기가 어려울 수 있다. 다중 기가비트(mmWave) 통신의 출현 및 이에 수반된 각종 구성요소의 고속 성능뿐만 아니라 다중 경로 영향으로 인해, 송신기로부터 송신되고 수신기에 의해 수신되는 심볼은 상당한 양의 심볼 간 간섭(inter-symbol interference)(ISI)을 겪을 수 있다. 주어진 심볼 이전에 나타나는 에너지는 프리 심볼(pre-symbol) ISI 또는 프리커서(pre-cusor)이며, 심볼 다음에 나타나는 에너지는 포스트 심볼(post-symbol) ISI 또는 포스트 커서(post-cursor)이며, 둘 다 mmWave 대역의 사용으로 증가할 수 있다. 고속 mmWave 통신의 한 가지 고려 사항은 더 낮은 주파수 및 속도 통신과 달리, LOS 채널에 대한 포스트 및 프리커서 확산에서 상당한 차이가 존재할 수 있다는 것이고, 이것은 LOS 채널 및 최대 약 12 ns의 더 넓은 ISI 확산을 가질 수 있는 포스트 커서 ISI 확산(1-4ns)을 조절하기 위해 낮게 할 수 있다.
멀티 탭 유한 임펄스 응답(finite impulse response)(FIR) 필터는 프리커서 영향을 보정하기 위해 사용될 수 있다. 고속 멀티 Gb/s 데이터 통신을 사용하면 단일 UI에서 아날로그 신호의 지연, 곱셈 및 가산을 포함할 수 있는 수반된 기능성으로 인해 구현의 어려움이 증가할 수 있다. 일부 mmWave 무선 채널에는 긴 프리커서 테일(tail)이 있다. 예를 들어, 5GS/s의 mmWave 채널의 경우, 프리커서 테일은 길이가 약 10 ns(50UI)일 수 있다. 이러한 확장 테일 및 고속 데이터 레이트를 보정하기 위해, FFE에는 많은 수의 탭(예를 들어, 50)이 사용될 수 있다. 많은 수의 탭을 사용하는 FFE 구현은 그에 상응하여 많은 양의 회로 면적을 사용할 수 있고 더 많은 전력을 사용할 수 있다. FFE에서의 전력 소비는 탭의 수에 따라 기하급수적으로 증가할 수 있으며 점유 면적은 스위칭 매트릭스 설계 시 탭 수의 제곱에 비례한다. 이것은 QPSK(직교 위상 변위 변조) 변조 또는 고차 변조가 사용될 때 더 관련이 있을 수 있다.
이중 편파 무선 수신기에서, FFE 설계는 크로스토크 제거에 관련한 복잡성을 증가시킬 수 있다. 특히, I/Q 신호가 사용되는 통신 디바이스에서, QPSK, 16QAM 등과 같은 I/Q 기반 코히어런트 변조는 I 스트림과 Q 스트림 사이에서 크로스토크 ISI를 보일 수 있다. 이중 편파 송수신기에서, 수직 편파된(V) 스트림 및 수평 편파된(H) 스트림은 직접 ISI 및 크로스토크 ISI를 겪을 수 있다. 본 명세서에 설명된 양태는 VI 대VI ISI, VI 대VQ, VI 대 HI, VI 대 HQ, VQ 대 VI, VQ 대 VQ, VQ 대 HI, VQ 대HQ, HI 대 VI, HI 대 VQ, HI 대 HI, HI 대 HQ, HQ 대 VI, HQ 대VQ, HQ 대 HI 및 HQ 대 HQ 중 하나 이상을 포함하는 다수의 상이한 유형의 ISI를 독립적으로 제거할 수 있다.
도 235은 일부 양태에 따른 수신기를 도시한다. 도 235는 수신기(23500)의 기본 구성요소를 도시한다. 필터 및 (수신된 신호를 기저대역으로 하향 혼합하는) 믹서와 같은 다른 회로가 존재할 수 있지만, 간략화를 위해 도시되지 않는다. 수신기(23500)는 eNB, AP 또는 UE와 같은 통신 디바이스에 포함될 수 있고, 안테나(23502), FFE(23504), DFE(23506), 제어기(23510) 및 기저대역 프로세서(23512)를 포함할 수 있다. FFE(23504)는 아래에서 상세히 논의되는 바와 같이, 캐스케이드 FFE일 수 있다. 안테나(23502)는 동일하거나 상이한 무선 액세스 기술을 통해 그리고 24 GPP 또는 IEEE 802.11과 같은 하나 이상의 다른 표준을 사용하여 하나 이상의 송신기로부터 신호를 수신하도록 구성될 수 있다. 신호는 eNB, AP 또는 다른 UE와 같은 하나 이상의 통신 디바이스에 의해 제공될 수 있다. 안테나(23502)는 송신기로부터 빔포밍된 신호를 수신할 수 있다. 일부 양태에서, 빔포밍된 신호는 V 및 H 편파 신호를 포함하는 이중 편파 신호일 수 있다. 다른 양태에서, 캐스케이드 FFE 배열은 이중 편파 송수신기로 제한되지 않을 수 있다.
수신된 신호는 FFE(23504)에 제공될 수 있으며, FFE(23504)는 신호의 프리커서 테일을 보상하는데 사용될 수 있다. 프리커서 보상된 신호는 DFE(23506)에 공급될 수 있으며, DFE(23506)는 또한 포스트 커서 테일을 보상할 수 있다. 프리커서 및 포스트 커서 보상된 신호는 기저대역 프로세서(23512)에 공급될 수 있다. FFE 계수, DFE 계수, 비교기 문턱 값, 클록 타이밍, 및 FFE(23504), DFE(23506) 중 하나 이상의 출력 타이밍과 같은 다른 회로 설정은 제어기(23510)에 의해 제어될 수 있다. 기저대역 프로세서(23512)는 일부 양태에서 제어기(23510)로서 작용할 수 있다.
도 236은 일부 양태에 따라 FFE의 기본 구현예를 도시한다. 도 236에 도시된 FFE(23600)는 수신기에 제공될 수 있고 (트랙 및 홀드 회로(rack-and-hold circuit))와 같은) 복수의 아날로그 도메인 지연 회로(지연)(23602), 복수의 곱셈±체배기(23604) 및 결합기(23606)를 포함할 수 있다. FFE(23600)의 입력 및 출력은 아날로그일 수 있다. 각각의 지연 회로(23602)는 스위치(23612)와 마지막 스위치(23612)로부터의 출력을 버퍼링하는 버퍼(23616) 사이에 배치된 접지 쪽의 캐패시터(23614)를 갖는 직렬의 스위치(23612)와 같은 아날로그 회로 구성요소로 형성될 수 있다.
지연(23602)은 직렬로 배치될 수 있다. 아날로그 전압은 각각의 지연(23602)에 공급될 수 있다. 지연(23602)에 의해 제공되는 지연의 양은 미리 결정될 수 있고 단일의 단위 간격(unit interval)(UI)일 수 있다. 지연은 클록 주파수를 변경함으로써 조정 가능하지만, 다른 양태에서 UI 또는 심볼 레이트가 고정되어 있으면 지연은 변경될 수 없을 수도 있다. 트랙 및 홀드 회로의 지연(23602)은 캐패시턴스가 아닌 클록 주파수/기간에 의해 결정될 수 있다.
각각의 지연(23602)에 제공되는 전압은 또한 체배기(23604)에서 가중될 수 있다. 각각의 체배기(23604)는 그와 연관된 개개의 계수(또는 가중치)(c0, c1, ... cn)를 가질 수 있다. 체배기(23604)의 계수(c0, c1, ..., cn)는 동일할 수도 있고 또는 적어도 하나는 적어도 하나의 다른 가중치와 상이할 수도 있다. 계수는 1 또는 0을 비롯한 임의의 양수 또는 음수 값을 취할 수 있다. 계수는 채널에 의해 결정될 수 있으며, 예를 들어 LOS 및 LOS 채널마다 상이할 수 있다.
체배기(23604)로부터의 가중된 신호는 결합기(23606)에 공급될 수 있다. 결합기(23606)는 지연(23602) 전에 가중된 출력을 동일한 지연(23602) 이후에 가중된 출력과 결합할 수 있다. 결합기(23606)는 모든 지연(23602)으로부터의 출력이 FFE(23600)의 출력으로서 결합될 수 있도록 배치될 수 있다. 체배기(23604)로부터의 출력은 함께 동시에 결합될 수 있다. 이 경우, 입력 신호는 연속 아날로그 신호일 수 있는 반면, 출력 신호는 별개의 아날로그 신호일 수 있다.
전력 소모는 탭의 수 및 기생 캐패시턴스에 기초하여 증가할 수 있다. 이를 완화하기 위해, 도 237a 및 도 237b는 일부 양태에 따른 FFE(23700)를 도시한다. FFE(23710)는 도 235에 도시된 수신기에서 사용될 수 있다. FFE(23700)는 기저대역에서 동작할 수 있는 다수의 FFE 스테이지(23710)를 포함할 수 있다. 각각의 FFE 스테이지(23710)는 하나 이상의 지연(23704), 체배기(23702)뿐만 아니라 결합기(23706)를 포함할 수 있다. 일부 양태에서, 지연/FFE 스테이지(23710)의 수는 설계 최적화에 의해 제한될 수 있으며, 프로세스 기술에 따라 달라질 수 있다. FFE(23700)는 도 3e에 도시된 기저대역 프로세싱 회로(392)에 통합될 수 있지만, FFE(23700)는 그러한 통합으로 제한되지 않는다. 일부 양태에서, 복수의 직렬 연결된 FFE 스테이지에 복수 종류의 신호를 제공하기 위한 수단이 FFE(23700)에 의해 구현될 수 있다.
안테나(도 237a 및 도 237b에 도시되지 않음)로부터 각각의 FFE 스테이지(23710) 로의 신호는 편파된 신호 및 직교 신호로 분리될 수 있다. I/Q 기반 코히어런트 변조에서, 신호는 I 및 Q 성분을 둘 모두 가질 수 있다. 이중 편파 송수신기에서, 수직 편파된 신호 및 수평 편파된 신호가 제공될 수 있다. V-신호 및 H-신호 각각은 두 개의(I 및 Q) 데이터 스트림을 가질 수 있다. 따라서, 도 237a 및 도 237b에 도시된 바와 같이, 이중 편파 송수신기에서 총 네 개의 데이터 스트림(VI, VQ, HI, Hq)이 있을 수 있다. 두 종류의 ISI: 직접 ISI(예를 들어, VI 대 VI ISI) 및 크로스토크 ISI(예를 들어, VQ 대 VI, VQ 대 HI, VQ 대 HQ 등)는 제거될 수 있다.
따라서, 각각의 FFE 스테이지(23710)로 제공되는 개개의 신호는 수직 및 수평 편파된 신호 또는 I/Q 신호 중 하나 또는 둘 모두를 포함할 수 있다. 수직 및 수평 편파된 신호는 각각 수직 및 수평 편파된 신호 라인을 따라 수직 및 수평 편파된 신호 라인 상의 지연(23704)으로의 입력으로서 제공될 수 있고; 유사하게 I 및 Q 신호는 각각 I 및 Q 신호 라인을 따라 I 및 Q 신호 라인 상의 지연(23704)으로의 입력으로서 제공될 수 있다. 도시된 바와 같이, 개개의 신호는 데이터 스트림 사이에서 제거를 제공하기 위해 각각의 지연(23704) 전후의 탭에서 교차 결합될 수 있다. 개개의 스트림 각각은 FFE 스테이지(23710)로부터의 자신의 출력을 가질 수 있다. 따라서, 예를 들어, 각각의 지연(23704)의 전후에, I/Q 입력 신호 각각의 수직 및 수평이 가중 계수를 사용하여 가중된 다음에 결합될 수 있다. 도 237a 및 도 237b에 도시된 바와 같이, 수직 및 수평 편파된 입력 신호 및 I/Q 입력 신호가 둘 모두 제공되는 일부 양태에서, 각각의 신호는 각각의 지연(23704)의 전후에 서로 다른 신호와 결합될 수 있다.
두 개의 FFE 스테이지(23710)만이 도시되지만, 두 개를 초과하는 FFE 스테이지가 사용될 수 있다. 다수의 FFE 스테이지(23710)를 사용하면 각각의 FFE 스테이지(23710) 당 탭의 수를 줄일 수 있고, 이에 의해 전력 소비, 면적 및 복잡성을 줄일 수 있다. 따라서, 일부 양태에서, FFE(23700)는 또한 도시된 바와 같이 일련의 지연을 통해 입력(VI, VQ, HI 및 HQ) 신호를 지연시켜 지연된(VI, VQ, HI 및 HQ) 신호의 복수의 세트를 형성하기 위한 수단, 각각의 탭에서 각각의(VI, VQ, HI 및 HQ) 신호를 복수의 유형의 가중 계수 각각으로 가중하여 탭에서(VI, VQ, HI 및 HQ) 가중된 신호를 형성하기 위한 수단, 각 탭에서 VI 가중된 신호를 결합하여 VI 출력 신호를 형성하고, 각 탭에서 VQ 가중된 신호를 결합하여 VQ 출력 신호를 형성하고, 각 탭에서 HI 가중된 신호를 결합하여 HI 출력 신호를 형성하며 각 탭에서 HQ 가중된 신호를 결합하여 HQ 출력 신호를 형성하기 위한 수단, 각각의(VI, VQ, HI 및 HQ) 출력 신호 그중 하나를(VI, VQ, HI 및 HQ) 입력 신호로서 다른 FFE 스테이지에 제공하거나 또는 FFE의(VI, VQ, HI 및 HQ) 출력으로 제공하기 위한 수단, 각 탭에서(VI, VQ, HI 및 HQ) 가중된 신호를 사용하여 상이한 프리커서 ISI 유형을 제거하기 위한 수단, 연속 FFE 스테이지의 입력 신호에 대해 지연, 가중 및 결합을 반복하기 위한 수단, 초기 탭에서와 다른,(VI, VQ, HI 및 HQ) 신호 각각에 대한(VI, VQ, HI 및 HQ) 가중 계수를 미리 정의된 값으로 설정하기 위한 수단 및/또는 적응 프로세스 동안(VI, VQ, HI 및 HQ) 가중 계수를 업데이트하여 가중하는 동안(VI, VQ, HI 및 HQ) 가중 계수를 수렴하고 안정화시키기 위한 수단 중 하나 이상을 구현할 수 있다.
각각의 FFE 스테이지의 전력 소비는 탭의 수의 지수에 비례하고 면적은 탭의 수의 제곱에 비례한다. 감소된 전력 소비의 예를 제공하기 위해, 총 FFE 탭 수 = Ntap이면
Figure pct00034
도시된 바와 같이, 스테이지의 수가 증가함에 따라 전력 및 면적이 둘 모두 감소될 수 있지만, 주어진 총 FFE 탭의 수 때문에 탭/스테이지의 수가 감소한다. 일부 양태에서, 최소 수의 탭, 예컨대, 두 개가 각각의 FFE 스테이지에 제공될 수 있다. FFE 스테이지(23710)는 동일한 칩 또는 회로 상에 또는 상이한 칩 또는 회로 상에 배치될 수 있다. 일부 양태에서, 탭의 수는 각각의 FFE 스테이지(23710)에서 동일할 수 있다(균등하게 분배된다). 일부 양태에서, 탭의 수는 FFE 스테이지(23710) 중 적어도 하나에서 상이할 수 있다. 예를 들어, 탭의 수는 더 많은 수의 FFE 스테이지(23710)로부터 더 작은 수의 탭으로 점점 줄어들거나 더 작은 수로부터 더 큰 수로 점점 늘어나서 전체 성능을 개선할 수 있다.
더욱이, 하나 이상의 FFE 스테이지(23710)에서 탭은 개별적으로 활성화되거나 비활성화될 수 있거나, 하나를 초과하는 탭의 그룹으로 활성화되거나 비활성화될 수 있다. (예를 들어, 도 235에 도시된 제어기에 의해) 그룹으로 제어될 수 있다면, 탭은 일관된 분배를 갖도록 제어될 수 있다. 예를 들어, 모든 다른 탭이 활성화될 수도 있고, 또는 제 3 탭이 활성화될 수도 있다. 그러한 양태에서, 비활성화된 탭은 바이패스될 수 있다.
유사하게, FFE 스테이지(23710)는 제어기에 의해 개별적으로 활성화되거나 비활성화될 수 있다. 활성화 및 비활성화는 하나 이상의 인자에 따라 달라질 수 있다. 이러한 인자는 다른 인자 중에서도, 클록 속도, 변조 방식, 신호 유형(예를 들어, 사용된 표준, 신호 주파수) 및 채널 조건 및 탭 수를 포함할 수 있다. 그러한 양태에서, 비활성화된 FFE 스테이지(23710)는 활성 FFE 스테이지(23710)가 함께 연결되도록 (예를 들어, 스위치를 사용하여) 바이패스될 수 있다 예를 들어, 이것은 원하는 대로 전력 소비를 맞출 수 있다. 이는 예컨대, 전력 소비가 소망하는대로 맞추게 할 수 있다. 각 지연(23704)은 하나의 UI로 고정될 수 있다.
또한, 특정 FFE 스테이지(23710) 내의 각각의 체배기(23702)는 그와 연관된 개개의 가중치를 가질 수 있다. 특정 FFE 스테이지(23710) 내의 체배기(23702)의 가중치는 동일할 수도 있고 또는 적어도 하나는 적어도 하나의 다른 가중치와 상이할 수도 있다. 위와 같이, FFE 스테이지(23710) 내의 체배기(23702)의 상세 사항은 다른 FFE 스테이지(23710)의 것과 상이할 수 있다. 이것은 예를 들어, 하나의 FFE 스테이지(23710)의 체배기(23702)가 지연(23704) 사이 및/또는 상이한 개별 신호 사이에서 동일한 가중치를 가질 수 있지만, 어느 하나 또는 둘 다는 다른 FFE 스테이지(23710)에서 동일하지 않을 수도 있다는 것을 말한다.
일부 양태에서, 다른 인자 중에서도, 각 FFE 스테이지(23710)에서의 가중 계수는 채널 조건 및/또는 신호 유형에 적응해야 하기 때문에 동시에 업데이트될 수 있다. 일부 양태에서, 각각의 FFE 스테이지(23710)에서의 가중 계수는 하나 이상의 FFE 스테이지(23710)에서의 계수 곱셈으로 인한 적응이 하나 이상의 다른 FFE 스테이지(23710)에서의 계수 적응이 고정된 채로 유지되는 동안 특정 시간에 일어날 수 있도록 상이한 시간에 업데이트될 수 있으며, 하나 이상의 다른 FFE 스테이지(23710)에서의 가중 계수는 하나 이상의 FFE 스테이지(23710)에서의 가중 계수가 고정된 채로 유지되는 동안 상이한 시간에 수행될 수 있다.
도 238은 일부 양태에 따른 아날로그 신호 등화를 제공하는 방법을 도시한다. 방법은 도 23에 도시된 FFE에 의해 수행될 수 있다. 동작(23802)에서, 수직 및 수평 편파된 입력 신호는 다수의 FFE 스테이지를 포함하는 FFE의 초기 FFE 스테이지에 제공될 수 있다. FFE 스테이지는 직렬로 연결될 수 있으며 입력은 병렬로 입력될 수 있다. 일부 양태에서, I/Q 신호는 FFE 스테이지에 제공될 수 있다. 일부 양태에서, VI, VQ, HI, HQ 신호는 각각의 FFE 스테이지에 제공될 수 있다.
동작(23804)에서, 탭에서의 각종 신호가 가중될 수 있다. 수직 및 수평 편파된 신호는 각각 가중된 수직 및 수평 편파된 신호를 형성한다. 유사하게 I/Q 신호가 가중되어 가중된 I/Q 편파 신호를 각각 형성할 수 있다. V-신호 및 H-신호 각각은 두 개의(I 및 Q) 데이터 스트림을 가질 수 있다. 각각의 신호 유형은 다수의 독립적인 계수를 사용하여 가중되어 다수의 독립적인 가중된 신호를 형성할 수 있다. 따라서, 예를 들어, 각각의 신호는 VI 계수, VQ 계수, HI 계수 및 HQ 계수로 가중될 수 있다. 또한, 각 신호의 계수는 동일한 유형의 계수에 대해 독립적일 수 있다. 따라서, VI 신호의 VI 계수는 HQ 신호의 VI 계수와 독립적일 수 있다.
동작(23806)에서, 동일한 유형의 계수로 가중된 현재 탭에서 각각의 가중된 신호가 결합되어 결합된 신호를 형성할 수 있다. 즉, 예를 들어, VI 계수로 가중된 현재 탭에서의 모든 신호가 결합되어 결합된 가중된 VI 신호를 형성할 수 있다. 각 유형의 신호(VI, HI, VQ, HQ)는 결합된 가중된 신호를 형성할 수 있다. 이것은 신호 간의 교차 상관(cross-correlation)을 제공한다.
동작(23808)에서, 더 이상의 탭이 FFE 스테이지에 존재하는지가 결정된다. 위와 같이, FFE 스테이지는 다수의 지연 및 이에 따라 다수의 탭을 가질 수 있다. 탭의 수는 FFE 스테이지 사이에서 독립적일 수 있고, 따라서 각각의 FFE 스테이지마다 동일하거나 상이할 수 있다.
더 많은 탭이 존재하면, 동작(23810)에서, 각각의 신호는 지연에 공급될 수 있다. 각각의 신호는 동작(23804)으로 리턴하기 전에 동일한 양만큼 지연될 수 있다. 따라서, 각각의 결합된 신호는 이전의 탭으로부터의 유사한 신호와 결합될 수 있다. 즉, 예를 들어, VI 계수로 가중된 현재 탭에서의 모든 신호는 VI 계수로 가중된 모든 이전 탭에서 모든 신호와 결합되어 결합된 가중된 VI 신호를 형성할 수 있다. 모든 탭으로부터 결합된 가중된 신호는 또한 VI ', VQ', HI ', HQ'로 표시될 수 있다.
더 이상의 탭이 존재하지 않으면, 동작(23808)에서, 현재의 FFE 스테이지의 최종 지연에 도달했다고 결정될 수 있다. 동작(23812)에서, 최종 FFE 스테이지에 도달했는지가 결정될 수 있다. FFE는 적어도 두 개의 FFE 스테이지를 포함할 수 있다.
동작(23812)에서 마지막 FFE 스테이지에 도달하지 않았다고 결정되면, 동작(23804-23808)(가중, 결합 및 지연)의 프로세스가 다음 FFE 스테이지의 지연에 대해 반복될 수 있다. 동작(23814)에서, 최종 FFE 스테이지(VI ', VQ', HI', HQ')로부터의 출력 신호는 다음 FFE 스테이지의 입력 신호로서 사용될 수 있다.
동작(23812)에서 마지막 FFE 스테이지에 도달했다고 결정되면, 프로세스는 출력 신호를 제공할 수 있다. 이것은 동작(23816)에서 각 유형의 결합된 신호가 FFE로부터의 출력 신호가 되도록 취해질 수 있다는 것을 말한다. FFE는 입력 신호 및 계수에 따라 출력 신호를 생성할 수 있다. 적응 프로세스는 FFE가 실행되는 동안 각 스테이지의 각 신호의 계수를 계산하고 업데이트할 수 있다. 초기에, 계수는 메인 탭을 제외하고 모두 제로(또는 몇몇 미리 정의된 값)일 수 있다. 계수는 수신된 데이터 및 적응 프로세스에 기초하여 업데이트될 수 있다. 결국, 계수는 적응에 의해 수렴하고 안정화될 수 있다. 적응은 계속적으로 그 프로세스를 따라갈 수 있다(23804 내지 23814).
위와 같이, 등화는 제한된 채널 대역폭, 반사 및 간섭을 보상하기 위해 사용될 수 있다. 등화는 또한 LOS 및 NLOS 조건하에서 긴 채널 응답의 심볼의 응답을 제거하는데 사용될 수 있으며, 이것은 mmWave 신호에 대해 고려할 양태일 수 있다. (수십 개의 심볼에서) 증가된 양의 심볼 간 간섭(ISI)은 다른 것 중에서도, 증가된 감쇠 및 다중경로 문제로 인해 mmWave 대역(예를 들어, 60GHz 대역)에 존재할 수 있다. 등화는 프리커서 및 포스트 커서 ISI를 보상하거나 제거하는데 사용될 수 있다. 채널이 이상적이라 하더라도, 통신 디바이스의 송신기 및 수신기 회로는 전체 대역폭을 제한할 수 있다. 경우에 따라, 송신기 및 수신기 회로에 의해 설정된 대역폭 제한을 폐기하기 위해 등화가 사용될 수 있다.
DFE는 포스트 커서 ISI의 영향을 방지하기 위해 사용될 수 있는 등화기 중 하나이다. DFE는 수신기에서 사용될 수 있다. NLOS 채널은 LOS 채널보다 많은 양의 포스트 커서 ISI를 겪을 수 있지만 포스트 커서 ISI는 의미가 있을 수 있다. LOS 채널은 상대적으로 적은 ISI 탭을 가질 수 있고 NLOS 채널보다 16QAM 및 64QAM과 같은 보다 효율적인 변조를 가능하게 한다. 따라서 NLOS 채널의 경우 DFE 탭의 수를 늘리는 것이 바람직할 수 있다. DFE에 사용되는 탭 수는 하드 코딩될 수 있다. 탭의 수는 변조에 따라 변하지 않기 때문에, 이것은 다른 목적으로 사용될 수도 있는 하드웨어 자원 및 칩/보드 면적의 낭비를 초래할 수 있다.
예시적인 양태에 따르면, 구성 가능한 DFE 설계가 제공된다. DFE 탭 수는 사용된 변조에 따라 조정될 수 있다. 일부 양태에서, DFE 탭 설계는 단일 신호를 제어함으로써 무선 통신을 위한 직교 위상 변위 변조(QPSK) 또는 직교 진폭 변조(QAM)를 선택할 수 있다(이것은 유선 통신을 위한 펄스-진폭 변조(Pulse-amplitude modulation)(PAM2) 또는 PAM4에도 적용될 수 있지만, 편의상 본 명세서에서 QPSK 및 16QAM이 언급될 것임을 알아야 한다). 일부 양태에서, 최대 150 개의 포스트 커서가 제거될 수 있으며 포스트 커서 중의 약 절반 정도는 신호 대 잡음 비(signal-to-noise ratio)(SNR)가 높고 포스트 커서 ISI가 더 적은 LOS 채널에 대한 16QAM 모드에서 제거될 수 있다.
제 1 DFE 탭에 대한 타이밍은 나중의 DFE 탭에 대한 타이밍보다 더 엄격할 수 있다. 도 239a 및 도 239b는 일부 양태에 따른 재구성 가능한 DFE의 구성을 도시한다. FFE(23900)는 도 3e에 도시된 기저대역 프로세싱 회로(392)에 통합될 수 있지만, FFE(23900)는 그러한 통합으로 제한되지 않는다. 도 240a 및 도 240b는 일부 양태에 따른 재구성 가능한 DFE의 선택기/DEF 조합 구성을 도시한다. 도 239a 및 도 239b에 도시된 바와 같이, DFE(23900)는 비교기(23910), SR 래치(23920), 래치(23930) 및 선택기/D 플립 플롭(DFF) 조합(23940)을 포함할 수 있다. 안테나로부터의 하향 변환된 신호는 DFE(23900)에서 수신되어 DFE(23900)의 출력을 생성하는데 사용될 수 있다. DFE(23900)의 구성요소에는 동일한 클록 신호(CLK)가 제공될 수 있다. 비교기(23910)에는 차동 입력이 공급될 수 있다. 비교기(23910)의 이진 출력은 SR 래치(23920)에 공급될 수 있다. SR 래치(23920)의 차동 입력은 출력에서 단일 종단 신호로 변환될 수 있다. 결합기(23920)로부터의 출력은 한 쌍의 직병렬 변환기(23930)에 공급될 수 있다. 제 1 DFE 탭은 SR 래치(23920)와 제 1 래치(23930) 사이에서 취할 수 있다.
DFE(23900)로부터의 출력은 제 2 래치(23932)를 통해 제공될 수 있다. 제 2 래치(23932)의 출력은 제 2 DFE 탭으로서 취해질 수 있다. 제 2 래치(23932)의 출력은 제 1 선택기/DFF 조합(23940)에 제공될 수 있다. 일부 양태에서, 제 1 선택기/DFF 조합(23940)은 오직 DFF만을 포함할 수 있다. 다른 양태에서, 선택기/DFF 조합(이후 래치라고도 지칭함)(23942, 23944, 23946, 23948) 각각은 멀티플렉서 및 DFF를 둘 모두 포함할 수 있다. (각 제 1 선택기/DFF 조합(23940) 다음에) 최소 수의 탭이 표시되지만, 일부 양태에서 DFE(23900)의 각 체인에서 최대 74 개의 플립 플롭(지연)이 사용될 수 있다. 따라서 총 지연 수는 150(2x74+2)이 될 수 있다. 그러나 플립 플롭의 수는 다른 양태에서 최대 74 개로 제한되지 않을 수 있다.
각각의 선택기/DFF 조합(23940)의 선택기는 일부 양태에서 멀티플렉서일 수 있다. 선택기의 입력은 두 개의 상이한 선택기/DFF 조합(23940)으로부터의 출력일 수 있다. 선택기/DFF 조합(23940)은 (처음의 두 개를 제외한) 선택기/DFF 조합(23940)의 입력이 체인이라고도 지칭하는 순차적이고 병렬인 선택기/DFF 조합(23940)으로부터 올 수 있도록 경로를 형성하도록 배열될 수 있다. 이것은 입력이 다음으로 낮은 번호의 선택기/DFF 조합(23940)(즉, 바로 더 낮은 번호)로부터의 입력 및 교번적인 더 낮은 번호의 선택기/DFF 조합(23940)(즉, 선택기/DFF 조합(23940)이 짝수 또는 홀수 번호인지에 따라, 마지막 짝수 또는 홀수 번호의 선택기/DFF 조합(23940))로부터의 입력이라는 것을 말하는 것이다. 선택기/DFF 조합(23940)은 인접한 번호가 선택기/DFF 조합(23940)의 각각을 통해 순차적 체인을 형성하지만 교번 번호는 선택기/DFF 조합(23940)을 통해 병렬 체인을 형성하도록 배열될 수 있다.
선택기/DFF 조합(23940)은 선택기가 경로를 조정하여 두 개의 체인 중 하나를 선택하는데 사용될 수 있도록 연결될 수 있다. 특히, 선택기/DFF 조합(23940)에서 선택기는 선택기/DFF 조합(23940)의 입력(데이터 입력)을 선택하기 위해 사용되는 동일한 선택 신호(제어 입력)에 연결될 수 있다. 이것은 제 1 선택기 입력에 대해 도 239a에 도시된 순차적 체인 및 제 2 선택기 입력에 대해 도 239b에 도시된 병렬 체인의 선택을 가능하게 할 수 있다. 각 선택기의 입력은 직렬 및 병렬 체인의 바로 이전 지연/탭의 출력일 수 있다.
탭의 수(및 선택기/DFF 조합(23940)에서 위치)는 선택기 입력 및 이에 따른 체인에 종속할 수 있다. 예를 들어, 1 비트 출력 DFE를 도시하는 도 239a에 도시된 순차적 체인에 도시된 바와 같이, 제 1 선택기/DFF 조합(23942)의 출력은 제 3 DFE 탭으로서 취해지고 제 3 선택기/DFF 조합(23946)으로의 입력으로서 제공될 수 있다. 제 3 선택기/DFF 조합(23946)의 출력은 제 4 DFE 탭으로서 취해지고 제 2 선택기/DFF 조합(23944)로의 입력으로서 제공된다. 제 2 선택기/DFF 조합(23944)의 출력은 제 4 선택기/DFF 조합(23948)의 입력으로서 제공된다. 제 2 및 제 4 선택기/DFF 조합(23944, 23948)의 출력은 각각 DFE 탭 5 및 6으로 취해질 수 있다.
일부 양태에서, DFE에서 수신된 신호의 변조 방식을 결정하기 위한 수단은 DFE(23900)에 의해 구현될 수 있다. 일부 양태에서, DFE(23900)는 또한 도시된 바와 같이, 변조 방식에 기초하여, DFE에서 사용하는 탭의 탭 수를 결정하기 위한 수단, 탭 수에 기초하여 DFE에서 직렬 체인 및 병렬 체인 중 어느 것을 사용할 것인지를 선택하기 위한 수단, 및/또는 탭으로부터의 출력을 사용하여 신호의 포스트 커서 ISI를 보상하기 위한 수단 중 하나 이상을 구현할 수 있다. 또 다른 양태에서, DFE(23900)는 또한 도시된 바와 같이, 복수의 지연을 동시에 트리거하기 위한 수단, 및/또는 제 1 래치의 입력으로부터 제 1 탭을 취하고 제 2 래치의 출력으로부터 제 2 탭을 취하기 위한 수단 및 제 1 래치의 출력을 병렬 경로 중 제 1 경로의 제 2 래치의 입력 및 병렬 경로 중 제2 경로의 멀티플렉서의 선택기 입력과 연결하기 위한 수단을 제공함으로써, 병렬 체인이 선택 될 때, 최상위 비트(most significant bit)(MSB)의 탭 중 제1 및 제 2 탭 사이에 래치된 출력을 사용하여 최하위 비트(least significant bit)(LSB)를 선택하기 위한 수단 및/또는 제 1 탭의 지연에 미치는 영향을 회피하기 위한 수단 중 하나 이상을 구현할 수 있다. 일부 양태에서, 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하기 위한 수단은 각각 상이한 지연과 연관되고 그 연관된 지연의 입력과 연결된 출력을 갖는 복수의 멀티플렉서에 동일한 선택기 신호를 적용하기 위한 수단 및/또는 QPSK에 대한 직렬 체인 및 16QAM 또는 그 이상의 것에 대한 병렬 체인을 선택하기 위한 수단을 포함할 수 있다.
선택기/ DFF 조합(23940)은 도 240a에 보다 상세하게 도시된다. 도시된 바와 같이, 각 선택기(MUX)(24010, 24012, 24014, 24016)의 출력은 상이한 지연(24020, 24022, 24024, 24026)의 입력에 공급되어 단일 지연 체인을 형성할 수 있다. 각각의 지연(24020, 24022, 24024, 24026)은 단일 D-타입 플립 플롭으로부터 형성될 수 있다. 각각의 지연(24020, 24022, 24024, 24026)의 출력은 0으로 도시되지만 다른 양태에서는 1일 수도 있는 다음 선택기(24010, 24012, 24014, 24016)의 입력 중 하나에 공급될 수 있다. 각 선택기(24010, 24012, 24014, 24016)의 선택은 동일할 수 있는데, 즉, 동일한 선택 신호가 각 선택기(24010, 24012, 24014, 24016)에 적용될 수 있다.
단지 네 개의 DFE 탭이 도시되지만, 일부 양태에서, 이것은 최대 150 개의 DFE 1 비트 탭이 예를 들어 최대 150 개의 포스트 커서를 제거하는데 사용될 수 있도록 확장될 수 있다. 일부 양태에서, 150 개 초과의 탭이 사용될 수 있으며, 따라서 150 개 이상의 포스트 커서가 제거될 수 있다. 그러나 DFE 1비트 탭의 수는 다른 양태에서 150 개로 제한되지 않을 수 있다. 도 239a에 도시된 배열은 QPSK 모드에 대해 사용될 수 있고, 도시된 바와 같은 단일 데이지 체인에서 지연을 제공할 수 있다.
도 239b는 27비트 출력 DFE의 최하위 비트(LSB)뿐만 아니라 MSB를 위한 회로를 더 포함하는 배열을 도시한다. DFE(23900)의 LSB 부분은 LSB 비교기(23912, 23914)를 포함할 수 있다. LSB 비교기(23912, 23914)의 출력은 각각 LSB SR 래치(23922, 23924)와 연결될 수 있다. SR 래치(23920, 23922, 23924)로부터의 출력은 제 1 DFE 탭으로서 병렬로 취해질 수 있다. SR 래치(23922, 23924)로부터의 출력은 각각 LSB 래치(23936, 23938)로의 입력으로서 제공될 수 있다. LSB 래치(23936, 23938)로부터의 출력은 LSB 멀티플렉서(23950)로의 입력으로서 제공될 수 있다. MSB 비트는 LSB 멀티플렉서(23950)를 위한 선택기 신호로서 사용될 수 있으며, 차례로 LSB 멀티플렉서(23950)는 LSB를 제공할 수 있다. 그 후, LSB는 제 3 래치(23934)에 제공될 수 있으며, 제 3 래치(23934)의 출력은 제 3 선택기/DFF 조합(23946)으로의 다른 입력으로서 취해질 수 있다. 선택기/DFF 조합(23960) 제어 비트는 도 239a에 도시된 선택기/DFF 조합(23940)의 제어 비트와 상이할 수 있지만, 하드웨어는 동일할 수 있다. 선택기/DFF 조합(23940) 간의 교차 결합은 도 239b에 도시된 바와 같이 두 개의 평행한 체인이 제공되도록 제거될 수 있다. 제 2 DFE 탭은 제 2 및 제 3 래치(23932, 23934)의 병렬 출력으로부터 취해질 수 있다. 제 3 DFE 탭은 제 1 및 제 3 래치(23942, 23946)의 병렬 출력으로부터 취해질 수 있다. 제 4 DFE 탭은 제 2 및 제 4 래치(23942, 23948)의 병렬 출력으로부터 취해질 수 있다. 도 239b에 도시된 구성은 16QAM(PAM4) 모드에 사용될 수 있고, 두 개의 병렬 체인에서 지연을 제공할 수 있다. 단 네 개의 DFE 탭이 도시되지만, 일부 양태에서는 최대 76 개의 DFE 2비트 탭(3비트 DFE 탭 1 제외)이 사용되어 최대 76 개의 포스트 커서를 제거할 수 있다. 이것은 64 QAM(PAM6) 변조 또는 그 이상의 것으로 확장될 수 있다. 위와 같이, 다른 양태에서, 76 비트보다 더 큰 비트가 사용될 수 있다.
일부 양태에서, 선택기/DFF 조합의 출력은 최상위 비트(MSB) 및 최하위 비트(LSB)일 수 있다. 특히, 16QAM은 I 및 Q PAM4 스트림(두 개의 직교 PAM4 스트림)을 가질 수 있다. 이것은 두 비트: 하나의 MSB 및 하나의 LSB가 네 개의 레벨을 나타내는데 사용될 수 있다. 일부 양태에서, 탭1에서, 1(SR 래치(23920)의 출력), 1(SR 래치(23922)의 출력), 1(SR 래치(234924)의 출력)은 최고 레벨을 나타낼 수 있고, 다른 레벨은 1-0-1, 0-0-1, 0-0-0(최하 레벨)로 나타낼 수 있다. 도시된 바와 같이, 쉬어(sheer)(23910)의 문턱 값은 0이기 때문에, 슬라이서(23912)의 문턱 값은 +2이고 쉬어(23914)의 문턱 값은 -2이다. 여기서 0, +2, -2는 -3, -1, +1 및 +3의 네 개의 신호 레벨에 기초하여, 절대치가 아닌 상대적인 숫자이다. 탭1 설계(도 239a 및 도 239b)는 엄격한 DFE 탭1 지연 제한에 기초한 출력을 제공하는데 사용될 수 있다. 따라서, 플립 플롭을 두 개의 직렬 래치(도 239a의(23930 및 23932))로 분리함으로써, MUX(23950)가 초기 래치(23930, 23936, 23938) 뒤에 배치되게 할 수 있다. 따라서, MUX(23950)에 의해 야기된 지연이 DFE 탭1 지연에 영향을 미치는 것을 피할 수 있다. MUX(23950)가 래치(23930, 23936, 23938) 앞에 배치되면, DFE 탭1 지연은 MUX(23950)의 높은 지연으로 인해 DFE 탭1 지연 제약을 충족시킬 수 없을 수도 있다.
선택기/DFF 조합(23960)은 도 240b에 추가로 상세하게 도시된다. 선택기(24030, 24032, 24034, 24036)의 출력은 상이한 지연(24040, 24042, 24044, 24046)의 입력으로 공급되어 도 240a의 체인의 길이의 절반의 병렬 MSB 및 LSB 지연 체인을 형성할 수 있다. 지연(24040, 24042, 24044, 24046)의 출력은 1로 도시되지만 다른 양태에서는 0일 수 있는 다음 선택기(24030, 24032, 24034, 24036)의 입력 중 하나의 입력에 공급될 수 있다. 선택기(24030, 24032, 24034, 24036)의 선택은 동일할 수 있는데, 즉, 동일한 선택 신호가 선택기(24030, 24032, 24034, 24036)에 인가될 수 있다.
도 241은 일부 양태에 따른 DFE를 구성하는 방법을 도시한다. 방법(24100)은 도 239a-도 239b 및 도 240a-도 240b의 구조를 사용하여 수행될 수 있다. 동작(24102)에서, 변조 방식이 결정될 수 있다. DFE는 변조 방식을 식별할 수 있다. 변조 방식은, 예를 들어, 채널 ISI에 종속할 수 있다. 송신기와 수신기는 둘 모두 동일한 변조 방식을 사용하도록 구성될 수 있다. 변조 방식은 일부 양태에서 QPSK(PAM2) 및 16QAM(PAM4)일 수 있다. 변조 방식은 또한 mmWave 주파수를 사용할 때 채널의 유형(LOS 또는 LOS) 및 LOS 채널의 병렬 체인에 종속할 수 있다.
일단 변조 방식이 결정되면, DFE는 동작(24104)에서 DFE에서 사용할 체인 유형 및 탭 번호를 결정할 수 있다. 일부 양태에서, 탭 번호는 NLOS 채널의 경우 PAM2에서 약 150 개 탭이고, LOS 채널의 경우 PAM4 모드에서 약 절반(76 개 탭)일 수 있다. 탭으로부터의 신호는 mmWave 주파수의 포스트 커서를 제거하는데 사용될 수 있다.
동작(24106)에서, DFE는 탭 번호에 기초하여 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택할 수 있다. 직렬 체인 및 병렬 체인은 서로 다른 탭 번호를 가질 수 있으며, 직렬 체인은 NLOS 채널에 대해 단일 비트를 제공하고 병렬 체인은 LOS 채널에 대해 MSB 및 LSB를 제공한다. 일부 양태에서, 동작(24102, 24104 및 24106) 중 임의의 둘 이상의 동작이 조합될 수 있다.
동작시, DFE는 직렬 체인 및 병렬 체인을 형성하는 복수의 DFF를 트리거할 수 있다. DFE는 복수의 DFF를 동시에 트리거할 수 있다. 탭은 상이한 DFF의 출력으로부터 취해질 수 있다. 멀티플렉서는 각 DFF로의 입력을 제공할 수 있다. 각 멀티플렉서는 상이한 DFF와 연관될 수 있다. 각 멀티플렉서에는 동일한 선택기 신호가 제공되어 직렬 체인 또는 병렬 체인 중 어느 것을 사용할 것인지를 선택할 수 있다. 병렬 체인이 선택될 때, LSB 멀티플렉서가 사용되어 LSB를 선택할 수 있다. LSB 멀티플렉서 출력은 MSB의 제 1 탭과 제 2 탭 사이의 래치된 출력을 사용하여 선택될 수 있다. 이것은 제 1 탭이 제 1 래치 이전에 취해지고 제 2 탭이 제 2 래치 다음에 취해질 수 있다는 것을 말한다. 직렬 체인 또는 병렬 체인이 사용되는지와 관계없이, 동작(24108)에서, 탭의 출력은 심볼의 ISI를 제거하는데 사용될 수 있다.
통신에 사용되는 주파수 대역의 개수는 면허 대역 및 비면허 대역의 캐리어 결합과 곧 있을 mmWave 대역의 사용의 통합으로 인해 증가하였다. mmWave UE는 고주파(6GHz 이상) 및 저주파(LTE 대역)를 모두 사용할 수 있다. 더 높은 주파수는 데이터 통신을 위한 많은 양의 대역폭을 제공하여 매우 높은 데이터 레이트를 가능하게 하며, 반면에 더 낮은 주파수는 더 높은 신뢰성을 제공할 수 있다. 더 높은 대역폭은 통신 데이터 레이트를 높이는데 사용되지만 시스템 전력 소비를 비롯한 동작 국면에 영향을 줄 수 있다.
통신하기 위해, 수신된 RF 신호는 이동 디바이스 또는 UE에서 처리를 위한 디지털 신호로 변환될 수 있는 반면, 디지털 데이터는 이동 디바이스 또는 UE로부터의 송신을 위해 RF 신호로 변환될 수 있다. 수신기 체인 내의 요소는 안테나로부터 RF 신호를 수신하고 RF 신호를 디지털 신호로 변환하는 아날로그-디지털 변환기(ADC)를 포함할 수 있다. ADC로부터의 디지털 신호는 아날로그 프론트 엔드 및 디지털 프론트 엔드를 포함할 수 있는 프론트 엔드에 제공될 수 있다. 디지털 프런트 엔드는 RF에서 기저대역으로의 RF 신호 채널화 및 필터링, 디지털화, 샘플링 레이트 변환 및 아마도 동기화를 제공할 수 있다.
대기 흡수에 의한 높은 경로 손실 및 고체 재료를 통한 높은 감쇠로 인해, 대형의 다중 입력 다중 출력(MIMO) 시스템이 mmWave 대역에서의 전송에 사용될 수 있다. 차단되지 않은 지향된 공간 채널을 검색하기 위해 빔포밍을 사용하면 WPAN/WLAN을 통한 통신에 사용되는 아키텍처와 비교할 때 mmWave 아키텍처와 관련한 추가의 고려 사항이 연루될 수 있다. 이러한 MIMO 시스템에서, 각 안테나 출력은 낮은 지연 초기 액세스, 공간 멀티플렉싱 및 다중 사용자 통신과 같은 디지털 프로세싱을 위해 한 쌍의 ADC를 사용할 수 있다. ADC의 전력 소비는 샘플링 속도에 따라 선형적으로 증가하고 샘플 당 분해능 비트의 수에 따라 기하급수적으로 증가할 수 있다. 결과적으로, 고분해능 ADC가 사용될 때 많은 수의 안테나와 광대역 통신으로 인해 ADC에서 총 전력 소비가 커질 수 있다. 이것은 배터리 수명과 관련하여 다양한 이동 디바이스에 문제를 일으킬 수 있고 배터리가 작고 오랜 시간 지속될 것으로 예상되는 사물 지능 통신(machine type communication)(MTC) 디바이스에서 악화될 수 있다.
도 242는 일부 양태에 따른 mmWave 아키텍처(24200)를 도시한다. mmWave 아키텍처(24200)는 하이브리드 빔포밍을 제공할 수 있다. mmWave 아키텍처(24200)는 도 3e에 도시된 수신 회로(320)에 통합될 수 있지만, mmWave(24200)는 그러한 통합으로 제한되지 않는다. 하이브리드 빔포밍 아키텍처는 디지털 및 아날로그 빔포밍이 둘 모두 포함될 수 있다. 디지털 빔포밍은 송신기 RF 체인과 안테나 사이의 일대일 대응의 대가로 빔포밍에 유연성을 제공할 수 있으며, 넓은 대역폭에 걸쳐 동작하는 다수의 안테나로 인해 비용, 복잡성 및 전력 소비가 증가한다. 송신기 및 수신기 안테나 쌍 사이에서 채널 추정은 추가로 디지털 빔포밍 복잡성을 증가시킬 수 있다. 다른 한편, 아날로그 빔포밍은 위상 변위기를 사용하여 하나의 RF 체인만으로 출력 빔을 형성할 수 있다. 아날로그 빔포밍은 빔 탐색을 사용하여 송신기 및 수신기에서 최적의 빔을 찾을 수 있다. 빔 탐색은 빔 사이즈를 좁힘에 따라 그 사이즈뿐만 아니라 정렬 문제가 증가할 수 있는 코드북을 사용할 수 있다. 디지털 빔포밍과 달리, 아날로그 빔포밍은 사용된 단일 RF 체인으로 인해 지향성 이득(directivity gain)으로 제한될 수 있다. 아날로그 빔포밍만으로는 다중 사용자 통신, 간섭 제거 및 다중 빔포밍과 같은 역량의 부족으로 인한 데이터 평면에서의 가장 큰 잠재적인 성능 손실을 더 가질 수 있으며 UE와 eNB 사이의 느린 초기 링크 계층 연결 및 진행중인 동기화와 같은 요인에 의해 야기되는 제어 평면에서의 최대 지연을 더 가질 수 있다. 일부 양태에서, 하이브리드 빔포밍은 RF 체인의 수를 제한하면서 안테나 요소의 수를 증가시키기 위해 디지털 및 아날로그 빔포밍 둘 모두를 사용할 수 있다.
도 242에 도시된 mmWave 아키텍처(24200)는 아날로그 빔포밍 아키텍처(24210)(아날로그 위상 배열 아키텍처라고도 지칭함) 및 디지털 빔포밍 아키텍처(24220)를 포함할 수 있다. 아날로그 빔포밍 아키텍처(24210) 및 디지털 빔포밍 아키텍처(24220)는 저잡음 증폭기(LNA)(24212), 믹서(24214), 가변 이득 증폭기(VGA)(24216), 저역 통과 필터(24218) 및 발진기(24222)를 포함하는 공유 회로(24206)를 포함할 수 있다. 디지털 빔포밍 아키텍처(24220)는 다수의 가변적인(또는 저) 분해능 ADC(24232)를 포함할 수 있는 반면, 아날로그 빔포밍 아키텍처(24210)는 단일 고분해능 ADC(24234)를 포함할 수 있다. 저분해능 ADC(24232)의 분해능은 예를 들어 29-3 비트일 수 있다. mmWave 아키텍처(24200)는 제어 평면에서 낮은 지연을 가지며 데이터 평면에서 높은 처리량을 가질 수 있다. 도시되지는 않았지만, 피드포워드 또는 피드백 보상 회로와 같은 다른 요소가 존재할 수 있다.
도시된 바와 같이, mmWave 아키텍처(24200)는 복수의 안테나(24202)로부터 RF 신호를 수신할 수 있다. 안테나(24202)로부터의 신호는 아날로그 빔포밍 아키텍처(24210) 및 디지털 빔포밍 아키텍처(24220)의 LNA(24212)에 공급될 수 있다. 각각의 LNA(2420)의 출력은 상이한 쌍의 믹서(24214)에 공급될 수 있다. 믹서(24214)는 발진기(24222)로부터의 국부 발진기 신호를 이용하여 복소(I/Q) RF 신호를 기저대역 또는 중간 주파수(IF) 신호로 하향 변환할 수 있다. 믹서(24214)로부터 하향 변환된 신호 각각은 상이한 VGA(24216)에 제공될 수 있다. VGA(24216)로부터 증폭된 신호는 증폭된 신호를 기저대역으로 필터링하는 저역 통과 필터(24218)에 제공된다.
위와 같이, 아날로그 빔포밍 아키텍처(24210)의 위상 변위기(24226)는 대응하는 안테나(24202)로부터 발원하는 각 신호 쌍의 위상을 조정하는데 사용될 수 있다. 그 다음 위상 변위기(24226)로부터의 위상 변위된 신호는 결합기(24228)에서 결합되어 단일 ADC(24234) 또는 단일 쌍의 ADC(24234)에 공급될 수 있다. 일부 양태에서, ADC(24234)는 고분해능 ADC(예를 들어, 8 비트 이상)일 수 있다. 디지털 빔포밍 아키텍처(24220)에서, 각각의 필터링된 신호는 위상 변위되지 않고 상이한 가변 또는 저분해능 ADC(24232)에 공급될 수 있다.
mmWave 아키텍처(24200)는 필터(24218) 다음에 전류 미러 또는 스위치(24224)(이후 편의상 간단히 스위치라고 지칭함)을 더 포함할 수 있다. 스위치(24224)는 수신된 신호를 위상 변위기(24226) 또는 가변(저) 분해능 ADC(24232) 중 하나로 향하게 할 수 있다. 스위치(24224)는 제어기(24240)에 의해 제어될 수 있다. 제어기(24240)는 기저대역 또는 다른 프로세서일 수 있다. 제어기(24240)는 다른 것 중에서도, 하나 이상의 측정된 품질(예를 들어, SNR, 차단),(예를 들어, 낮은) UE 이동성 및/또는 변조 방식에 기초한 채널 유형(예를 들어, LOS 또는 NLOS), 신호 유형(예를 들어, 제어 또는 데이터 평면), 채널 조건을 결정할 수 있다. 제어기(24240)는 이러한 특성 중 하나 이상에 기초하여, 아날로그 또는 디지털 경로를 사용하도록 스위칭할지를 결정할 수 있다.
도 243은 일부 양태에 따른 송신기 하이브리드 빔포밍 아키텍처(24300)를 도시한다. 송신기 하이브리드 빔포밍 아키텍처(24300)는 도 242에 도시된 수신기 MMWave 아키텍처(24200)와 유사할 수 있다. 송신기 하이브리드 빔포밍 아키텍처(24300)는 아날로그 빔포밍 아키텍처(24310)(아날로그 위상 배열 아키텍처라고도 지칭함) 및 디지털 빔포밍 아키텍처(24320)를 포함할 수 있다. 아날로그 빔포밍 아키텍처(24310) 및 디지털 빔포밍 아키텍처(24320)는 전력 증폭기(PA)(24312), 믹서(24314), 가변 이득 증폭기(VGA)(24316), 저역 통과 필터(24318) 및 발진기(24322)를 포함하는 공유 회로(24306)를 포함할 수 있다. 예시적인 양태에서, 디지털 빔포밍 아키텍처(24320)는 다수의 가변(또는 저) 분해능 ADC(24332)를 포함할 수 있는 반면, 아날로그 빔포밍 아키텍처(24310)는 단일의 고분해능 ADC(24334)를 포함할 수 있다. 저분해능 ADC(24332)의 분해능은 예를 들어 1 또는 2 비트일 수 있다.
도시된 바와 같이, 송신기 하이브리드 빔포밍 아키텍처(24300)는 DFE(도시되지 않음)로부터 디지털 신호를 수신할 수 있다. DFE로부터의 신호는 DAC(24334)에 공급될 수 있으며 DAC(24334)로부터의 신호는 분리기(24328)에 공급될 수 있다. 아날로그 빔포밍 아키텍처(24310)로부터의 아날로그 신호 쌍은 위상 변위기(24326)에 제공될 수 있다. 위상 변위기(24326)로부터 위상 변위된 신호는 디지털 빔포밍 아키텍처(24320)의 DAC(24332)로부터의 신호와 함께 스위치(24324)에 제공될 수 있다. 스위치(24324)는 위상 변위된 신호의 쌍과 디지털 (저분해능) DAC(24332)로부터의 출력 사이에서 스위칭하게 할 수 있다. 스위치(24324)로부터의 각각의 신호는 VGA(24316)에 의해 증폭하기 전에 신호를 기저대역으로 필터링하는 저역 통과 필터(24318)에 제공될 수 있다. 그런 다음 증폭된 신호는 발진기(24322)로부터의 국부 발진기 신호가 공급되는 믹서(24314)를 사용하여 RF 주파수로 상향 변환될 수 있다. 그 다음, RF 신호는 PA(24312)에 의해 증폭된 다음 복수의 안테나(24302)에 제공될 수 있다.
양태에서, 도 242 및 도 243의 송신기 및 수신기 아키텍처는 모듈러스 아키텍처용으로 설계될 수 있다. 예를 들어, M 개의 안테나 수신기 및 송신기를 포함하는 아키텍처가 구축될 수 있으며, 그런 다음 아키텍처의 다수의 사본이 사용되어 N = k*M 안테나 시스템을 구축할 수 있다.
일부 양태에서, 통신될 mmWave 신호의 채널 및 신호 특성을 결정하기 위한 수단은 수신기 MMWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 mmWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 수신기 mmWave 아키텍처(24200)의 고분해능 양자화 또는 디지털로부터 아날로그로의 변환이 송신기 하이브리드 빔포밍 아키텍처(24300)에서 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처를 포함하는 하이브리드 빔포밍 아키텍처 중의 mmWave 신호를 통신하는데 사용할 아날로그 빔포밍 아키텍처를 선택하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 mmWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 저분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 예를 들어 제어기(24240)를 통해 mmWave 신호를 통신하는데 사용할 디지털 빔포밍 아키텍처를 선택하기위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 MMWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 선택된 아날로그 또는 디지털 빔포밍 아키텍처를 사용한 빔포밍을 통해 mmWave 신호를 예를 들어, 안테나(24202, 24302)를 통해 통신하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 MMWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 mmWave 신호의 채널 및 신호 특성에 따라 예를 들어, 제어기(24240) 및 ADC(24232) 및/또는 DAC(24334)를 통해, ADC 및 DAC 각각의 분해능을 변경하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 아날로그 빔포밍 아키텍처가 선택될 때, 수신기 MMWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 mmWave 신호 각각을 예를 들어, 위상 변위기(24226, 24326)를 통해 위상 변위하여 위상 변위된 신호를 생성하기 위한 수단 및 위상 변위된 신호를 예를 들어, 결합기(24228)를 통해 결합하여 양자화될 결합된 신호를 형성하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 mmWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 LOS 또는 LOS 채널 중 어느 채널이 mmWave 신호를 전달하는데 사용될지의 여부, 제어 또는 데이터 신호 중 어느 것이 mmWave 신호인지의 여부, 신호 대 잡음 비(SNR), 및 예를 들어 제어기(24240)를 통해, mmwAVE 신호를 전달하는데 사용될 변조 방식에 적어도 기초하여 아날로그 또는 디지털 빔포밍 아키텍처의 선택을 제어하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 MMWave 아키텍처(24200) 및/또는 송신기 하이브리드 빔포밍 아키텍처(24300)는 또한 아날로그 및 디지털 빔포밍 아키텍처 사이에서 아날로그 구성요소를 공유하기 위한 수단을 구현할 수 있다.
일부 양태에서, 높은 SNR 및 고분해능 ADC는 고차 변조 방식의 사용을 초래할 수 있으므로, LOS 채널, 매우 높은 SNR, 낮은 UE 이동성 및 차단이 있을 때는 아날로그 빔포밍 부분이 사용될 수 있다. 또한, 공간적으로 높을 때, 대역 내일 때 또는 인접 채널 간섭이 있을 때, 아키텍처는 빠른 동작을 위해 위상 변위기가 최적 위상 값을 디지털로 계산하도록 설정함으로써 디지털 빔포밍으로부터 아날로그 빔포밍으로 스위칭할 수 있다. 다른 한편, 디지털 빔포밍 부분은, 도 242 및 도 243에 도시된 수신기 및 송신기를 포함하는 송수신기가 제어 평면에서 동작 중이고 (아날로그 빔포밍이 높은 지연을 갖는 섹터 스윕(sector sweep)을 수행하기 때문에) 동시에 여러 방향으로부터 신호를 수신할 때, 빠른 동기화, 초기 액세스, UE 발견 및 차단으로부터의 빠른 복구를 갖는 것이거나, 또는 제어 평면 시그널링이 고분해능 ADC의 사용을 회피할 수 있는 낮은 차수의 변조(예를 들어, BPSK, QPSK)를 사용할 수 있으므로, 그러한 시그널링을 전달하는 것일 때 사용될 수 있다. 디지털 빔포밍 부분은 송수신기가 데이터 평면에서 동작 중일 때, 즉 유효 SNR을 증가시키기 위해 여러 경로를 결합함으로써 여러 경로를 갖는 LOS 채널을 통해 통신할 때; SNR이 낮을 수 있을 때 - 이것은 저분해능 ADC; 공간 멀티플렉싱; 간섭 무효화; 및 다중 사용자 통신에 의해 손실이 무시할만하거나 손실이 전혀 없이 달성될 수 있음 - 사용될 수 있다.
제어 평면 통신에 대한 하나의 고려 사항은 초기 액세스 및 UE 발견을 위한 지연일 수 있다. 아날로그 빔포밍 아키텍처는 고도의 지향성 전송에 의존할 수 있다. 이것을 달성하기 위해, UE 및 eNB는 둘 모두 최적의 빔을 결정하기 위해 빔 탐색을 수행할 수 있다. 빔 탐색은 큰 빔 공간으로 인해 초기 액세스 속도를 늦추게 할 수 있다. UE 및 eNB 둘 모두가 지향성 빔포밍을 사용할 때, 액세스 지연이 증가할 수 있다. 여러 방향이 동시에 결정될 수 있는 완전한 디지털 아키텍처는 초기 액세스의 감소를 허용할 수 있다.
위에서 언급한 바와 같이, 전체 수신기 소산 전력을 결정할 때, 안테나의 수와 ADC의 분해능 사이에는 상쇄 관계가 있다. 도 244는 일부 양태에 따른 통신 레이트의 예시적인 시뮬레이션을 도시한다. 특히, 도 244는 안테나의 수 및 ADC의 분해능이 최적일 때 총 소산된 전력 소비하에서 달성 가능한 통신 레이트를 도시한다. 도시된 바와 같이, 디지털 빔포밍은 공간 샘플링 및 결합의 장점을 갖기 때문에 디지털 빔포밍은 아날로그 결합보다 달성 가능한 높은 레이트를 가질 수 있다.
NLOS 채널은 낮은 SNR을 가질 수 있다. 이것은 BPSK 및 QPSK와 같은 저차 변조의 사용으로 바뀔 수 있고, 이것은 고분해능 ADC를 저분해능 ADC로 대체할 수 있게 해준다. LOS 채널의 경우 SNR은 클 수 있다. 이것은 고분해능 ADC 또는 많은 수의 안테나를 사용하는 고차 변조를 지원할 수 있다. 도 245은 일부 양태에 따른 SNR의 시뮬레이션을 도시한다. 특히, 도 245는 mmWave 채널을 통한 아날로그 및 디지털 아키텍처의 유효 SNR 시뮬레이션을 도시한다. 결정론적 mmWave 채널의 경우, 아날로그 빔포밍으로 인한 SNR 손실이 결정될 수 있다. 일부 시뮬레이션에서, 아날로그 빔포밍은 경로 간의 상관 관계에 따라, 3 dB 결합 손실을 가질 수 있다. 또한 송신기에서 64 개 안테나를 사용하고 수신기에서 16 개 안테나를 사용하는 통계적 mmWave 채널 시뮬레이션의 경우, 아날로그 빔포밍으로 인한 LOS 채널에서의 SNR 손실은 관찰되지 않았다. 예시적인 시뮬레이션에서, NLOS 채널에 대한 디지털 빔포밍은 5-7 dB SNR 이점을 가져왔다.
도 242 및 도 243에 도시된 하이브리드 아키텍처의 전력 소비는 아날로그 기저대역 빔포밍 및 디지털 빔포밍이 대부분의 구성요소를 공유하므로 합당할 수 있다. 차이점은 아날로그 기저대역 위상 변위기 및 아날로그 빔포밍을 위한 단일 쌍의 고분해능 ADC, 디지털 빔포밍을 위한 가변(또는 저) 분해능 ADC의 사용일 수 있다. 일부 양태에서, 단일 위상 회전자(위상 변위기)는 2 Gbps에서 한 쌍의 저분해능 내지 중분해능 ADC와 유사한 전력을 소비할 수 있다. 따라서, 위상 변위기를 ADC로 대체하는 양태에서, 아날로그 기저대역 및 디지털 빔포밍은 예를 들어 동일한 전력을 소비할 수 있다. 결과적으로, 본 명세서에 개시된 양태에 따른 혼합된 아키텍처는 성능 이득을 가지면서, 아날로그 빔포밍과 유사한 전력 소비를 가질 수 있고, 고분해능 ADC가 사용될 때는 전력 소비가 적을 수 있다.
도 246은 일부 양태에 따른 빔포밍된 mmWave 신호를 통신하는 방법을 도시한다. 방법은 도 242 내지 도 233에 도시된 아키텍처에 의해 수행될 수 있다. 동작(24602)에서, 방법(24600)은 통신될 mmWave 신호의 다양한 특성을 결정할 수 있다. 이러한 특성은 채널 및 신호 특성을 둘 다 포함할 수 있다. 전자는 예를 들어, 채널이 LOS 또는 NLOS인지를 포함할 수 있고, 반면에 후자는 예를 들어, SNR, RSSI 또는 다른 신호 품질 측정치를 포함할 수 있다. 그 결정은 하이브리드 아키텍처에 의해 송신되거나 수신되었던 이전의 빔포밍된 mmWave 신호에 대해 수행될 수 있다.
동작(24604)에서, 고분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처를 포함하는 하이브리드 빔포밍 아키텍처 중의 아날로그 빔포밍 아키텍처가 mmWave 신호를 통신하는데 사용되도록 선택될 수 있다. 아날로그 빔포밍 아키텍처는 수신기 또는 송신기 아키텍처가 사용되는지에 따라 단일 ADC 또는 단일 DAC 중 하나를 포함한다. 유사하게, 디지털 빔포밍 아키텍처는 복수의 ADC 또는 복수의 DAC 중 하나를 포함한다. 아날로그 빔포밍 아키텍처에서, 각각의 mmWave 신호는 위상 변위되어 위상 변위된 신호를 생성할 수 있다. 이어서 위상 변위된 신호는 결합되어 양자화되는 결합된 신호를 형성할 수 있다.
동작(24606)에서, 저분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 디지털 빔포밍 아키텍처가 mmWave 신호를 통신하는데 사용되도록 선택될 수 있다. 아날로그(1개의 변환기) 및 디지털(다수의 변환기) 빔포밍 아키텍처의 변환기의 개수는 상이할 수 있다. 디지털 빔포밍 아키텍처 변환기의 분해능은 고정(낮은) 또는 가변적일 수 있다.
동작(24608)에서, mmWave 신호는 선택된 아날로그 또는 디지털 빔포밍 아키텍처를 사용하여 통신(수신 또는 송신)될 수 있다. 빔포밍이 사용될 수 있다.
송수신기는 아날로그, 디지털 또는 하이브리드 빔포밍을 제공할 수 있다. 디지털 빔포밍은 송신기 RF 체인과 안테나 사이의 일대일 대응의 대가로 빔포밍에 유연성을 제공할 수 있으며, 넓은 대역폭에 걸쳐 동작하는 다수의 안테나로 인해 비용, 복잡성 및 전력 소비가 증가한다. 송신기 및 수신기 안테나 쌍 사이에서 채널 추정은 추가로 디지털 빔포밍 복잡성을 증가시킬 수 있다. 대역 내 및 인접 채널 간섭이 있거나 또는 SNR이 매우 높을 때, 디지털 아키텍처 또한 성능 저하를 겪을 수 있다. 아날로그 빔포밍은 위상 변위기를 사용하여 하나의 RF 체인만으로 출력 빔을 형성할 수 있다. 아날로그 빔포밍은 빔 탐색을 사용하여 송신기 및 수신기에서 최적의 빔을 찾을 수 있다. 빔 탐색은 빔 사이즈를 좁힘에 따라 그 사이즈뿐만 아니라 정렬 문제가 증가할 수 있는 코드북을 사용할 수 있다. 디지털 빔포밍과 달리, 아날로그 빔포밍은 사용된 단일 RF 체인으로 인해 지향성 이득으로 제한될 수 있다. 아날로그 빔포밍은 또한 고분해능 ADC 또는 DAC의 사용으로 인해 전력이 부족할 수도 있다. 아날로그 빔포밍만으로는 다중 사용자 통신, 간섭 제거 및 다중 빔포밍과 같은 역량의 부족으로 인한 데이터 평면에서의 가장 큰 잠재적인 성능 손실을 더 가질 수 있으며 UE와 eNB 사이의 느린 초기 링크 계층 연결 및 진행중인 동기화와 같은 요인에 의해 야기되는 제어 평면에서의 최대 지연을 더 가질 수 있다.
하이브리드 빔포밍은 단점을 제한하면서, 아날로그 및 디지털 빔포밍 둘 모두의 장점을 제공하기 위해 사용될 수 있다. 더욱이, 적응형 ADC 및/또는 DAC가 통합될 수 있는 하이브리드 아키텍처가 사용될 수 있다. 하이브리드 아키텍처는 다른 것 중에서도, 채널, 간섭, SNR, 및/또는 UE의 개수에 따라서 ADC(DAC)의 분해능을 적응할 수 있다. ADC 및 DAC의 전력 소비는 분해능 비트가 감소함에 따라 기하급수적으로 감소할 수 있기 때문에, 이러한 아키텍처는 저전력 밀리미터파 시스템을 구현할 수 있다.
도 247a 및 도 247b는 일부 양태에 따른 송수신기 구조를 도시한다. 특히, 도 247a는 일부 양태에 따른 mmWave 수신기 아키텍처(또는 수신기 빔포밍 아키텍처)(24700)를 도시한다. mmWave 수신기 아키텍처(24700)는 디지털 빔포밍을 제공할 수 있다. mmWave 수신기 아키텍처(24700)는 도 3e에 도시된 병렬 수신 회로(382)에 통합될 수 있지만, mmWave 수신기 아키텍처(24700)는 그러한 통합으로 제한되지 않는다. 도 247a에 도시된 mmWave 수신기 아키텍처/수신기 빔포밍 아키텍처(24700)는 저잡음 증폭기(LNA)(24712), 믹서(24714), 가변 이득 증폭기(VGA)(24716), 저역 통과 필터(24718), ADC(24732) 및 발진기(24722)를 포함할 수 있다. ADC(24732)는 가변 분해능 ADC(24732)일 수 있다. 가변 분해능 ADC(24732)의 분해능은 34 또는 35 비트 사이에서, 예를 들어 원하는 대로 더 많은 수의 비트 사이에서 변동할 수 있다. 도시된 바와 같이, 수신기 빔포밍 아키텍처(24700)는 복수의 안테나(24702)로부터 RF 신호를 수신할 수 있다. 안테나(24702)로부터의 신호는 결합기(24712)에 공급될 수 있다. 각각의 LNA(24712)로부터 증폭된 신호는 이중 증폭된 신호로 분할된 다음 믹서 쌍(24714)으로 공급될 수 있다. 각각의 LNA(2420)으로부터의 증폭된 출력은 믹서(24714) 중의 중첩하지 않는 상이한 믹서 쌍에 공급될 수 있다. 믹서(24714)는 발진기(24722)로부터의 국부 발진기 신호를 이용하여 RF 신호를 기저대역 또는 중간 주파수(IR) 신호로 하향 변환할 수 있다. 믹서(24714)로부터 하향 변환된 신호 각각은 상이한 VGA(24716)에 제공될 수 있다. VGA(24716)로부터 증폭된 신호는 증폭된 신호를 기저대역으로 필터링하는 저역 통과 필터(24718)로 제공된다. 각각의 안테나(24702)는 단일 쌍의 적응형 분해능 ADC(24732)에 연결될 수 있다. 따라서, ADC(24732)의 총 개수(2Nr)는 수신 안테나의 개수(Nr)의 두 배일 수 있다.
도 247b에는 mmWave 송신기 아키텍처(또는 송신기 빔포밍 아키텍처)(24710)를 도시한다. 송신기 빔포밍 아키텍처(24710)는 디지털 빔포밍을 제공할 수 있다. 송신기 빔포밍 아키텍처(24710)는 예를 들어 전력 증폭기(PA)(24728), 믹서(24714), 가변 이득 증폭기(VGA)(24716), 저역 통과 필터(24718), 가변 분해능 DAC(24734) 및 발진기(24722)(도 247a에 도시된 넘버링임)를 포함할 수 있다. 가변 분해능 DAC(24734)의 분해능은 ADC(24732)와 유사한 방식으로 변동할 수 있다. 도시된 바와 같이, 송신기 하이브리드 빔포밍 아키텍처(24710)는 DFE(도시되지 않음)로부터 디지털 신호를 수신할 수 있다. DFE로부터의 디지털 신호는 DAC(24734)에 공급될 수 있으며, DAC(24734)에서 신호는 아날로그 신호로 변환될 수 있다. 각 DAC(24734)로부터의 아날로그 신호는 저역 통과 필터(24718)에 제공될 수 있고, 저역 통과 필터(24718)는 VGA(24716)에 의해 증폭하기 전에 신호를 기저대역으로 필터링할 수 있다. 그런 다음 VGA(24716)로부터 증폭된 신호는 발진기(24722)로부터 국부 발진기 신호가 공급되는 믹서(24714)를 이용하여 RF 주파수(mmWave)로 상향 변환될 수 있다. 대응하는 쌍의 믹서(24714)로부터의 RF 신호는 LNA(24712)(또는 PA)에 의해 증폭된 다음 복수의 안테나(24702)에 제공될 수 있다. 각각의 안테나(24702)는 단일 쌍의 적응형 분해능 DAC(24734)에 연결될 수 있다. 따라서, DAC(24734)의 총 개수(2Nt)는 송신 안테나의 총 개수(Nt)의 두 배일 수 있다.
도 248a 및 도 248b는 일부 양태에 따른 송수신기 구조를 도시한다. 특히, 예시적인 mmWave 송신기 아키텍처(또는 송신기 빔포밍 아키텍처)(3510)는 도 248a에 도시된다. 송신기 빔포밍 아키텍처(24810)는 아날로그 빔포밍을 제공할 수 있다. 송신기 빔포밍 아키텍처(24810)는 예를 들어 전력 증폭기(PA)(24828), 믹서(24814), 가변 이득 증폭기(VGA)(24816), 저역 통과 필터(24818)(도 248b에 도시된 넘버링), 가변 분해능 DAC(24834), 위상 변위기(24824), 분리기(24828) 및 발진기(24822)를 포함할 수 있다. 도시된 바와 같이, 송신기 하이브리드 빔포밍 아키텍처(24810)는 DFE(도시되지 않음)로부터 디지털 신호를 수신할 수 있다. DFE로부터의 디지털 신호는 DAC(24834)에 공급될 수 있으며, DAC(24834)에서 신호는 아날로그 신호로 변환될 수 있다. DAC(24834)로부터의 아날로그 신호는 분리기(24828)에 공급될 수 있으며, 분리기(24828)는 신호를 아날로그 신호 쌍으로 분리할 수 있다. 그 다음 아날로그 신호의 각 쌍은 위상 변위기(24724)에 제공될 수 있다. 각 위상 변위기(24724)로부터의 위상 변위된 신호는 저역 통과 필터(24818)에 제공될 수 있고, 저역 통과 필터(24718)는 한 쌍의 VGA(24816)에 의한 증폭 이전에 아날로그 신호를 기저대역으로 필터링할 수 있다. 그런 다음 VGA(24816)로부터 증폭된 신호는 발진기(24822)로부터 국부 발진기 신호가 공급되는 한 쌍의 믹서(24814)를 이용하여 RF 주파수(mmWave)로 상향 변환될 수 있다. 그 다음 믹서 쌍(24814)으로부터의 RF 신호는 PA(24828)에 의해 증폭된 다음 복수의 안테나(24802)에 제공될 수 있다.
도 248b는 일부 양태에 따른 mmWave 수신기 아키텍처(또는 수신기 빔포밍 아키텍처)를 도시한다. mmWave 수신기 아키텍처(24800)는 아날로그 빔포밍을 제공할 수 있다. 도 248a에 도시된 mmWave 수신기 아키텍처/수신기 빔포밍 아키텍처(24800)는 저잡음 증폭기(LNA)(24812), 믹서(24814), 가변 이득 증폭기(VGA)(24816), 저역 통과 필터(24818), 위상 변위기(24828), 결합기(24718), ADC(24832) 및 발진기(24822)를 포함할 수 있다. mmWave 수신기 아키텍처(24700)에서와 같이, ADC(24832)는 가변 분해능 ADC일 수 있다. 수신기 빔포밍 아키텍처(24800)는 복수의 안테나(24802)로부터 RF 신호를 수신할 수 있다. 안테나(24802)로부터의 신호는 결합기(24812)에 공급될 수 있다. 각각의 LNA(24812)로부터의 증폭된 신호는 이중 증폭된 신호 신호로 분할된 다음 믹서 쌍(24814)으로 공급될 수 있다. 각각의 LNA(24812)으로부터의 증폭된 출력은 믹서(24814) 중의 중첩하지 않는 상이한 믹서 쌍에 공급될 수 있다. 믹서(24814)는 발진기(24822)로부터의 국부 발진기 신호를 이용하여 RF 신호를 기저대역 또는 중간 주파수(IF) 신호로 하향 변환할 수 있다. 믹서(24814)로부터 하향 변환된 신호 각각은 상이한 VGA(24816)에 제공될 수 있다. VGA(24816)로부터 각각의 증폭된 신호는 증폭된 신호를 기저대역으로 필터링하는 저역 통과 필터(24818)에 제공된다. mmWave 수신기 아키텍처(24700)에서와 같이 다수의 ADC에 제공되기 보다는, 대응하는 필터 쌍(24818)으로부터의 신호가 위상 변위기(24824)에 공급될 수 있다. 위상 변위기(24226)는 대응하는 안테나로부터 발원하는 각각의 필터링된 신호 쌍의 위상을 조정하는데 사용될 수 있다. 그 다음에 위상 변위기(24824)로부터의 위상 변위된 신호는 결합기(24826)에서 결합되어 단일 ADC(24832) 또는 단일 쌍의 ADC(24832)에 공급될 수 있다.
도 247a 및 도 247b에 도시된 디지털 아키텍처(24700 및 24710) 및 도 248a 및 도 248b에 도시된 아날로그 아키텍처(24800 및 24810)는 대안적으로 선택 가능한 송신기 및 수신기 아키텍처를 제공하도록 병렬로 조합될 수 있다. 도시되지는 않았지만, 스위치가 저역 통과 필터 다음에 배치되어 신호를 필터와 디지털 아키텍처의 위상 변위기 사이에서 직접 또는 가변 분해능 ADC 또는 DAC과 직접 전달할 수 있다. 하이브리드 아키텍처는 송신기에서
Figure pct00035
개 RF 체인 및 Nt 개 안테나를 가질 수 있고, 수신기에서
Figure pct00036
RF 체인 및 Nr 개 안테나를 가질 수 있다. 위와 같이 송신기에서 각 RF 체인은 한 쌍의 적응형 분해능 DAC에 연결될 수 있으며 수신기의 각 RF 체인은 한 쌍의 적응형 분해능 ADC에 연결될 수 있다.
일부 양태에서, 아키텍처는 최적의 전력 소비를 위한 ADC/DAC의 동적 범위 및 유스 케이스를 위한 바람직한 신호 충실도(SNR)를 적응적으로 설정할 수 있다. 일부 양태에서, 예를 들어 동기화, 초기 액세스 및 UE 발견과 같은 제어 평면 통신을 위해 분해능이 감소될 수 있다. 일부 양태에서, 예컨대 SNR 또는 변조 차수가 감소할 때, 채널 조건에 기초하여 분해능이 감소될 수 있다. 반대로, SNR 또는 변조 차수가 증가할 때 분해능이 증가될 수 있다. 실질적인 간섭의 양, 대역 내 또는 인접 채널 중 하나 또는 둘 모두로 인해 높은 동적 범위가 사용될 때도 분해능이 증가될 수 있다. 분해능은 또한 낮은 피크 대 평균 전력비(Peak to Average Power Ratio)(PAPR)에 대응할 수 있는, MU-MIMO에서 eNB에 의해 서빙되는 UE의 수가 감소할 때 감소될 수 있다. 한편, eNB에 의해 서빙되는 다양한 UE가 다양한 SNR을 가질 때 또는 다중화된 UE의 수가 증가할 때(및 더 높은 PAPR을 가질 때), SNR이 낮은 MU-MIMO UE에 미치는 양자화 잡음의 영향을 감소시키기 위해 분해능이 증가될 수 있다. 분해능은 측정된 DC 오프셋, 즉 평균 추정치가 미리 결정된 설정 값(set point)보다 각각 작거나 클 때 더욱 감소 및 증가될 수 있다. 일부 양태에서, 분해능은 예컨대 eNB(또는 액세스 포인트) 탐색 동안 또는 프리앰블 또는 미드앰블(midamble)의 통신 동안 타이밍 조건에 기초하여 감소될 수 있다. 일부 양태에서, 분해능은 NLOS 채널이 증가된 수의 다중 경로 및 그에 따른 더 높은 PAPR을 갖는 경우 감소될 수 있다.
일부 양태에서, 복수의 안테나에서 제 1 세트의 mmWave 빔포밍된 신호를 수신하기 위한 수단은 mmWave 수신기 아키텍처(24700) 및/또는 mmWave 수신기 아키텍처(24800)에 의해 구현될 수 있고, 안테나로부터 제 2 세트의 mmWave 빔포밍된 신호를 송신하기 위한 수단은 송신기 아키텍처(24710) 및/또는 송신기 아키텍처(24810)에 의해 구현될 수 있다. 도시된 바와 같이, 일부 양태에서, 수신기 아키텍처(24700/24800) 및 송신기 아키텍처(24710/24810)는 또한 도시된 바와 같이, 송수신기 전력 소산 제한에 기초하여 다수의 ADC 또는 DAC를 줄이지 않고 수신에 사용되는 ADC 및 송신에 사용되는 DAC의 분해능을 설정하기 위한 수단을 각각 구현할 수 있다. 장치는 ADC 또는 DAC의 분해능에 기초하여 아날로그 신호와 디지털 신호 사이에서 제 1 또는 제 2 세트의 mmWave 빔포밍된 신호를 변환하기 위한 수단을 더 포함할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(24700/24800) 및 송신기 아키텍처(24710/24810)는 또한, 예를 들어 제어기를 통해, mmWave 빔포밍된 신호를 수신 또는 송신하기 위해 하이브리드 빔포밍 아키텍처 중 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처 중 어느 것을 사용할 것인지를 선택하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(24700/24800) 및 송신기 아키텍처(24710/24810)는 또한 아날로그 및 디지털 빔포밍 아키텍처 중 어느 것이 선택되는지에 기초하여, 예를 들어, 유사하게 제어기 및 ADC/DAC를 사용하여, ADC 및 DAC의 분해능을 조정하기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(24700/24800)는 또한 도시된 바와 같이, 안테나로부터 수신된 복소 mmWave 신호를 예를 들어, 결합기(24826)(도 248a)를 통해 결합된 신호로 결합하기 위한 수단 및 결합된 신호를 단일 DAC에 입력으로서 공급하기 위한 수단을 구현할 수 있다.
도 249는 일부 양태에 따른 적응형 분해능 ADC의 전력 소비를 도시한다. 특히, 도 249는 MIMO 다운링크 시스템용 적응형 분해능 ADC의 고유한 빔포밍의 달성 가능한 레이트를 도시한다. 시뮬레이션에서, 송신기에서 Nt = 64 개 안테나이고, 수신기에서 Ntr = 16 개 안테나이며 및 레일리 페이딩 채널이다. 월든의 성능 지수(Walden' s figure of merit)(FOM)(경험적)를 고려하면:
[수학식 3]
Figure pct00037
여기서, fs = 샘플링 주파수, ENOB = 오프셋, 이득 오차, 미분 및 적분 비선형성, 고조파 왜곡, 지터 잡음 등에 기인한 총 비트 수보다 적은 유효 비트 수. MIMO 다운링크 채널의 성능은 다음과 같을 수 있다:
Figure pct00038
여기서, H는 채널이고, x는 입력이며, n은 단위 분산을 갖는 부가적 가우시안 잡음이다. 수신기에서 달성 가능한 레이트는 수치 상호 정보(numerical mutual information)
Figure pct00039
를 계산함으로써 결정될 수 있다. 양자화되지 않은 ADC의 성능은 낮은(1-3) 비트 ADC를 사용하여 거의 달성할 수 있다. 예를 들어, 2 비트 ADC를 사용하면 16QAM 변조 용량에 밀접하게 근접한다. 표 9에서 주어진 바와 같이, 수신기에서 16 개의 안테나가 2 비트 ADC인 경우 총 전력 소비는 25.6 mW일 수 있다. 예를 들어, 8 비트의 고정 ADC 분해능이 16QAM 변조에 사용되면, 전력 소비는 1638.4 mW로 50 배 증가할 수 있다. 따라서, 일부 양태에 따라 적응형 ADC를 사용할 때, 전력 절감은 약 98.4 %가될 수 있다. 그 결과, 변조 순서에 따라, ADC의 분해능이 전력 소비를 줄이기 위해 적응될 수 있다.
Figure pct00040
도 250은 일부 양태에 따른 비트 오류율(bit error rate)(BER) 성능을 도시하는 것으로, 일부 양태에 따라 mmWave 채널에서의 적응형 분해능 ADC의 코딩되지 않은 비트 오류율(BER) 성능을 보여준다. 도 250에 도시된 바와 같이, 양자화되지 않은 ADC를 포함하는 수신기의 성능은 저 비트 ADC를 사용함으로써 거의 달성될 수 있다.도 251은 일부 양태에 따른 빔포밍된 mmWave 신호를 통신하는 예시적인 방법(25100)을 도시한다. 방법은 도 247 내지 도 248에 도시된 하이브리드 아키텍처에 의해 수행될 수 있다. 동작(25102)에서, 방법(25100)은 mmWave 신호가 통신될지를 결정할 수 있다. mmWave 신호는 LOS 또는 NLOS 채널을 통해 통신될 수 있는 빔포밍된 MIMO 신호일 수 있다.
mmWave 신호는 동작(25104)에서 수신되거나 동작(25118)에서 송신될 수 있다. mmWave 신호는 하이브리드 아날로그/디지털 빔포밍 아키텍처와 연결된 복수의 안테나를 통해 통신될 수 있다. 하이브리드 아날로그/디지털 빔포밍 아키텍처는 mmWave 빔포밍 신호를 수신 및/또는 송신하는데 사용될 수 있다.
동작(25104)에서 mmWave 신호가 수신된 이후, 동작(25106)에서 수신 중에 사용된 하나 이상의 ADC의 분해능이 설정될 수 있다. 아날로그 도메인에서, 안테나로부터 출력되는 복소(I/Q)는 결합된 다음에 단일 ADC에 제공될 수 있다. 디지털 도메인에서, 각 안테나마다 두 개의 ADC(하나는 I, 다른 하나는 Q)가 있을 수 있다.
유사하게, 동작(25118)에서 mmWave 신호를 송신하기 전에, 동작(25114)에서 송신 중에 사용되는 하나 이상의 DAC의 분해능이 설정될 수 있다. 아날로그 도메인에서, 안테나로부터 출력되는 복소(I/Q) 신호가 분리된 다음 단일 DAC에 제공될 수 있다. 디지털 도메인에서, 각 안테나마다 35 개 DAC(하나는 I, 다른 하나는 Q)가 있을 수 있다. mmWave 신호가 수신 또는 전송 되든, 분해능은 송수신기 전력 손실 제한에 기초할 수 있다. 전력 감소로 인해 하이브리드 아키텍처에 제공되는 또는 변환 프로세스 중에 사용되는 ADC 또는 DAC의 개수를 줄이지 않을 수 있다.
단계(25106)에서 ADC의 분해능이 설정된 이후, 단계(25108)에서 mm Wave 빔포밍 신호가 디지털 신호로 변환될 수 있다. 변환된 신호는 기저대역 프로세서에서 예를 들어, 대역 내 또는 인접 채널 간섭을 보상하기 위해 처리될 수 있다. 일부 양태에서, 분해능은 mmWave 빔포밍 신호가 송신 또는 수신되는지에 따라 또는 아날로그 및 디지털 빔포밍 아키텍처 중 어느 것이 선택되는지에 따라 달라질 수 있다.
유사하게, 단계(25114)에서 DAC의 분해능이 설정된 이후, 단계(25116)에서 mmWave 빔포밍된 신호가 안테나를 통해 송신하기 위해 디지털 신호로 변환될 수 있다. 디지털 신호는기저대역 프로세서로부터 공급될 수 있다.
일부 양태에서, ADC 또는 DAC의 분해능은 하나 이상의 인자에 달려 있을 수 있으며, ADC/DAC의 동적 범위 및 인자에 따라, 단일의 이진 변경(증가/감소) 또는 복수의 변경을 구현할 수 있다. 인자는 예를 들어, 신호 유형(제어/데이터), 신호 품질(예를 들어, SR), 변조(예를 들어, 16QAM), (eNB가) 서빙하는 UE 수 또는 mmWave 빔포밍된 신호와 연관된 동작을 포함할 수 있다. 일부 양태에서, 측정된 DC 오프셋이 미리 결정된 설정 값보다 작을 때 또는 (신호 로드와 반대로서) 신호의 프리앰블 또는 미드앰블 동안, SNR/변조 차수/UE(낮은 PAPR)의 수의 감소에 따라, 동기화, 초기 액세스, UE 발견 또는 eNB 탐색을 위한 분해능은 감소될 수 있다. 다른 한편, 분해능은 SNR 또는 변조 차수의 증가, 간섭으로 인해 사용되는 높은 동적 범위, 하이브리드 구조가 배치된 eNB와 통신하는 UE가 (SNR이 낮은 UE에 미치는 양자화 잡음의 영향을 줄이기 위해 사용될 수 있는) 다양한 SNR을 갖는 것, 측정된 DC 오프셋이 미리 결정된 설정 값보다 큰 것의 결과로서; 및 증가하는 다중화된 UE(더 높은 PAPR)에 따라 또는 NLOS 채널에서 증가하는 다중 경로(더 높은 PAPR)의 개수에 따라 증강될 수 있다.
아날로그 및 하이브리드 빔포밍 구조에서의 위상 변위기의 전력 소비가 위상 변위기의 분해능에 따라 증가함에 따라, 저비트 위상 변위기가 저출력 mm 웨이브 시스템용으로 매력적이다. 그러나, 경우에 따라, 저비트 위상 변위기는 높은 게이팅 로브(gating lobe), 메인 빔에서의 전력 손실 및 양자화 잡음으로 인한 빔 조향 각도 오차를 야기할 수 있다. 빔 조향에 사용되는 각도를 포함하는 코드북을 위한 메모리 사이즈가 감소될 수 있다. 감소된 코드북은 서브배열 안테나(아날로그 또는 하이브리드 빔포밍)에 최적한 위상 값의 결정과 관련하여 사용될 수 있다.
도 252a 및 도 252b는 일부 양태에 따른 송수신기 구조를 도시하는 것으로, 특히, 도 252a는 일부 양태에 따른 mmWave 수신기 아키텍처(또는 수신기 빔포밍 아키텍처)(25200)를 도시한다. mmWave 수신기 아키텍처/수신기 빔포밍 아키텍처(25200)는 아날로그 빔포밍을 제공할 수 있다. 도 252a에 도시된 수신기 빔포밍 아키텍처(25200)는 저잡음 증폭기(LNA)(25212), 믹서(25214), 가변 이득 증폭기(VGA)(25216), 저역 통과 필터(25218), 위상 변위기(25224), 결합기(25226), ADC(25232) 및 발진기(25222)를 포함할 수 있다. 수신기 빔포밍 아키텍처(25200)는 복수의 안테나 요소(25202)로부터 RF 신호를 수신할 수 있다. 안테나(25202)로부터의 신호는 LNA(25212)에 공급될 수 있다. 각각의 LNA(25212)로부터의 증폭된 신호는 두 부분의 증폭된 신호로 분할된 다음 한 쌍의 믹서(25214)에 공급될 수 있다. 각각의 LNA(25212)으로부터의 증폭된 출력은 중첩하지 않는 상이한 믹서(25214)에 공급될 수 있다. 믹서(25214)는 발진기(25222)로부터의 국부 발진기 신호를 이용하여 RF 신호를 기저대역 또는 중간 주파수(IR) 신호로 하향 변환할 수 있다. 믹서(25214)로부터 하향 변환된 신호 각각은 상이한 VGA(25216)에 제공될 수 있다. VGA(25216)로부터 각각의 증폭된 신호는 증폭된 신호를 기저대역으로 필터링하는 저역 통과 필터(25218)에 제공된다.
디지털 수신기 아키텍처에서와 같이 다수의 ADC에 제공되기 보다는, 대응하는 필터 쌍(25218)으로부터의 신호는 위상 변위기(25224)에 공급될 수 있다. 위상 변위기(25224)는 대응하는 안테나로부터 발원하는 각각의 필터링된 신호 쌍의 위상을 조정하는데 사용될 수 있다. 그 다음 위상 변위기(25224)로부터의 위상 변위된 신호는 결합기(25226)에서 결합되어 단일 ADC(25232) 또는 단일 쌍의 ADC(25232)에 공급될 수 있다. 단지 한 세트의 위상 변위기(25224)가 도시되지만, 다수의 세트가 사용될 수 있다. 이러한 세트는 RF 및 기저대역용의 일차 위상 변위기 및 IF용의 이차 위상 변위기 및 디지털 위상 변위기를 포함할 수 있다.
예시적인 mmWave 송신기 아키텍처(또는 송신기 빔포밍 아키텍처)(25210)는 도 252b에 도시된다. 송신기 빔포밍 아키텍처(25210)는 아날로그 빔포밍을 제공할 수 있다. 송신기 빔포밍 아키텍처(25210)는 예를 들어 전력 증폭기(PA)(25230), 믹서(25214), 가변 이득 증폭기(VGA)(25216), 저역 통과 필터(25218), 가변 분해능 DAC(25234), 위상 변위기(25224), 분리기(25228) 및 발진기(25222)를 포함할 수 있다. 도시된 바와 같이, 송신기 빔포밍 아키텍처(25210)는 DFE(도시되지 않음)로부터 디지털 신호를 수신할 수 있다. DFE로부터의 디지털 신호는 DAC(25234)에 공급될 수 있으며, DAC(24834)에서 신호는 아날로그 신호로 변환될 수 있다. DAC(25234)로부터의 아날로그 신호는 분리기(25228)에 공급될 수 있으며, 분리기(24828)는 신호를 아날로그 신호 쌍으로 분리할 수 있다. 그 다음 아날로그 신호의 각 쌍은 위상 변위기(25224)에 제공될 수 있다. 각각의 위상 변위기(25224)로부터의 위상 변위된 신호는 저역 통과 필터(25218)에 제공될 수 있고, 저역 통과 필터(24718)는 한 쌍의 VGA(25216)에 의해 증폭되기 전에 아날로그 신호를 기저대역으로 필터링할 수 있다. 그런 다음 VGA(3916)로부터 증폭된 신호는 발진기(25222)로부터 국부 발진기 신호가 공급되는 한 쌍의 믹서(25214)를 이용하여 RF 주파수(mmWave)로 상향 변환될 수 있다. 그 다음 믹서 쌍(25214)으로부터의 RF 신호는 PA(25230)에 의해 증폭된 다음 복수의 안테나 요소(25202)에 제공될 수 있다.
아날로그 빔포밍은 위상 변위기를 사용하여 하나의 RF 체인만으로 출력 빔을 형상화할 수 있다. 도 252a 및 도 252b의 송수신기 아키텍처(즉, 아키텍처(25200, 25210)의 안테나 요소는 고정된 미리 정의된 패턴으로 배열될 수 있고, 전체 안테나 배열는 서브배열 안테나로 분할될 수 있다. 각각의 안테나 요소는 단일 아날로그 위상 변위기에 연결될 수 있기 때문에, 코드북 엔트리로서 알려진 각 안테나 요소마다 한 세트의 고유한 위상 변위 값이 고유한 신호 빔 방향을 정의할 수 있다. 송수신기에 의해 지원되는 모든 코드북 엔트리 세트는 송수신기에 미리 로드될 수 있는 코드북에 배열될 수 있다. 아날로그 빔포밍은 빔 탐색을 사용하여 송신기 및 수신기에서 최적의 빔을 찾을 수 있다. 빔 탐색에 사용되는 코드북의 사이즈 및 이에 따른 사용된 메모리는 빔 사이즈를 좁힘에 따라 증가할 수 있다.
위상 변위기의 전력 소비가 위상 변위기의 분해능에 따라 증가하므로, 도 252a 및 도 252b에 도시된 송수신기의 전력 소비를 줄이기 위해, 낮은(1-3) 비트 위상 변위기가 사용될 수 있다. 그러나, 경우에 따라, 저비트 위상 변위기는 높은 격자 로브(grating lobe), 주 빔에서의 전력 손실 및 양자화 잡음으로 인한 빔 조향 각도 오차가 생기게 하는 것을 비롯한 여러 유해한 영향을 미칠 수 있다. 이를 위해, 저비트 위상 변위기를 갖는 저전력 위상 배열 통신 시스템을 위한 일차 및 이차 위상 변위기 모두에 새로운 코드 북이 사용될 수 있다. 최적의 빔 조정의 특성을 이용하여, 코드북에 사용된 메모리 사이즈가 감소될 수 있고, 감소된 코드북을 사용하여 서브배열 안테나에 최적한 위상 값이 발견될 수 있다. 코드북 사이즈의 감소 이외에, 코드북은 최소의 메인 빔 전력 손실, 더 작아진 격자 로브 전력(및 그리하여 낮아진 간섭) 및 더 좋아진 빔 조향 정확도를 가져올 수 있다. 코드북은 도 3a에 도시된 프로토콜 프로세싱 회로(305) 및/또는 도 3d에 도시된 무선 체인 회로(372)에 통합될 수 있지만, 코드북은 그러한 통합으로 제한되지 않는다.
도 253은 일부 양태에 따른 배열 구조(25300)를 도시한다. 배열 구조(25300)는 아날로그 또는 하이브리드 빔포밍 아키텍처에서 사용될 수 있다. 배열 구조(25300)는 서브배열 구조를 갖는 균일한 선형 배열를 포함할 수 있다. 다른 양태에서, 도 253의 배열은 3 차, 4 차 등의 서브배열 구조로 확장될 수 있다. 도 253에서, 각각의 연속적인 서브배열에서 M 개의 이차 위상 변위기(IF 위상 변위기, 디지털 위상 변위기 등)(25314) 및 L개의 일차(저비트, 예를 들어 39-3 비트) 위상 변위기(25312)가 있다면, 빔 조향 각도(φ)는 다음과 같이 작성될 수 있다.
[수학식 4]
Figure pct00041
여기서
Figure pct00042
Figure pct00043
은 각각 안테나 요소에 대한 일차 위상 변위기 값 및 서브 배열(j)에 대한 이차 위상 변위기 값이다. 또한,
Figure pct00044
는 파 수이고 λ는 파장이고, d는 각 안테나 요소 사이의 거리이다. 일반성을 잃지 않고,
Figure pct00045
. 본 명세서에서 설명된 계산은 아날로그 또는 하이브리드 빔포밍 아키텍처의 기저대역 프로세서와 같은 프로세서에 의해 수행되고, 안테나의 조향 각도를 생성하는데 사용되는 코드북으로서 저장될 수 있다는 것을 주목해야 한다.
이러한 접근법에서, 위상 변위기(25312, 25314)의 위상 값(둘 모두 IF 및 RF)은 이들의 서로에 대한 상대 위치에 기초하여 점진적으로 증가될 수 있다. 즉,
Figure pct00046
이고,
Figure pct00047
, 여기서 θ 및 φ는 점진적인 위상 값이다. 그러나, 격자 로브가 출현할 수 있다. 도 254는 일부 양태에 따라서 격자 로브의 시뮬레이션을 도시한다. 이것은 이차 위상 변위기(25314) 사이의 거리가
Figure pct00048
이라는 사실로 인한 것일 수 있다. 격자 로브의 개수는
Figure pct00049
와 동이하다는 것을 알아야 한다.
일부 양태에서, 안테나의 빔 조향에 사용되는 코드북의 사이즈를 안테나가 조향되는 조향 각도의 서브세트로 제한하기 위한 수단은 수신기 아키텍처(25200) 및/또는 송신기 아키텍처(25210)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(25200) 및/또는 송신기 아키텍처(25210)는 또한 안테나를 조정할 조향 각도의 서브세트 이외의 특정 조향 각도를 결정하기 위한 수단, 특정 조향 각도에 대응하는 조향 각도의 서브 세트 내에서 제한된 조향 각도를 결정하기 위한 수단, 제한된 조향 각도를 특정 조향 각도로 변위할 변위 값을 결정하기 위한 수단 및 제한된 조향 각도 및 변위 값을 예를 들어, 제어기를 통해 적용함으로써 안테나를 조향하기 위한 수단을 구현할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(25200) 및/또는 송신기 아키텍처(25210)는 또한 제한된 조향 각도 값을 복수의 일차 위상 변위기에 적용하여 안테나를 제한된 조향 각도로 조정하기 위한 수단 및 변위 값을 복수의 이차 위상 변위에 적용하여 제한된 조향 각도를 특정 조향 각도로 변위하기 위한 수단, 및/또는 특정 조향 각도가 제한된 조향 각도에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 약 180° 변위 값 부근의 변위 값을 반영하여 설정되는지를 표시하는 일원화된 승수(unitary multiplier)를 적용하기 위한 수단 중의 하나 이상을 구현할 수 있다.
도 254에 도시된 바와 같이, 격자 로브의 개수는
Figure pct00050
와 동일하다. 알 수 있는 바와 같이, 제 1 격자 로브의 전력은 제 1 사이드 로브보다 높다. 이것은 다른 UE에 높은 간섭을 일으키고 메인 빔의 전력을 감소시킬 수 있다. 최적화는 메인 로브의 전력을 증가시키고 격자 로브를 감소시키는데 사용될 수 있다. 배열 인자를 사용하여, 조향 각도(φ)에 대한 최적화 문제는 다음과 같이 작성될 수 있다.
Figure pct00051
여기서, bp 및 bs는 이차 및 일차 위상 변위기의 분해능 비트이다. 위에서 주어진 최적화 문제는 비결정론적 다항 시간(non-deterministic polynomial-time)(NP)-하드 혼합 정수(hard mixed integer) 프로그램일 수 있다. 또한, 목적 함수는 볼록하지 않을 수 있으며 일차 위상 변위기에 대해서만 2LM 개의 가능한 해를 가질 수 있다.
목적 함수의 최대치는 목표 함수
Figure pct00052
Figure pct00053
의 항이
Figure pct00054
에 대해 상수일 때 달성될 수 있다. 하나의 특수 사례는 최적성을 잃지 않고
Figure pct00055
Figure pct00056
이다. 그 다음에, 최적화 문제는 다음과 같이 달리 표현될 수 있다:
[수학식 5]
Figure pct00057
다음으로, 탐색 공간의 사이즈는 양자화된 위상 변위기의 특성을 이용하여 감소될 수 있다. (4)에서 주어진 배열 인자로 되돌아가서 최적 일차
Figure pct00058
및 이차
Figure pct00059
위상 변위기 벡터가 빔 각도(φ)에 대해 구해졌다고 가정한다. 즉,
Figure pct00060
그러면, 위의 수학식은 다음과 같이 수정될 수 있다.:
Figure pct00061
여기서
Figure pct00062
는 bp 비트 일차 위상 변위기로 인한 것이다. 위의 수학식에서 주어진 지수 항이 비교될 때:
Figure pct00063
여기서
Figure pct00064
이며,
Figure pct00065
이다. 결과적으로,
Figure pct00066
사이의 조향 각도에 대한 최적 코드북을 알고 있으면, 조향 각도 최적의 일차 및 이차 위상 값은 모든 조향 각도 0 < φ ≤ 90° 대해 결정될 수 있다.
[수학식 6]
Figure pct00067
도 255는 3-비트 일차 위상 변위기에 대한 최적의 일차 및 이차 위상 값의 결정의 예를 제공하는, 일부 양태에 따른 최적한 위상 값의 시뮬레이션을 도시한다. 도시된 바와 같이, 위상 배열 시스템이 예를 들어, 75.5°와 90°(음영 영역) 사이의 조향 각도에 최적한 코드북을 갖고 있다면, 화살표 사이의 여덟 영역에 대한 최적의 코드워드가 계산될 수 있다. 또한, 배열 인자의 백로브(backlobe)를 사용하면, 코드북 사이즈는
Figure pct00068
로 더 감소될 수 있다. 그런 다음, 일원화된 승수가 사용될 수 있다. 최적한 일차 및 이차 위상 값에 간단히 -1을 곱함으로써, 조향각(180 - φ)가 얻어질 수 있다. 즉,
Figure pct00069
제 1 및 제 2 위상 변위기는 동일한 비트 수를 가질 수 있거나 다른 양태에서는 상이한 비트를 가질 수 있다. 따라서, 일차 위상 변위기는 빔 조향이 발생할 영역을 결정하고 이차 위상 변위기는 선택된 영역 내에서 빔 조향 각도가 어디에 위치 하는지를 결정한다.
도 256은 4-비트 일차 위상 변위기에 대한 최적의 일차 및 이차 위상 값의 결정의 예를 제공하는, 일부 양태에 따른 최적한 위상 값의 다른 시뮬레이션을 도시한다. 도시된 바와 같이, 위상 배열 시스템이 82.81°와 90° 사이의 조향 각도 (음영 영역)에 대한 최적의 코드북을 갖는다면, 최적한 위상 값에 -1을 곱함으로써 음영 영역의 RHS에 대한 최적의 코드 워드가 계산될 수 있다. 이이서 최적한 위상 값은 수학식 (6)을 사용하여 모든 열여섯 개의 영역에 대해 결정될 수 있다. 일차 및 이차 위상 변위기에 대한 설정은 초기 트레이닝 시퀀스에서 설정될 수 있으며, 예를 들어 마지막 트레이닝 세션으로부터 경과된 미리 결정된 양의 시간에 기초하여 주기적으로 업데이트될 수 있다.
결과적으로, 코드북 사이즈는 조향 각도
Figure pct00070
를 결정하는 것으로 줄어들 수 있다. 이어서 메인 빔은 간단한 점진적인 위상 변경을 이용하여 임의의 원하는 각도로 조향될 수 있다. 이러한 속성은 조향 각도
Figure pct00071
에 대응하는 코드북의 저장을 가능하게 하고, 신속하게 조향 각도를 스위칭하게 한다.
메모리 사이즈 감소로 전환하면, 41-비트 일차 위상 변위기 및 12 비트 이차 위상 변위기에 대한 예가 제공된다. M = 8, L = 4라고 가정한다. 82.81° <φ* ≤ 90°에서 0.5°의 빔 분해능의 경우, 메모리 사이즈는 통상의 43 kb 대신 2.69 kb가 될 수 있어, 코드북 사이즈가 93.7 % 줄어든다. 또한, 코드북은 조향 각도
Figure pct00072
에 대해서만 최적화될 수 있다. φ가
Figure pct00073
로 제한될 수 있기 때문에, 제 1 서브배열에 ㄷ대한 일차 위상 변위기 값은
Figure pct00074
Figure pct00075
사이로 제한될 수 있다. 예컨대, L=4이고 bp=3 의 경우, 일차 위상 값은 다음의 행렬의 행 중 하나의 행으로 제한될 수 있다.
Figure pct00076
m차 서브행렬에 대한 일차 위상 변위기의 값은 행렬(T+45ml)의 행 중 하나일 수 있는데,
Figure pct00077
, 즉, T는 45ml 씩 변위된다. 따라서, (5)에서 최적화 문제는 다음으로 줄어들 수 있다.:
Figure pct00078
도 257은 일부 양태에 따른 위상 변위기의 프로세스를 도시한다. 두 개의 서브배열, M = 2, L = 4, 3-비트 일차 위상 변위기에 대해 조향 각도가 85 °인 경우의 프로세스의 예시가 도 257에 제공된다. 각각의 서브 배열에 대해, 프로세스는 행렬(T)로부터 하나의 행을 선택하고, 이상적인 위상과 양자화된 위상 사이의 거리가 최소화되도록 최적한 이차 위상 변위기 값을 찾는다. 도시된 바와 같이, 최적의 위상 값은 일반적으로 이상적인 위상 값 주위에서 조절한다.
도 258은 85°의 조향 각도의 경우 이상적인 위상 값 및 양자화된 위상 값을 보여주는, 일부 양태에 따른 위상 값 결정을 도시한다. 위와 유사하게, 도 258은 두 개의 서브배열, M = 2, L = 4, 3-비트 일차 위상 변위기 및 무한 분해능 이차 위상 변위기에 대해 제공된다. 알 수 있는 바와 같이, 최적화된 위상 값은 안테나 인덱스에 대한 이상적인 값에 더 가깝다.
일부 양태에서, 프로세스는 다음과 같을 수 있다:
Figure pct00079
먼저, 조향 각도
Figure pct00080
Figure pct00081
를 다음을 이용하여 찾는다:
Figure pct00082
그 다음, 각도(φS)에 대한 위상 변위기 값을 위에서 얻은 φ의 코드북을 이용하여 다음과 같이 계산한다:
Figure pct00083
또는
Figure pct00084
M = 8, L = 4 2-비트 일차 위상 변위기 분해능 및 무한 분해능 이차 위상 변위기에 대한 성능의 예가 아래에 제공된다. 위의 프로세스를 간단한 양자화와 비교하면 다음과 같다:
Figure pct00085
여기서 φ는 조향 각도이고 m은 안테나 인덱스이며, Q(.)는 b-비트 양자화기이다. 도 259는 일부 양태에 따른 성능 비교를 도시한다. 구체적으로, 도 259는 최적화된 코드북과 간단한 양자화 간의 성능의 비교를 도시한다. 도시된 바와 같이, 최적화된 코드북은 간단한 양자화를 사용하는 것보다 0.5 dB 더 많은 이득 및 더 적은 격자 로브 전력을 갖는다.
도 260은 일부 양태에 따른 다른 성능 비교를 도시한다. 특히, 도 260은 메인 빔의 전력 손실을 도시한다. 알 수 있는 바와 같이, 메인 빔 전력 손실은 단순 양자화 접근법과 비교하여, 최적화된 코드북이 사용될 때 조향 각도가 90°에서부터 움직이므로 상대적으로 느리게 증가한다. 간단한 양자화 접근법을 사용하면 90° 근처에서 급격한 저하가 발생하고 그러면 상대적으로 일정한 전력 손실이 발생한다. 최적화된 코드북은 또한 더 나은 빔 조향 정밀도를 가질 수도 있다.
도 261은 일부 양태에 따른 통신 디바이스에서 빔 조향을 제공하는 방법(26100)을 도시한다. 방법은 도 252a-도 252b 및 도 253에 도시된 것과 같은 아날로그 또는 하이브리드 아키텍처에 의해 수행될 수 있다. 동작(26102)에서, 방법(26100)은 안테나의 빔 조향에 사용되는 코드북의 사이즈를 안테나가 조향되는 조향 각도의 서브 세트로 제한할 수 있다. 안테나는 mmWave 신호를 빔 조향하는데 사용될 수 있다. 일부 양태에서, 코드북은
Figure pct00086
사이의 조향 각도로 제한될 수 있는데, 여기서 bp는 각 일차 위상 변위기의 비트 수이다. 일부 양태에서, 코드북은
Figure pct00087
사이의 조향 각도로 제한될 수 있다.
동작(26104)에서, 프로세싱 회로는 안테나를 조향할 원하는 조향 각도를 결정할 수 있다. 프로세싱 회로는 원하는 조향 각도가 조향 각도의 서브 세트 내에 있거나 조향 각도의 서브세트 밖에 있다고 결정할 수 있다.
동작(26104)에서 원하는 조향각을 결정한 후, 동작(26106)에서, 프로세싱 회로는 조향 각도의 서브세트 내에서 제한된 조향 각도를 결정할 수 있다. 제한된 조향 각도는 각각의 안테나의 각각의 위상 변위기에 제어 신호를 인가하는데 사용될 수 있다. 각각의 안테나를 제어하는데 사용되는 값은 다른 안테나에 사용되는 값과 독립적일 수 있다. 제한된 조향 각도는 원하는 조향 각도에 대응할 수 있다. 일차 위상 변위기 값은
Figure pct00088
Figure pct00089
사이로 제한될 수 있고, 여기서 L은 일차 위상 변위기의 개수이다. 일부 양태에서, 제한된 조향각도의 값의 범위는 특정 조향 각도가 제한된 조향 각도 및 변위 값(+1)에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 거의 180°(-1)의 변위 값의 반영에 의해 설정되는지를 표시하는 일원화된 승수(+1/-1)를 사용함으로써 더 제한될 수 있다.
동작(26106)에서 제한된 조향 각도를 결정한 후에, 프로세싱 회로는 동작(26108)에서 변위 값을 결정할 수 있다. 변위 값은 제한된 조향 각도를 원하는 조향 각도로 변위하는데 사용되는 값일 수 있다. 각각의 이차 위상 변위기는 변위 값을 적용할 수 있다. 이것은 제한된 조향 각도의 영역을 적절한 영역으로 변위시킬 수 있다. 일부 양태에서, 변위 값은 양 및 음의 값을 취할 수 있거나, 또는 이차 위상 변위기의 총 비트 수에 의해 제공된 값에 대응하는 양의 값을 취할 수 있다. 동작(26104 및 26106)은 원하는대로 임의의 순서로 발생할 수 있다.
제한된 조향 각도 및 변위 값(및 아마도 일원화된 승수)이 결정된 후, 동작(26110)에서, 프로세싱 회로는 일차 및 이차 위상 변위기를 통해 안테나를 원하는 조향 각도로 조정할 수 있다. 결정된 값은 일차 및 이차 위상 변위기에 적용될 수 있다.
전하 펌프(charge pump)는 특정 레벨에서 전력을 생성하는데 사용될 수 있는 DC-DC 변환기이다. 하나 이상의 캐패시터는 에너지를 저장하여 원하는 전력 레벨을 제공하는데 사용되며, 캐패시터는 에너지가 저장될 때 저장 모드에서 전압 소스에 연결되고, 에너지가 소비될 때 사용 모드에서 부하 회로에 연결된다.
도 262a 및 도 262b는 일부 양태에 따른 전하 펌프의 양태를 도시한다. 전하 펌프(26200)는 도 3e에 도시된 무선 체인 회로에 통합될 수 있지만, 전하 펌프(26200)는 그러한 통합으로 제한되지 않는다. 도시된 바와 같이, 전하 펌프(26200)는 제어 로직(26202)으로부터의 하나 이상의 디지털 입력을 사용하여 출력 부하 캐패시터(26206)에서 아날로그 출력 전압을 조절 또는 제어하는 회로일 수 있다. 제어 로직(26202)은 도 262a에 도시된 바와 같이 캐패시터(26206)의 어느 일 측에 배치되고 전압 레일 및 접지에 각각 연결된 전류 소스(26204)에 적용될 수 있다. 제어 로직(26202)은 원하는 전압 단계를 생성하기 위해 전하를 출력 캐패시터(26206)에 주입하는 전류 소스(26204)의 활성화를 담당할 수 있다. 대안적으로, 제어 로직(26202)은 스위치(26208)에 적용될 수 있으며, 캐패시터(26206)는 스위치(26208)와 전류 소스(26204) 중 다른 하나와 연결된 스위치(26208) 사이에 연결된다. 제어 로직(26202)은 클록 트리거형 제어 신호(clock-triggered control signal)를 제공하거나 그렇지 않으면 캐패시터(26206)에 펄스된 전하 주입을 제공하도록 트리거될 수 있다.
전하 펌프(26200)는 적어도 두 개의 일반적인 대안의 목적 또는 애플리케이션의 부류로 사용될 수 있다. 첫 번째 부류의 애플리케이션은 전력 집적 회로(integrated circuit)(IC)에서 발견될 수 있으며 전력 공급 장치의 전압보다 높은 전압을 제공한 다음 시스템 온 칩(System-on-a-Chip)(SoC)에 내부적으로 높은 공급 전압을 생성한다. 두 번째 부류의 애플리케이션은 더 광범위할 수 있으며 공급 레일 내에서 전압을 생성하는 것을 목표로 한다. 후자 부류의 애플리케이션은 클록 또는 다른 디지털 제어 레이트로 미세하게 제어될 수 있다.
도 263은 일부 양태에 따른 전하 펌프(26300)의 양태를 도시한다. 전하 펌프(26300)는 위에서 언급한 두 번째 부류의 애플리케이션에 사용될 수 있다. 전하 펌프(26300)는 비교기 또는 위상 주파수 검출기와 같은 기본 회로 또는 통신 디바이스의 프론트 엔드에 있는 빌딩 블록을 나타내는 주파수 신시사이저 또는 ADC와 같은 더 큰 시스템에서 제공될 수 있다. 전하 펌프(26300)는 예를 들어, 무선 표준을 위한 mmWave 송수신기 등과 같은 고속 송수신기에 포함될 수 있다.
전력 IC의 전하 펌프는 고주파에서 이용되고 조정 가능한 클록으로 이용되어 전하 이동 및 동작 주파수에 사용되는 합리적인 사이즈의 총합 캐패시턴스 내에서 출력 전력을 증가시킬 수 있지만, 위상 고정 루프(phase locked loop)(PLL), 주파수 고정 루프(frequency locked loop)(FLL) 또는 ADC와 같은 혼합 신호 애플리케이션에서, 동작 주파수가 회로 동작 레이트(PLL의 기준 클록 및 ADC의 샘플링 클록)로 설정될 수 있기 때문에 동작 주파수는 용이하게 조정될 수 없을 수도 있다. 따라서, 전하 펌프는 애플리케이션에 필요한 주파수 범위 내에서 동작할 수 있다. 또한, SoC에서 무선 표준 및 사물 인터넷(IoT)과 같은 휴대용 애플리케이션에 채택되기 위해서는 특정 기능이 바람직합니다. 본 명세서에 개시된 양태는 SoC 면적 및 전력 효율에 미치는 영향을 피하는 것을 돕기 위해 회로 면적의 관점에서 소형화될 수 있는 전하 펌프를 포함한다. 비교기 및 ADC 전하 펌프는 이들이 면적의 관점에서 및 전력 소비의 관점에서 지배적이지 않을 수도 있는 보조적인 교정 회로의 역할을 하기 때문에 비교기 및 ADC 전하 펌프에 유용할 수 있다.
전하 펌프 토폴로지는 스위치, 전류 생성기 및 캐패시터 이외에, 유한 상태 머신으로부터 발생된 두 개의 입력 신호(UP-DOWN)를 사용할 수 있다. 고속 애플리케이션의 경우, 정밀 전압 조정, 저전력 소비, 소형 면적 및 높은 속도를 갖는 전하 펌프를 설계하려면 여러 가지 고려 사항이 필요할 수 있다. 예를 들어, 빠르고 정확한 응답은 제어 신호와 출력 노드 사이의 용량성 커플링 효과에 영향을 받을 수 있다. 전류 생성기 및 기준 전압은 정적 전력을 소비하고 복잡한 회로를 사용하여 전류 정확성을 보장할 수 있다. 전류 기준을 위한 정확한 전류 미러는 양호한 정합을 위해 대형 디바이스를 사용할 수 있으며, 고도로 확장된 CMOS 프로세스에서 낮고(nA 범위) 정확한 전류의 생성은 누설 현상으로 인해 어려울 수 있다. 미세한 분해능은 매우 낮은 전류 및/또는 큰 출력 캐패시턴스, 예를 들어, 더 많은 면적을 사용할 수 있으며, 사용되는 고속의 낮은 저항 스위치는 스위칭 잡음을 일으킬 가능성이 더 크다. 경우에 따라, 다른 것중에서도, 전하 펌프에 전류 생성기를 사용하지 않는 것이 적절할 수 있다.
도 263의 전하 펌프(26300)는 UE, eNB 또는 AP와 같은 통신 디바이스에 제공될 수 있다. 전하 펌프(26300)는 출력 캐패시터(26320) 상에 원하는 전하를 주입하기 위해 전류 생성기를 사용하는 대신에 용량성 커플링 효과 및 이어서 문턱 값 이하 주입(sub-threshold injection)을 이용할 수 있다. 이것은 정밀한 낮은 전류 발생기 또는 DC 바이어싱 회로를 사용하지 않고도, 단계별 주입된 전하량을 줄일 수 있을 뿐만 아니라 출력 잡음을 제한할 수 있다.
전하 펌프(26300)는 두 개의 제어 신호(UP/DOWN)를 제공할 수 있는 제어 로직(26302)을 포함할 수 있다. 제어 로직(26302)은 통신 디바이스의 기저대역 프로세서 내에 있을 수 있거나 기저대역 프로세서와 분리된 로직일 수 있다. 제어 로직(26302)은 디지털 로직을 통해 한 쌍의 동적으로 구동되는 스위치(26310)와 연결될 수 있다. 동적으로 구동되는 스위치(26310)는 하나 이상의 pMOS(MP) 및 nMOS(MN) 문턱 값 이하 스위치(26312)의 세트에 연결될 수 있다. 스위치 세트(26312)는 미리 설정된 비트가 온 또는 오프되도록 구성될 수 있다. 미리 설정된 비트는 MP2 및 MN2 디바이스(DP 및 DN), 제어 신호의 펄스 폭(ddel, N, ddel, P) 및 출력 캐패시터(Dc)(26320)의 등가 길이를 위한 것일 수 있다. 임의의 수의 스위치(26312)가 사용될 수 있으며, 스위치(26312)의 수가 증가함에 따라 최종의 결과적인 출력 전압의 변화가 더 미세해진다. 일부 양태에서, 다른 것 중에서도, 전력 고려 사항 때문에 하나 내지 다섯 개의 스위치(26312)가 사용될 수 있다.
일부 양태에서, 미리 설정된 비트가 디바이스 테스트 동안 미리 프로그래밍되고 통신 디바이스의 비휘발성 메모리에 저장될 수 있다. 일부 양태에서, 전하 펌프(26300)의 교정(및 이에 따른 미리 설정된 비트의 값)은 통신 디바이스의 파워 업 시퀀스 동안 및/또는 통신 디바이스가 동작 중일 때 백그라운드에서 결정될 수 있다. 통신 디바이스는 특정 횟수의 사이클 이후에 및/또는 온도의 함수로서 전하 펌프(26300)를 재교정할 수 있다. 용량성 커플링 및 문턱 값 이하 전류는 온도에 따라 달라질 수 있으므로 상이한 온도에 대해 교정이 이루어질 수 있다. 일부 양태에서, 미리 설정된 비트의 상이한 값이 메모리에 저장될 수 있으며, 각 세트의 미리 설정된 비트가 전하 펌프(26300)의 상이한 온도에서 사용될 수 있다. 상이한 세트의 미리 설정된 비트는 특정 온도에 도달될 때 또는 전하 펌프(26300)의 온도 변화가 미리 결정된 문턱값을 충족할 때 사용될 수 있다.
타이밍 회로(26316)는 제어 로직(26302) 및 각각의 동적으로 구동되는 스위치(26310)를 UP 및 DOWN 제어 신호 둘 모두에 연결할 수 있다. 타이밍 회로(26316)는 입력으로서 제어 로직(26302)(또는 다른 프로세서)으로부터의 제어 신호를 수신할 수 있는 지연 라인(26304)을 포함할 수 있고, 미리 설정된 비트를 사용하여 제어 신호의 펄스 폭을 설정하도록 프로그래밍될 수 있다. 지연 라인(26304)의 출력은 인버터(26306)의 입력에 공급될 수 있다. 인버터(26306)의 출력은 AND 게이트(26308)의 입력에 공급될 수 있고, AND 게이트의 다른 입력에는 제어 로직(26302)으로부터의 제어 신호가 공급될 수 있다. 이것은 인버터(26306)로부터의 반전된 출력이 지연 라인(26304)에 의해 구성된 시간 량만큼 지연될 수 있으므로 펄스 폭을 제어할 수 있다.
출력 캐패시터(26320)는 병렬의 복수의 캐패시터-스위치 조합을 포함할 수 있다. 스위치는 미리 설정된 비트에 의해 위와 같이 활성화/비활성화될 수 있으며, 이에 따라 출력 캐패시터(26320)의 캐패시턴스를 조정할 수 있다. 출력 캐패시터(26320)의 각각의 캐패시터는 예를 들어, 약 0.5 내지 약 10 fF 사이일 수 있다. 출력 캐패시터(26320)를 충전 및 방전하고, 그럼으로써 제어 가능한 출력 전압을 제공하는 예시적인 방식이 도 264a 및 도 266b를 참조하여 설명된다. 도 263에 도시된 양태는 임의의 아날로그 전류 소스를 포함하지 않고 사용할 수 있으며 제한된 매칭 및 정확도를 갖는 임의의 확장된 CMOS 기술로 구현될 수 있다.
일부 양태에서, 동적 스위치의 게이트-드레인 캐패시턴스 양단에 전하를 주입하기 위한 수단은 전하 펌프(26300)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 전하 펌프(26300)는 또한 전하 주입 후에 문턱 값 이하 드레인 전류를 사용하여 전하 펌프의 출력 캐패시턴스에 문턱 값 이하 스위치 양단의 전하를 이동시키기 위한 수단 및 예를 들어, 스위치(26312) 및 제어 로직(26302)에 의해, 출력 캐패시턴스에서 전하 이동 및 전류 흐름을 종료하여 전하의 이동 후에 출력 전압의 전압 변화를 중지시키기 위한 수단을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 도시된 바와 같이, 전하 펌프(26300)는 또한 전하 주입 단계 동안, 예를 들어 타이밍 회로(26316)를 통해 제어 신호의 펄스 폭을 제어하기 위한 수단을 구현할 수 있으며, 타이밍 제어 회로는 제어 신호 및 제어 신호의 지연된 반전된 사본을 AND 게이트에 공급하기 위한 수단을 포함할 수 있으며, 한 세트의 미리 설정된 비트는 제어 신호의 지연된 반전된 사본의 지연 량을 제어한다. 일부 양태에서, 도시된 바와 같이, 전하 펌프(26300)는 또한 미리 설정된 비트의 세트 중의 미리 설정된 비트의 개수와 동일한 개수의 문턱 값 이하 스위치 양단의 출력 캐패시턴스에 전하를 이동시키기 위한 수단 및/또는 예를 들어 출력 캐패시터(26320)를 통해 다수의 병렬의 내부 캐패시터를 통합하여 출력 캐패시터를 형성하기 위한 수단을 구현할 수 있다.
도 264a는 일부 양태에 따른 전하 펌프(26400)의 출력부의 개략도를 도시한다. 도 264b는 일부 양태에 따른 전하 펌프(26400)의 신호의 타이밍도를 도시한다. 전하 펌프(26400)는 UP 또는 DOWN 제어 신호가 공급될 수 있는 한 쌍의 동적 스위치(26410)를 포함할 수 있다. UP 제어 신호가 공급되는 동적 UP 스위치(26410)는 공급 전압(또는 레일 전압/레일 중 하나)에 연결될 수 있고, DOWN 제어 신호가 공급되는 동적 DOWN 스위치(26410)는 접지(또는 레일 중 다른 레일)에 연결될 수 있다. MP 및 MN 스위치(26412)는 동적 UP 스위치(26410)와 출력 캐패시터(26420) 사이 및 동적 DOWN 스위치(26410)와 출력 캐패시터(26420) 사이에 각각 연결될 수 있다.
일부 양태에서, 제어 신호가 도 264a에 도시된 출력부에 공급되지 않을 때, MN1 및 MP1 스위치(26412)는 모두 온일 수 있다. 전하 펌프(26400)는 도 264a에 도시된 상호 연결과 같은 순량(net)(an 및 ap)를 낮은 채널 저항(RON, n 및 RON, p)을 통해 접지 및 Vcc에 각각 연결할 수 있다. 이러한 상황에서, Vout은 상태가 오프로 미리 설정된 MP2 및 MN2 스위치(26412)를 통해 공급 레일과 계속 절연될 수 있고, 순량(an 및 ap)와 Vout 사이에 매우 높은 저항 경로를 제공할 수 있다.
도 264b에 도시된 바와 같이, 캐패시터(5120)의 출력 전압은 원하는 제어 신호의 발생하에서 변화할 수 있다. 제어 신호(UP), 디바이스(MP1, MP2) 스위치(26410, 26412)에 의해 제어될 수 있는 전하 펌프(26400)의 p-브랜치 및 출력 캐패시터(26420)의 출력 캐패시턴스(Cout)는 출력 전압을 증가시키기 위해 사용될 수 있다. 출력 캐패시터(26420)는 초기에 동적 Vcm의 절반으로 충전될 수 있다. UP 제어 신호는 "슬립(sleep)" 모드에서 로우일 수 있다. UP 제어 신호가 MP1 스위치(26412)에 공급될 때마다, 순량(ap)에서의 전압은 주로 전하 주입 및/또는 클록 피드스루(feed-through) 때문에 동일한 기간에 걸쳐 펄스(ΔVap)을 초래할 수 있다. 펄스(ΔVap)는 MP2 스위치(26412)를 통한 문턱 값 이하 또는 누설 전류를 초래할 수 있고, 궁극적으로 출력 캐패시터(26420)의 충전을 통한 출력 캐패시턴스(Cout)의 ΔVout의 포지티브 스텝 증가(positive step increase)를 초래할 수 있다. 유사하게, DOWN 제어 신호가 MP2 스위치(26412)에 공급될 때마다, 순량(an)에서의 전압은 동일한 기간에 걸쳐 네커티브 펄스(ΔVan)을 초래할 수 있다. 펄스(ΔVanp)는 출력 캐패시터(26420)의 출력 캐패시턴스(Cout)의 ΔVout의 감소를 초래할 수 있다. 출력 캐패시터(26420)의 출력 캐패시턴스(Cout)의 증가 및 감소는 대칭일 수 있다.
도 265a 내지 도 265c는 일부 양태에 따른 전하 펌프의 예시적인 동작을 도시한다. 도 265a는 출력 캐패시터가 충전될 때 회로의 p-브랜치의 기생 캐패시턴스를 도시한다. 도 265b는 출력 캐패시터가 충전될 때 p-브랜치의 회로 모델을 도시한다. 도 265c는 p-브랜치의 타이밍도를 도시한다. 도 265a에 도시된 바와 같이, UP 신호의 포지티브 에지에서, pMOS 스위치(26510)는 턴 오프되고, 순량(ap)에서의 전압은 하이 임피던스 상태를 시작한다. 동시에, 전하가 MP1 스위치(26510)의 게이트-드레인 캐패시턴스(Cgd, mp1)를 통해 주입될 수 있으며, 그 결과 순량(ap) 및 MP2 스위치(26512) 소스에 포지티브 전압 스텝 스파이크를 발생한다.
MP1 스위치(26510)와 연관된 다른 누설 캐패시터는(일반성을 위해) 스위치(26510, 26512)의 게이트, 드레인 및 소스, 즉(Cgd, mpl, Csg, mp2, Csb, mp2, Csd, mp2)와 관련될 수 있다. 도 265b에 도시된 캡(26514)은 단일 모델링된 캐패시터로서 순량(ap)에 영향을 미치는 기생 캐패시터를 함께 그룹화할 수 있다. 일반적으로, Csd, mp2를 통한 간접적인 용량성 커플링으로 인해, MP1 게이트에서 UP 신호의 임의의 가파른 에지가 출력에 직접 결합되는 것이 가능할 수 있다. 그러나, Csd, mp2는 (본질적 및 레이아웃과 연관된) 다른 디바이스 기생 캐패시턴스와 비교하여 매우 작을 수 있으며, MP2 스위치(26512)의 벌크 및 게이트는 저 임피던스 순량(Vcc)일 수 있으므로, 이러한 현상은 무시할 수 있는 것으로 간주될 수 있다. 예를 들어, 현저할 수도 있는 제어 신호의 에지와 연관된 직접 전하 주입의 양은 피할 수 있다.
또한, UP가 상승 할 때, MP1 스위치(26510)는 턴 오프될 수 있다. 이 경우, 순량(ap)은 제어 신호 에지로 인해 전하 주입을 받는 높은 임피던스 순량이 될 수 있다. UP 포지티브 에지에 대응하는 순량(ap)의 전압 변동은(pMOS MP1 스위치(26510)가 오프 일 때) 대략 다음과 같이 주어지지만,
Figure pct00090
출력 전압은 여전히 안정적이다. 이러한 동작 이후, 순량(ap) 전압은 전원 공급 장치 레벨보다 몇 10 mV 내지 100 mV 높을 수 있는 값으로 설정될 수 있다. 결과적인 Vsg, Mp2의 증가로 인해, 문턱 값 이하 전류는 MP2 스위치(26512)(및 MP1 스위치(26510)) 둘 모두를 통해 흐를 수 있다. 문턱 값 이하 전류는 Cp, ap 및 ΔVdrop,p ap 순량 전압 강하의 방전에 기여할 수 있다. MP2 스위치(26512)를 통해 흐르는 전류의 일부는 출력 캐패시터(26520)에 공급되어, 출력 전압의 증가를 결정할 수 있다. 이 전하가 출력 전압에서 포지티브 스텝을 유발할 수 있으며 기본적으로 Cp,ap에서 Cout로 전환될 수 있다.
UP 네거티브 에지가 발생할 때, pMOS MP1이 다시 턴온될 수 있다. 첫째, 전하는 Cgd, mpl 커플링 경로를 통해 순량(ap)에 의해 끌려올 수 있다. 이것은 순량(ap) 전압의 스텝 다운 및 초기 값 Vcc에 가까운 전압인 마이너스 Δvap로 Cp, ap 방전을 결정할 수 있다. 한편, 채널 저항과 연관된 시정수에 기인한 작은 지연으로, MP1 스위치(26510)는 온 상태로 돌아가고 순량(ap)를 다시 Vcc로 끌어올 수 있다. 순량(ap)을 Vcc로 다시 끌어오는 전하는 전적으로 공급 장치에 의해 제공될 수 있으며 출력 캐패시턴스로부터 되돌려 가져올 수 없다. 또한 이 단계에서, 출력 노드에 직접적인 전하 주입이 일어나지 않을 수 있으며, MP2 문턱 값 이하 전류가 Cout으로 흐르는 것을 중지시킬 수 있고, 이에 의해 출력 전압을 최종의 더 높은 값으로 고정시킬 수 있다.
새로운 UP 펄스가 발생함에 따라, 설명된 과도 현상이 반복될 수 있다. 이것은 발생하는 출력 전압의 또 다른 포지티브 스텝으로 이어질 수 있다. 요약하면, 전하 펌프의 p-브랜치의 동작 원리는 몇 단계로 합성되어 형성될 수 있다. 도 266a-도 266c는 일부 양태에 따른 전하 펌프의 예시적인 동작을 도시한다.
도 266a는 전하 주입 단계를 도시한다. 전하 주입 단계는 UP 제어 신호의 포지티브 에지에서 발생할 수 있다. 이러한 에지에서, MP1이 턴 오프될 수 있고, 순량(ap) 전압이 증가하여 양(positive)의 Vsg, MP2를 유도할 수 있다.
도 266b는 전하 이동 단계를 도시한다. 전하 이동 단계는 전하 주입 단계 이후에 발생할 수 있다. 특히, 전하 이동 단계에서, MP2의 문턱 값 이하 드레인 전류는 Cp, ap로부터 Cout으로 전하를 이동하여 출력 전압(Vout)의 증가를 결정할 수 있다.
도 266c는 셧다운 단계를 도시한다. 셧다운 단계는 전하 이동 단계 이후에 발생할 수 있다. 특히, 셧다운 단계는 UP 제어 신호의 네거티브 에지상에서 발생할 수 있고, UP 제어 신호의 포지티브 에지에 관련한 지연은 지연 라인(26304)에 의해 제어될 수 있다. 이 시점에서 MP1이 턴 온되고 순량(ap) 전압이 Vcc로 복귀될 수 있다. 이 시점에서, Cout에서의 모든 전류 흐름이 중지될 수 있다.
3-동작 모델 및 분석은 MN1, MN2를 포함하는 nMOS 브랜치, DOWN 제어 신호 및 순량(an)로 확장될 수 있다. nMOS 브랜치에서, 순량(ap)을 Vcc+Cout으로 충전하는 대신, nMOS 브랜치는 순량(an) 전압의 음의 전압으로의 강하를 결정할 수 있다.
일부 양태에서, 각각의 동작 중에 이동될 수 있는 최대량의 전하는 전하 주입 단계 동안 Cp, ap에 주입된 전하일 수 있다:
Figure pct00091
1V 공급, 50pF의 출력 캐패시턴스 및 MP1의 50 fF의 게이트-드레인 캐패시턴스를 고려하면, 전하는 1 mV 출력 전압 단계에 대응할 것이다. 초기에 Cp, ap에 저장된 전하가 Cp,ap 사이즈에 종속하지 않을 수 있지만, 그와는 반대로 순량(ap)의 대응하는 전압의 증가는 Cp,ap 사이즈에 종속할 수 있다. 이것은 일반적으로 MP2가 전하 이동 단계 동안 출력 캐패시턴스에 주입할 수 있는 전류의 양에 영향을 줄 수 있다.
이러한 회로의 설계 및 사이즈 결정 단계에서, 스텝 사이즈 및 이에 따른 회로의 감도를 결정하기 위한 몇몇 솔루션이 채택될 수 있다. 디바이스의 문턱 값 이하 전류는 선형적으로 트랜지스터의 길이에 종속할 수 있기 때문에, MP2의 길이는 원하는 대로 사이즈가 정해질 수 있다. 대안적으로, 제조 프로세스가 디바이스 길이의 사이즈 결정을 할 수 없게 하는 상황에서는 보다 많은 디바이스가 직렬로 배치될 수 있다. 출력 캐패시터에서 주입된 전하는 또한 전하 이동 단계의 지속기간에 종속할 수 있기 때문에, 도 263에 도시된 바와 같은 프로그램 가능한 지연 라인을 갖는 펄스 폭 제어기가 도입되어 UP 및 DOWN 신호의 파형을 제어할 수 있다. 이것은 이용 가능한 양의 전하를 다량으로 또는 그 전체를 출력으로 이동하게 할 수 있다. 경우에 따라, 불충분한 펄스 폭은 너무 작은 전하 이동을 초래할 수 있다. 전하 주입 단계 동안 전하 주입의 양은 MP1(또는 nMOS 브랜치에서의 MN1)의 게이트-드레인 캐패시턴스에 종속할 수 있기 때문에, MOS는 원하는대로 사이즈가 정해질 수 있다. 고정된 양의 주입된 전하에서 출력 전압 스텝은 출력 캐패시턴스의 사이즈에 종속하기 때문에, 출력 캐패시턴스는 구성 가능한 용량성 배열을 사용하여 프로그램될 수 있다.
비교기 백그라운드 교정을 위하여 14 nm FinFET 10-비트 ADC 테스트 칩에 구현된 전하 펌프상에서 시뮬레이션이 수행되었다. 채택된 출력 캐패시턴스는 50 fF이었다. 이를 검증하기 위해, 고속의 코너 시뮬레이션(fast corner simulation)에 역점을 두었다. UP과 DOWN 제어 신호의 교번 계열의 펄스 폭은 50 ps로 설정되었으며 출력 캐패시턴스는 50 fF로 그리고 업데이트 속도는 1 GHz로 설정되었다. 시뮬레이션은 27°C에서 정상, 고속 및 저속 코너하에서 수행되었다. 전압 스텝은 (a > 11-비트 완전 차동, 레일-레일 변환기의 LSB와 양립 가능한) 약 600 ΔV 이었고 모서리에서 안정적이었다. 회로는 일반적으로 고분해능 애플리케이션과 호환될 수 있다.
프로그램 가능성을 입증하기 위해, 누설 전류(빠른 코너)의 관점에서 불리한 조건이 존재하는 경우에도, 시뮬레이션은 MP1 디바이스의 사이즈, 제어 신호의 펄스 폭 및 MP2 디바이스의 동등한 길이를 변동하면서 p-브랜치에 초점을 맞추어 수행되었다. 시뮬레이션은 빠른 코너, 27 °C에 대해 수행되어, 구현된 프로토타입 및 MP1 디바이스 폭의 서로 다른 폭에 대해, 1 GHz 레이트, 일정한 50 ps UP 펄스 폭에서 UP 커맨드의 시퀀스 동안 출력 전압 변동을 결정하였다. 42nm, 84nm, 168nm, 336nm의 MP1 디바이스 폭의 경우, 대응하는 전압 스텝은 각각 600μV, 1V, 1.3mV, 1.5mV로 결정되었다. 상이한 펄스 폭을 사용하는 1 GHz 레이트에서 UP 커맨드의 출력 전압 변동을 결정하기위한 시뮬레이션이 또한 수행되었다. 20ps 내지 800ps UP 신호 펄스 폭 동안 42nm/28nm MP1/MP2 종횡비를 갖는 디바이스에 대해 수행된 이러한 후자의 시뮬레이션은 펄스 폭과 출력 전압 간의 선형 관계를 보여주었다. MP2 디바이스의 서로 다른 폭(56 nm, 84 nm 및 112 nm)에 대해, 1 Ghz 레이트, 일정한 50ps UP 펄스 폭에서 UP 명령 시퀀스 동안 전하 펌프 출력 전압을 결정하기 위해 추가의 시뮬레이션이 수행되었다. 전하 펌프 출력 전압은 시간에 따라 선형적으로 변동하고 대략적으로 MP2 폭으로 사이즈 조정되었다. 시뮬레이션에서 보는 바와 같이 1 GS/s의 업데이트 레이트에서 전하 펌프의 전력 소비는 공칭 코너 및 27°C에서 10 μA 미만이며, 따라서 GHz 레이트의 최신의 효율성의 ADC 및 PLL과 비교하면 무시해도 될 정도이다.
일부 양태에 따르면, 따라서 출력 캐패시턴스 이외의 전류 기준 또는 전하 축적/저장 디바이스의 사용을 회피하는데 도움이 되는 전하 펌프가 제공된다. 전하 펌프는 PLL 및 ADC 비교기 오프셋 교정 애플리케이션에 적합할 수 있으며, 일반적으로는 예를 들면, 빠른 속도(> 1GS/s), 정밀한 분해능(<1 mV) 및 초 저전력 소비가 바람직한 모든 애플리케이션에 적합할 수 있다. 일부 양태는 회로 면적을 줄이는 초 저전력 PLL에 사용될 수 있으며, 또한 고속 ADC를 위한 고효율 비교기 교정을 수행하는데 사용될 수도 있다.
도 267은 일부 양태에 따른 전하 펌프에서 전하를 주입하는 방법(26700)을 도시한다. 방법(5400)은 도 262a 내지 도 267에 도시된 임의의 하나 이상의 구조에 의해 수행될 수 있다. 동작(26702)에서, 전하가 구조(들)에 주입될 수 있다. 전하는 전하 주입 단계 동안 동적 스위치(MOSFET)의 게이트-드레인 캐패시턴스 양단에 주입될 수 있다. 전하 주입은 동적 스위치에 공급되는 제어 신호의 포지티브 에지에서 동적 스위치의 게이트-드레인 캐패시턴스 양단에서 일어날 수 있다. 전하 주입은 제어 신호의 펄스 폭을 제어함으로써 제어될 수 있다. 일부 양태에서, 제어 신호의 펄스 폭은 제어 신호 및 제어 신호의 지연된 반전된 사본을 AND 게이트에 공급함으로써 제어 신호의 펄스 폭을 제어하고, 미리 설정된 비트의 세트는 지연된 반전된 사본의 지연 량을 제어한다.
동작(26704)에서, 전하의 주입 후에, 전하는 문턱 값 이하 전압 스위치를 거쳐 전하 펌프의 출력 캐패시턴스로 이동될 수 있다. 전하는 전하 이동 단계 동안 문턱 값 이하 드레인 전류를 사용하여 이동될 수 있다. 전하 이동 단계 동안, 전하는 미리 설정된 비트 세트의 미리 설정된 비트 개수와 동일한 개수의 문턱 값 이하 스위치를 거쳐 출력 캐패시턴스로 이동될 수 있다. 각각의 미리 설정된 비트는 상이한 문턱 값 이하 스위치를 제어할 수 있다. 또한, 병렬 내부 캐패시터의 개수는 상이한 미리 설정된 비트를 사용하여 출력 캐패시터를 형성하도록 제어될 수 있다.
전하가 이동된 후에, 단계(26706)에서 출력 캐패시턴스에서 전하 이동 및 전류 흐름이 종료될 수 있다. 이것은 셧다운 단계 동안 출력 전압의 전압 변화를 중지시킬 수 있다. 동적 스위치에 공급되는 제어 신호의 네거티브 에지에서 종료가 일어날 수 있다. 동적 스위치와 문턱 값 이하 스위치 사이의 네트에서의 전압은 동적 스위치가 연결된 레일 전압으로 복귀될 수 있다.
mmWave 통신 시스템이 다중 경로를 통한 다중 방향 송신에 의존하기 때문에, mmWave 수신기는 네트워크가 밀집될 때 서로 다른 방향으로부터의 간섭을 겪을 수 있다. 이것을 해결하는데 도움을 주기 위해, 위에서 설명한 것처럼, 수신기는 아날로그, 디지털 또는 하이브리드 빔포밍을 사용할 수 있다. 아날로그 빔포밍은 경우에 따라 높은 사이드 로브 및 넓은 빔 폭으로 인한 무지향성 간섭을 완화시키기에 불충분할 수 있으며, 디지털 도메인 빔포밍은 간섭이 저분해능 ADC(낮은 동적 범위)에서 원하는 신호를 차단할 수 있기 때문에 간섭을 완화하기에 불충분하다. 이를 완화하기 위해, 본 명세서에 개시된 양태는 수신기에서 ADC의 동적 범위 및 전력 소비를 줄이기 위해 양자화 전에 간섭을 제거하는 것을 돕는 아키텍처를 제공한다. 예를 들어, 공간적 간섭 완화를 위해 대략적으로 양자화된 수신 신호가 처리되어 높은 간섭을 추정한 다음 아날로그 영역에서 간섭을 빼도록 하는 피드포워드 루프가 제공된다. ADC 피드백 루프에서 반복 필터 설계를 위한 긴 트레이닝 시퀀스를 사용하지 않고도, 다수의 간섭 각도의 무효화가 가능해질 수 있다. 이렇게 하면 저전력 완전 디지털 mmWave 수신기가 가능해 질 수 있다. 도 268은 일부 양태에 따른 수신기 아키텍처(26800)를 도시한다. 수신기 아키텍처(26800)는 도 3e에 도시된 병렬 수신 회로(382)에 통합될 수 있지만, 수신기 아키텍처(26800)는 그러한 통합으로 제한되지 않는다.
도 268에 도시된 수신기 아키텍처(26800)는 예를 들어 RF 프론트 엔드(26820), 지연 라인(26802), 결합기 세트(26810, 26812, 26814, 26818), 양자화기 세트(26804, 26816), 피드포워드 필터(26806), 디지털-아날로그 변환기(DAC)(26808) 및 기저대역 프로세서(26830)를 포함할 수 있다. RF 신호는 안테나(도시되지 않음)에 의해 수신되어 RF 프론트 엔드(26820)에 제공될 수 있다. 복수의 안테나 출력(ri(t), i = 1, ..., Nr)은 RF 프론트 엔드(26820)에 의해 제공될 수 있고, 두 개의 경로로 분할될 수 있으며, 하나의 경로는 간섭을 결정하기 위한 것이고 다른 하나는 터널링을 위한 것이다. 구체적으로, 각각의 안테나 출력은 지연 라인(26802) 중 하나 및 제 1 결합기(26810) 중 하나의 둘 모두에 공급될 수 있다. 아날로그 지연 라인(26802)은 상이한 탭으로부터의 출력을 취함으로써 지연이 가변적일 수 있게 하는 복수의 탭핑된 래치(예를 들어, D 개의 래치)를 포함할 수 있다. 대안적으로, 지연 길이는 고정될 수 있으며, 아날로그 지연 라인(26802)의 유일한 출력은 마지막 래치로부터 취해진다.
제 1 결합기(26810)에서, 간섭을 위한 디더링 잡음(dithering noise)(n1)이 안테나 출력에 부가될 수 있다. 디더링 잡음(n1)은 간섭 무효화를 제공하기 전에 결정될 수도 있는 간섭의 추정에 종속할 수 있다. 제 1 결합기(26810)로부터의 출력은 아날로그 신호를 개략적으로(coarsely) 양자화하고 아날로그 신호를 디지털 신호로 변환할 수 있는 b1-비트 양자화기(26804)에 공급될 수 있다. 그런 다음 양자화된 신호는 피드포워드 필터(26806)에 제공된 다음에 DAC(26808)에 의해 디지털화될 수 있다. 피드포워드 필터(26806)는 수신된 양자화된 신호를 처리하고 간섭 신호를 추정하는데 사용되는 멀티탭 필터일 수 있다.
간섭 신호는 d-비트 DAC(26808)에 의해 아날로그 신호로 다시 변환될 수 있다. 양자화기(26804, 26816)처럼, DAC(26808)의 분해능은 고정 또는 가변적일 수 있다. 후자의 경우, 하나 이상의 DAC(26808) 및 양자화기(26804, 26816)의 분해능은 다른 것 중에서도, 신호 유형(예를 들어, 제어/데이터) 또는 채널 조건에 종속할 수 있다. 그런 다음 변환된 간섭 신호는 제 2 결합기(26812)에서 아날로그 지연 라인(26802)으로부터의 지연된 안테나 출력으로부터 감산되어 보정된 신호를 생성할 수 있다. 디더링 잡음(n2)는 디더링된 보정된 신호를 양자화하기 이전에 제 3 결합기(26814)에서 보정된 신호에 가산될 수 있다. 디더링 잡음(n2)은 하나 이상의 신호 품질 특성을 사용하여 측정될 수 있는 수신기 성능에 종속될 수 있다. 예를 들어, 디더링 잡음(n2)은 BER 성능에 종속할 수 있다. 디더링된 정정된 신호를 양자화하기 위해 b2-비트 양자화기(26816)가 사용될 수 있다. 일부 양태에서, b2-비트 양자화기(26804)의 분해능은 b2-비트 양자화기(26816)의 분해능보다 작을 수 있다. 일반적으로 간섭을 결정하기 위해 거친 분해능을 사용하면, 수신기에 의해 사용되는 전력의 감소가 가능할 뿐만 아니라, 빔포밍 신호의 보상 후에 인라인 양자화기의 동적 범위를 감소시킬 수 있다.
양자화기(26816)로부터의 양자화된 신호는 추가 처리를 위해 기저대역 프로세서(26830)에 공급될 수 있다. 양자화기(26816)로부터의 출력 및 피드포워드 필터(26806)로부터의 간섭 신호는 제 4 결합기(26818)에서 결합되어 수신 신호 강도 표시자(Received Signal Strength Indicator)(RSSI)를 생성할 수 있다. RSSI는 (각각의 b2-비트 양자화기(26816)으로부터 및 필터(26806)의 각각의 출력으로부터) 양자화된 출력에 기초하여 결정될 수 있거나 또는 모든 출력보다 적은 수의 출력에 기초할 수 있다. RSSI는 예를 들어, 양자화기 분해능, DAC 분해능 및/또는 하나 또는 둘 모두의 디더링 잡음을 조정하기 위해 사용될 수 있다.
따라서, 도 268에 도시된 수신기는 다수의 아날로그 빔을 형성하는 위상 변위기와 같은 다른 구성요소를 추가하지 않고, 다수의 빔포밍된 신호에서 다수의 간섭 소스를 동시에 완화(또는 무효화)할 수 있다. 수신기는 또한 계수가 원하는 신호 및 간섭 신호에 종속하는 필터를 사용하지 않고 간섭을 완화할 수 있으며, 이에 따라서 긴 트레이닝 시퀀스를 사용할 수 있다.
일부 양태에서, 복수의 안테나로부터 빔포밍된 신호를 수신하기 위한 수단은 수신기 아키텍처(26800)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(26800)는 또한 보상된 신호를 양자화하여 출력하기 전에, 예를 들어 수신기 아키텍처(26800)의 피드포워드 라우팅을 통해, 빔포밍 신호를 대상으로 간섭원 신호를 피드포워드 보상함으로써 보상된 신호를 형성하기 위한 수단 및 예를 들어 양자화기(26816)를 통해, 보상된 신호를 양자화하여 양자화된 출력 신호를 형성하기 위한 수단을 구현할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(26800)는 또한: 피드 포워드 경로를 따라 빔포밍된 신호를 양자화하여 양자화된 피드포워드 신호를 형성하기 위한 수단, 예를 들어, 필터(26806)를 통해, 양자화된 피드포워드 신호에서 간섭원 신호를 보상하여 디지털 보상 신호를 제공하기 위한 수단, 예를 들어 DAC(26808)를 통해, 디지털 보상 신호를 아날로그 보상 신호로 변환하기 위한 수단, 및/또는 예를 들어 결합기(26812)를 통해, 아날로그 보상 신호를 빔포밍된 신호와 결합하여 보상된 신호를 형성하기 위한 수단 중 하나 이상을 구현할 수 있다. 일부 추가의 양태에서, 도시된 바와 같이, 수신기 아키텍처(26800)는 또한: 예를 들어, 결합기(26810 및 26814)를 통해, 빔포밍된 신호를 양자화하기 전에 제 1 디더링 잡음을 빔포밍된 신호에 가산하기 위한 수단 및 제 2 디더링 잡음을 보상된 신호에 가산하기 위한 수단; 디지털 보상 신호와 보상된 신호의 디지털 버전을 결합하여 신호 품질을 제공하기 위한 수단 및 신호 품질에 기초하여, 예를 들어 기저대역 프로세서(26830)를 통해, 빔포밍된 신호의 양자화, 보상된 신호의 양자화, 제 1 디더링 잡음 또는 제 2 디더링 잡음 중 적어도 하나를 제어하기 위한 수단 및 결합기(26818); 예를 들어 기저대역 프로세서(26830)를 통해,
Figure pct00092
로부터 각 방향으로부터의 간섭을 추정하기 위한 수단 및/또는 예를 들어, 지연 라인(26802)을 통해, 빔포밍된 신호를 지연하여 빔포밍된 신호가 아날로그 보상 신호와 충분하게 결합되게 하기 위한 수단 중 하나 이상을 구현할 수 있다.
수학적으로, 수신기에서 Nr 개의 안테나를 갖는 균일한 선형 배열을 고려해 본다. 수신 신호는 다음과 같이 작성될 수 있다:
Figure pct00093
여기서 x(t)는 원하는 신호 벡터이고, n은 잡음 벡터이며,
Figure pct00094
는 간섭 신호(I는 간섭원 방향의 개수)이며, 배열 벡터(
Figure pct00095
)는 다음과 같이 주어진다:
Figure pct00096
여기서, θi는 도착 각도이고, d는 안테나 간 거리이며, λ는 파장이다. 피드포워드 루프에서, 잡음은 안테나의 출력에서 수신된 신호와의 상관 관계를 없애기 위해 추가된 다음 예를 들어 다음과 같이 저 비트(1-3 비트) ADC로 양자화된다:
Figure pct00097
여기서, n1은 디더링 잡음 벡터이고, Q1(ㆍ)는 b1-비트 양자화기이다. 간섭(k)는 추정 벡터를 사용하여 피드 포워드 필터에서 추정될 수 있다. 일부 양태에서, 추정 벡터는 최대 비율 결합(maximum ratio combining)(MRC)을 사용할 수 있다:
Figure pct00098
여기서
Figure pct00099
는 방향으로부터의 간섭의 추정 벡터(θk)이다. 간섭의 방향은 다중 신호 분류(Multiple Signal Classification)(MUSIC) 프로세스와 같은 디지털 프로세스를 사용하여 결정될 수 있다. I는 간섭 방향(간섭원)의 총 개수일 수 있다. 수신기는 큰 안테나 배열을 가지므로 l≠k 일 때
Figure pct00100
이 되는 것으로 고려될 수 있다는 것을 알아야 한다. 그러면, 다음과 같이 도착 각도에 따라 벡터가 형성될 수 있다:
Figure pct00101
디지털 샘플이 d-비트 DAC를 사용하여 아날로그 도메인으로 변환된 후에, 간섭은 수신된 신호로부터 감산될 수 있으며, b2-비트 ADC에서 양자화되기 전에 다음과 같이 디더링 잡음(n2)이 추가된다:
Figure pct00102
도 269는 일부 양태에 따른 수신기의 필터 특성을 도시한다. 시뮬레이션된 필터에서 Nr = 64 안테나 및 d = 5 비트 DAC, b2 = 5 비트 ADC 및 두 개의 간섭 방향이 θ = 70° 및 θ = 110°에 있다. 두 사례가 도시된다: 사례1: 1-비트 ADC(b1=1,)
Figure pct00103
; 및 사례 2: 2-비트 ADC
Figure pct00104
. 도시된 바와 같이, 수신기 아키텍처는 1-비트 ADC가 사용될 때 최대 약 13.6 dB의 간섭을 제거할 수 있으며 2-비트 ADC가 사용될 때우 최대 약 23.32 dB의 간섭을 제거할 수 있다.
도 270은 일부 양태에 따른 수신기의 BER 성능을 도시한다. 그래프는 아날로그 빔포밍, 디지털 빔포밍 및 도 268의 아키텍처의 BER 성능을 도시한다. 위와 같이, 90 °의 원하는 신호 방향 및 SIR = -20 dB 인 70 ° 및 110 ° 간섭 방향을 갖는 시선(line of sight)(LOS) 채널의 수신기에서 Nr = 64 안테나이다. 16QAM 변조가 사용되고, 사용된 ADC는 다음과 같다: b1 = 2 비트 ADC, d = 5 비트 DAC, b2 = 5 비트 ADC. 가우시안 디더링 잡음의 분산은 프로세싱 회로에 의해 양자화 오차를 랜덤화하도록 선택될 수 있다. 대역폭은 1 MHz이며 간섭 및 원하는 신호는 동일한 대역을 통해 통신할 수 있다. 펄스 형상화 필터는 8개 샘플의 필터 길이 및 0.2의 롤오프 인자(rolloff factor)를 갖는 제곱근 상승 코사인 필터(square root raised cosine filter)이다. 도 270에 도시된 바와 같이, ADC 이전에 공간 간섭 제거가 없는 디지털 수신기는 불충분한 동적 범위를 갖기 때문에 BER 성능이 더 낮다. 아날로그 빔포밍은 또한 높은 사이드 로브로 인해 도 268의 아키텍처보다 나쁘다.
도 271은 일부 양태에 따른 추가의 수신기 아키텍처를 도시한다. 위와 같이, 90 °의 원하는 신호 방향을 갖는 시선(LOS) 채널의 수신기에서 Nr = 64 안테나이다. 16QAM 변조가 사용되며, 사용되는 ADC는 다음과 같이 사용된다: b1 = 2 비트 ADC, d = 5 비트 DAC, b2 = 5 비트 ADC이다. 이 경우, SIR = -13dB 인 75 ° 간섭 방향이 사용되었다. 1-비트 ADC가 피드포워드 루프에서 사용될 때, 도 268의 아키텍처는 아날로그 및 완전 디지털 빔포밍보다 우수하게 수행된다.
도 272는 일부 양태에 따른 수신기에서 간섭을 보상하는 방법(27200)을 도시한다. 방법(27200)은 도 268의 수신기를 사용하여 수행될 수 있다. 동작(27202)에서, 수신기는 복수의 안테나로부터 빔포밍된 신호를 수신할 수 있다. 빔포밍된 신호는 각도 범위에 걸쳐 스캐닝될 수 있다. 특정 각도에서 빔포밍된 신호 중 적어도 일부 신호 각각은 송신기로부터의 신호 및 간섭원 신호를 포함할 수 있다.
빔포밍된 신호는 재결합되기 전에 상이한 경로로 분할될 수 있다. 피드포워드 경로에서, 빔포밍된 신호는 양자화될 수 있고, 동작(27204)에서 간섭은 필터를 사용하여 추정되어 디지털 보상 신호를 형성할 수 있다. 빔포밍된 신호를 양자화하기 전에, 디더링 잡음이 추가되어 신호의 상관 관계를 제거할 수 있다. 그런 다음 디지털 보상 신호는 변환되어 아날로그 보상 신호를 형성할 수 있다.
직접 경로에서, 원래의 빔포밍된 신호는 신호 결합을 위한 적절한 타이밍을 제공하도록 지연될 수 있다. 동작(27206)에서, 빔포밍된 신호 및 아날로그 보상 신호가 결합될 수 있다. 일부 양태에서, 간섭 추정을 포함할 수 있는 아날로그 보상 신호가 빔포밍된 신호로부터 감산될 수 있다.
디더링 잡음이 결과 신호에 추가될 수 있고, 그 다음에 이들 신호가 양자화될 수 있다. 빔포밍된 신호의 양자화 분해능은 보상된 신호의 양자화 분해능보다 낮을 수 있다. 동작(27208)에서, 양자화된 결과 신호는 처리를 위해 기저대역 프로세서에 공급될 수 있다. 양자화된 결과 신호 및 디지털 보상 신호는 결합되어 RSSI, SINR 또는 SNR과 같은 신호 품질을 결정할 수 있다. 이러한 품질은 빔포밍된 신호의 양자화, 보상된 신호의 양자화 및/또는 디더링 잡음을 제어하는데 사용될 수 있다.
빔포밍 이외에, 송신기 및 수신기 안테나 쌍 사이에서 채널 추정은 또한 디지털 빔포밍 복잡성을 증가시킬 수 있다. 대역 내 및 인접 채널 간섭이 있을 때, 디지털 아키텍처 또한 성능 저하를 겪을 수 있다. 도 273a 및 도 273b는 일부 양태에 따른 간섭을 도시한다. 두 도면의 시스템(6000)에서 도시된 바와 같이, 기지국(BS)(27302)은 UE(27304)를 서빙하여 데이터 및 제어 신호를 제공할 수 있다. LOS 통신만이 도시되지만, BS(27302)는 또한 NLOS 통신을 통해 UE(27304)와 통신할 수 있다. 액세스 포인트일 수도 있는 인접 BS(27306)는 UE(27304)에서 간섭 신호를 생성할 수 있다. 서빙 BS(27302)의 통신신호와 같은 간섭 신호는 LOS 또는 NLOS 일 수 있고 서빙 BS(27302)로부터의 신호와 간섭할 수 있다. 간섭 BS(27306)로부터의 간섭 신호 대신에 또는 간섭 신호 이외에, 하나 이상의 간섭 UE(27308)가 UE(27304)에서 간섭 신호를 생성할 수 있다. 간섭 UE(27308)로부터의 간섭 신호는 서빙 BS(27302), 간섭 BS(27306) 또는 UE(27304)로 향할 수 있다.
디지털 빔포밍과 달리, 아날로그 빔포밍은 사용된 단일 RF 체인으로 인해 지향성 이득으로 제한될 수 있다. 그러나 아날로그 빔포밍은 경우에 따라 높은 사이드 로브 및 넓은 빔 폭으로 인한 무지향성 간섭을 완화시키기에 불충분할 수 있으며, 디지털 도메인 빔포밍은 저 분해능 ADC(낮은 동적 범위)가 사용될 때 간섭이 원하는 신호를 차단할 수 있기 때문에 간섭을 완화하기에 불충분하다. 이것은 하나 이상의 방향으로부터의 간섭이 원하는 신호보다 훨씬 커서 안테나 요소가 간섭 방향에 설정되거나 또는 그 근처에 설정될 때 다른 방향에서 원하는 신호를 구별하기에 적합할 수 있는 ADC의 동적 범위를 그 간섭이 압도할 수 있는 것을 말한다. 이것은 신속한 간섭 및 신호 품질 변화로 인해 mmWave 주파수가 UE 이동에 의해 야기된 LOS 및 NLOS 채널에 사용될 때 특히 널리 사용될 수 있다. 그러나 ADC의 동적 범위를 늘리면 전력이 많이 소비되고 설계하기가 어려울 수 있다. 이것을 완화하기 위해, 본 명세서에 개시된 양태는 양자화 전에 간섭을 무효화하는데 도움을 줄 수 있는 아키텍처를 제공하고, 이어서 원래의 신호가 디지털 처리되도록 조정을 디지털로 반전시킬 수 있다. 이것은 그러한 간섭이 존재할 때 수신기에서 ADC의 동적 범위 및 전력 소비의 감소를 가능하게 할 수 있다. 신호는 양자화기에서 샘플링될 수 있고, 이에 의해 수신된 신호는 디지털 도메인에서 처리될 수 있고 간섭은 아날로그 도메인에서 제거될 수 있다. 피드백 루프가 있는 병렬 델타-시그마 ADC는 저 전력 완전 디지털 mmWave 수신기를 활성화하는데 사용될 수 있다.
도 274은 일부 양태에 따른 수신기 아키텍처(27400)를 도시한다. 수신기 아키텍처(27400)는 도 3e에 도시된 병렬 수신 회로(382)에 통합될 수 있지만, 수신기 아키텍처(27400)는 그러한 통합으로 제한되지 않는다. 도 274에 도시된 수신기 아키텍처(27400)는 예를 들어 RF 프론트 엔드(27410), 결합기(27402), 저역 통과 필터(LPF) 세트(27404, 27412), 이득 세트(27406, 27422) 양자화기(27408), 데시메이터(27414), 필터(27416), DAC(27418) 및 기저대역 프로세서(27420)를 포함할 수 있다. RF 신호는 다수의 안테나 요소를 포함하는 안테나(27430)에 의해 수신되고 RF 프론트 엔드(27410)에 제공될 수 있다.
RF 신호(
Figure pct00105
)는 안테나(27430)로부터의 출력일 수 있다. RF 신호는 수신기 아키텍처(6100)에서 Nr 개의 안테나로 구성된 균일한 선형 배열로서 표시될 수 있다. Nr은 수신기 아키텍처(27400)에서 빔포밍 이득 및 전력 소비에 따라 선택될 수 있다. 수신 신호는 다음과 같이 작성될 수 있다:
Figure pct00106
여기서 x(t)는 원하는 신호 벡터이고, n은 잡음 벡터이며,
Figure pct00107
는 간섭 신호이며, 여기서 I는 무효화되는 간섭 방향의 총 수이다. 각도 벡터(
Figure pct00108
) 는 다음과 같이 주어질 수 있다:
Figure pct00109
여기서 θi 는 도착 각도이고 d는 안테나(27430) 사이의 안테나간 거리이다. RF 프론트 엔드(27410)에 의해 제공된 복수의 안테나 출력(
Figure pct00110
) 각각은 결합기(27402) 중 하나에 공급될 수 있다. 아래에서 보다 상세히 설명되는 데시메이터(27414)로부터의 변형된 신호는 RF 프론트 엔드(27410)로부터의 안테나 출력과 결합될 수 있다. 이러한 변형된 신호는 수신된 신호의 양자화 이전에 간섭을 적어도 부분적으로 완화하며, 이에 의해 양자화기(27408)의 동적 범위를 감소시킨다.
결합기(27402)는 아날로그 도메인의 적분기에 의해 형성될 수 있고 보상 회로의 일부를 형성할 수 있다. 결합된 신호는 결합기(27402)로부터 제 1 LPF(27404)로 공급될 수 있다. 제 1 LPF(27404)는 결합된 신호 내의 양자화 잡음을 대역 외로 형상화할 수 있다. 안테나(27430)로부터의 신호는 RF 프론트 엔드(27410)에서와 같이, 제 1 LPF(27404)에 도달하기 전에 기저대역으로 혼합될 수 있다.
제 1 LPF(27404)로부터의 저역 통과 필터링된 신호는 가변 이득(27406)에 공급될 수 있다. 상이한 안테나 신호에 대한 이득 출력은(
Figure pct00111
)로서 표시될 수 있다. 이득(27406)은 저역 필터링된 신호에 증폭 또는 감쇠를 제공하여 양자화기 동적 범위로의 입력을 조정할 수 있다. 이득(27406)은 채널 또는 채널 품질(예를 들어, SR 또는 SINR)에 따라 최적화될 수 있고, 또는 일부 양태에서, 낮은 복잡도의 수신기를 위한 고정 이득으로 설정될 수 있다. 원하는 신호 및 간섭과 같은 이득(27406)은 시간에 따라 변할 수 있다.
이어서 이득(27406)으로부터의 신호는 b1-비트 양자화기(27408)를 포함하는 양자화 회로에 제공될 수 있다. 양자화기(27408)는 신호의 b-비트 디지털 버전을 제공할 수 있고, b는 원하는 BER 및 필터 특성에 따라 선택될 수 있다. 일부 양태에서, 양자화기 분해능은 다른 것 중에서도, 신호의 유형(예를 들어, 제어 또는 데이터) 또는 양자화기(27408)의 동작 모드(예를 들어, 평균화 또는 시간 인터리빙된 모드)와 같이, 고속 또는 높은 신뢰도가 원하는지에 따라 가변적일 수 있다. 따라서
Figure pct00112
비트를 갖는 양자화기(27408)의 출력은, 즉
Figure pct00113
일 수 있다. 각각의 양자화기(27408)로부터의 출력은 간섭을 필터링하기 위해 사용되는 데이터 매트릭스(B)를 형성할 수 있다.
일부 양태에서, 데이터 매트릭스(B)는 간섭을 완화시키기 위해 피드백되기 전에 필터(27416)에 의해 필터링(또는 가중)될 수 있다. 사용된 필터(27416)는 예를 들어 시간-기반뿐만 아니라 간섭의 도달 방향에 종속할 수 있다. 필터링된 신호는 d-비트 디지털 신호를 아날로그 출력으로 변환할 수 있는 DAC(27418)에 공급될 수 있다. 위와 유사하게, d는 원하는 BER 및 필터 특성에 따라 선택될 수 있다. 일부 양태에서, DAC(27418)는 양자화기(27408)가 아날로그 입력을 디지털 신호로 변환하는 데 사용하는 것과 동일한 수의 비트를 사용하여 디지털 입력을 아날로그 신호로 변환할 수 있다. 다른 양태에서, 양자화기(27408) 및 DAC(27418)에 의해 사용되는 비트의 수는 상이할 수 있다. 일부 양태에서, 변환의 분해능은 양자화에 사용되는 것과 유사한 인자에 따라 가변적일 수 있다. 양자화 분해능과 변환 분해능의 상대적인 차이는 위의 인자에 따라 변할 수 있다. DAC(27418)로부터의 아날로그 출력(
Figure pct00114
)은 제 2 이득(27422)로 공급될 수 있다. 제 2 이득(27422)은 채널 또는 SNR에 따라 최적화될 수 있고, 또는 일부 양태에서, 낮은 복잡도의 수신기를 위한 고정 이득으로 설정될 수 있다. 위와 같이, 제 2 이득(27422)은 증폭 또는 감쇠를 제공할 수 있고, 시간에 따라 변할 수 있다. DAC(27418)로부터의 변경된 신호는 위에서 표시한 바와 같이, RF 프론트 엔드(27410)로부터 신호로부터 감산될 수 있다.
양자화기(27408)로부터의 신호(
Figure pct00115
)는 또한 제 2 저역 통과 필터(27412)에 공급될 수 있다. 2 저역 통과 필터(27412)는 양자화기(27408)에 의해 도입된 고조파를 제거하는데 사용될 수 있다. 일부 양태에서, 양자화기(27408)는 입력 신호를 오버샘플링할 수 있다. 이러한 양태에서, 제 2 저역 통과 필터(27412)로부터의 신호는 이어서 데시메이터(27414)에서 데시메이트된 다음 추가 처리를 위해 기저대역 프로세서(27420)에 공급될 수 있다. 데시메이터(27414)는 디지털 데이터의 샘플링을 나이퀴스트 레이트로 감소시킬 수 있다. 데시메이션은 디지털 신호를 다운 샘플링하여 신호가 저역 통과 특성을 갖도록 처리할 수 있다. 일부 양태에서, 양자화기(27408)는 오버 샘플링을 피할 수 있고 데시메이터(27414)는 제거될 수 있다.
일부 양태에서, 기저대역 프로세서(27420)는 필터를 반전하여 양자화에 의해 야기된 오류 내에서, 신호를 본질적으로 안테나(27430)로부터 원 신호의 신호로 복원할 수 있다. 이것은 원 신호가 양자화기(27408)에 공급되지 않은 채, 기저대역 프로세서(27420)가 예컨대 디지털 교차 상관을 통해 원 신호를 디지털 처리하도록 할 수 있다. 그 대신에, 아날로그 도메인에서의 간섭을 보상하는 보상된 신호가 양자화기(27408)에 제공될 수 있고, 이에 따라 간섭과 연관된 진폭 스파이크를 감소시키고 양자화기의 동적 범위가 감소되게 할 수 있다.
일부 양태에서, 복수의 빔포밍 안테나로부터 복수의 빔포밍된 신호를 수신하기 위한 수단은 수신기 아키텍처(27400)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(27400)는 또한 각각의 빔포밍된 신호에 대해: 예를 들어, 도시된 피드백 루프에 의해, 빔포밍된 신호가 양자화기에 제공되기 전에 빔포밍된 신호를 대상으로 간섭원으로부터의 간섭을 보상하고 보상된 신호를 양자화기에 제공함으로써 빔포밍된 신호가 공급되는 양자화기의 동적 범위를 감소시키는 수단; 예를 들어 양자화기(27408)에 의해, 보상된 신호를 양자화하기 위한 수단; 예를 들어 BB 프로세서(27420)에 의해, 빔포밍된 신호에 적용된 보상을 디지털로 반전시켜 빔포밍된 신호의 디지털 버전을 재생성하기 위한 수단 및 빔포밍된 신호의 디지털 버전을 신호 처리하기 위한 수단을 구현할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(27400)는 또한: 계수가 간섭원의 방향에 종속하는 필터(27416)를 사용하여 양자화된 출력을 필터링하여 필터링된 신호를 생성하기 위한 수단; 예를 들어 DAC(27418)에 의해, 필터링된 신호를 아날로그 신호로 변환하기 위한 수단, 및/또는 예를 들어 결합기(27402)에 의해, 아날로그 신호를 빔포밍된 신호와 결합하여 보상된 신호를 생성하기 위한 수단 중 하나 이상을 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(27400)는 또한 LPF(27404)를 사용하여 보상된 신호 내의 양자화 잡음을 대역회로 형상화하여 LPF 신호를 형성하기 위한 수단; 예를 들어, 이득(27406)을 사용하여, 양자화기(27408)의 동적 범위를 감소시키기 위해 LPF 신호를 양자화하기 전에 LPF 신호의 이득을 조정하기 위한 수단, 및/또는 LPF(27416)를 사용하여 양자화기(27408)에 의해 도입된 고조파를 제거하여 LPF 신호를 생성하기 위한 수단 및 예를 들어, 데시메이터(27414)를 사용하여, LPF 신호를 나이퀴스트 레이트로 다운 샘플링하기 위한 수단을 구현할 수 있다.
예시적인 아키텍처의 수학적 처리로 돌아가서, 예시적인 아키텍처, 필터(W)의 설계, 데이터 행렬(B) 및 데시메이션 동작이 아래에서 설명된다. 일부 양태에서, 필터(W)를 설계하는 프로세스는 간섭(들)의 방향, 즉,
Figure pct00116
을 사용할 수 있다. 간섭의 방향은 이전 계산을 통해 알려질 수 있고, 다수의 프로세스 중 임의의 프로세스에서, 예컨대 MUSIC 프로세스와 같은 디지털 프로세스를 사용하여 결정된다. 아래의 삼각 행렬(L) 및 스케일링 벡터(α)는 다음과 같이 정의될 수 있다:
Figure pct00117
그러면, 필터 계수 행렬(
Figure pct00118
)은 다음과 같이 결정될 수 있다:
Figure pct00119
여기서 [ㆍ]+는 의사 역 연산자(pseudoinverse operator)이며
Figure pct00120
는 크로네커 곱(kronecker product)을 나타낸다. 오버 샘플링 레이트가 K로 표시될 때, 여기서 K≥2이고,
Figure pct00121
는 다음과 같이 형성될 수 있다:
Figure pct00122
K가 높으면 BER이 더 좋지만, 전력 소모는 더 나쁘다. 따라서, 수신기 안테나의 수는 Nr 일 수 있고, 간섭의 방향은
Figure pct00123
일 수 있고, 오버샘플링 비율은 K≥2일 수 있다.
다음에는 데이터 매트릭스(
Figure pct00124
)의 구조가 제시된다. 메모리의 초기 상태는 0, 즉 b[0] = 0으로 설정될 수 있다. 오버샘플링 레이트(K)의 경우, 각 안테나 출력으로부터 K-1 샘플이 사용될 수 있다. 데이터 벡터(b[i])는 다음과 같이 표시될 수 있다:
Figure pct00125
여기서 i는 (n modulo K)이고,
Figure pct00126
은 m'번째 양자화기의 출력에서 n'번째 디지털 샘플이고,
Figure pct00127
. 그 다음, 데이터 행렬은 다음과 같을 수 있다:
Figure pct00128
따라서, mod(n, K) = 0이면, 간섭이 신호보다 커서 신호 부분이 무시될 수 있다고 가정하면, DAC 입력은 b[n]일 수 있다. 그렇지 않으면, DAC는
Figure pct00129
일 수 있고, 여기서
Figure pct00130
이며
Figure pct00131
이다.
데시메이션 동작은 오버샘플링된 신호를 다운 샘플링하기 위해 수행될 수 있다. 모든 K 개의 샘플 중 첫 번째 샘플은 큰 간섭을 가질 수 있으므로 모든 K 개의 샘플 중 K-1 개의 샘플이 사용될 수 있다. 도 275은 일부 양태에 따른 오버샘플링된 신호를 도시한다. 도 275에 도시된 바와 같이, (n modulo K) ≠ 1을 충족시키는 데시메이터에서의 샘플(n)은 0으로 대체될 수 있다. 그 다음, 나머지 신호는 콤 필터(comb filter)와 같은 저역 통과 필터를 사용하여 다운 샘플링될 수 있다. 일부 양태에서, b>1 비트 양자화기이면 곱셈(
Figure pct00132
)은 샘플 당
Figure pct00133
실수 곱셈 및 합산 비트를 사용할 수 있다. 다른 한편, 1-비트 양자화기가 사용되면, 실제 합산은 단지
Figure pct00134
만으로 제한될 수 있다.
제 1 및 제 2 이득의 이득 제어 파라미터는 다음과 같이 결정될 수 있다:
Figure pct00135
일부 양태에서, 이득 제어 파라미터는 시뮬레이션에 의해 선택될 수 있거나, 자동 이득 제어 프로세스를 사용하여 샘플링하는 동안 발견될 수 있다. 다른 양태에서, 이득 제어 파라미터는 위와 같이 설정될 수 있다.
도 276a 및 도 276b는 일부 양태에 따른 수신기의 필터 특성을 도시한다. 도 276a에 도시된 바와 같이, Nr = 8 개 안테나를 갖는 수신기는 K = 4 인 4-비트 양자화기만이 사용될 때 θ = 40 ° 및 θ = 120 °에서 최대 -30 내지 -40 dB만큼 간섭을 완화할 수 있다. 이것은 무한 분해능 양자화기가 다른 것 중에서도, 과도한 전력 손실을 희생시키면서 사용될 때, 간섭 완화를 약 -250 dB까지 증가시킨다. 아날로그 빔포밍은 임의의 주어진 방향에 대해 간섭(즉, 아날로그 빔포밍의 배열 패턴은 간섭 방향에 관계없이 고정된 패턴을 갖도록 설계됨)을 제거할 수 있는 유연성을 갖고 있지 않을 수 있다는 것을 유의하여야 한다. 대신에, 아날로그 빔포밍은 원하는 방향으로 빔포밍될 수 있다.
도 277은 일부 양태에 따른 빔포밍 패턴을 도시한다. 특히, 도 277은 θ = 95 °에 대한 아날로그 빔포밍을 도시한다. 알 수 있는 바와 같이, 아날로그 빔포밍은 θ = 40 ° 및 θ = 120 °에서 각각 -18 dB 및 -15 dB만큼 간섭을 제거할 수 있다. 위에서와 같이, 아날로그 빔포밍은 유연하지 못한 빔 패턴을 가질 수 있다.
도 278은 일부 양태에 따른 BER 성능을 패턴을 도시한다. 특히, 도 278은 아날로그 빔포밍, 디지털 빔포밍 및 도 274에 도시된 아키텍처의 BER 성능을 도시한다. 도 278에 도시된 상이한 수신기 구조는 110 °의 원하는 신호 방향 및 90 °의 간섭 방향을 갖는 LOS 채널에 있는 수신기에서 Nr = 8 개의 안테나를 가질 수 있다. 시뮬레이션 목적으로, 대역폭은 1 MHz 일 수 있으며, 간섭 및 원하는 신호는 동일한 대역을 통해 전달된다. 펄스 형상화 필터가 사용될 수 있다. 펄스 형상화 필터는 여덟 개 샘플의 필터 길이 및 0.2의 롤오프 인자를 갖는 제곱근 상승 코사인 필터일 수 있다. 시뮬레이션에서, SIR = -30 dB 및 QPSK 변조가 사용된다. 도 278에 도시된 바와 같이, 아날로그 빔포밍 아키텍처는 아날로그 빔포밍이 -13 dB만큼 간섭을 감소시킬 수 있으므로 간섭에 의해 완전히 차단될 수 있다. 4-비트 ADC를 갖는 완전 디지털 수신기는 또한 4-비트 ADC가 높은 간섭 하에서 포화되기 때문에 도 274의 아키텍처보다 못하게 수행할 수 있다. 그러나, 도 274의 아키텍처는 양자화 이전에 간섭 무효화로 인해 최상으로 수행될 수 있다.
도 279는 일부 양태에 따른 수신기에서 양자화기 동적 범위를 감소시키는 방법(27900)을 도시한다. 방법(27900)은 도 274에 도시된 구조에 의해 수행될 수 있다. 동작(27902)에서, 복수의 빔포밍된 신호가 복수의 빔포밍 안테나로부터 수신될 수 있다. 빔포밍 안테나는 모든 각도에서 스캔하여 각각의 각도에서 빔포밍 신호를 생성할 수 있다.
각각의 각도에서 빔포밍된 신호 각각에 대해, 동작(27904)에서 빔포밍된 신호는 빔포밍된 신호의 디지털화되고 수정된 버전에 의해 보상될 수 있다. 수정은 간섭원 및 연관된 각도에 기초할 수 있다. 임의의 간섭원의 각도는 수정 중에 이미 결정되어 사용될 수 있다. 이것은 빔포밍된 신호가 공급되는 양자화기의 동적 범위를 감소시킬 수 있다.
보상된 신호는 또한 동작(27906)에서 처리될 수 있다. 처리는 저역 통과 필터(LPF)를 사용하여 대역 외로 보상된 신호의 양자화 잡음을 형상화하는 것을 포함할 수 있다. LPF 신호의 이득은 LPF 신호를 양자화하기 전에 조정되어 양자화기의 동적 범위를 감소시킬 수 있다. 이득은 미리 결정될 수 있거나 또는 가변적일 수 있고 채널 특성에 따라 설정될 수 있다.
그 다음, 동작(27908)에서 조정된 신호가 처리될 수 있다. 양자화 분해능은 신호 유형, 채널 또는 다른 변수에 따라 미리 결정되거나 달라질 수 있다.
동작(27910)에서 양자화된 신호가 수정되어 빔포밍된 신호에 피드백될 수 있다. 피드백 루프에서, 양자화된 신호는 계수가 간섭원의 방향에 종속하는 필터를 사용하여 필터링될 수 있으며 필터링된 신호는 아날로그 신호로 변환될 수 있다. 아날로그 신호는 피드포워드 부분의 이득과 유사한 이득으로 공급될 수 있지만, 이득은 서로 독립적일 수 있다. 일부 양태에서, 이득은 상이한 타입(예를 들어, 고정 또는 가변)일 수 있다. 보상된 신호를 양자화하고 필터링된 신호를 변환할 때 분해능은 서로 독립적일 수 있으며, 적어도 하나의 분해능은 예를 들어, 원하는 비트 오류율(bit error rate)(BER) 또는 필터 특성에 종속할 수 있다.
동작(27912)에서 양자화된 신호가 추가로 처리될 수 있다. 양자화된 신호의 처리는 데시메이션(decimation)을 포함할 수 있다; 예를 들어, 양자화 중에 빔포밍된 신호가 오버샘플링되면, 양자화된 신호는 다른 LPF를 사용하여 양자화기에 의해 도입된 고조파를 제거한 후에 나이키스트 레이트(Nyquist rate)로 다운 샘플링될 수 있다. 결과 신호는 데시메이션 여부에 상관없이 기저대역 프로세서에 공급될 수 있으며, 기저대역 프로세서에서 디지털적으로 보상이 반전되어 빔포밍된 신호의 디지털 버전을 재생성할 수 있다. 결과적인 디지털 신호는 기저대역 프로세서에 의해 신호 처리될 수 있다.
통신 시스템에서, 수신된 RF 신호는 UE에서 처리를 위해 디지털 신호로 변환될 수 있고, 반면에 디지털 데이터는 UE로부터의 전송을 위해 RF 신호로 변환될 수 있다. 수신기 체인의 ADC는 안테나로부터 RF 신호를 수신하고 RF 신호를 디지털 신호로 변환한다. 송신기의 DAC는 디지털 신호를 수신하고 안테나로부터 전송하기 위해 디지털 신호를 RF 신호로 변환할 수 있다. ADC의 설계 상쇄 관계는 전력, ADC 분해능 및 신호 대역폭 사이의 비율을 통합한 성능 지수(Figure-of-Merit)(FoM)으로 표현될 수 있다:
[수학식 7]
Figure pct00136
mmWave 통신을 사용할 수 있는 곧 있을 표준은 이전 표준과 다른 요건을 가질 수 있다. 예를 들어, 5G 기저대역 또는 802.11 ay(WiGig)는 저분해능(4b-7b) 및 고변환율 ADC를 사용하는 반면에, 802.11 ax WiFi는 중-고(moderate-high)(10b-12b) 분해능을 사용하지만 저 대역폭 대역 변환기를 사용할 수 있다. 단일 채널의 변환 속도가 기술의 한계에 가까워짐에 따라 전력-속도 상쇄 관계는 비선형이기 때문에 더 많은 채널(ADC)을 인터리빙하면 FoM이 향상될 수 있다. 이것은 이러한 한계에서 단일 ADC의 원하는 속도 증가를 위해 균형이 맞지 않게 높은 전력을 요구할 수 있다. 시간 인터리빙 ADC는 상쇄 관계의 선형성을 유지하고 더 낮은 속도의 단일 ADC로 FoM을 달성할 수 있는 고속 ADC로 이어질 수 있다.
그러나, 시간 인터리빙된 ADC는 저분해능, 고속 ADC 아키텍처를 위한 바람직한 선택일 수 있지만, 이러한 시간 인터리빙된 ADC의 사용은 고분해능 및 보다 낮은 대역폭을 사용하는 아키텍처에 부적합할 수 있다. 이러한 아키텍처는 오버 샘플링, 잡음 형상화 및 필터링을 사용하여 설계 목표를 달성할 수 있다. 나이퀴스트 신호 대역폭과 비교하여 훨씬 더 높은 샘플링 속도를 갖는 시간 인터리빙된 ADC가 오버샘플링 특징을 갖고 있더라도, 오버샘플링 및(잡음 형상화 없는) 필터링을 통합하면 각각 오버샘플링 레이트 및 이에 따른 채널의 개수(Nch)를 두배로 하기 위한 보통의(이론상) 3 dB 분해능 개선을 달성하는 것이 제한적일 수 있다. 이것은 각 표준에 대해 여러 상이한 타입의 전용 ADC를 사용할 수 있는, 설계 시간, 복잡성 및 통합 자원 사용의 대응하는 오버헤드가 있는, 다중 표준 수신기에서 시간 인터리빙된 ADC의 채택을 제한할 수 있다.
본 명세서에 개시된 양태는, 예를 들어 다수의 표준에서 통신 신호를 수신하도록 구성된 수신기의 요구를 충족시키기에 충분히 유연한 재구성 가능한 ADC 아키텍처(또는 ADC 시스템 - ADCS)를 제공할 수 있다. ADCS는 원하는 대로 Nch 채널 시간 인터리빙된 ADC로부터 상대적 오프셋을 가진 Nch 병렬 ADC로 재구성될 수 있다. 이것은 ADCS가 채널을 시간 인터리빙하는 대신 채널의 출력을 평균함으로써 더 낮은 대역폭에서 더 높은 분해능을 달성하게 할 수 있다. 일부 양태에서, 이러한 아키텍처에 의해 제공되는 분해능 개선은 채널 개수(Nch)가 두 배 될 때마다 6 dB가 될 수 있다.
도 280은 일부 양태에 따른 ADCS(2800)를 도시한다. ADCS(28000)는 예를 들어, 기저대역 또는 RF 서브시스템과 같은 통신 시스템의 수신기에 사용될 수 있다. ADCS(28000)는 도 3e에 도시된 ADC(394)에 통합될 수 있지만, ADCS(28000)는 그러한 통합으로 제한되지 않는다. 따라서, ADCS(28000)는 UE, eNB, AP 또는 다른 디바이스와 같은 통신 디바이스에 통합될 수 있다. ADCS(28000)는 레거시(예를 들어, 3G, 4G 통신) 및 차세대 표준(예를 들어, 5G 통신) 등을 비롯하여 임의의 개수의 표준 기반 통신이 사용되는 환경에서 사용될 수 있다. ADCS(28000)는 시간 인터리빙된 모드와 평균 모드를 포함하는 다양한 동작 모드 사이에 구성 가능하다. 이것은 ADCS(28000)를 상이한 속도 대 분해능 상쇄관계 설정 값으로 서로 다른 표준/사양에 적응하게 할 수 있다. 예를 들어, 평균화는 ADCS 속도를 낮추는 대가로 정확도를 증가시킬 수 있고, 그래서 제어 시그널링과 같은 저속 시그널링에 적합할 수 있다.
ADCS(28000)는 오버 샘플링 및 데시메이션을 위한 샘플링 회로(28004)를 각각 포함하는 다수의 Nch ADC(28002)(코어 ADC라고도 지칭됨)를 포함할 수 있다. ADC(28002)의 토폴로지는 일반적일 수 있으며 애플리케이션(예를 들어, SAR, 파이프라인, 델타-시그마)에 따라 변경될 수 있다. 각각의 코어 ADC(28002)의 입력은 신호 및 클록 분배 회로(28008) 및 TU(28006)와 연결될 수 있다. 각각의 코어 ADC(28002)의 출력은 프로세싱 회로(28010)에 공급될 수 있다. 각각의 코어 ADC(28002)는 Nq 비트 분해능, fsc 최대 속도 및 대응하는 Pcore-ADC@fsc 전력 소비를 가질 수 있다. 코어 ADC(28002)는 ADC0부터 ADCNch-1까지 넘버링될 수 있다. 코어 ADC(28002)의 샘플링 회로(28004)는 코어 ADC(28002)가 신호 및 클록 분배 회로(28008)로부터 아날로그 입력 신호(Vin, n 및 Vin, p)를 오버샘플링 및 데시메이트션하게 할 수 있다.
신호 및 클록 분배 회로(28008)는 안테나(28030)로부터(프론트 엔드를 통해) 아날로그 입력 신호(Vin, n 및 Vin, p)를 제공받아 코어 ADC(28002) 사이에 분배할 수 있다. 아날로그 입력 신호(Vin, n 및 Vin, p)는 ADCS(28000)와 별개인 드라이버 회로(28020)로부터 수신될 수 있다. 신호 및 클록 분배 회로(28008)는 또한 국부 발진기 또는 다른 타이밍 회로(도시되지 않음)로부터의 마스터 클록 신호(master clock signal)(MCK)를 제공받을 수 있다. MCK는 코어 ADC(28002)와 연관된 타이밍 회로(TU)(28006)에 공급될 수 있으며, 타이밍 회로(TU)(28006)는 연관된 코어 ADC(28002)에만 분배하기 위한 로컬 마스터 클록 신호(local master clock signal)(LMCK) 및 시스템 클록(system clock)(SCK)을 생성할 수 있다. MCK는MCK가 LMCK의 정수배인 주파수를 가질 수 있도록 TU(28006)에서 분주될 수 있다. 각각의 TU(28006)에 의해 제공된 LMCK 신호는 동일 할 수 있다. TU(28006)은 ADCS(28000)의 동작 모드에 따라 달라지는 SCK를 생성 할 수 있다. TU(28006)는 코어 ADC0과 연관된 타이밍 유닛으로부터 코어 ADC Nch-1까지 함께 링크될 수 있다.
서로 다른 코어 ADC(28002)로부터의 디지털 출력은 디지털 프로세싱 회로(28010)에 공급될 수 있다. 프로세싱 회로(28010)는 다수의 동작을 수행할 수 있으며, 그 중 적어도 일부는 ADCS(28000)의 동작 모드에 종속할 수 있다. 프로세싱 회로(28010)는 시간 인터리빙된 모드에서 코어 ADC(28002)의 적어도 일부로부터의 디지털 출력을 개별적으로 가중한 다음에 가산할 수 있다. 일부 양태에서, 코어 ADC(28002)로부터의 입력은 동일하거나 상이할 수 있는 디지털적으로 미리 설정된 가중치를 갖도록 가중될 수 있으며, 프로세싱 회로(28010)는 등화기로서 동작한다. 일부 양태에서, 프로세싱 회로(28010)는 평균화 모드에서 코어 ADC(28002) 중 적어도 일부에 다중화된 버퍼(28010)를 대신 제공할 수 있다. 일부 다른 양태에서, 프로세싱 회로(28010)는 일부 또는 모든 코어 ADC(28002)의 출력을 메모리에 기입할 수 있다.
ADCS(28000)는 또한 제어기(28040)로부터의 모드 신호를 사용하여 ADCS(28000)의 동작 모드를 결정할 수 있다. 모드 신호는 코어 ADC(28002)뿐만 아니라 타이밍 유닛(28006)에도 공급될 수 있다. 모드는 코어 ADC(28002)에 공급 될 LMCK의 타이밍을 표시할 수 있다. 타이밍 유닛(28006)은 함께 직렬로 연결될 수 있고, 예를 들어, 각각의 타이밍 유닛(28006)에 대해 적절한 시간에 LMCK를 트리거하는데 사용될 수 있다. 타이밍 유닛(28006)은 예를 들어 인접한 타이밍 유닛(28006)에 의해 트리거되는 하나 이상의 래치를 포함할 수 있다. 각각의 ADC(28002)는 샘플링 회로(28004)와 연관된 샘플링 타이밍 왜곡뿐만 아니라 특정 오프셋을 특징으로 할 수 있다. 모드 신호는 동작 구성을 설정하는 미리 설정된 비트일 수 있다. 일부 양태에서 제어기(28040)는 프로세싱 회로(28010)일 수 있고 또는 다른 양태에서는 다른 프로세서일 수 있다.
일부 양태에서, 모드 신호는 ADCS(28000)가 두 모드 중 어느 모드에서 동작할지 및 이에 따라 어느 ADC 구성을 사용할지를 표시하는 단일 비트일 수 있다. 일부 양태에서, 모드 신호는 얼마나 많은 코어 ADC(28002)를 사용할지를 표시하는 하나 이상의 추가 비트를 포함할 수 있다. 일부 양태에서, 제한된 개수의 코어 ADC(28002)가 사용되면, 모드 신호의 추가 비트는 코어 ADC(28002) 중 어느 것이 사용할지를 표시할 수 있다. 예를 들어, 일부 양태에서 코어 ADC(28002)는 인접해 있을 수 있고 그래서 인접한 ADC 번호를 가질 수 있다. 이 경우, 일부 양태에서 모드 신호는 또한 연속적인 코어 ADC(28002) 중 첫 번째 것을 사용할 것을 표시하는 오프셋 비트를 가질 수 있다. 일부 양태에서, 모드 신호는 또한 코어 ADC(28002)를 사용할지를 표시하는 코어 ADC(28002) 각각마다 하나의 비트를 가질 수 있다. 일부 양태에서, 예를 들어, 시간 인터리빙된 모드에서 사용되는 코어 ADC(28002)는 균일하게 분배(예를 들어, 하나 걸러 코어 ADC(28002))될 수 있어, 복합 시간 인터리빙된 신호의 타이밍이 균일하도록 코어 ADC(28002)의 타이밍을 동일하게 유지할 수 있다. 이것은 예를 들어, 일부 양태에서, 사용되는 코어 ADC(28002)의 개수를 줄이고 이에 따라 전력 소비를 줄이기 위해 사용될 수 있다.
일부 양태에서, 코어 ADC(28002)는 모드와 무관한 고정된 비트 분해능을 가질 수 있다. 코어 ADC(28002)는 예를 들어, 8-12 비트 분해능을 가질 수 있다. 일부 양태에서, 코어 ADC(28002)는 모드에 종속하는 가변 분해능을 가질 수 있다. 이 경우, 코어 ADC(28002)의 분해능은 병렬 동작(평균화 모드)을 위해 더 많은 비트 수를 가질 수 있으며 직렬 동작(시간 인터리빙된 모드)을 위해서는 더 적은 비트 수(예컨대, 1-3)를 가질 수 있다.
일부 양태에서, 더 높은 분해능, 더 낮은 대역폭 동작을 위한 평균화 모드 ADC 구성과 코어 ADC로부터의 출력이 평균화되는 더 낮은 분해능, 더 빠른 동작을 위한 시간 인터리빙된 모드의 ADC 구성 사이에서 ADC 구성을 조정하기 위한 수단이 ADCS(28000)에 의해 구현될 수 있다. 도시된 바와 같이, 일부 양태에서, ADCS(28000)는 또한 예를 들면 프로세싱 회로(28010)를 통해, 평균화 모드 ADC 구성의 코어 ADC(28002)로부터의 출력을 평균화하여 평균화된 ADC 출력을 생성하기 위한 수단 및 시간 인터리빙된 모드 ADC 구성의 코어 ADC(28002)로부터의 출력을 조합하여 시간 인터리빙된 ADC 출력을 생성하기 위한 수단을 구현할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(27400)는 또한 예를 들어, 신호 및 클록 분배 회로(28008), TU(28006) 및 제어기(28040)를 통해, 타이밍 유닛(28006)에 공급되는 마스터 클록 신호에 기초하여 시스템 클록 신호 및 로컬 마스터 클록 신호를 각각의 코어 ADC(28002)에 제공하기 위한 수단 및 ADC 구성에 종속하는 시스템 클록 신호를 조정하기 위한 수단을 구현할 수 있다. 도시된 바와 같은 일부 양태에서, 수신기 아키텍처(27400)는 또한: 예를 들어, 제어기(28040) 및 ADC(28002)를 통해, ADC 구성에 종속하는 코어 ADC(28002)의 분해능을 조정하기 위한 수단 및/또는 예를 들어, TU(28006), 제어기(28040) 및 샘플링 회로(28004)를 통해, 코어 ADC(28002) 각각으로의 입력 신호를 오버샘플링 및 데시메이션한 다음 입력 신호를 양자화하여 양자화된 신호를 생성하기 위한 수단 중 하나 이상을 구현할 수 있다.
도 281a 및 도 281b는 일부 양태에 따른 ADCS(28100)의 상이한 동작 모드를 도시한다. 도 281a에 도시된 바와 같이, ADCS(28100)는 시간 인터리빙된 모드에서 동작할 수 있다. 시간 인터리빙된 모드는 예를 들어, 단일 비트에 의해 타이밍 유닛(28106) 및 코어 ADC(28102)로의 모드 입력에 의해 시그널링될 수 있다. 시간 인터리빙된 모드는 ADCS(28100)가 더 높은 대역폭 Nch fsc 또는 Nch fsc의 변환 속도, 및 Nq(또는 더 낮은) 분해능 아날로그-디지털 변환을 달성할 수 있게 한다.
시간 인터리빙된 모드에서, SCK는 순차적인 순서로 코어 ADC(28102)에 공급될 수 있다. 예를 들어, ADC N+1에 대한 SCK 신호는 ADC N에 대한 신호 직후에 발생하여, 궁극적으로 코어 ADC 0에 대한 SCK 신호가 코어 ADC Nch-1에 대한 신호 직후에 발생하도록 반복된다. 따라서, 시간 인터리빙된 모드에서, 각 채널은 글로벌 클록 MCK 레이트로 변환된 샘플을 제공할 수 있다. 시간 인터리빙된 모드에서, 코어 ADC(28102)로부터의 출력은 병렬 입력 직렬 출력 시프트 레지스터(Parallel In Serial Out Shift Register)(PISO)와 같은 버퍼, 메모리 또는 바이패스로서 동작 할 수 있는 메모리/바이패스(프로세싱 회로)(28110)에 제공될 수 있고 ADCS의 출력을 ADCS(28110)의 출력으로서 제공할 수 있다.
도 281b에 도시된 바와 같이, ADCS(28100)는 또한 시간 인터리빙된 모드에서도 동작할 수 있다. 평균화 모드는 TU(28106) 및 코어 ADC(28102)(도 281a에 도시된 바와 같이 표시됨)로의 입력된 모드에 의해 시그널링될 수 있다. 평균화 모드에서, 각각의 코어 ADC(28102)는 특정 오프셋을 가질 수 있다. 평균화 모드에서, ADCS(28100)는 신호 및 클록 분배 회로(28108)가 도 280에서 표시된 바와 같은 신호를 공급하는 상태에서, 병렬로 코어 ADC(28102)와 함께 동작할 수 있고, 채널 사이의 오프셋 및 타이밍 왜곡을 이용하여 fsc/2 또는 fsc의 변환 속도와 동일한 나이키스트 대역폭에 걸쳐 더 높은 Nq 분해능(최대 Nq + 3.321og10(Nch))을 달성할 수 있다. 도시된 바와 같이, 각 코어 ADC에 대한 SCK는 동일한 시간 및 동일한 주파수에서 발생할 수 있다. 따라서, 평균화 모드에서, 각 채널은 로컬 클록(SCK, LMCK)을 갖는 fsc=fMCK/Nch에서 동시에 동작할 수 있다. 코어 ADC 출력은 프로세싱 회로(28110)에 제공될 수 있으며, 프로세싱 회로(28110)는 코어 ADC(28102)로부터의 신호를 가중하여 출력을 등화하고 코어 ADC 출력을 ADCS(28100)의 출력으로 제공하도록 동작할 수 있다. 일부 양태에서, 코어 ADC 출력은 평균화되어 ADCS 출력을 생성할 수 있다. 프로세싱 디지털 회로는 TI 모드에서 병렬 입력 직렬 출력 시프트 레지스터(Parallel In Serial Out Shift Register)(PISO), 메모리 또는 바이패스와 같은 시프트 레지스터로서 또는 AVG 모드에서 가산기 또는 등화기로서 동작할 수 있다.
ADCS의 재구성 가능성에 의해 제어된 상대적 오프셋이 가능해진 Nch 병렬 채널의 평균화 동작 모드는 시간 인터리빙된 아키텍처를 갖는 Nch에 의한 등가 오버샘플링(equivalent oversampling-by-Nch)보다 우수한 분해능을 달성할 수 있다. 대역폭이 Nch 시간 인터리빙 오버샘플링 시스템에 대한 ADC 나이키스트 주파수(fsc/2)와 동일한 신호의 분해능 향상은 다음과 같을 수 있다:
Figure pct00137
일부 양태에서, Nch 병렬 채널 평균 시스템의 경우, 분해능 향상은 최대로 다음과 같을 수 있다:
Figure pct00138
일부 양태에서, 시스템 전력은 두 개의 구성 사이에서 변경되지 않을 수 있다. 최대 속도로 동작할 때, 시스템 전력은 우선 다음과 같이 주어질 수 있다:
Figure pct00139
왜곡, 차동 비선형성(differential non-linearity)(DNL) 또는 적분 비선형성(integral nonlinearity)(INL)과 같은 교정되지 않은 오류의 소스가 존재하는 경우에도, 평균화 기술은 시간 인터리빙된 모드에서 동작하는 동일한 개수의 채널을 갖는 교정된 오버샘플링 코어 ADC의 분해능 개선과 유사한 분해능 개선을 제공할 수 있다. 그러나 오버샘플링된 코어 ADC와 달리, ADCS는 입력 신호를 제한하는 것을 피하여, 예를 들어 최소한의 진폭을 가질 수 있다.
상관되지 않은 잡음 소스는 합의 제곱근(root-sum-square)(RSS)에 기초하여 가산되지만, 신호 전압은 선형 기준에 따라 가산된다는 것을 유의하여야 한다. 따라서, 다수의 코어 ADC를 평균하는 것은 SNR을 증가시킬 수 있다. 도 282은 일부 양태에 따른 코어 ADC를 도시한다. 상대적인 오프셋을 갖는 M 개의 동일 코어 ADC의 평균화된 변환 특성의 LSB는 단일 코어 ADC LSB보다 M 배 작을 수 있다. 도 282는 두 개의 양자화기를 사용한 시뮬레이션을 도시하는 것으로, 양자화기는 분해능이 단일 채널의 분해능과 비교하여 증가되었음을 명확하게 보여주는 미리 결정된 양의 오프셋을 갖는다.
또한, 일부 양태에서, 상대적 오프셋을 갖는 다수의 병렬 코어 ADC의 출력을 평균화함으로써, 채널 개수(Nch)의 두 배가 될 때마다 최대 1 비트의 분해능을 얻는 것이 가능하다. 일부 양태에서, Nch 시간 인터리빙된 ADC 구성을 채택하여 대역폭이 코어 ADC의 나이키스트 주파수와 동일한 신호를 오버샘플링하는 결과로부터 발생하는 최대 분해능 개선은 다음과 같다:
Figure pct00140
이것은 오버샘플링 레이트(oversampling ratio)(OSR)를 배증(doubling)할 때마다, 따라서 채널 개수를 배증할 때마다 3 dB(1/2 비트)와 동등하다. 대신에, 일부 양태에서, 상대적 오프셋을 갖는 Nch 코어 ADC를 평균함으로써 획득될 수 있는 최대 분해능 개선은 다음과 같다:
Figure pct00141
수학식(α)과 비교하여, 수학식(β)은 보다 우수한 분해능 개선을 강조하며, 그래서 채널 사이에 오프셋이 있는 평균화 기술이 단일 양자화기 제한 이상으로 분해능을 개선하는 보다 효율적인 방법일 수 있다는 것과, 재구성 가능한 아키텍처가 고정된(오직 시간 인터리빙된) 것보다 유리할 수 있다는 것을 보여준다. 사실, 일부 양태의 시스템 전력은 두 가지 구성 간에 변경되지 않을 수 있으며 시스템 전력은 첫 번째 순서일 수 있다:
Figure pct00142
더욱이, 평균화 아키텍처는 시간 인터리빙된 아키텍처와 관련하여, 타이밍 왜곡 및 DNL/INL 미스매치에 덜 민감할 수 있다. 실제로, 타이밍 왜곡 및 채널 간 DNL/INL과 같이 교정되지 않은 오류 소스가 있는 경우에도, 평균화는 여전히 오버샘플링과 유사한 분해능 개선을 달성할 수 있다. 양자화기 및 시스템 출력의 교정이 적용될 수 있다. 일부 양태에서, 작업 환경에서 구현하기 전에 교정이 적용될 수 있다. 일부 다른 양태에서, 교정은 회로 동작과 동시에 백그라운드에서 실행될 수 있다. 교정은 프로세싱 회로(28010)로부터의 ADC 출력에 기초하여, ADCS(28000)를 조절하여 원하는 동작 점 및 성능에 접근하기에 적절한 구성 비트를 계산할 수 있는 제어기(28040)가 연루되는 피드백 루프를 통해 수행될 수 있다.
도 283는 일부 양태에 따른 평균화 시스템의 분해능 개선을 도시한다. ADCS의 시뮬레이션은 각 채널에서 중간 분해능(예를 들어, 9 비트)을 갖는 이상적인 양자화기를 사용하였다. 코어 ADC는 1 GS/s 및 180 MHz 입력 신호(예를 들어, IEEE 802.11 ax 추정 사양 사용)에서 서로 다른 오프셋을 가질 수 있다. 결과는 서로 다른 채널 개수(Nch = 2, 5, 10)의 경우 LSB의 SNDR의 변동을 보여준다.
도 283의 결과는 채널 개수에 관계없이 분해능 개선이 존재한다는 것을 보여준다. 더욱이, 도 283에서 알 수 있는 바와 같이, LSBcore/Nch의 배수인 오프셋 값의 경우, 개선은 평균화된 채널 개수와 동일한 간단한 오버샘플링 인자에 의해 달성 가능한 것보다 높을 수 있다. 예시된 바와 같이, 개선은 예를 들어, Nch가 두 배가 될 때마다 6 dB와 동일해질 수 있다. LSB/Nch보다 많은 상대적 오프셋을 특징으로 하는 Nch=10 채널을 갖는 평균화 ADC 동작(Verilog-A 모델)의(Verilog-A 및 스키매틱 빌딩 블록(chematic building block)을 사용하는) 캐던스 모델(Cadence model) 시뮬레이션 결과는 20 dB 분해능 개선을 보여준다: Nch에 의한 동등한 오버샘플링보다 10 dB 우수하게 달성될 것이다. LSB9b/Nch(정수)와 동일하게 오프셋을 설계하고 교정되지 않은 오류 소스(DNL/INL, 채널 간 2ps 왜곡, 이득 미스매치)가 존재하는 10 채널/9 비트 코어 ADC의 다른 몬테 카를로(Monte Carlo) 통계 시뮬레이션은 0.1 LSB의 DNL/INL 표준 편차, 채널 사이의 1 %의 이득 미스매치 및 최대 9/9.5 dB의 분해능 개선을 보여주며, 따라서 교정된 오버샘플링 기술의 분해능 개선과 동등하다.
도 284는 일부 양태에 따른 유연한 ADC 아키텍처를 제공하는 방법(28400)을 도시한다. 방법(28400)은 도 280에 도시된 다른 회로와 관련하여 제어기(28040)에 의해 수행될 수 있다. 동작(28402)에서, ADC 구성은 평균화 모드 ADC 구성과 시간 인터리빙된 모드 ADC 구성 사이에서 조정(또는 선택)될 수 있다. 예를 들어, 평균화 모드는 보다 높은 분해능, 보다 낮은 대역폭 동작을 위해 사용될 수 있다. 시간 인터리빙된 모드 ADC 구성은 예를 들어 코어 ADC의 출력이 평균화되는 보다 낮은 분해능, 보다 높은 고속 동작에 사용될 수 있다. 선택된 모드와 무관하게, 시스템 클록 신호 및 로컬 마스터 클록 신호는 타이밍 유닛에 공급되는 마스터 클록 신호에 기초하여 각 코어 ADC에 제공될 수 있다. 시스템 클록 신호는 ADC 구성에 따라 조정될 수 있다. 일부 양태에서, 시스템 클록 신호는 ADC 구성을 표시하는 모드 신호에 기초하여 조정될 수 있다. 모드 신호는, 예를 들어, ADC 구성을 표시하는 단일 비트 또는 단일 비트와 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함할 수 있다. 코어 ADC의 분해능은 ADC 구성에 따라 조정될 수 있다. 양자화 동안, 아날로그 입력이 오버샘플링되고 데시메이션될 수 있다.
동작(28404)에서, 평균화 모드 ADC 구성에서 코어 ADC로부터의 출력은 평균화되어 ADC 출력을 생성할 수 있다. 그런 다음 평균화된 ADC 출력이 추가로 처리될 수 있다. 처리는 각각의 코어 ADC로부터의 양자화된 신호를 버퍼링하는 것을 포함할 수 있다.
동작(28406)에서, 시간 인터리빙된 모드 ADC 구성에서 코어 ADC로부터의 출력은 조합되어 시간 인터리빙된 ADC 출력을 생성할 수 있다. 위와 같이, 그 다음에 시간 인터리빙된 모드 ADC 출력이 추가로 처리될 수 있다. 양자화된 신호는 ADC 구성에 따라 다르게 처리될 수 있다. 처리는 각각의 코어 ADC로부터의 양자화된 신호를 등화하는 것을 포함할 수 있다.
빔포밍 및 빔포밍 위치에 사용되는 방법은 수신기 및 시스템 성능 둘 모두에 광범위한 영향을 미칠 수 있다. 아날로그 빔포밍(RF 또는 IF) 대 디지털 빔포밍의 선택은 궁극적으로 수신기 선형성, 차단자 제거(blocker rejection), ADC 동적 범위 및 전력 소비의 상쇄 관계를 나타낼 수 있다.
디지털 빔포밍은 LOS 환경에서 제어 평면 지연 및 유효 SNR에 대해 이득을 받을 수 있다. 디지털 빔포밍은 빔포밍에 유연성을 제공할 수 있지만, 송신기 RF 체인과 안테나 사이에 일대일 대응 관계의 대가를 치루어야 할 수 있다. 특히, 디지털 빔포밍에 필요한 전력 소비는 많은 수의 ADC와 DAC로 인해 부분적으로 발생할 수 있으며 그 중 하나가 각 RF 체인에 사용될 수 있다. 특히, ADC 및 DAC의 전력 소비는 샘플링 레이트에 따라 선형적으로 증가하고 샘플 당 분해능 비트의 수에 따라 기하급수적으로 증가할 수 있다. ADC의 수량 및 분해능으로 인해 데이터 변환기 및 기저대역 프로세서와의 연관된 데이터 링크 쪽으로 상당한 전력 소비가 발생할 수 있다. 더욱이, ADC 동적 범위는 특히 하나 이상의 강한 간섭이 존재할 때 문제가 될 수 있다. ADC가 간섭원을 처리하기에 충분한 동적 범위를 갖는 것이 바람직할 수 있지만, ADC 입력에는 공간 간섭 제거가 없을 수 있다.
아날로그 빔포밍을 포함 할 수 있는 하이브리드 빔포밍은 어느 정도 전력 소모의 문제를 감소시키거나 완화시킬 수 있지만, 제어 지연 및 LOS 결손이 부가될 뿐만 아니라, 디지털 프로세싱으로부터 개별 안테나 신호를 마스킹하는 대가를 치러야한다. 대안적으로, 전형적으로 사용되는 고분해능 ADC 보다는, 저분해능 ADC(예컨대, 1-3 비트 ADC)를 갖는 디지털 빔포밍을 사용하면 ADC 및 디지털 프로세싱 전력 소비를 감소시킬 수 있지만, 높은 SNR 시나리오에서는 처리량의 대가를 치룰 수 있다.
일부 양태에서, 사용된 ADC 사양, 특히 동적 범위는 디지털 프로세싱을 위한 개별 안테나 신호를 유지하면서 아날로그 합산(analog summation)을 통해 감소될 수 있다. 특히, 동작 중인 ADC 수량의 동적 조정은 신호 조건 및 시스템 활동에 종속할 수 있다. 일부 양태에서, 아날로그 합산은 공간 간섭 제거를 위해 요소 전체에 걸쳐 사용될 수 있지만, 빠른 제어 평면 동작을 위해 디지털 도메인에서 반전 가능한 방식으로 사용될 수 있다. 따라서, 일부 양태에서, 제어 평면 성능은 디지털 빔포밍의 성능과 매칭할 수 있고, 따라서 제어 평면 지연은 아날로그 빔포밍의 지연보다 작을 수 있다. 또한, 아날로그 빔포밍에 존재하는 아날로그 위상 변위기 및 동위상/직교 위상(I/Q) 불균형 보상 회로의 사용이 회피될 수 있는데, 즉 일부 양태에서 아키텍처는 I/Q 결합을 회피하고 스위칭 신호 극성에 의존할 수 있다. 더욱이, 낮은 제어 지연, 높은 유효 SNR, 높은 비시선(non-line-of-sight) 처리량 및 디지털 빔포밍의 MU-MIMO 능력일 수 있는 것처럼, 아날로그 빔포밍에 사용되는 공간 간섭 제거 및 더 소수의 ADC가 달성될 수 있다.
도 285는 일부 양태에 따른 수신기 아키텍처(28500)를 도시한다. 수신기 아키텍처(28500)는 도 3e에 도시된 병렬 수신 회로(382)에 통합될 수 있지만, 수신기 아키텍처(28500)는 그러한 통합으로 제한되지 않는다. 수신기 아키텍처(28500)는 UE, NB, AP 또는 다른 통신 디바이스에 배치될 수 있다. 통신 디바이스는 편의상 도시되지 않은 송신기 회로와 같은 다른 회로를 가질 수 있다. 아키텍처(28500)는 RF 프론트 엔드(28502), 체배기(28504), 결합기(28506), 가변 이득 제어(28508), ADC(28510) 및 기저대역 프로세서(28520)를 포함하는 수신기 회로를 포함할 수 있다. 저역 통과 필터와 같은 다른 요소가 제공될 수 있지만 편의를 위해 도시되지 않는다. RF 프론트 엔드(28502) 출력은 RF 프론트 엔드(28502)와 연결된 안테나(28530)의 안테나 요소(도시되지 않음)로부터 출력(
Figure pct00143
)을 제공할 수 있다.
각각의 ADC(28510)는 복수의 체배기(28504)와 함께, 상이한 결합기(28506) 및 가변 이득 제어(28508)와 연관될 수 있다. 각각의 체배기(28504)는 RF 프론트 엔드(28502)로부터의 상이한 출력 출력(
Figure pct00144
Figure pct00145
)과 연관될 수 있고, 신호를 가중하는데 사용되는 개개의 신호 가중치 출력(
Figure pct00146
)을 가질 수 있다. 일부 양태에서, 신호 가중치는 -1 또는 1의 값을 취할 수 있다. 가중치를 이들 값으로 제한하는 것이 더 쉬운 계산을 가져올 수 있지만, 일부 양태에서, 가중치는 다른 정수 또는 비정수 실수 또는 복소수 값을 취할 수 있다. 상이한 ADC(28510)가 상이한 양의 간섭을 보일 수 있기 때문에 상이한 가중치가 사용될 수 있다. 가중치는 원하는 신호의 신호 대 간섭 플러스 잡음(signal-to-interference-plus-noise(SINR))을 최대화 또는 증가시키기 위해 원하는 신호 및 간섭원 신호(또는 다른 변수)의 조건에 따라 적응적일 수도 있거나 또는 고정될 수도 있으며 따라서 신호 및 채널 조건에 무관할 수 있다.
따라서, 각각의 안테나 요소마다, 특정 안테나 요소로부터의 아날로그 출력은 결합기(28506)에서 각각의 다른 안테나 요소로부터의 가중된 아날로그 출력과 결합될 수 있다. 결합기(28506)로부터의 결합된 출력은 가변 이득 제어(28508)에 공급될 수 있다. 가변 이득 제어(28508)는 이득(
Figure pct00147
)을 제공하여 ADC(28510)로의 입력인
Figure pct00148
을 조정할 수 있다.
가변 이득 제어(28508)는 ADC(28510)에 제공하기 전에 잠재적인 간섭원에 대한 가역적 아날로그 보상을 제공함으로써 ADC(28510)의 동적 범위를 감소시킬 수 있다. ADC(28510)의 동적 범위는 원하는 배열 간섭 제거 및 각도 분해능에 따라 기저대역 프로세서(28520)(또는 다른 프로세서)에 의해 선택될 수 있다. 더 작은 각도를 해결하기 위해, 더 큰 배열 또는 더 큰 ADC 동적 범위가 사용될 수 있다. ADC(28510)로부터의 출력은 기저대역 프로세서(28520)에 공급될 수 있으며, 기저대역 프로세서(28520)에서 결합기(28506)에 의해 제공된 아날로그 보상이 디지털적으로 반전될 수 있다. 이러한 반전은 일부 양태에서 ADC(28510)의 분해능으로 제한될 수 있다.
일부 양태에서, 아날로그 합산은 현재 모드 합산으로 구현될 수 있다. 다른 양태에서, 다른 신호 합산 방법이 사용될 수 있다. 사용되는 합산 방법은 원하는 전력 및 성능을 충족시킬 수 있다. 도시된 바와 같이, 일부 양태에서, 도 285의 배열은 아날로그 빔포밍을 위해 사용되는 아날로그 위상 변위기 및 I/Q 불균형 보상 회로의 사용을 감소 또는 제거할 수 있다.
일부 양태에서, 안테나의 복수의 안테나로부터 빔포밍된 신호를 수신하기 위한 수단은 수신기 아키텍처(28500)에 의해 구현될 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(7200)는 또한 예를 들어, 체배기(28504) 및 결합기(28506)를 통해, 빔포밍된 신호를 ADC에 제공하기 전에, 간섭원 신호를 보상하기 위한 수단, 예를 들어 ADC(28510)를 통해, 보상된 신호를 양자화하기 위한 수단, 및 예를 들어, 기저대역 프로세서(28520)를 통해, 양자화된 신호를 처리하기 전에 보상을 반전하기 위한 수단을 구현할 수 있다.
일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(28500)는: 예를 들어, 기저대역 프로세서(28520)를 통해, 양자화된 신호를 처리하는 동안 원하는 또는 간섭하는 신호 또는 채널 사운딩 중 적어도 하나의 방향을 결정하는 수단 중 적어도 하나를 구현할 수 있다. 일부 양태에서, 도시된 바와 같이, 간섭원 신호를 보상하기 위한 수단은 예를 들어, 결합기(28506)를 통해, 빔포밍된 신호 각각의 가중된 사본을 결합하기 위한 수단을 더 포함할 수 있다. 일부 양태에서, 도시된 바와 같이, 수신기 아키텍처(28500)는 또한: 예를 들어, 가변 이득 제어(28508)를 통해, 각각의 보상된 신호의 가변 이득을 조정하여 ADC(28510)의 대응하는 ADC에 공급되는 신호의 전력 레벨을 표준화하기 위한 수단; 특정 동작 동안 사용할 ADC(28510)의 개수를 조정하기 위한 수단; 및/또는 예를 들어, 기저대역 프로세서(28520)를 통해, 원하는 배열 간섭 제거 및 각도 분해능에 종속하는 각 ADC(28510)의 동적 범위를 선택하기 위한 수단을 구현할 수 있다.
일부 양태에서, ADC(28510)의 개수는 미리 결정된 개수 또는 예를 들어 모든 ADC(28510)가 아닌, 이용 가능한 ADC(28510)의 퍼센트로 제한될 수 있다. 기저대역 프로세서(28520)는 사용되는 ADC(28510)의 개수를 선택할 수 있다. 기저대역 프로세서(28520)는 다른 것 중에서도, 예를 들어 제어 평면 동작, 원하는 및 간섭원 방향 결정 또는 채널 사운딩을 위해 모든 ADC(28510)를 활성화시킬 수 있다. 일부 양태에서, 기저대역 프로세서(28520)는 사용되는 ADC(28510)의 개수를 예를 들어 활성 링크에서 이용 가능한 ADC(28510)의 서브세트로 제한할 수 있다.
수학적으로, 위에서 설명된 동작은 아래에 도시된 벡터를 사용하여 설명될 수 있다. 특히, 벡터(s)(안테나 출력)와 v(ADC 입력)는 각각 원소(si)와 (vi)로 구성되고, W(가중치)는 wij 원소로 구성된 가중 행렬이며, G는 gi 원소(가변 이득 제어)로 구성된 테플리츠 행렬(Toeplitz matrix)이다. 배열 사이즈(N)는 원하는 배열 이득, 간섭 제거 및 전력 예산에 따라 선택될 수 있다.
Figure pct00149
ADC 입력 v는 s의 행렬 변환으로서 표현될 수 있다:
Figure pct00150
원래의 안테나 신호는 v로부터 계산될 수 있으며:
Figure pct00151
여기서 pinv는 행렬의 의사 역(pseudo inverse)이다. v의 양자화된 버전은 기저대역 프로세서에 의해 아날로그 행렬 변환을 본질적으로 반전시키고 원래 신호를 처리하도록 사용하기 위해 디지털 도메인에서 이용 가능할 수 있다. 특히, s'를 사용하여 원하는 신호 및 간섭원의 방향이 발견될 수 있다. 서로 다른 신호의 방향을 찾는 다양한 프로세스가 사용될 수 있다. 그러한 프로세스의 하나의 예가 MUSIC 프로세스일 수 있다. ADC 이전에 아날로그 합산을 사용하면 개개 안테나 요소 신호를 유지시키면서 여전히 ADC에 공간 간섭 제거 기능을 제공할 수 있다.
일부 양태에서, 행렬 변환은 정적으로 유지될 수 있다. 이것은 통신 디바이스의 메모리에 저장될 수 있는 가중치가 간섭과 독립적으로 동일하게 유지될 수 있다는 것을 의미한다. 다른 양태에서, 가중치는 동적일 수 있고 간섭에 종속할 수 있다. 이 경우, RF 프론트 엔드로부터의 신호의 샘플링이 주기적으로 수행되어 행렬 계수를 조정하는데 사용될 수 있다. 일부 양태에서, 기저대역 프로세서는 어느 ADC가 가장 낮은 SNR을 갖는지를 결정하고, 가능하다면 간섭원을 단일 ADC에 푸시하며, 이에 따라 안테나 요소로부터의 신호를 가중할 수 있다.
원하는 신호 및 간섭원 신호(들)의 방향을 알게 되면, 기저대역 프로세서는 SINR을 증가 또는 최대화하기 위해 활성화시킬 하나 이상의 경로를 선택할 수 있다. 도 286는 일부 양태에 따른 공간 응답의 시뮬레이션을 도시한다. 공간 응답은 4-요소 선형 배열에 대한 것일 수 있으며 아다마르 가중치(Hadamard weighting)를 사용한다. 일부 양태에서, 최대화된 SINR 경로의 결정 후에, 기저대역 프로세서는 전력 절감을 위해 사용되지 않는 경로의 ADC를 비활성화시킬 수 있다. 이러한 시뮬레이션에서 알 수 있는 바와 같이, 원하는 방향은 0 °이다.
도 287은 일부 양태에 따른 BER의 시뮬레이션을 도시한다. 특히, 도 287은 16-QAM 변조의 경우, 도 285에 도시된 설계에 대한 예시적인 BER 성능 대 8-요소 배열, 4-비트 ADC, 20 dB 신호/간섭원 비율에 대한 아날로그 및 디지털 빔포밍 구조를 도시한다. 원하는 방향은 도 286에 도시된 방향과 유사한 0°이며 간섭 방향은 20°이다. 알 수 있는 바와 같이, 아날로그 BER은 본질적으로 일정하고, 안테나 별 SNR과 무관하지만, 디지털 BER은 꾸준히 감소하여 결국 정체 상태를 유지한다. 그러나, 도 285에 도시된 설계에 대한 예시적인 BER 성능은 낮은 SNR에서의 디지털 성능과 매칭하며, BER은 이 예에서 SNR이 증가함에 따라 급격히 감소한다.
일부 양태에서, 아다마르 행렬 이외의 행렬이 사용될 수 있다. 도 288는 일부 양태에 따른 간섭 제거의 시뮬레이션을 도시한다. 시뮬레이션은 적응 가중치 행렬(W)을 갖는 8-요소 배열 및 4-비트 ADC에 대한 것일 수 있다. 도 288은 아다마르 가중치에 대한 방위각 대 간섭 제거의 시뮬레이션을 도시하며, 최적화된 코드북이 또한 세트{-1, +1}의 가중치에 제약된다. 두 개의 코드북은 모두 모든 각도에서 12 dB 초과의 간섭 제거를 제공한다. 이것은 ADC 양자화를 두 비트만큼 감소시켜 전력 소비를 감소시킬 수 있다.
도 289는 일부 양태에 따른 수신기에서 양자화기 동적 범위를 감소시키는 방법(28900)을 도시한다. 방법(28900)은 도 285에 도시된 RF 프론트 엔드(28502), 체배기(28504), 결합기(28506), 가변 이득 제어(28508), ADC(28510) 및 기저대역 프로세서(28520)에 의해 수행될 수 있다. 동작(28902)에서, 복수의 빔포밍 신호가 RF 프론트 엔드(28502)에서 안테나(28530)의 복수의 안테나 요소로부터 수신될 수 있다. 각각의 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함할 수 있다. 사용할 ADC(28510)의 개수는 다른 것 중에서도, 채널 조건 또는 신호 타입에 따라 조정될 수 있다.
동작(28904)에서, 간섭원 신호는 빔포밍 신호를 양자화하기 전에 보상될 수 있다. 보상은 빔포밍된 신호의 각각의 가중된 사본을 결합기(28506)에서 결합하는 것을 포함할 수 있다. 가중치는 가중치가 고정된 가역 아날로그 합산 가중 행렬(invertible analog summation weight matrix)에 의해 정의될 수 있거나 또는 원하는 신호의 SINR과 같은 신호 품질을 최대화하기 위해 원하는 신호 및 간섭원 신호의 조건에 종속할 수 있다. 일부 양태에서, 아날로그 합산 가중 행렬은 아다마르 행렬을 포함할 수 있다. 일부 양태에서, 각각의 보상된 신호는 상이한 ADC에 제공될 수 있다. 일부 양태에서, 보상된 신호의 일부 또는 전부는 동일한 ADC 또는 ADC(28510)에 제공될 수 있다.
그 다음에 동작(28906)에서 보상된 신호는 ADC(28510)에서 양자화되어 양자화된 신호를 형성할 수 있다. 각각의 보상된 신호의 가변 이득은 양자화 이전에 조정되어 ADC(28510)의 대응하는 ADC에 공급되는 신호의 전력 레벨을 정규화할 수 있다. 보상된 신호를 양자화하는 것은 원하는 신호 및/또는 간섭원 신호 또는 채널 사운딩 중 적어도 하나의 방향을 결정하는 것을 포함할 수 있다.
양자화된 신호는 기저대역 프로세서(28520)에 공급될 수 있다. 동작(28908)에서 기저대역 프로세서(28520)는 보상을 디지털적으로 반전시킬 수 있다. 이어서 기저대역 프로세서(28520)는 빔포밍된 신호의 디지털 버전을 추가로 처리할 수 있다.
본 개시내용의 일부 양태는 일반적으로 외부 테스트 장비를 사용하지 않는 시간 인터리빙된 아날로그-디지털 변환기(ADC, 일괄하여 TI-ADC)에 대한 루프백 기반 시간 왜곡 교정(loopback based time skew calibration)에 관한 것이다. TI-ADC는 무선 주파수 송수신기에서 사용될 수 있다.
현대의 원거리 통신 디바이스가 동작하는 주파수는 관련된 하드웨어 구성요소로 인해 어려움을 야기할 수 있다. 이러한 디바이스에서 신호를 처리하는데 사용되는 ADC는 단일 ADC의 기능을 초과 할 수 있다. 이것을 해결하기 위해, 공통 클록에 의해 제어되는 인터리브되고 순차적으로 트리거될 수 있는 별도 채널의 다수의 ADC 회로를 이용하는 것이 가능하다.
채널 요소가 모두 동일하다면, 구성요소는 모두 동일한 방식으로 거동할 것이다. 그러나 실세계의 구성요소는 제조 프로세스로 인해 약간의 차이가 있으며, 이로 인해 결국 TI-ADC에서 사용되는 채널 간에 미스매치를 생기게 하고 시스템 성능, 예컨대 신호 대 잡음비(SNR)의 저감 및 스퓨리어스 프리 동적 범위(spurious free dynamic range)(SFDR)를 감소시킨다. 채널 사이에서 다음과 같은 상이한 유형의 미스매치가 나타날 수 있다: 1) DC 오프셋 미스매치, 2) 이득 미스매치, 3) 시간 왜곡 미스매치, 및 4) 대역폭 미스매치. 본 개시내용의 일부 양태는 외부 테스트 장비(Test Equipment)(TE)를 사용하지 않고, 예를 들어, 루프백 방식을 이용하여 시간 왜곡 미스매치를 처리하기 위해 시간 왜곡을 교정하는 방법을 고려한다.
도 290은 M 개의 병렬 저속 ADC 채널을 사용하여 고속 변환을 달성하는 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC) 아키텍처(29000)의 예의 블록도이다(예시적인 목적을 위해 세 개의 채널(채널 A(CH-A), 채널 B(CH-B) 및 채널 C(CH-C)이 도시된다). TI-ADC는 위에서 설명한 ADC 회로(394)일 수 있거나 상이한 구성을 포함할 수 있다. 아날로그 입력(29010)은 공통 클록(29025)을 이용하여 각각 세 개의 상이한 위상 시간(φ0, φ1 및 φM-1)에서 샘플링될 수 있는 M 개의 상이한 샘플 및 홀드 회로(29020A, 29020B, 29020C)에 제공될 수 있다. 샘플링된 신호는 아날로그-디지털 변환기(ADC)(29030A, 29030B, 29030C)에 제공될 수 있다. 그 다음에 디지털 신호는 멀티플렉서(29040)와 결합되어 디지털 출력 신호(29050)를 생성할 수 있다.
도 291은 일부 양태에서 모든 채널이 M 개의 균일한 간격의 위상을 갖는 동일한 샘플링 주파수(FS)(또는 이것의 역(TS), 도 1에 도시됨)에 따라 어떻게 동작할 수 있는지를 도시하는 타이밍도(29100)이다. 각 위상의 φ 샘플 및 홀드는 시간(TS)(또는 m 번째 위상의 경우 mTS) 동안 지속되며, 모든 위상의 전체 샘플 시간은 nMTS이다. 따라서, φ0에 대한 샘플은 시간(T0)에서 시작하고, φ1에 대한 샘플은 시간(T1)에서 시작하는 등등으로 시작하며, 사이클은 시간 Tn에서 반복된다. 전체 샘플링 주파수는(1/MTS)인 MFS와 동일하다.
도 292는 루프백 설계를 갖는 송수신기(29200)의 예를 도시하는 블록도이다. 기준 신호 생성기(29205)는 예를 들어 사인 곡선(sinusoid) 또는 복소 지수(complex exponential) 신호일 수 있고 단일 측파대(single-sideband)(SSB) 발생기(29210)의 입력에 제공될 수 있는 기준 신호(29207)를 생성할 수 있다. SSB 생성기(29210)는 I(동위상) 및 Q(직교) 성분 또는 서브채널을 갖는 직교 출력을 생성할 수 있다. 이들 성분은 각각의 디지털-아날로그 변환기(DAC)(292201, 29220Q)의 입력에 제공될 수 있다. DAC(292201, 29220Q) 출력은 IQ 변조기(29230)의 각각의 입력에 제공될 수 있으며, IQ 변조기(29230)는 신호를 송신 경로 증폭기(29240)에 제공한 다음 송신(Tx) 경로에 제공한다. 하나의 양태에서, 증폭기(29240)는 중간 주파수(IF) 증폭기일 수 있다.
송신 경로 증폭기(29240)의 입력에 제공된 신호는 루프백 연결부(29250)를 통해 대응하는 수신 경로 증폭기(29260)의 출력에 연결될 수 있으며, 루프백 연결부(29250)는 기준 신호(29207)를 포함하는 송신 신호를 수신기로 향하게 한다. 대안의 구성에서, 루프백 연결부(29250)는 송신 경로 증폭기(29240)의 출력 및 수신 경로 증폭기(29260)의 입력에 연결될 수 있다. 이러한 구성에서, 루프백 연결부(29250)는 증폭기(29240, 29260)에 바로 인접해 있다. 그러나, 루프백 연결부(29250)에 대한 다른 배치가 가능할 수 있다. 예를 들어, 루프백 연결부(29250)는 IQ 변조기(29230) 및 IQ 복조기(29270) 전에 적용될 수 있으며, 이러한 구성에서 별도의 루프백 라인이 사용될 수 있다.
결합된 수신 경로 및 루프백 신호는 신호를 각각의 I 및 Q 성분으로 나누는 IQ 복조기(29270)에 제공된다. 이들 신호는 도 1과 관련하여 논의한 바와 같이, 클록(29025)에 의해 제어된 각각의 샘플 및 홀드 회로(29020AI, 29020AQ)의 입력에 제공된 다음 각각의 ADC(29030AI, 29030Aq)에 제공될 수 있으며, 결과의 아날로그 신호는 각각의 신호에 대한 위상 추정기(292901, 29290Q)에 제공되어, 기준 신호(29207)의 위상을 추정할 수 있다. 이러한 추정된 위상으로부터, I 및 Q 서브채널(
Figure pct00152
)에 대한 시간 왜곡이 계산될 수 있다. 추정된 시간 왜곡을 사용하여, 신호는 클록(29025)에 의해 생성된 신호를 조정하는 각각의 보정 회로(292801, 29280Q)에 의해 보정될 수 있다. 이것은 교정 신호를 생성하기 위해 루프백을 사용함으로써 여분의 ADC를 사용하지 않고 교정이 가능할 수 있다. 디바이스가 이미 IQ 불균형 교정을 위한 위상 변위기 루프백을 가지고 있다면, 일부 양태에서, 디바이스는 교정을 구현하기 위해 어떠한 추가 하드웨어도 사용할 수 없다.
다음의 설명은 예로서, 시간 왜곡 결정 및 교정을 행하는데 사용될 수 있는 분석을 제공한다.
하나의 ADC의 경우, 디지털 송신기의 기준 신호 생성기(29205)는 그 출력이 사인 곡선 신호(단일 DAC(29220)(예를 들어, (292201 또는 29220Q) 중 하나면 충분할 수 있음))일 수 있도록 구성될 수 있다. m 번째 ADC 채널의 출력은 다음과 같을 수 있다:
Figure pct00153
여기서 m: 채널 번호
nMTs: 전체 ADC(일괄하여 ADC 채널)의 샘플링 간격
mTs: 하나의 채널의 샘플링 간격
τm: m번째 ADC 채널의 시간 왜곡
s(t): ADC로의 아날로그 신호 입력
이 경우,(채널로 분할하기 전에) 기준 신호에 대한 s(t)는 다음과 같이 주어질 수 있다:
Figure pct00154
여기서:
f: 사인 곡선 주파수
θ: 사인 곡선의 위상
A: 사인 곡선의 알지 못하는 진폭
기준 신호(29207)를 채널로 분할한 후에, s(t)는
Figure pct00155
로 대체되고, 따라서, m번째 ADC 채널의 출력은 다음과 같을 수 있다:
Figure pct00156
여기서,
Figure pct00157
위상 θ[m]은
Figure pct00158
에 의해 추정될 수 있고(이것은 타이밍 왜곡(
Figure pct00159
)을 도출하게 한다): 여기서, ADC 채널 당 N 개의 샘플이 가정된다.
단순화를 위해 2πfTSm을 감산하여 선형 디트렌딩(linear detrending)을 적용하고, 다음을 사용하여:
Figure pct00160
Figure pct00161
의 평균으로서 다음과 같이
Figure pct00162
를 계산한다:
Figure pct00163
이제 시간 왜곡 추정치를 계산한다:
Figure pct00164
시간 왜곡 보정은 예를 들어, 각각의 ADC 채널의 입력에서 신호를 지연시키는 디지털적으로 제어된 지연 라인에 의해, 또는 각각의 ADC 채널의 출력의 디지털 보정에 의해 수행될 수 있다.
두 개의 ADC의 경우(I 및 Q 채널에 대해), 다음과 같은 형태의 복소 지수 기준 신호가 사용될 수 있다:
Figure pct00165
사용된 절차는 다음과 같을 수 있다:
1. 각 ADC 채널에 대해, 수신된 사인 곡선/코사인의 위상은 하나의 ADC 사례에서와 동일한 접근법을 사용하여 추정될 수 있다.
2. 모든
Figure pct00166
의 추정은 한 라인에 위치할 수 있고,
Figure pct00167
의 추정은
Figure pct00168
라인에 대해 π/2 라디안의 오프셋을 갖는 다른 라인 상에 위치할 수 있다. 이것을 보정하기 위해,
Figure pct00169
에서 π/2 라디안을 감산한다. 결과는
Figure pct00170
로서 표시된다.
3.
Figure pct00171
에서 2πfTSm을 감산하여 선형 디트렌드를 적용한다.
4. 모든 위상의 평균을 계산한다.
5. 모든 위상에서 평균 위상을 감산한다.
6. 위상 차를 2πf로 나눔으로써 스큐로 변환한다.
7. 시간 왜곡 보정을 수행한다.
도 293은 위에서 설명한 방법(8000)의 구성요소를 도시하는 흐름도이다. 송수신기(29200)는 시간 인터리빙된 아날로그-디지털 변환기(ADC)의 루프백 기반 시간 왜곡 교정 회로를 동작시키는 방법을 수행하는 수단을 구성할 수 있는 하나의 예이지만, 수단은 이것으로 제한되는 것은 아니다. 동작(S29310)에서, 기준 신호는 송신 측에서 생성될 수 있고, 동작(S29320)에서, 이 기준 신호는 수신기 측에 전달될 수 있다. 단계(S29330)에서, 추정된 스큐 시간이 기준 신호에 기초하여 위상 추정기에 의해 계산될 수 있다. 마지막으로, 동작(S29340)에서, S/H 회로의 클록 타이밍이 보정되어 추정된 시간 왜곡을 보상할 수 있다.
시간 왜곡 추정 및 보정은 한 번의 샷으로 수행될 수 있거나, (보정이 정확도에 따라) 반복적으로 수행될 수 있다. 주파수 선택과 관련하여, 일반적으로, 주파수가 높을수록(스큐로 변환할 때 주파수로 나눌 수 있기 때문에) 추정 품질이 좋아진다. 다르게 말하면, 위상 측정의 주어진 정확도에 대해, 더 큰 주파수로 나누게 되면 일반적으로 시간 왜곡 추정이 보다 정확해질 것이다. 측정은 측정의 정확도를 높이기 위해 여러 주파수에서 수행될 수 있다. 이러한 방법은 예를 들어 디바이스의 생산 중에, 전원을 켤 때, 주기적으로 또는 미리 정의된 스케줄이나 이벤트에 따라 사용될 수 있다.
현대의 원거리 통신 디바이스가 동작하는 높은 주파수는 관련된 하드웨어 구성요소로 인해 어려움을 야기할 수 있다. 이러한 디바이스에서 신호를 처리하는데 사용되는 ADC는 단일 ADC의 기능을 초과할 수 있다. 이것을 해결하기 위해, 공통 클록에 의해 제어되는 인터리브되고 순차적으로 트리거될 수 있는 별도 채널의 다수의 더 느린(예를 들어, 더 긴 사이클 시간의) ADC 회로를 이용하는 것이 가능하다.
채널 요소가 모두 동일하다면, 구성요소는 모두 동일한 방식으로 거동할 것이므로, 인터리빙된 설계는 간단해 질 것이다. 그러나 실세계의 구성요소는 제조 프로세스로 인해 약간의 차이가 있으며, 이로 인해 결국 시간 인터리빙된(TI)-ADC에서 사용되는 채널 간에 미스매치를 생기게 하고 시스템 성능, 예컨대 신호 대 잡음비(SNR)의 저감 및 스퓨리어스 프리 동적 범위(SFDR)를 감소시킨다. 채널 사이에는 네 가지 유형의 미스매치가 나타날 수 있다: 1) DC 오프셋 미스매치, 2) 이득 매스매치, 3) 시간 왜곡 미스매치, 및 4) 대역폭 미스매치. 본 개시내용은 외부 테스트 장비(TE)를 사용하지 않고 이득 미스매치를 처리하기 위해 이득을 교정하는 방법을 고려한다.
TI-ADC를 사용할 때, 다수의 개개의 ADC 전체에 걸쳐 교정을 수행하는 것이 바람직할 수 있다. (64-QAM, 256-QAM 및 1024-QAM과 같은) 더 높은 변조 순서가 2640 MHz 샘플 레이트에서 9 비트의 유효 비트 수(effective number of bits)(ENoB)를 사용할 수 있다. 이러한 상황에서, 원하는 성능은 이득 교정을 비롯하여 TI-ADC 채널("슬라이스"라고도 지칭함)의 개개 ADC의 교정을 바람직하게 만들어 줄 수 있다.
일반적인 의미에서, 다양한 구성에 따라, TI-ADC는: ADC 채널/슬라이스에서의 이득 편차가 보정될 수 있는 정상 동작 및 알려진 신호가 ADC 채널/슬라이스에 제공될 수 있고 이득 보정 값이 나중에 적용될 수 있는 교정 모드와 같은 모드에서 동작될 수 있다. 다양한 기준 전압이 이득 보정 값을 결정하는데 사용될 수 있으며, 이들 값은 다양한 방식으로 저장될 수 있다. 시스템은 온도에 따라 변동될 수 있는 이득 보정 값을 허용하는 온도 기준을 이용할 수 있다.
도 294는 예시적인 TI-ADC(29400)의 블록도이다. TI-ADC(29400)는 위에서 설명한 바와 같이 ADC 회로(394)일 수 있지만, 상이한 회로를 또한 포함할 수도 있다. 정상적인 동작 동안 디바이스 입력 신호(29405)와 교정 동작 동안 전압 기준(29415) 사이를 스위칭하는 스위치(29410)가 제공될 수 있다. 전압 기준(29415)은 밴드 갭 기준, 온-칩 레지스터로부터 도출된 기준 및 배터리 등의 공급을 비롯한 외부 기준과 같은 임의의 유형의 안정한 전압 기준일 수 있다. 전압 기준(29415)은 정밀한 또는 부정확한 절대 값을 가질 수 있고, 교정 동안 어떤 전압 값이 하나의 ADC(29435)에 제공될 수 있든 다른 ADC에게도 정확하게 제공될 수 있도록 그 전압을 유지할 수 있다.
어느 경우에나, 스위치(29410)로부터의 스위칭된 신호(아날로그 입력)(29420)는 링크를 통해 병렬로 배열되고 캐스케이드 방식으로 동작되는 복수의 트랙 및 홀드(T/H) 회로(29425)에 제공될 수 있다. 이들 회로(29425)는 특정 시간에 입력 신호(29405)를 획득하고 사이클의 일부분 동안 값을 일정하게 유지하여 ADC(29435)에 안정적인 입력을 제공하는데 사용될 수 있다. T/H 회로(29425)는 또한 샘플 및 홀드(S/H) 회로로서 구성될 수 있다. 일부 양태에서, 값은 약간의 제어된 시점에서 수집되고 유지될 수 있다. 본 명세서에서 "트랙 및 홀드(track and hold)" 또는 "T/H 회로(29425)"라는 용어는 샘플 및 홀드 또는 S/H 회로를 또한 포함한다.
하나의 변형예에서, 스위치(29410)는 T/H 회로(29425) 다음에 제공될 수 있다. 이것은 스위치(29410)가 다수의 채널을 스위칭한다는 점에서 약간의 복잡함을 들여 놓을 수 있지만, 이러한 구성은 각 채널의 독립적인 스위칭을 가능하게 하고, 뿐만 아니라 T/H 회로(29425)를 통한 임의의 종류의 이득 변동을 교정할 수 있게 한다.
타이밍은 도 295에 도시되는데, 도 295는 M 개의 병렬 저속 ADC 채널을 사용하여 고속 변환을 달성하는 TI-ADC(29400) 아키텍처의 예의 블록도이다(예시적인 목적으로 세 개의 채널(채널 A(CH-A), 채널 B(CH-B) 및 채널 C(CH-C)가 도시될 수 있다). 아날로그 입력(29420)은 공통 클록(29480)을 이용하여, 각각 세 개의 상이한 위상 시간(φ0, φ1 및 φM-1)에서 샘플링될 수 있는 M 개의 상이한 트랙 또는 샘플 및 홀드 회로(29425 A, 29425B, 29425C)에 제공될 수 있다. 샘플링된 신호는 몇가지 예를 들면, 예를 들어, 플래시 ADC, 시그마-델타 ADC, 듀얼 슬로프 변환기(dual slope converter) ADC 및 연속 근사 변환기(successive approximation converter) ADC일 수 있은 아날로그-디지털 변환기(ADC)(29435A, 29435B, 29435C)에 제공될 수 있다. 본 명세서에 설명된 아키텍처는 사용된 ADC 디바이스의 특정 유형과 무관할 수 있다. 캐스케이드 방식 ADC(29435)로부터의 디지털 출력 신호(29440)(도 294)는 그 다음에 멀티플렉서(29450)와 결합되어 단일 스트림 디지털 출력 신호(29455)(도 294)를 생성할 수 있다.
도 296은 예시적인 양태에서 모든 채널이 M 개의 균일한 간격의 위상을 갖는 동일한 샘플링 주파수(FS)(또는 이것의 역(TS), 도 1에 도시됨)에 따라 어떻게 동작하는지를 도시하는 타이밍도(29600)이다. 각 위상의 φ 샘플 및 홀드는 시간(TS)(또는 m 번째 위상의 경우 mTS) 동안 지속되며, 모든 위상의 전체 샘플 시간은 nMTS이다. 따라서, φ0에 대한 샘플은 시간(T0)에서 시작하고, φ0에 대한 샘플은 시간(T0)에서 시작하는 등등으로 시작하며, 사이클은 시간 Tn에서 반복된다. 전체 샘플링 주파수는(1/MTS)인 MFS와 동일하다.
정상 동작에서, 제어기(29475)(도 294)는 정상 입력 신호(29405)를 선택하도록 스위치(29410)를 설정한다. 제어기(29475)는 또한 링크(29430)를 통해 대응하는 ADC(29435)에 연결될 수 있는 각각의 T/H 회로(29425)와의 링크(29480)를 통해 시간 인터리빙된 제어 신호를 발생한다. 제어기(29475)는 이어서 적절한 ADC 디지털 출력 신호(29440)의 선택으로 ADC 사이클을 시작한다.
도 294로 돌아가서, 멀티플렉서(29450) 다음에는 정상 동작 모드 및 교정 모드 둘 모두에서 동작할 수 있는 디지털 측정 및 보정(digital measure and correction)(MC) 유닛(29460)이 있을 수 있다. 정상 동작 모드에서 동작할 때, MC 유닛(29460)은(예를 들어, ADC 이전에 또는 ADC 내에서 아날로그 조정이 이루어지는 시기를 조정하지 않음으로써) 보정 이득 조정 값을 현시점에서 어느 ADC(29435)가 선택될지에 따라 달라질 수 있는 출력 신호에 적용하거나 또는 적용을 지원하는데 사용될 수 있다. 그런 다음 이 유닛은 이득 조정된 출력 신호(29495)를 디바이스의 후속 부분으로 포워딩할 수 있다.
교정 모드에서 동작할 때, MC 유닛(29460)은 측정 신호 관련 데이터(29470)를 제어기(29475)에 제공할 수 있다. 측정 데이터를 이득 값으로 변환하는 것은 MC 유닛(29460) 또는 제어기(29475)에 의해 수행될 수 있다. MC 유닛(29460)은 제어기(29475)의 연장부로 볼 수 있다. 이렇게 수집된 데이터(29470)는 예를 들어 이득 오프셋일 수 있으며, 이득 오프셋은 각각의 ADC(29435)의 출력이 체배기에 적용되게 할 것이다. 이득 조정 값은 또한 룩업 테이블(look-up table)(LUT)에 의해 제공될 수 있고 및/또는 가능하게는 보간을 비롯한 일부 다른 구간적 선형 보정 모델(piecewise linear correction model)을 이용할 수 있다. 전압 기준(29415)은 비선형 성을 없앨 수 있는 다지점 교정을 가능하게 하기 위해 상이한 값으로 설정될 수 있다. 전압 기준(29415)은 측정될 수 있는 일련의 출력 또는 파형을 제공 할 수 있으며, 이것은 보다 복잡한 LUT의 구성을 가능하게 한다. 이득 오프셋 및/또는 LUT 값은 정상 동작 모드 동안 후속 사용을 위해 메모리(29490)에 저장될 수 있다. 전압 기준(29415)에 의해 실제로 공급되는 전압에 대한 이득 값을 이들 사이에서 추정하기 위해 선형 보간이 이용될 수 있다. 하나의 구성에서, 출력 신호(29472)의 직접 루프백/피드백은 전압 기준으로서 이용될 수 있다. 이것은 DAC(도시되지 않음)를 사용하는 복소 지수 함수의 전송을 가능하게 할 수 있다. 그러므로 시스템은 교정 파형을 전송한 다음 이를 포착 할 수 있다. 이것은 위에서 논의한 다지점 교정과 같은 정교한 교정을 가능하게 할 수도 있다.
따라서 제어기(29475)는 ADC(29435)가 제어기(29475)에 의해 선택될 수 있는 값에 달려 있는 메모리(29490)에 저장된 이득 보정을(예를 들어, MC 유닛(29460)이 조정할 때) 적용하거나 그 적용을 지원할 수 있다. 이러한 보정은 단순한 형태 또는 복잡한 형태일 수 있다. 복잡한 형태는 예를 들어, 저장된 다항식 계수를 사용하는 선형성 보정을 포함할 수 있다. 대안적인 구현예에서, 이득 및 오프셋의 보정은 아날로그 또는 디지털 제어 신호(29482) 입력을 개개 ADC(29435)에 직접 설정함으로써 달성될 수도 있다.
따라서, 일부 양태에서, 교정(빌트-인 셀프-테스트(built-in self-test)(BIST)) 모드에서, 제어기(29475)는 전압 기준(29415)을 ADC(29435)에 입력하고 결과 출력을 모니터링하도록 스위치(29410)를 설정한다. 제어기(29475)는 정상 동작 중에 나중의 조정을 위해 메모리(29490)에 저장된 보정 값의 테이블을 생성할 수 있다. 메모리(29490)는 이득 값, LUT 또는 다른 관련 데이터를 저장하는 한 세트의 레지스터 또는 보다 정교한 정적 RAM 디바이스일 수 있다. 제어기(29475)는 또한 온도 기준(29485)(예를 들어, 온도계)을 모니터링 할 수 있고, 온도가 문턱량을 초과하여 변화하는 것을 감지하면 교정 사이클을 재실행할 수 있다. 다른 구성에서, 메모리(29490)는 상이한 온도에서 다수의 이득 값 세트를 저장할 수 있고, 그래서 특정 온도에서의 후속 동작은 재 정을 사용하지 않는다. 하나의 구성에서, 시스템은 측정되지 않은 온도에서 이득 값을 도출하기 위해 온도 사이의 값의 선형 보간을 수행할 수 있다. 또 다른 구성에서, 온도와 이득 값 사이의 정확한 관계가 수학적으로 결정될 수 있다면, 교정 동안 디바이스를 서로 다른 온도에서 동작시킬 때 하나의 온도에 대해 결정된 이득 값에 방정식이 적용될 수 있다. 또 다른 구성에서, 온도는 무시될 수 있고, 배경 교정은 수신 모드가 아닐 때(대부분의 시간일 수 있음) 연속적으로 수행될 수 있다.
일부 양태에서, 외부 테스트 장비의 사용이 회피될 수 있고, 제조 동안의 과도 시간이 낭비되지 않을 수 있다. 이득 교정은 디바이스 웨이크업(wakeup)에서, 주기적으로 또는 일부 다른 조건에 기초하여 수행될 수 있다. 이득 불완전이(예를 들어, 온도 변화로 인해) 시간에 따라 변하면, 진행 중인 이득 교정이 특히 유용할 수 있다.
하나의 구현예에서, ADC 출력의 기간 동안 파형의 평균 값을 조사하는 알고리즘이 구현될 수 있다. 이러한 알고리즘은 송신기의 국부 발진기(LO) 누설 및 수신 경로의 DC 오프셋을 가지므로 I/Q 불균형이 교정되었다고 추정 할 수 있다. 전송 경로는 복소 지수 파형을 전송하는데 사용될 수 있다 - 이것은 연속파(continuous wave)(CW) 신호(예를 들어, 단일 RF 주파수)를 I/Q 변조기 다음으로 제공한다. 루프백은 송신 측으로부터 수신 측으로 제공될 수 있고, 각 ADC 슬라이스의 신호 전력 출력은 개별적으로 계산될 수 있다. 그런 다음, 모든 슬라이스의 평균 전력이 I 및 Q에 대해 계산될 수 있다. 각 슬라이스의 신호 전력은 평균으로 나누어질 수 있으며, 이 비율의 제곱근이 계산될 수 있으며, 이로써 보정되어야 하는 이득 오차를 산출한다.
예를 들어, 열 개의 ADC(29435)가 있으면, 출력 값은 예를 들어, 각각(시간상 오프셋된) 매 열 번째 슬라이스를 취하는 열 개의 별개 테이블에 놓일 수 있다. 그런 다음 각 테이블 전체에서, 평균 전력 계산이 수행된다. 이것은 다수의 슬라이스의 평균 전력을 특정 ADC(29435)에 제공하며, 이것은 이득 보정의 기초를 제공한다.
각 슬라이스의 신호 전력을 계산하기 위해, 프로세스는 먼저 각 슬라이스로부터 N 개의 샘플을 수집한다. N은 N 개 샘플이 측정되는 정현파 신호의 정수 개의 사이클을 형성하도록 선택될 수 있다. 각 슬라이스에 대해, 값이 제곱되고 합산된 다음 N으로 나누어질 수 있다. 대안적으로, 각 슬라이스에 대해, 수신된 신호에 e2πjft를 곱함으로써 신호가 복조될 수 있으며, 여기서 f는 신호 주파수이고, t는 ADC(29435)의 샘플링 시간이다. 복조된 신호는 제곱된 크기를 계산하는 N으로 합산되고 나누어질 수 있다.
보정은(ADC(29435) 다음에서) 아날로그 또는 디지털로 행해질 수 있다. 보정이 아날로그 도메인에서 수행되면, 두 번째 조정 라운드가 수행되어 결과가 양호한지를 검증하거나 또는 추가 수정이 이루어질 것인지를 결정할 수 있다. 아날로그 보정은 폐쇄 루프 시스템을 사용하여 ADC(29435)에 제공된 아날로그 제어 신호에 의해 제공될 수 있다. 이러한 배열은 일정 시간 실행되어, 측정된 전력(ADC(29435)의 평균 전력)이 원하는 레벨에 이를 때까지 ADC(29435)로의 제어 입력을 조정할 수 있다. 이것은 순차적으로 수행되어 각 ADC(29435)에 대해 레벨을 조정할 수 있다.
도 297은 TI-ADC에 이득 보정을 적용하기 위한 프로세스(29700)의 예시적인 구현을 도시하는 흐름도이다. TI-ADC(29400)는 이득 보정 디바이스를 갖는 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC)를 동작하기 위한 수단을 구성 할 수 있는 하나의 예이지만, 이 수단은 이것으로 제한되지 않는다. 단계(S29710)에서, TI-ADC는, 예를 들어 제어기(29475)에 의해 교정 모드에서 동작하도록 설정될 수 있고, 알려진 신호가 순차적으로 ADC 슬라이스 각각에 인가될 수 있다. 동작(S29720)에서, ADC 슬라이스의 출력은 측정 및 보정 유닛(29460)에 의해 측정될 수 있으며, 동작(S29730)에서 이득 보정은 이득 보정이 수집되었던 조건에 관련된 임의의 다른 정보와 함께 그 ADC 슬라이스에 대하여 메모리(29490)에 저장될 수 있다. 일단 각각의 ADC 슬라이스에 대한 이득이 수집되면, 동작(S29740)에서, 정상 동작 모드에서, 저장된 이득 조정은 예를 들어 제어기(29475)에 의해 특정 동작 슬라이스에서 활성 상태에 있을 수 있는 ADC에 적용될 수 있다.
본 명세서에서 설명된 디바이스의 다양한 구현을 이용하면, 제조시에 교정을 수행하는 것을 방지하거나 현장에서 제공되는 테스트 장비를 사용하는 것을 방지 할 수 있어, 더 빈번한 교정이 수행되게 하고 궁극적으로 디바이스의 보다 정확하고 신뢰할 수 있는 작동을 가능하게 할 수 있다.
무선 전송에 사용되는 전력 증폭기(PA)는 전형적으로 제한된 범위의 송신 전력 능력에 걸쳐 선형 특성을 갖는다. 진정한 선형 PA만이 입력 신호와 PA의 이득에만 비례하는(예를 들어, 입력 신호의 레벨에 종속하는 진폭 또는 위상의 왜곡 없는) 출력 신호(진폭과 위상)를 생성할 것이다. 실제적인 PA는(PA의 입력 신호 및 이득에 비례하는) 원하는 출력 신호 및 PA 비선형성으로 인해 생성될 수 있는 다른 원하지 않는 신호를 생성한다. 이러한 원하지 않는 신호는 상호변조 곱(intermodulation product)(IM)이라고 부른다. 이러한 IM 신호는 PA 출력에서 신호 품질을 저하시키는 상호변조 왜곡(intermodulation distortion)(IMD)을 유발한다. PA의 비선형 거동은 몇 가지 방식: 다항식 PA 모델, PA 입력 대 출력 거동을 서술하는 룩업 테이블(LUT),(전류 PA 출력이 현재 PA 입력과 이전 입력 신호에 따라 달라지는) 메모리가 있는 PA 모델의 볼테라 급수(Volterra series)로 모델링되어 제시될 수 있다.
도 298은 AM/AM(입력 진폭 VS. 출력 진폭)의 PA 특성 곡선의 예를 도시하며 도 299는 다음의 적용 가능한 수학식에 따른 AM/PM(입력 진폭 VS. 출력 위상 변동)의 PA 특성 곡선의 예이다:
Figure pct00172
그러나, 전송에 앞서 디지털 사전 왜곡(digital pre-distortion)(DPD)이라고 알려진 것을 적용함으로써, PA의 선형 범위를 확장하여 선형 진폭 및 위상을 생성하는 것이 가능할 수 있다. DPD는 PA에 신호를 인가하여 PA 출력이(이상적으로) 원하는 출력만을 그의 출력에서 IMD로 출력하도록 한다. 예를 들어, PA가 AM/AM 및 AM/PM 다항식을 사용하여 모델링되면, DPD를 적용한 다음에 PA는 이상적인 PA에 근접할 수 있는 등가적인 AM/AM 및 AM/PM을 생성할 것이다. 도 298의 파선에 의해 알 수 있는 바와 같이, DPD를 적용함으로써 포화 출력 전력 PSAT까지의 선형 이득을 생성할 수 있다. DPD에 의한 계산 및 보정을 수행하기 위해, PA의 모델이 제공될 수 있다. 모델이 좋을수록 DPD 보정이 더 잘 적용될 수 있다. PA 특성은 PVT-f(프로세스, 전압, 온도 및 동작 주파수)에 따라 달라지며, PA 모델은 실시간으로 또는 실시간 정보에 기초하여 업데이트될 수 있다. 이를 수행하기 위해, 데이터가 디지털 도메인으로 공급되는 것처럼, PA 출력의 피드백 및 센싱이 사용될 수 있다. 피드백 기반 설계에서, 증폭기 특성과 관련된 정보는 DPD가 신호에 적절한 보정을 할 수 있도록 DPD에 피드백된다.
많은 안테나를 갖는 많은 PA를 이용할 수 있는 위상 배열 시스템은 물리적으로 안테나를 이동시키지 않고 전파의 빔이 전자적으로 조종될 수 있게 한다. 이러한 시스템에서, 각 증폭기마다 피드백 신호를 제공하는 것은 비실용적이거나 비용이 많이들 수 있다.
도 300은 위상 배열 송신기의 일부에 대한 이득 모델(30000)의 예의 블록도이다. 무선 주파수(RF) 신호는 독립적인 구성요소(예를 들어, 증폭기, 스위치, 안테나)를 각각 포함할 수 있는 복수의 채널(CHANx)로 신호를 분할하는 위상 배열 송신 전력 분할기(30010)에서 수신될 수 있다. 이들 구성 요소는 제조상의 편차로 인해 모두 동일하지 않을 수 있으며, 그래서(PVT-f에 따라) 성능이 다르다. 도 300은 분할기(30010)와 PA 사이의 회로에 대한 이득 GTxn(30020)(이득 계수가 1보다 작으면 실제 이득 또는 감쇠일 수도 있음), 인가된 신호 전력(PTxn)에 대한 PA 자체의 이득 GPAn(30022), 인가된 신호 전력(PPAn)에 대한 스위치 GSWn(30024)의 이득, 인가된 신호 전력(PSWn)에 대한 안테나 트레이스(Gtracen)(30026)의 이득 및 인가된 신호 전력(Ptracen)에 대한 안테나 GANTn(30028)의 이득을 포함할 수 있는 다수의 편차 소스를 탈피한다. 예를 들어, 두 개의 문제가 각 PA의 출력에서 IM에 영향을 줄 수 있다. 첫 번째는 특정한 특성화(예를 들어, AM/AM 및 AM/PM 곡선)이고 두 번째는 각 Pa로의 특정한 입력 전력이다(예를 들어, 동일한 PA를 가졌지만 그 중 하나는(다른 Pa와 비교하여) 그 출력에서 매우 높은 입력 레벨을 처리할 수 있다고 가정함 - 이러한 PA는 압도적인 IM을 생성할 것이다). 세 번째 문제는 PA(라인 및 안테나 이득) 이후의 손실이 또한 전체 전력 및 IM에 영향을 미칠 수 있다는 것이다.
일괄하여, 각 채널에서, 전력은 다음과 같이 표현될 수 있다:
Figure pct00173
여기서, Pdesired는 원하는 출력 신호이고,
IM은 주어진 소스에 대한 상호변조 왜곡 전력이고,
n은 소스의 개수이다.
위상 배열 송신기는 통신 링크의 다른쪽에 도달하는 단일의 메인 빔을 생성한다. PTx는이러한 신호를 나타낸다. 모든 채널에 대해, 전송된 전력은 다음과 같다:
Figure pct00174
또는
Figure pct00175
송신 전력 수학식(30050)으로부터의 값(PTx)은 PA의 선형 부분으로부터 원하는 성분(Pdesired) 및 원하지 않는 상호변조 성분을 포함하는, 송신기 디바이스의 위상 배열 안테나로부터 출력된 총 전력을 나타낸다:
Figure pct00176
여기서 PIM은 원하지 않는 총 상호변조 성분 전력이다.
이들 값은 본 송수신기의 송신기 부분에 의해 송신된 신호를 수신하는 외부 송수신기(external transceiver)(ET)에 의해 결정될 수 있다. 이와 반대로, 이들 값은 외부 위상 배열 송수신기(external phased array transceiver)(EPAT)로부터 수신된 신호에 대해 본 위상 배열 송수신기에 의해 결정될 수 있다. 외부 송수신기는 위상 배열 송수신기일 필요는 없다. 이것은 본 위상 배열 송수신기로부터 신호를 수신하고 비선형성 데이터를 되돌려 보낼 수 있다. 외부 송수신기는 예를 들어, 위상 배열 송수신기, 다중 섹터 송수신기 또는 전방향성 송수신기로서 구현될 수 있다.
도 301은 위에서 설명한 송신기 모델이 나타낼 수 있는 스위칭 가능한 송수신기 부분(30100)의 예의 블록도이다. 여기에서 송수신기 부분(30100)의 다른 부분으로부터의 RF 송신 신호(30115)는 위상 배열 빔을 제어하게 하는 위상 변위기(30130)로 신호의 일부분을 전송하는(도 300에서 모델링된 송신 전력 분할기(B4-110)의 예일 수 있는) 송신 전력 분할기(30110)로 공급될 수 있다는 것을 알 수 있다. 이것은 PA(30140)로의 입력으로서 제공될 수 있다. 신호는 PA(30140)에 의해 증폭될 수 있고, 출력 신호(TxOUT)는 도 301에서 송신 위치에 있는 스위치(들)(30150)를 통과하여, 위상 안테나 배열(30165) 내의 안테나(30160) 중 하나에 연결된다. 위의 수학식(30050)으로부터의 총 송신 전력(PTx)은 안테나 배열(30165)로부터 출력되는 것으로 도시된다.
송수신기 부분(30100)의 수신 구성요소는 각 채널에서, 위상 배열 빔을 제어하게 하는 위상 변위기(30180)에 신호를 제공하는 저잡음 증폭기(30170)를 포함할 수 있다. 집합 출력은 수신 전력 결합기(30120)에 의해 결합될 수 있고, 결합된 RF 수신된 신호(30125)는 송수신기 부분(30100)의 다른 수신기 구성요소에 제공될 수 있다. 도 301은 또한 안테나 배열(30165)의 입력에 제공되는 송수신기 부분(30100)의 비선형성 데이터(30190)를 포함하는 루프백 신호를 도시한다.
도 302는 본질적으로 도 301에 도시된 송수신기 부분(30100)의 복제 송수신기 부분(30100')이지만, 수신 구성에 스위치(30150)가 추가된다. 스위치(30150)가 RxIN 위치에 있을 때, 송수신기는 수신 모드에서 동작하고, 안테나(30160)로부터 수신된 신호는 저잡음 증폭기(30170)를 통해 수신 전력 결합기(30120)로 향한다. 스위치(30150)가 TxOUT 위치에 있을 때, 송수신기는 송신 모드에서 동작하고, Tx 전력 분배기(30110)로부터의 신호는 전력 증폭기(30140)를 통해 안테나(30160)로 향한다. 구성요소의 설명 및 동작은 여기에서 반복되지 않는다.
도 303a 및 도 303b는 위에서 설명한 송수신기 부분(30100)과 같은 송수신기 부분을 포함 할 수 있는 전체 송수신기(30300) 예를 도시하는 블록도의 일부이다. 또한, RF 수신기(30310) 부분의 다른 구성요소는 예를 들어 위에서 설명한 바와 같이, 수신 전력 결합기(30120)로부터 결합된 신호를 수신하는 RF 증폭기(30312) 및 구성요소(30316)에 의해 증폭되거나 그렇지 않으면 조절될 수 있는 국부 발진기 생성기(예를 들어, RF 신시사이저)(30340)에 의해 생성된 신호를 이용하는 복조기를 포함하는 것으로 도시된다. 복조된 신호는 그 다음에 중간 주파수(IF) 증폭기(30332)에 제공된 다음 트리플렉서 및 스위치(30345)에 제공되고, 트리플렉서 및 스위치(30345)에서, 예를 들어 동축 케이블(30350)과 같은 연결부를 통해 송수신기(30300)의 다른 부분에 송신될 수 있다.
송수신기(30300)의 대응하는 송신 부분이 또한 제공될 수 있다. 송신 될 신호는 연결부(30350)를 통해 제공되어 IF 증폭기(30334)에 제공될 수 있으며, IF 증폭기의 출력은 RF 송신기(30320) 부분에 제공될 수 있다. RF 송신기(30320) 부분은 국부 발진기 생성기(30340)에 의해 생성되고 가능하게는 증폭기(30326)에 의해 증폭된 신호를 이용하는 RF 변조 믹서를 포함 할 수 있으며, 변조된 RF 신호는 RF 증폭기(30322)에 제공된 다음에 송신 전력 분할기(30110)에 전송되고, 송신 전력 분할기에서, 신호는 궁극적으로 예를 들어 위에서 설명한 바와 같이 송신될 수 있다.
도 303b는 송수신기(30300)의 다른 부분을 도시하는 블록도이다. 수신 측에서, If로 하향 복조된 수신 신호가 연결부(30350) 및 트리플렉서 및 스위치(30355)를 통해 수신될 수 있다. IF 수신기(30360) 부분에서, IF 신호는 IF 증폭기(30362)에 제공될 수 있다. 도면에 명확하게 도시되지 않았지만, 시스템은 직교 인코딩된 신호를 처리하도록 설계될 수 있으며, IF 수신기(30360) 부분 및 IF 송신기(30370) 부분에 도시된 두 개의 경로는 동위상 구성요소(I) 및 직교 위상 성분(Q)을 나타낼 수 있으며, 각각에 별개의 경로가 제공된다. IF 복조기(30364)는 기저대역 신호의 아날로그 I/Q 성분을 생성하기 위해 제공될 수 있다. 이러한 IF 복조기(30364)는 예를 들어 수정 발진기(30384) 및 IF 신시사이저(30382)에 의해 생성된 신호를 수신할 수 있다. 분배기(30380)는 주파수 신시사이저(30382)로부터 오는 신호에 의해 공급될 수 있고 RFEM의 기준 신호를 생성하여 동축 케이블을 통해 전달할 수 있다. 예를 들어, 절대 주파수 정확도가 +/- 20 ppm(백만 분의 일)이면, 주파수 정확도가 +/- 20 ppm 인 외부 수정 진동자(및 내부 수정 발진기)가 사용될 수 있다. 모든 주파수 생성 블록은 이러한 주파수 또는 이러한 주파수의 체배/분주에 의해 공급될 수 있다. 저역 통과 필터(30366) 및 아날로그-디지털 변환기(ADC)(30368)는 기저대역(BB) 신호를 각각의 I/Q 성분의 BB 프로세서(30390)에 공급하도록 제공될 수 있다. BB 프로세서(30390)는 이하에서 더 상세히 설명 될 것이다.
송신 측에서, BB 프로세서(30390)에 의해 생성된 기저대역 디지털 신호는 IF 송신기(30370) 부분에 제공될 수 있으며, IF 송신기(30370) 부분은 디지털-아날로그 변환기(30378), 저역 통과 필터 30376) 및 IF 변조기(30374)를 갖는 I/Q 부분을 포함할 수 있다. I/Q 신호는 IF 증폭기(30372)에 제공될 수 있고, IF 신호는 연결부(30350)를 통해 트리플렉서 및 스위치(30355)를 통해 송신될 수 있다. 도 303a 및 도 303b는 IF 스테이지의 부분 사이의 유닛을 분리하는 연결부(30350)를 도시하지만, RF 스테이지의 부분 사이의 유닛을 분리 할 수도 있다(또는 유닛을 전혀 분리하지 않을 수도 있다).
BB 프로세서(30390)의 구성요소를 더 잘 설명하기 위해, 먼저 도 304이 간략하게 설명된다. 도 304는 외부 위상 배열 송수신기(EPAT)(30300')와 통신하는 위상 배열 송수신기(30300)를 도시하는 블록도이다(예를 들어, 하나의 조합은 핸드-헬드 디바이스 및 5G 기지국 또는 다른 유형의 기지국일 것이다). 이들 송수신기(30300, 30300')의 각각은 유사하게 동작 할 수 있고, 각각의 다른 송수신기로부터의 전송 특성인 전력 수학식(30050, 30050')으로부터의 각각의 전력 송신을 결정할 수 있으며(이것은 예를 들어, 기지국이 DPD를 사용하거나 DPD의 상이한 교정에 의존하는 동안 이동 디바이스를 DPD로 최적화하는 것이 바람직할 수 있는 경우에는 사실일 수 있다), 수신된 송신 신호에 기초하여 각각의 비선형 데이터(30190, 30190')를 전달한다. 도 304는 위상 배열 송수신기로서 외부 위상 배열 송수신기(30300')를 도시하지만, 이것은 위상 배열 송수신기일 필요는 없고, 예를 들어, 단지 보통의 외부 송수신기(ET)일 수 있다. 송수신기, 예를 들어 송수신기(30300')는 위상 배열 송수신기(30300)로부터 송신된 신호를 해석하고 관련된 비선형 데이터(30190)로 응답할 수 있다.
도 303b로 돌아가서, BB 프로세서(30390)는 디지털 사전 왜곡(DPD) 프로세서(30394)가 상주 할 수 있는 모뎀(30392)을 포함할 수 있다. DPD는 전체 송신기 증폭기가 전력 포화 PSAT 지점까지 보다 선형적인 방식으로 동작 할 수 있도록 전체 송신기 증폭기 특성 곡선의 역일 수 있는 왜곡을 적용하는데 사용될 수 있다.
DPD(30394)의 제어는 ET(30300')에 의해 송신된 비선형 성 데이터(30190)를 수신 할 수 있는 내부 비선형성 프로세서(30396)에 의해 제공될 수 있다. 하나의 예시적인 구성에서, 비선형성 데이터(30190)는 전력 송신 특성 곡선(PTx 30050)의 역 곡선을 서술하는 다항식 계수로 나타낼 수 있다. 비선형성 소스의 특성을 감안할 때, 5차 다항식은 전력 송신 특성 곡선 PTx(30050) 또는 일부 양태에서는 그 역 곡선을 정확하게 반영하는데 충분한 것으로 입증되었다. 또 다른 예에서, 비선형성 데이터(30190)는 역 특성을 매핑하는 룩업 테이블(LUT)로 표현될 수 있다. 내부 비선형성 프로세서(30396)는 수신된 비선형성 데이터(30190)를 처리하고 이를 DPD(30394)를 제어하는데 사용될 수 있는 제어 파라미터로 변환할 수 있다.
외부 비선형성 프로세서(30398)는 EPAT(30300')의 전력 송신 특성 곡선(PTx)(30050')를 취하여 EPAT(30300')에 전송될 수 있는 비선형성 데이터(30190')를 결정한다. 도 303b는 이러한 비선형성 데이터(30190')가 다른 데이터와 결합되어 DPD(30394)를 통해 전송되는 것을 도시하지만, 이러한 정보가 DPD(30394)를 사용하여 EPAT(30300')로 전송될 필요가 없을 수도 있으며, DPD(30394)를 사용하지 않고 전송이 이루어질 수도 있다.
다음은 두 개의 예시적인 양태를 설명한다. 첫 번째 양태는 송수신기(30300)에 의해 사용될 수 있는 프로세스(30500)의 예를 도시하는 흐름도인 도 305에 제공되는데, 도 305는 위상 배열 송신기가 (낮은 EVM으로 인해 낮은 콘스텔레이션일 수 있는) 다른 측에서 수신을 가능하게 하고 그리고 적용 가능한 규정을 위반하지 않는 IM 레벨을 포함하는(공장에서 미리 정의될 수 있는)(아마도 초기 DPD 설정을 사용하여) 신호 설정을 송신하는 시퀀스를 도시한다. 다른 측이 비선형성 정보를 평가하고 되돌려 보낸 후에, DDP는 최적의 조건에 가깝게 동작하고 높은 출력 전력 및/또는 높은 데이터 처리량(더 높은 콘스텔레이션)을 송신할 수 있다.
동작(S30510)에서, 송신 신호는 위에서 설명한 것과 같은 송신 채널로 분리될 수 있다. 그 다음, 동작(S30520)에서, 신호는 위상 배열 안테나 각각에서 안테나로부터 송신될 수 있다. 동작(S30530)에서, 위상 배열 안테나의 합산된 출력에 대한 특성 곡선의 역을 포함하는, 위에서 설명한 것과 같은 비선형성 데이터가 수신될 수 있다. 동작(S30540)에서, 이러한 비선형성 데이터는 출력 신호를 수정하는 위에서 설명한 것과 같은 디지털 사전 왜곡 프로세서에 필요한 제어 신호로 변환될 수 있다. 마지막으로, 동작(S30550)에서, DPD 프로세서 수정된 데이터는 송수신기에 의해 송신될 수 있다.
예시적인 제 2 방법은 송신의 바로 시작시에 정확한(및 최적에 가까운) DPD를 설정하게 할 수 있는 데이터베이스(예를 들어, 룩업 테이블)를 생성하는, 도 305의 시퀀스와 유사한 시퀀스를 도시하는 도 306에서 제시된다. 도 305와의 유사한 내용은 여기에서 반복되지 않는다. 동작(S30638)에서 데이터베이스는 각각의 동작으로부터 시간 경과에 따라 수집될 수 있고(예를 들어, 상이한 수신기가 사용될 수 있고 - 이것은 선형화될 TX 측에 영향을 미치지 않음), 다른 측으로부터 동작(S30635)에서 수신된 피드백을 이용할 수 있다. 이것은 송신기 DPD의 유즈 케이스의 정확도와 범위를 개선할 수 있다.
동작(S30633)에서, 동작 조건은: 송신 주파수, 활성 TX 체인, (RFEM상의 전력 검출기로부터 또는 각 체인의 출력에서) 출력 전력 레벨, (RFEM의) 온도 센서, (RFEM의) 전압 센서 등을 포함할 수 있다. LUT의 동작은 선택적으로 다른 측으로부터의 실시간 피드백과 조합될 수 있다. 또한, DPD 데이터의 신속하고 정확한 추출을 가능하게 하는데 미리 정의된 "핸드 셰이크(hand shake)"(예를 들어, 프리앰블 또는 데이터 시퀀스)가 이용될 수 있다. 동작(S9340)에서, 시스템은 비선형성 데이터 및/또는 동작 조건을 DPD 제어 데이터로 변환할 수 있다.
현대의 통신 디바이스의 무선 주파수 수신기는 일반적으로 상당한 범위의 입력 전력 레벨을 처리하도록 구성될 수 있다. 이를 위해, 수신 증폭기는 가변 강도의 입력 신호를 증폭 할 수 있는 다수의 AGC 이득 설정을 포함할 수 있다. 성능을 개선하거나 최대화하기 위해 특정 증폭 AGC 이득 설정을 선택하는 것은 어려울 수 있다. 넓은 범위의 입력 전력 레벨은 수신기에 의해 입력 신호 레벨의 함수로서 증폭 레벨을 변경함으로써 처리될 수 있다. 낮은 입력 레벨 신호는 높은 증폭을 사용하여 사용 가능한 잡음 지수(noise figure)(NF)를 제공할 수 있는 반면, 높은 입력 신호 레벨은 낮은 레벨의 증폭을 사용하여 수신기의 압축을 방지할 수 있다.
도 307a 및 도 307b는 전체 분산 위상 배열 송수신기 시스템(30700)의 예의 블록도의 부분이지만, 본 명세서에서 설명된 개념은 이러한 특정 유형의 송수신기로 제한되지 않는다. 이러한 송수신기 시스템은 위에서 설명한 바와 같이 무선 체인 회로(372)와 관련될 수 있지만, 상이한 회로와도 관련될 수 있다. 위상 배열 안테나(30702)를 통해 오는 수신 신호(RXIN)는 증폭기(30703)에 의해 수신될 수 있으며, 증폭된 신호는 수신 전력 결합기(30705)로 송신될 수 있다. 예를 들어 위에서 설명한 바와 같이, 수신 전력 결합기(30120)로부터 결합된 신호를 수신하는 RF 증폭기(30312) 및 구성요소(30716)에 의해 증폭되거나 그렇지 않으면 조절될 수 있는 국부 발진기 생성기(예를 들어, RF 신시사이저)(30740)에 의해 생성된 신호를 이용하는 복조기(30714)가 제공될 수 있다. 복조된 신호는 그 다음에 중간 주파수(IF) 증폭기(30732)에 제공된 다음에 트리플렉서 및 스위치(30745)에 제공되고, 트리플렉서 및 스위치(30345)에서, 예를 들어 동축 케이블과 같은 연결부(30750)를 통해 송수신기(30700)의 다른 부분에 전송될 수 있다.
송수신기(30700)의 대응하는 송신 부분이 또한 제공될 수 있다. 송신 될 신호는 연결부(30750)를 통해 제공되어 IF 증폭기(30734)에 제공될 수 있으며, IF 증폭기의 출력은 RF 송신기(30720) 부분에 제공될 수 있다. RF 송신기(30720) 부분은 국부 발진기 생성기(30740)에 의해 생성되고 가능하게는 증폭기(30726)에 의해 증폭된 신호를 이용하는 RF 변조 믹서를 포함 할 수 있으며, 변조된 RF 신호는 RF 증폭기(30722)에 제공된 다음에 송신 전력 분할기(30706)에 전송된다. RF 송신 신호는 송신 전력 분할기(30706)에 공급될 수 있으며, 송신 전력 분할기(30706)는 분리된 신호의 부분을(30704)에 제공한다. 신호의 분리된 부분은 PA(30707) 로의 입력으로 제공될 수 있으며, PA(30707)에서 신호는 증폭될 수 있고, 출력 신호(TXOUT)는 위상 배열 안테나(30702)에 제공될 수 있다.
도 303b는 송수신기(30700)의 다른 부분을 도시하는 블록도이다. 수신 측에서, If로 하향 복조된 수신 신호가 연결부(30750) 및 트리플렉서 및 스위치(30755)를 통해 수신될 수 있다. IF 수신기(30760) 부분에서, IF 신호는 IF 증폭기(30762)에 제공될 수 있다. 이것은 도면에 명확하게 도시되지 않았지만, 시스템(30700)은 직교 인코딩된 신호를 처리하도록 설계될 수 있으며, IF 수신기(30760) 부분 및 IF 송신기(30770) 부분에 도시된 두 개의 경로는 동위상 성분(I) 및 직교 위상 성분(Q)을 나타낼 수 있으며, 각각에 별개의 경로가 제공된다. IF 복조기(30764)는 기저대역 신호의 아날로그 I/Q 성분을 생성하기 위해 제공될 수 있다. 이러한 IF 복조기(30764)는 예를 들어 수정 발진기(30784) 및 IF 신시사이저(30782)에 의해 생성된 신호를 수신할 수 있다. 저역 통과 필터(30766) 및 아날로그-디지털 변환기(ADC)(30768)는 기저대역(BB) 신호를 각각의 I/Q 성분의 BB 프로세서(30790)에 공급하도록 제공될 수 있으며, 여기서 BB 프로세서(30790)는 RF AGC 이득 설정을 제어하는데 사용될 수 있는 모뎀(30792)을 포함할 수 있다.
송신 측에서, BB 프로세서(30790)에 의해 생성된 기저대역 디지털 신호는 IF 송신기(30770) 부분에 제공될 수 있으며, IF 송신기(30370) 부분은 디지털-아날로그 변환기(30778), 저역 통과 필터 30776) 및 IF 변조기(30774)를 갖는 I/Q 부분을 포함할 수 있다. I/Q 신호는 IF 증폭기(30772)에 제공될 수 있고, IF 신호는 연결부(30750)를 통해 트리플렉서 및 스위치(30755)를 통해 전송될 수 있다. 도 307a 및 도 307b는 IF AGC 이득 설정의 부분 사이의 유닛을 분리하는 연결부(30750)를 도시하지만, RF AGC 이득 설정의 부분 사이의 유닛을 분리 할 수도 있다(또는 유닛을 전혀 분리하지 않을 수도 있다). 신시사이저(30782) 다음에는 분주기(frequency divider)(DIV)(30780)가 제공될 수 있다.
도 308은 위에서 논의된 증폭기(30703)의 예일 수 있거나 또는 시스템 내 증폭기의 조합일 수 있는 수신기(30800)의 블록도이며, 증폭기는 각각 자체의 이득 AGC 이득 설정(들)을 갖는다. 증폭기는 수신 신호, 예를 들어 RxIN RF 신호 강도를 결정하고, 그 결정에 기초하여, 처리에 필요한 상대적으로 일정한 입력 신호를 나머지의 수신기 회로에 제공하기 위해 자동 이득 제어(automatic gain control)(AGC) 이득 설정(30820)으로부터의 제어를 사용하여 적절한 이득 설정을 선택하는 스위치(30810)를 포함하거나 스위치와 연관시킬 수 있다.
스위치(30810)는 예를 들어, 주어진 전력 입력 레벨에서 어떤 AGC 이득 설정(30820)이 동작해야 하는지를 결정하기 위한 그리고 전력 및 EVM 측정을 수행하고 이하에서 보다 상세하게 설명되는 디더링 동작 모드를 구현하기 위한 프로세서(30812), 메모리(30814) 및 로직(아마도 회로의 메모리(30814) 및/또는 하드웨어 로직에 상주하는 프로그램 명령어로서 존재할 수 있음)을 포함할 수 있다. 스위치(30810)는 AGC 알고리즘을 구현하는 임의의 하드웨어 또는 소프트웨어 메커니즘을 포함할 수 있다. 또한, 간략화를 위해, 스위치(30810)는 단일 요소로서 도시되지만, 스위치(30810)는 단일 요소일 필요는 없거나 또는 신호(수신된 RF 신호, IF 신호, 모뎀의 기저대역 신호 등)의 단일 부분에 대해 동작해야 하지만, 신호의 각 부분을 처리하는 여러 디바이스일 수 있다.
개선된 AGC 이득 설정(30820)은 주어진 전력 레벨에서 보다 양호한 신호 품질 측정치(signal quality measure)(SQM)를 생성하는 이득 설정이다. 하나의 SQM은 오류 벡터 크기(Error Vector Magnitude)(EVM)이고, 직교 인코딩된 신호에서, 콘스텔레이션 맵의 포인트가 이상적인 위치에서 얼마나 멀리 떨어져 있는지의 척도이다.
도 308에 도시된 AGC 이득 설정(30820)은 상이한 레벨의 이득의 논리적 구성을 나타내며, 반드시 개별 이득 증폭기의 물리적 구성은 아니다. 예를 들어, AGC 이득 설정 2가 AGC 이득 설정 1로부터의 요소를 사용할 수 있도록, 물리적 이득 요소가 함께 연결되거나 또는 연속적으로 활성화되어 다음 레벨의 이득을 얻을 수 있다. 그러나, 또한 또는 부가적으로 하나 이상의 AGC 이득 설정을 실행하는 별도의 물리적 AGC 이득 설정 구성요소가 있을 수 있다.
도 309는 AGC 이득 설정(30820)이 주어진 경우, EVM 대 수신 전력(Rx PIN)을 도시하는 그래프(30900)이다. 도 309에 도시된 바와 같이, 높은 EVM은(다른 것들 중에서도) 관심을 둔 두 가지 원인 때문일 수 있다. 첫 번째 원인은 잡음이 수신기 블록에 의해 생성되는 열잡음인 신호 대 잡음비(SNR)일 수 있다. 낮은 Rx Pin에서, 열 잡음이 지배적일 수 있으며 AGC 이득 설정은 Rx NF를 최소화하기 위해(예를 들어, Rx 열 잡음을 최소화하기 위해) Rx 이득을 높은 레벨로 설정한다. 이러한 낮은 레벨의 Rx Pin, 열 잡음은 신호에 비해 더 두드러질 수 있어, 더 낮은 SNR을 초래하고 이에 따라 더 높은 EVM을 초래한다.
두 번째 원인은 높은 레벨의 입력 신호를 처리할 때 수신기에 존재하는 비선형성에 말미암은 상호변조 왜곡일 수 있다. 수신기의 입력에서 신호가 높아짐에 따라, 수신기는 수신기의 상호 변조 왜곡(IMD)의 레벨을 낮추고 선형성을 개선하여 수신기의 이득을 낮추기 위하여, 보다 비선형적인 방식으로 거동하여 더 높은 EVM을 생성하고, 이에 따라 F를 저하시킨다(열 잡음을 더 높게 한다). 도 309는 SNR 및 FMD 둘 모두의 전체 EVM에 미치는 영향을 예시하는 것으로, 전체 EVM을 최소화하도록 기능하는 "스위트-폿(sweet-spot)" 또는 작동 범위를 도시한다. 이 곡선은 공급 전압, 제조 변동으로 인한 프로세스 변동 및 디바이스의 동작 온도를 비롯한 채널 또는 동작 주파수를 포함하는 다양한 곡선 변위 인자(curve-shifting factor)에 종속할 수 있다.
수신된 전력은 모뎀(30792)(도 307b)의 전력 레벨 검출기에 의해 결정될 수 있거나, 안테나 자체, RF 프로세싱, IF 프로세싱 및 기저대역 프로세싱으로부터 아무 곳을 포함하는 수신 체인을 따라 위치된 다른 전력 레벨 검출기에 의해 결정될 수 있다.
도 310은 도 309에 도시된 그래프와 유사하지만, 다수의 AGC 이득 설정에 대한 EVM 대 수신 전력 곡선을 포함하는 그래프(31000)이며, 여기서 AGC 이득 설정은 어느 정도 서로 중첩된다. EVM 곡선이 각각의 수신된 입력 전력 레벨에 대해 중첩되지만, 특정 수신된 전력 레벨에 대한 EVM을 최소화하는 최적의 AGC 이득 설정이 있을 수 있다. 시스템이 최상의 가능한 EVM을 유지하기 위해, 시스템은 도 311에 도시된 바와 같이 최적의 문턱 값(POPT_TH)에서 적절한 스위치를 선택함으로써 이득 설정 사이에서 스위칭할 수 있다.
도 311은 특정 AGC 이득 설정을 활성화하기 위한 최적의 문턱 값(POPT_TH)을 도시하는 그래프(31100)이다. 전력 입력에 대한 최적의 문턱 값(POPT_TH)을 결정하기 위해, 시스템은(측정된 상이한 온도에 관련될 수 있는) 수신기의 상이한 AGC 이득 설정으로부터 측정을 수행하여, 수신기 AGC 이득 설정에 대한 모든 수신 전력 지점에서 EVM을 최소화하는 최적의 이득 설정 포인트를 제공할 수 있다. 위에서 설명한 곡선 변위 인자에 기초하여, 동작 중에 곡선 형태가 이동함에 따라, 최적의 문턱 값(POPT_TH)은 예를 들어, 그래프(31100)에서, POPT_TH1_OLD로부터 POPT_TH1로 이동할 수 있다. 최적의 문턱 값(POPT_TH)가 이동되었지만, 전환 문턱 값이 동일한 채로 유지되면(예를 들어, POPT_THI_OLD에 남아 있다면), 차선의 스위칭이 발생하여, 더 높은 EVM를 원하는 처리량을 지원할 수 없는 저하된 신호를 궁극적인 결과로 하는 신호로 내놓을 것이다.
도 312는 최적 문턱 값(POPT_TH)를 결정하기 위해 이용될 수 있는 예시적인 방법(31200)을 도시하는 흐름도이다. 송수신기(30700)는 제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하는 디더링 동작 모드에서 포함할 수 있는 수신기용 이득 제어 디바이스를 동작시키고, 스위치를 사용하여 제 1 및 제 2 AGC 이득 설정을 개별적으로 입력 신호에 적용하고 제 1 및 제 2 AGC 이득 설정을 위한 제 1 및 제 2 AGC 신호 품질 측정치(SQM)를 각각 측정하고, 최적의 문턱 값에 기초하여 제 1 신호 전력 레벨에서 제 2 입력 신호에 대해 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 정상 동작 모드에서, 제 1 및 제 2 SQM에 기초하여 상기 제 1 AGC 이득 설정의 사용과 제 2 AGC 이득 설정의 사용 사이에서 스위칭하도록 사용되는 전력 레벨을 나타내는 최적의 문턱 값을 결정하여 저장하기 위한 수단을 구성할 수 있는 하나의 예이지만, 수단은 이것으로 제한되지 않는다. 동작(S31210)에서, 입력 신호가 수신될 수 있고 그 전력이 결정될 수 있다. 동작(S31220)에서, 디더링 동작은 미리 정의된 조건에 기초하여, 예컨대 주기적으로 호출될 수 있는 시간의 만료에 기초하여 또는 제공된 어떤 형태의 신호에 따라 개시될 수 있다. 이러한 트리거는 동작 조건의 변경, 예컨대: 새로운 채널로 이동에 의한 주파수 변경, 온도 또는 전압의 변경일 수 있다. 디더링 동작은 주어진 수신 전력 레벨에 대해 상이한 AGC 이득 설정을 사용할 수 있게 하며, EVM은 아마도 현재의 동작 온도와 함께 측정될 수 있다. 디더링 동작은 주어진 전력 레벨에 대해 표시된 AGC 이득 설정의 어느 한 쪽의 AGC 이득 설정을 선택할 수 있으며, 이러한 선택은 예를 들어 무작위하게 또는 어떤 미리 정의된 패턴에 따라 일어날 수 있다. 따라서, EVM 및/또는 디더링 동작의 측정은 모든 수신된 프레임에서 발생할 필요는 없지만, 정상 동작과의 간섭을 최소화하기 위해 덜 빈번하게, 또는 드물게 라도 수행될 수 있다. 동작(S31230)에서, EVM 및 선택적으로 곡선의 형태 및 위치에 영향을 줄 수 있는 온도 또는 다른 인자가 측정될 수 있고, 그 값이 저장될 수 있다. EVM은 예를 들어, 모뎀(30792)에서 측정될 수 있지만, 디지털 도메인의 다른 곳에서도 측정될 수 있다.
동작(S31240)에서, 최적의 문턱 값(POPT_TH)을 결정하는 결정이 이루어질 수 있다. 이것은 디더링 동작의 대상이었던 특정 전력 레벨(예를 들어, 그 전력 레벨에서 통상적으로 사용되는 AGC 이득 설정에 인접한 AGC 이득 설정)에서의 현재 EVM 값을 정상적으로 사용되는 전력에서의 저장된 EVM 값과 비교함으로써 수행될 수 있다. 디더링된 동작으로부터의 EVM 값이 더 낮으면, 문턱 값이 조정될 수 있고, 이에 따라 후속의 정상(디더링되지 않는) 동작인 (S31250)에서, 업데이트된 문턱 값이 사용될 수 있다. 조정의 양 또는 문턱 값의 설정은 EVM 값의 차이의 인자일 수 있다.
예로써 도 311를 참조하면, 전력(PD)에서의 입력 전력이 수신될 수 있다. 예의 목적상, 원래의 문턱 값(POP1_TH1_OLD)은 PD의 우측에 있으며, AGC 이득 설정 # 1이 사용되어야 함을 의미한다. 그러나,(정상 동작에서 AGC 이득 설정 # 1이 표시될지라도, AGC 이득 설정 # 2를 사용하도록 디더링하는) 디더링된 동작에서 실제로 측정될 수 있는 것은 도 311에 도시된 것이다. 도시된 바와 같이, AGC 이득 설정 # 2 동작에 대한 EVM 값은 AGC 이득 설정 # 1 동작에 대한 EVM 값보다 낮을 수 있다. 따라서, 시스템은 도 311에 도시된 포인트를 차지하도록 문턱 포인트(POPT_TH1)를 좌측으로 이동해야 한다고 결정한다. 따라서, 후속 정상 모드 동작에서, AGC 이득 설정 # 2는 AGC 이득 설정 # 1 대신에 전력 레벨(PD)에서 사용될 것이다. 두 개의 상이한 AGC 이득 설정에 대한 EVM 값의 차이는 문턱 포인트(POPT_TH1)를 얼마나 멀리 이동시킬지를 표시할 수 있다. 또한, 전력 대 EVM 또는 SQM 곡선의 형태에 관한 일부 지식이 이용되어 문턱 포인트(POPT_TH1)를 보다 정확하게 결정할 수 있다.
AGC 이득 설정, 이득, EVM, 온도의 측정된 값 및 측정된 값과 문턱 값에 연관된 다른 값 또는 파라미터는 후속 사용을 위해 LUT와 같은 메모리에 저장될 수 있다. EVM이 현재 동작 온도 또는 다른 파라미터에서 이전에 결정되었다면, 그 값은 정상(디더링되지 않는) 동작 모드에서 사용될 수 있다. 그렇지 않으면, 이전에 포착된 두 개의 온도 또는 다른 파라미터 사이에서 보간이 수행될 수 있다.
도 313은 동작의 제 1 방법을 위한 구성을 도시하는 무선 주파수(RF) 위상 배열 시스템(31300)의 개략적인 블록도이다. 시스템은 위에서 설명한 바와 같이 병렬 수신 회로(382) 및/또는 하나 이상의 조합된 수신 회로(384)를 포함 할 수 있거나, 다른 형태의 수신 회로를 포함할 수 있다. 복수의 안테나(31310) 각각은 RF 위상 변위기(31320)뿐만 아니라 각각의 송신된(또는 수신된) 신호를 조정하는데 사용될 수 있는 가변 이득 증폭기(VGA)(31330)에 의해 처리된 자신들의 신호를 가질 수 있다. 이러한 송신된 신호는 분할기(31340)에 의해 분할될 수 있다(또는 수신된 신호는 결합기(31340)에 의해 결합될 수 있다). 이것은 위상 배열 시스템의 한 형태일 수 있다. 단 하나의 믹서(31350) 및 샘플 또는 트랙 및 홀드 디바이스(31360) 및 아날로그-디지털 변환기(ADC)(31370)를 포함하는 기저대역 체인이 사용될 수 있기 때문에, 시스템(31300)의 이점 중 하나는 단순성일 수 있다. 시스템(31300)은 다음과 같은 특성: a) 확장성의 결여(RF 주파수에서 몇몇 경로를 추가하는 것은 대역폭 병목을 형성 함), b) 수신기에서 추가된 잡음 지수(잡음이 있는 위상 배열 및 VGA가 안테나에 더 가까이 추가될 수 있기 때문), 및 c) 추가 전력 소비(위상 배열 시스템이 밀리미터 파 주파수에서 작동할 수 있게 하는 두 개의 블록) 중 하나 이상을 가질 수 있다.
도 314는 국부 발진기(LO) 위상 배열 시스템(31400)이라고 지칭될 수 있는 위상 배열 무선 송수신기의 다른 토폴로지를 도시하는 개략적인 블록도이다(개별 구성요소의 설명은 도 313 참조). 이러한 토폴로지에서, LO 위상 배열 시스템(31400)은 여전히 신호 경로의 VGA(31330)에 의존하지만, 위상 변위기(31320)는 LO 경로로 전달될 수 있다. 도 313에 도시된 바와 같은 RF 위상 배열 시스템(31300)에 비해 이러한 토폴로지의 이점은 감소된 잡음일 수 있다. 다른 특성은 여러 믹서(31350) 및 LO 위상 변위기(31320)가(각각의 안테나(31310)마다 하나씩) 사용될 수 있다는 것이다. 밀리미터 파 주파수에서 동작하는 LO 신호를 라우팅하는 것은 어려울 수 있으며, 이것은 경우에 따라 확장 불가능한 것으로 간주될 수 있다. 그러나 위상 변위는 ADPLL 루프 내에서 디지털적으로 수행될 수 있기 때문에 LO 위상 배열 시스템(31400)은 전체 디지털 PLL(all-digital PL)(ADPLL)에서 더욱 유망 할 수 있다. 이것은(전력 소비 측면에서 비용이 많이 들고 신호 경로에 왜곡 및 삽입 손실을 도입할 수 있는) RF 위상 변위기의 사용을 없앨 수 있다. ADPLL 내의 위상 변위는 대형 위상 배열 시스템에서 LO 분포를 완화해주기도 한다.
도 315는 위상 배열 무선 송수신기 설계의 제 3 대안예를 도시하는 개략적 인 다이어그램이며, 디지털 위상 배열 시스템(31500)이라고 지칭될 수 있다. 이러한 토폴로지에서, 샘플 또는 트랙 및 홀드 디바이스(31360) 및 ADC(31370)를 포함하는 전체 송수신기 체인이 각각의 안테나(31310)마다 복제될 수 있다. 위상 배열 조합은 디지털 도메인에서 수행될 수 있다. 그 특성은 증가된 복잡성(칩 면적) 및 전력 소비를 포함할 수 있다. 증가된 전력 소비는 송수신기 블록뿐만 아니라, 위상 배열 조합이 존재하는 디지털 백엔드에서도 생긴다. 그러나 하나의 주된 이점은 다수의 사용자를 동시에 지원하는 기능으로, 각 사용자는 전체 안테나 배열 이득을 활용할 수 있다. 그러나 이러한 지원은 각 사용자마다 전용 디지털 조합 경로를 사용하는 비용이 들 수 있다.
위의 모든 위상 배열 구성 전략(위상 배열 시스템(31300), LO 위상 배열 시스템(31400) 및 디지털 위상 배열 시스템(31500))에서, 모든 위상 배열 수신기(또는 송신기)의 합이 상이한 진폭 가중치 및/또는 위상 시프트와 결합될 수 있는 재결합 포인트(결합 노드/결합기(31340)가 있을 수 있다. 이러한 결합 노드(31340)는 종종 성능 및 복잡성의 관점에서 위상 배열 수신기의 병목 지역일 수 있다. 상이한 사이즈의 위상 배열을 원하면, 이러한 결합 노드(31340)는 재설계되어, 설계 복잡성을 상당히 증가시킬 수 있다. 위상 배열 설계의 이러한 측면은 위상 배열의 확장성에 큰 걸림돌일 수 있다.
본 개시내용의 일부 양태에서, 사이즈와 잘 조절되는 스케일러블 위상 배열 무선 송수신기 아키텍처(scalable phased array radio transceiver architecture)(SPARTA)가 제공된다. 이것은 이와 같은 아키텍처를 다수의 애플리케이션 및 제품에 재사용하는데 있어서 크게 도움이 되어, 시장 진입 시간을 단축할 수 있다. 제안된 아키텍처는 또한 자체 구성 가능하므로 디바이스의 프로그램 작동 가능성이 용이해진다. 기존의 동작 모드를 지원하는 것 외에도, SPARTA는 아래에 설명되는 바와 같이, 보다 우수한 위상 배열 이득 또는 저전력 소비를 가능하게 하는 새로운 동작 모드를 지원할 수도 있다.
도 316은 SPARTA 배열의 예시적인 셀 요소(31600)의 블록도이다. 이 도면이 보여주는 바와 같이, SPARTA 배열 셀 요소(31600)는 송신기(TX)(31610), 수신기(RX)(31620), 국부 발진기(LO)(31630) 및 디지털 블록(DIG)(31640)을 포함할 수 있다. 멀티플렉서 및 디멀티플렉서(31650)의 세트는 인접 셀과의 통신을 가능하게 하기 위해 SPARTA 배열 셀 요소(31600)의 네 개의 에지 상에 타일링될 수 있다. 이러한 셀 요소(31600)는 타일링되고 상호연결된 복수의 송수신기 셀을 이용하여 신호를 송신 및 수신하는 것을 포함 할 수 있는 위상 배열 무선 송수신기를 동작시키기 위한 수단을 구성 할 수 있는 하나의 예이지만, 이 수단은 이러한 프로세스로 제한되지 않는다.
SPARTA 배열 셀(31600)을 이웃 셀에 연결하여 셀의 타일링을 가능하게 하는 아날로그 및 디지털 병렬 버스(31660) 둘 모두가 있을 수 있다. Tx(31610) 및 Rx(31620)은 단일 또는 다수의 수신기 및 송신기를 가질 수 있어, 다수의 Rx 및 Tx 셀이 단일 LO(31630)를(전력 소비를 절감하기 위해) 공유할 수 있다는 것을 알아야 한다. 수정 발진기(xO) 신호는 모든 셀 사이에서 버퍼링될 수 있다. 루프백은 각각의 셀 요소(31600) 내의 xO 버퍼에 의해 도입된 지연을 측정하고 교정하기 위해 사용될 수 있다. 각각의 셀 요소(31600)는 또한 셀 요소를 인접 셀에 연결하는 제어 신호뿐만 아니라 정적일 수 있는 전역 제어 신호를 가질 수 있다. SPARTA 배열 셀 요소(31600)는 아날로그 및 디지털 계수 세트 및 파이프라인 요소를 또한 포함하는 I/O 및 위상 결합 유닛(31670)을 더 포함할 수 있다. 아래에서 논의되는 위치 연결 포트(31680)가 또한 제공될 수 있다.
도 317은 셀(31700)의 타일링된 SPARTA 배열을 도시하는 블록도이다. 도면에 도시된 바와 같이, 동일한 셀(10300)의 배열(31700)이 도시된다. 이것은 셀(31600)(다이)이 카피 이그잭트(copy exact)일 수 있다는 것을 의미한다. 셀 요소(31600) 사이의 통신수단은 아날로그 및 디지털 버스(31660)를 포함한다. 버스(31660)의 폭은(위상 배열 시스템이 지원할 수 있는 동시 사용자 수와 동일할 수 있다(아래에서 논의됨). 각각의 SPARTA 배열 셀 요소(31600)는 인접한 셀 요소에만 연결될 수 있다. 이것은 제안된 접근법의 확장성을 제공하는 데 도움이 될 수 있다.
일부 양태에서, 이와 같은 제안된 아키텍처는 유리하게는 웨이퍼를 상이한 애플리케이션마다 상이한 형상으로 다이싱하는 것을 가능하게 한다. 도 318 및 도 319는 웨이퍼 다이싱의 회화적 도면이다. 도 318은 저전력 애플리케이션에 맞춘 SPARTA 셀 요소(31600)의 다이싱된 부분(31810)을 갖는 웨이퍼(31800)를 도시하고, 도 319는 고성능 애플리케이션에 맞춘 SPARTA 요소의 다이싱된 부분(30910)을 갖는 웨이퍼(31900)를 도시한다.
도 318에 도시된 바와 같이, 상이한 개수의 요소가 다양한 시스템 레벨 요건에 맞추어 다이싱될 수 있다. 일부 저전력 애플리케이션에서는 예를 들어, 네 개의 SPARTA 요소만 사용될 수 있다. 기지국과 같은 고성능 시스템에서, 예를 들어, 도 319에 도시된 바와 같이 전체 웨이퍼가 사용될 수 있다. 다시 말해서, 카피 이그잭트 웨이퍼를 처리하면서 동일한 웨이퍼가 서로 다른 폼 팩터 및 제품 스큐로 채워질 수 있다. 패키징 비용을 줄이려는 웨이퍼 통합의 레벨은 더 넓은 다이 면적으로 말미암은 수율과 균형을 이룰 수 있어, 최대 수율에 맞는 최대 배열 사이즈를 만들 수 있다.
도 320은 웨이퍼 처리될 수 있는 결합된 (32000) SPARTA 배열(32010) 및 안테나 배열(32020)과 결합된 (3200)의 회화적 예시이다. 이러한 처리 단계를 통해, 안테나 배열(32020) 층이 간단하게 맞물려서 전체 시스템 솔루션을 제공할 수 있다.
제안된 위상 배열 시스템은 또한 다음과 같이 설명되는 자기 인식 구성 가능한 구조를 가질 수 있다. 식별 번호(ID)는 ID 할당 루틴에 의해 전원이 켜질 때 결정될 수 있다. 이것은 얼마나 많은 SPARTA 배열 셀 요소(31600)가 배열(31700)에서 사용되는지를 시스템이 알 수 있게 한다. 칩의 네 면은 북쪽(N), 남쪽(S), 서쪽(W) 및 동쪽(E)이라고 지칭할 수 있다. 도 317에 도시된 하나의 예시적인 식별 방식에서, ID #1은 NW 코너 셀 요소(31600)에 할당될 수 있다. NW 코너는 포트가 열려 있거나 다른 포트와 연결될 수 있는지를 검출 할 수 있는 위치 연결 포트(31680)에 의해 결정될 수 있다. 예를 들어, N 및 W 포트가 모두 열려 있으면, ID #1은 그 셀 요소(31600)에 할당될 수 있다. 그 다음, 셀 요소(31600)는 ID 번호가 1만큼 증가되고 동쪽 셀 요소(31600)로 넘어가는 순차적 넘버링을 개시한다.
현재 셀 요소(31600)가 E 포트 연결을 가지고 있지 않고 서쪽 셀 요소(31600)(예를 들어, 셀 # 4)로부터 자신의 ID 번호를 수신하면, ID 번호를 남쪽 셀 요소(31600)(#5로 예시됨)에 넘겨준다. 현재 셀 요소(31600)가 E 포트 연결을 가지고 있지 않고 북쪽 셀 요소(31600)로부터 ID 번호를 수신하면, ID 번호를 서쪽 셀 요소(31600)로 넘긴다(그렇지 않으면 ID 번호를 또한 남쪽 셀 요소(31600)로 넘긴다). 유사한 알고리즘이 배열(31700)의 서쪽 경계에 대해 추종될 수 있다. 이러한 루틴은 SE 또는 SW 코너 셀 요소(31600)에 도달할 때까지 계속될 수 있다. 그 시점에서, ID 넘버링은 완료되며, 각 셀 요소(31600)는 배열 내에서 고유 식별자를 갖는다. 또한, 셀의 ID 번호가 할당될 때, 셀 요소(31600)는 그의 송신 및 수신 진폭 및 위상 값 둘 모두의 국부 진폭 및 위상 교정을 받을 수 있다. 셀 요소(31600) 내에서 고유 식별자를 생성하는 다른 넘버링 방식이 마찬가지로 가능할 수 있다.
SPARTA 배열 셀 요소(31600)는 a) LO 위상 배열 동작 모드, b) 디지털 위상 배열 동작 모드, c) 아날로그 위상 배열 동작 모드, 및 d) 하이브리드 동작 모드와 같은 동작 모드를 지원할 수 있다. 모든 것은 사이즈 조정 가능한 동작을 가능하게 하는 SPARTA 배열 셀 요소(31600)를 사용하여 구현될 수 있다.
도 321은 디지털 위상 배열 타일링을 위해 사용될 수 있는(SPARTA 셀(31600)의 실시예일 수 있는) SPARTA 배열 셀 요소(32100)를 도시하는 블록도이다. 디지털 위상 배열 동작에서, SPARTA 셀(32100) 내의 전체 송수신기 요소가 사용될 수 있다. 수신 모드에서, 수신된 신호는 디지털 신호로 변환될 수 있고, 이전의 ID 번호를 갖는 SPARTA 셀 요소(32100)와 벡터 합산된다. 확장성을 유지하기 위해, 각 스테이지 간의 합계가 파이프라인화될 수 있다. 이것은 데이터 버스 라인상의 로딩을 제한하기 위해 제공될 수 있다. 또한, 총 k 명의 사용자를 지원하기 위해, 각 사용자마다 하나씩 k 개의 버스 라인이 사용될 수 있다. 버스 라인의 개수는 하드웨어로 고정될 수 있기 때문에, SPARTA 셀 요소(32100)는 대부분의 시스템이 디지털 위상 배열 동작을 지원하는데 사용할 최대 수의 사용자를 지원하는 하드웨어로 설계될 수 있다. 또한, 데이터 라인이 파이프라인화될 수 있기 때문에, D라는 깊이의 내부 파이프라인 레지스터가 유지될 수 있다. 파이프라인 깊이(D)는 최대 SPARTA 배열 사이즈를 제한하며, 여기서 개개 요소는 디지털 위상 배열 모드에서 연결될 수 있다.
도면에 도시된 바와 같이, k 개의 디지털 버스(32110)가 모든 방향(N, S, E, W)에 존재할 수 있다. 둘 모두의 송신기(TX)(32120) 및 수신기(RX)(32130) 블록상의 디지털 멀티플렉서는 어느 셀(32100)이 입력을 수신할지 그리고 어느 셀(32100)이 출력할지를 선택한다.
도 322는 LO 위상 결합 모드에서 인접한 셀 요소(31600) 사이의 LO 위상 배열 파이프라이닝을 도시하는 블록도이다. LO 위상 배열 결합 동작 모드에서, 각각의 셀 요소(31600)는 중앙 제어 유닛으로부터 그 위상 시프트를 수신한다. 수신 경로에서 모든 믹서 스테이지의 출력은 아날로그 도메인에서 합산되어, 아날로그-디지털 변환기(ADC)를 바이패스할 수 있다. 그런 다음 하나의 ADC(31370)(도 323)만이 결합된 출력을 받아서 이를 디지털 형태로 변환한다. 이러한 조합은 인접한 SPARTA 셀 요소(31600) 사이에서 인터페이스하는 아날로그 버스(31660)를 통해 수행될 수 있다. 이것은 ADC(31370)이 위상 배열 시스템에서 가장 많은 전력을 소비하는 블록 중 하나일 수 있으므로 상당한 전력 감소의 이점이 있다.
위에서 논의한 바와 같이 LO 위상 변위 동작 모드는 LO 위상 배열 결합의 한 방법일 수 있다. SPARTA 아키텍처는 이러한 접근법의 새로운 확장성을 제공한다. 확장성을 유지하기 위해, 아날로그 버스(31660) 라인은 파이프라인 깊이(NA)의 샘플 및 홀드 벡터 버스를 통해 "아날로그 파이프라인화"될 수 있다. 파이프라인 깊이(NA)는 최대 SPARTA 배열 사이즈를 제한하며, 여기서 개개 요소는 아날로그 위상 배열 모드에서 연결될 수 있다. 각 셀 사이의 아날로그 값은 스위치드-캐패시터 아날로그 적분기(32210)에 의해 합산될 수 있다.
도면은 셀을 연결하는 버스(31660)를 통해 전달되기 전에 앞의 셀 요소(31600)와 지연(10920)을 합산하는 적분기를 도시한다. LO 위상 변위된 전체 SPARTA 배열(31700)은 도 323에 도시되는데, 도 323은 LO 위상 배열을 사용하는 SPARTA 셀 타일링을 도시하고 활성 데이터 변환기(ADC)를 도시하는 블록도이다.
도 324는 하이브리드 모드의 SPARTA 배열(31700)을 도시하는 블록도이며, 여기서 각 행은 단일 위상 변위 및 단일 ADC(31370)의 공유 시에 타일링될 수 있다. 다중 사용자 동작은 하이브리드 동작 모드를 사용하여 LO 위상 배열 동작 모드에서 지원될 수 있다. 이러한 하이브리드 동작 모드에서, 배열(31700)은 계층적으로 분할될 수 있으며, 하위 레벨 셀은 LO 위상 배열 모드로 결합될 수 있고 상위 레벨 셀은 디지털 위상 배열 모드로 결합될 수 있다. 일부 양태에서, LO 위상 배열 클러스터 당 단지 한 쌍의 데이터 변환기가 사용될 수 있다. 일부 양태에서, 데이터 변환기 쌍은 배열 클러스터의 일부 또는 전부와 함께 사용될 수 없고, 일부 양태에서, LO 위상 배열 클러스터 당 하나 초과의 데이터 변환기 쌍이 사용될 수 있다. 도 324에 도시된 구성은 적어도 두 개의 이점을 제공한다. 첫째, 구성은 소프트웨어를 통해 제어 할 수 있는 전력 소비와 배열 이득 효율 간의 균형을 제공한다. 둘째, 구성은 현재 사용될 수 있는 SPARTA 셀 요소(10300)의 총 개수가 N = D*NA이므로 사용자 당 배열 이득을 최대화하는 방법을 제공한다.
도 325는 아날로그 위상 배열 결합 동작 모드에서 인접한 셀 요소(31600) 사이에서 아날로그 위상 배열 결합의 파이프라이닝을 도시하는 블록도이다. 이러한 동작 모드는 예를 들어, 사용자 당 하나의 데이터 변환기만 활성화된다는 점에서 LO 위상 배열 결합(및 하이브리드 위상 배열 결합)과 유사하다. 아날로그 파이프라이닝은 도 325에 도시된 바와 같이 SPARTA 셀(31600)이 아날로그 도메인에서 위상 배열 결합을 파이프라인화하는 새로운 기능을 구비한 아날로그 위상 배열 결합으로 도시되는, 가중된 합 결합으로 증강될 수 있다. 함수 A1(s)(32510) 및 A2(s)(32520)는 아날로그 도메인에서 실현 가능한 일반 복소 함수일 수 있다. 상이한 아날로그 계수 가중치는 상이한 아날로그 구성요소(예컨대, 레지스터, 캐패시터 또는 전류 소스)를 디지털 결합하여 실현될 수 있다. 위에서 설명한 아날로그 합산기(32210) 및 지연기(32220)와 함께, 파이프라이닝된 벡터 합산 연산이 실현될 수 있다. 이러한 유형의 동작에서, 일부 양태에서, 사용자 당 하나의 데이터 변환기만 활성화되어, 위상 배열 셀(31600) 당 상당한 전력 소비를 없앨 수 있다.
예시적인 동작 모드가 아래의 표 10에 요약된다. 일부 양태에서 배열이 지원할 수 있는 최대 동시 사용자 수는(병렬 아날로그 및 디지털 버스 폭에 의해 결정된) M 사용자일 수 있다. 최대 사용자 총수는(배열 사이즈 및 디지털 및 아날로그 파이프라인 깊이에 의해 결정된) N 개 배열 요소일 수 있다. "개구(aperature)"는 안테나 배열 이득을 계산할 때 고려될 수 있는 요소의 개수를 지칭한다. 디지털 결합을 위해 모든 ADC를 사용하는 것은 대형 배열을 위한(사이즈 확장성을 위한) 디지털 파이프라이닝으로 다중 사용자/다중 빔 동작을 가능하게 하지만, 더 많은 전력을 소비한다. (사용자 당 하나의) 병렬 아날로그 파이프라이닝 스테이지와 아날로그 기저대역 결합에 의해 사용자 당 하나의 ADC만을 갖는 사용자 당 전체 배열 개구를 사용하면 전력을 절감할 수 있다. 단일 사용자를 위해 LO 위상 시프트 및 단일 ADC를 사용하면 ADC 전력을 절감하고 아날로그 파이프라이닝을 사용하여 대형 배열로 확장될 수 있다. 이것은 ADC에 대한 간섭 완화의 최대 또는 최대 레벨을 제공한다. 하이브리드 구성은 LO 결합과 사용자 당 하나의 ADC를 구비한 사용자 당 전체 배열의 하위 섹션을 사용할 수 있다.
Figure pct00177
일부 양태에 따라 본 명세서에는 저조파 주파수에서 IL을 이용하여 동등한 기본 주파수 변조보다 낮은 전력에서 고속 위상 변조를 가능하게 하는 시스템이 개시된다. 이러한 기술은 mmWave 주파수에서 이용 가능한 큰 분수 대역폭(및 이에 따른 높은 처리량)을 효율적으로 구현하는데 특히 유용할 수 있다. 직접 디지털 변조는 캐리어 신호의 저조파에서 주입 고정 발진기의 프리-런닝 주파수를 변조하는 용량성 디지털-아날로그 변환기(DAC)를 통해 달성될 수 있다. 변조된 신호는 캐리어 주파수에서 동작하는 mmWave 발진기를 추가로 주입 고정하는데 사용될 수 있다.직접 기본 주파수 변조와는 반대로, 그러한 서브 고조파 주입은 낮은 위상 변조 범위를 사용하며, 이에 따라 보다 적은 주입 강도를 가능하게 하고, 따라서 일부 양태에서 저전력을 가능하게 한다. 고속 스타트/스톱 발진기를 사용하는 직접 VCO 변조 기반 기술과는 반대로, 일부 양태에서, 제안된 기술은: a) 위상 배열 요소 사이에서 VCO 주파수 미스매치를 제거하고, b) 기저대역 샘플 레이트의 정수 배가 되는 캐리어 주파수에 관한 제한을 제거한다.
고전적 협대역 위상 배열 송수신기는 빔포밍을 위해 RF/LO/기저대역 위상 변위를 사용한다. 이러한 기술이 더 높은 분수 대역폭 및/또는 다수의 위상 배열 요소(예컨대, 대규모 MIMO)로 확장될 때, 이 기술은 상당한 심볼 간 간섭(inter-symbol-interference)(ISI) 및 이에 따른 신호 대 잡음비(SNR) 저하를 초래한다. IL 기반 지연 변조를 사용함으로써, 이러한 아키텍처는 실시간 지연 기반 빔포밍을 사용할 수 있다. 각각의 위상 배열 요소에 대해 변조된 캐리어를 직접 지연시킴으로써, 이 기술은 그러한 저하를 제거한다.
고전적 기본 주파수 LO 분배는 mmWave 주파수에서 까다로울 수 있으며, 특히 큰 실리콘 다이 사이즈를 갖는 다중 요소 배열에 분배될 때는 전체 전력 소비에 상당히 기여한다. 그 대신, (빌트-인 변조 및 빔포밍을 이용한) 두 개의 연속적인 저조파 주입을 사용함으로써, 일부 양태에서, 이 기술은 저주파(및 이에 따른 저전력) LO 분배를 가능하게 한다. 결과적으로, 아키텍처는 매우 효율적으로 다수의 배열 요소로 확장될 수 있다.
다음의 다양한 양태는 본 명세서에 설명된 시스템에 통합될 수 있다. 고정 주파수와 관련하여, 제 1 양태는 기본 주파수에서 IL을 이용할 수 있는 시스템과 달리, 저조파 주파수에서 IL을 이용할 수 있다. 위상 변위/변조 범위와 관련하여, 하나의 구현예에서, 위상 변조는 출력 주파수의 1/3일 수 있고, 그래서 ± 60° 범위만이 ±180° 전체 범위에 사용될 수 있다. 이것은 추가적인 극성 반전을 제거하고 전력을 절감한다. 이것은 ± 90°까지 위상 심볼을 생성하는 설계보다 나은 개선일 수 있다. 그러므로 위상 변조를 위한 ±180° 전체 커버리지를 생성하려면 추가적인 신호 극성 반전을 사용한다. 이러한 블록은 캐리어 주파수에서 동작하기 때문에, 상당한 전력 오버헤드가될 수 있다.
주입 강도와 관련하여, 본 설계에서, 일부 양태에 따르면, 감소된 위상 범위 때문에, 강한 IL이 ± 90° 위상 시프트를 달성하기 위해 사용될 수 있는 설계와는 대조적으로, 주입 강도 및 이에 따른 LO 분배 전력이 낮아질 수 있다.
LO 분배에 관련하여, 본 설계에서, 일부 양태에 따르면, 출력 mmWave 주파수가 f0이면, 2-스테이지 저조파 IL을 사용함으로써, LO 분포가 f0/9로 감소될 수 있고, 그럼으로써 전력 소비 및 설계 복잡성을 크게 줄여 줄 수 있다. 이것은 LO 분배가 mmWave 주파수 및/또는 많은 개수의 위상 배열 요소에 대해 상당한 전력 오버헤드를 갖는 기본 주파수에 있는 설계와는 대조적이다.
빔포밍과 관련하여, 본 설계에서, 일부 양태에 따르면, 용량성 DAC 기반 IL은 진정한 시간 지연 빔포밍을 구성하는 빔포밍을 위해 사용될 수 있다. 이러한 빔포밍에는 근본적으로 ISI가 없을 수 있다. 이것은 기저대역/LO 또는 RF 도메인에서 위상 시프트를 전개하는 설계에 비해 개선일 수 있으며 광대역 및/또는 다중 요소 위상 배열에 대해 ISI를 생성하는 협대역 위상 시프트 기반 아키텍처를 활용한다.
진정한 시간 지연 기반 아키텍처라는 것 외에도, 본 설계에서, 일부 양태에 따르면, 위상 시프트는 캡 -DAC 설정의 함수일 수 있기 때문에, 기저대역 변조 신호는 상당히 완화된 지터 사양을 갖는다. 이것은 다중 요소 위상 배열로의 분배의 전력 오버헤드를 완화시킨다. 이것은 발진기를 신속하게 시작 및 정지시켜 진정한 시간 지연 빔포밍을 가능하게 하는 기술을 사용하는 그리고 기저대역 변조 신호 분배에 매우 엄격한 지터 사양이 있을 수 있는 설계보다 개선된 것일 수 있는데, 왜냐하면 이러한 지터는 mmWave 캐리어를 사용하여 위상 시프트를 직접 평행 이동시켜서, 많은 개수의 위상 배열 요소로 확장시키는 것을 어렵게 하기 때문이다.
일부 양태에 따르면, 본 설계는 많은 개수의 요소로 확장 가능한 주파수 고정 시스템일 수 있고, 심볼 레이트에 제한을 두지 않을 수 있다. 이것은(위상 배열 요소 간의 주파수 미스매치로 인한) 확장성 문제 이외에, 또한 기저대역 심볼 레이트를 매우 특정한 값으로 제한하는 주파수 고정되지 않은 아키텍처에 비해 개선일 수 있다.
도 326은 일부 양태에 따라, 고정 발진기의 위상 시프트 특성을 이용하는 IL-기반 위상 변조 회로(32600)의 요소를 도시하는 개략도이다. 변조 회로(32600)는 위에서 설명한 바와 같이, 상향 변환 회로(350)를 포함 할 수 있거나 다른 형태의 상향 변환 회로를 포함할 수 있다. (도 328의 예로서 도시된) 데이터 신호(32610)가 용량성 DAC(32625)를 포함하는 발진기 탱크 회로(32620)에 제공될 수 있다. 이 회로(32600)는 위상 배열 송수신기 용 주입 고정 변조 회로를 동작시키기 위한 수단을 구성 할 수 있는 하나의 예이지만, 이 수단은 이러한 프로세스로 제한되지 않는다.
도 327은 주파수(32635)가 여전히 고정 주입 주파수(fINJ)(32630)에 고정되어 있는 동안, 발진기(32620)의 중심 주파수가 고정 주파수(fINJ)(32630), 출력 위상 및 진폭 변화에 대해 어떻게 변화될 수 있는지를 도시하는 그래프(32700)이다. 발진기(32620)에서 용량성 DAC(32625)를 이용함으로써, 우리는 위상 시프트 범위 내에서 대부분 또는 순수하게 디지털적으로 다수의 위상 심볼을 생성할 수 있다.
도 328은 데이터 입력(32610)으로서 기저대역 변조 비트를 갖는 캡-DAC(32625)를 제어함으로써 위상(φ1 및 φ2)을 갖는 두 심볼이 생성되는 것을 도시하는 타이밍 그래프(32800)이다. 이러한 회로(32600)에서, 주입 주파수(32630)는 원하는 중심 주파수(f)의 제 3 저조파일 수 있다. 이것은 LO 분배 네트워크에서 전력 소비를 상당히 감소시킨다. 종래의 설계에서, IL 위상 시프트 범위는 ± 90°로 전형적으로 제한될 수 있는데, 이것은 높은 전력 비용으로 강한 주입으로 구현되어야 한다. 뿐만 아니라, 종래의 설계에서, 위상 심볼의 전체 ± 180° 커버리지를 보장하기 위해, 전형적으로(길버트 셀 전류 정류자(Gilbert cell current commutator)와 같은) 추가의 위상 반전 블록이 사용될 수 있어, 더 높은 전력 소비로 이어질 수 있다.
도 329는 캐리어주파수(fCARRIER)(32940)와 관련하여 캐스케이드 저조파 주입 고정 아키텍처를 사용하는 전체 360° 위상 변조를 갖는 IL 기반 위상 변조 회로(32900)의 블록도이다. 도 329은 캐리어 주파수(fCARRIER)(32940)의 제 3 저조파(fCARRIER/3) 주파수(32635)에서의 위상 변위가 어떻게 ± 60°의 위상 시프트만 사용하는지를 도시하며, ± 60°의 위상 시프트는 3배로 증가한 후, 기본 주파수 fCARRIER(32940)에서 전체 ± 180°로 평행이동한다. 이러한 저조파 변조기는 캐스케이드형 설계 시 제 3 저조파 fCARRIER/9 32930에 차례로 주입 고정될 수 있다. 이러한 설계는 전통적인(및 일반적으로 대역 제한된) 상향 변환 믹서 및 동위상/직교(I/Q) 기반 송신기 요소를 없애주며, 그럼으로써 전력 소비를 줄인다.
본 명세서에 개시된 다양한 설계의 다른 양태는 동일한 아키텍처를 사용하여 진정한 시간 지연 기반 빔포밍을 통합하는 기능이다. 각각의 안테나가 이러한 주입 고정 위상 변조 발진기 중 하나에 의해 공급될 수 있는 위상 배열 시스템의 경우, 요소 사이의 상대적인 지연은 동일한 캡-DAC 기반 위상 시프트를 사용하여 조정할 수 있다.
도 330은 하나의 요소(33010) 및 두 개의 요소(33020)가 두 개의 상이한 오프셋(0, ΔΤ)에서 동일한 기저대역 데이터 신호("11", "00")(33030)를 공급 받아, 진정한 시간 지연 기반 시그널링을 에뮬레이트하는 파형을 지연(lagging) 또는 선도(leading)하는 진정한 시간 지연 기반 빔포밍을 도시하는 조합 그래프(33000)이다. 종래의 RF/LO/기저대역 위상 변위 아키텍처는 넓은 부분 대역폭 및 다중 요소 위상 배열을 이용하여 빔포밍하는데 사용될 수 있는 진정한 시간 지연을 생성할 수 없다.
도 331은 고조파 IL 기반 위상 변조를 진정한 시간 지연 빔포밍과 결합하는 것을 구현하는 4-요소 위상 배열 송신기(33100)의 예시적인 아키텍처를 도시하는 개략적 인 블록도이다. 캐리어 주파수(fCARRIER)의 1/9에서 위상 동기 루프(PLL)(33110)(즉, 제 3 저조파(fCARRIER/9)(32930)가 중앙 고정 네트워크에서 이용될 수 있으므로 훨씬 낮은 전력 LO 분배 네트워크를 사용할 수 있다.
변조 및 빔포밍은 둘 모두 fCARRIER/3에서 조정된 발진기(32635)에서 IL 메커니즘을 통해 이루어진다. 이것은 위상 시프트 범위를 증가시키거나 최대화할 수 있고 이에 따라 확장된 빔포밍 범위뿐만 아니라 전체 ± 180° 위상 심볼 범위를 보장한다.
그런 다음 전력 백-오프 효율성 개선을 위해 디지털 PA(33120)와 같은 극성 아키텍처를 사용함으로써 진폭 변조가 시스템에 통합될 수 있다. 그 다음에, 신호는 위상 배열 안테나(33130)를 통해 출력될 수 있다. 이러한 아키텍처는 종래 아키텍처보다 전력이 낮을 수 있으며(더 많은 개수의 요소로 인해 더 높은 전력으로 이어지는) 기저대역 신호 분배 지터에 덜 민감할 수 있다. 결과적으로, 제안된 배열은 전력 효율적으로 예를 들어, 수십 개의 요소를 갖는 배열로 확장한다.
도 332는 캐리어 주파수(fCARRIER)의 1/3에서 동작하는 주입 고정 발진기의 예를 도시하는, 도 329에 도시된 것과 유사한 IL 기반 위상 변조 회로(11900)의 블록도이며, 여기서 위상 변조 및 빔포밍은 I/Q 믹서 또는 위상 변위기를 사용하지 않고 단일 블록으로 결합될 수 있다. 주파수 및 위상 곱셈을 위해, 도면에서 트리플러(tripler)(33240)로서 도시된 체배기가 제공될 수 있다. 여기서는 3의 값이 사용되지만, (33230 및 32635)에 대해 fCARRIER/N으로 그리고 체배기(33240)의 승수(xN)로서 둘 다 다른 정수(N)가 사용될 수 있다. 유리하게는, N 값이 높을수록 주파수가 낮아지고 전력 분배가 낮아지고, 뿐만 아니라 주입 고정도 완화된다. 그러나 더 높은 N 값의 단점은 분수 대역폭이 더 낮아진다는 것일 수 있다. N의 값이 낮아지면, 변조 속도가 높아지고 분수 대역폭이 높아질 수 있으며, 또한 곱셈이 더 효율적일 수 있다. 그러나 이러한 결과는 더 높은 주파수 분배를 초래한다.
도 333는 도 329 및 도 332에 도시된 것과 유사한 IL 기반 위상 변조 회로(33300)의 블록도로서, 캐리어 주파수(fCARRIER)의 1/2에서 동작하는 주입 고정 발진기의 예를 도시하며, 여기서 위상 변조 및 빔포밍은 I/Q 믹서 또는 위상 변위기를 사용하지 않고 단일 블록으로 결합될 수 있다. 주파수 및 위상 곱셈을 위한 이배기(doubler)(33340)가 제공될 수 있다. 또한, 극성 반전(polarity flip) 및 주파수와 위상 곱셈을 위한 길버트 쿼드/극성 스위치(Gilbert quad/polarity switch)(33345)가 제공될 수 있다. fCARRIER/3 대신 fCARRIER/2(33335) 및 길버트 쿼드/극성 스위치(33345)를 사용함으로써, 더 넓은 분수 대역폭이 달성될 수 있고, 위상 시프트의 ±60° 만 사용된다. 또한 fCARRIER에서는 분배가 없으므로, 전력이 절감된다.
16-QAM과 같은 독립 I/Q 스트림을 이용하는 무선 보 레이트(baud-rate) 클록 데이터 복구(CDR)를 처리하기 위한 다양한 시스템 및 방법이 개시된다.
도 334는 QPSK 펄스-진폭 2(pulse-amplitude 2)(PAM2) 변조 및 아마도 각각의 I 및 Q 값(33410)에 대한 콘스텔레이션 맵(33400)을 도시하는 회화도이다.
도 335는 16-QAM(PAM4) 변조 및 아마도 각각의 I 및 Q 값(33510)에 대한 콘스텔레이션 맵(33500)을 도시하는 회화도이다.
도 336은 (ZK의 계산에 기초하여) 타이밍 조정을 결정하는데 사용될 수 있는 테이블(33650)과 함께 PAM2 변조 타이밍 추정기(33600)의 설계에 관한 회화도 및 그 값을 결정하기 위한 회로 블록도(33670)이다. 이러한 회로는 위에서 설명한 바와 같이, 기저대역 프로세싱 회로(392)를 포함할 수 있거나, 약간 다른 형태의 기저대역 프로세싱 회로를 포함할 수 있다. 이러한 다이어그램(33670)에서, PAM2에 대해, +1과 -1이라는 두 개의 데이터 레벨이 있다. 입력 스트림으로부터, 데이터 값(DK) 및 오류(EK)가 결정될 수 있다. 데이터가 +1이면, 부호는 +1이고 오류는 +1이다. 데이터가 +1 미만이고 0 초과이면, 데이터는 +1이고 오류는 -1이다. 값(ZK)은 현재 데이터, 이전 데이터, 현재 오류 및 이전 오류를 사용하여 계산될 수 있다. Z가 양수이면, 샘플링 위상은 초기(early)이다. Z가 음수이면, 샘플링 위상은 말기(late)이다. 샘플링 위상은 계산된 Z 값에 기초하여 조정될 수 있다. 이것은 PAM2의 보드 레이트 CDR이다.
그러나, 이 개념을 PAM4(16-QAM)로 확장하는 것은 새로운 접근법을 나타내며, 이러한 변조 상황에서 보드 레이트 CDR을 적용하는 결정이 다음의 논의에서 설명된다. 제 1 기술에 따라 제공된 데이터 및 오류 값의 제 1 추정기 테이블(33700)인 도 337을 참조하면, 제 1 추정기 테이블(33700)은 16-QAM과 연관된 다중 비트 값에 적용 가능함을 도시한다. 테이블에 도시된 오류 값을 사용하면, CDR은 작동하지만 차선의 방법이다.
도 338은 Z에 대한 수학식 및 제 1 추정기 테이블(33800)의 사용을 도시하는 그래프(33800)이다. PAM2(QPSK) 곡선(33810)은 CDR이 0.5에서 -0.5로 전이하여 시간 1에서 0 값을 교차할 때 CDR의 올바른 고정 포인트(correct locking point)(33830)를 도시한다. 그러나, PAM4(16-QAM) 곡선(33820)의 경우, 시간 1에서 올바른 고정 포인트(33830)의 교차를 또한 나타낼지라도, 양의 값으로부터 음의 값으로의 전이가 발생하는 두 개의 잘못된 고정 포인트(33840)가 있지만, 이것은 CDR의 고정 포인트로서 작용하지 않아야 한다. 테이블(33700)이 이러한 잘못된 고정 포인트를 생성하기 때문에, 이것은 허용 가능한 솔루션이 아닐 수 있다.
도 339는 제 2 예시적인 기술을 도시하는 제 2 추정기 테이블(33900)로서, 이 기술에서 오류 값은 +3 값의 위와 -3 값의 아래를 제외하고 모두 -1이다. 도 340은 제 2 테이블(33900)을 사용하는 Z 함수의 그래프(34000)이다. 먼저, 참조를 위해, 제 1 기술(PAM4/16-QAM)(33820)에 대한 기능이 이 그래프(34000)에서 올바른 고정 포인트(33830) 및 잘못된 고정 포인트(33840)와 함께 재구성된다. 다음으로, 제 2 기법(34010)의 기능이 도표로 구성되고, 이 기능은 제 2 테이블(33900)을 이용하여 계산된 값에 기초할 수 있다. 그래프(34000)에서 알 수 있는 바와 같이, 제 2 기술(34010)의 기능은 제 1 테이블(33700)에 기초한 곡선(33820)에 존재하는 위치에 어떠한 잘못된 고정 포인트(34020)도 없다. 따라서, 제 2 테이블(33900) 값은 유효 CDR을 나타낸다.
도 340에 도시된 그래프(34000)는 다중 경로 심볼 간 간섭(ISI) 또는 잡음을 포함하지 않으며, 이들은 제 2 테이블(33900)을 사용하는 경우에도 잘못된 고정의 주파수에 어느 정도 관련이 있었을 것이다. 특정 환경하에서, 제 2 테이블 값(33900)은 다른 값(예를 들어, EK +1, +1, -1, -1, +1, +1, -1)으로 대체될 수 있고, 특정한 일련의 상황에서 어떤 값 집합이 최상의 결과를 산출하는지에 관해 일부 결정이 경험적으로 측정되고 및/또는 내려질 수 있다.
도 341은 몇몇 논리적 계산(34110), 위상 검출기(MMPD)(34120), 다수결(majority vote)(34130) 필터링 및 디지털 루프 필터(34140)(2 차 필터)를 갖는, 와이어라인(34100) 용의 전형적인 보드 레이트 CDR 루프로서, 상단에는 누산기가 있는 적분 경로가 있고 하단에는 비례 경로가 있다. 누산기는 또한 룩업 테이블(LUT) 및 추가 프로세싱을 갖는 디지털 루프 필터(34140)를 추종한다.
도 342는 동위상(I) 및 직교(Q) 입력 둘 모두를 갖는 무선 CDR 루프(34200)의 개략적인 블록도이다. 또한, 이러한 루프(34200)는 CDR 회로의 부분을 포함 할 수 있으며 다수결 블록으로부터 두 개의 데이터(I, Q) 출력을 수신하는 모드 유닛(34210)을 갖는다.
도 343은 샘플링 위상의 조정을 결정하기 위해 모드 유닛(34210)에 의해 사용될 수 있는 다양한 모드 값 및 조정 표시를 포함하는 테이블(34300)이다. 모드 0에서 초기 및 말기가 둘 모두 0이면, 결정이 없고 현재 샘플링 위상이 유지될 수 있다. 모드 1에서, 초기가 1이면, 신호는 초기이고, 샘플링 위상은 말기 시점으로 이동될 수 있다. 모드 2에서, 말기가 1이면 신호는 말기이고, 샘플링 위상은 초기 시점으로 이동될 수 있다. 모드 3에서, 모드 0과 유사하게, 초기와 말기가 둘 모두 1이면, 결정은 없을 수 있다.
모드 4에서, Q 출력은 사용되지 않고 I 입력 만이 사용될 수 있다. 모드 5는 Q 입력 만 사용된다는 점을 제외하고는 동일하다. 모드 6의 경우, I 또는 Q가 초기이면, 신호는 초기이고, 샘플링 위상은 말기 시점으로 이동될 수 있다. I 또는 Q가 말기이면, 신호는 말기이고, 샘플링 위상은 초기 시점으로 이동될 수 있다. 모드 7는 유사하지만 "또는" 기능과 반대되는 "및" 기능이다. 그래서 I와 Q는 둘 모두 샘플링 위상을 말기 시점으로 이동시키기 위해 초기이며, 그 반대의 경우도 마찬가지이다. 이러한 모드 유닛(34210)을 모드 테이블(34300)과 조합하여 사용함으로써, 잘못된 고정 확률이 감소될 수 있다.
ISI 및 잡음이 잘못된 고정을 생성할 수 있다는 것을 상기하면, 하나의 목적은 잘못된 고정(lock)의 가능성을 감소시키는 것일 수 있다. 무선 통신은 두 개의 독립적인 데이터 스트림을 갖기 때문에, 시스템은 이러한 두 스트림을 둘 다 활용할 수 있다. 보드 레이트 CDR에 대해 I 및 Q를 둘 모두 사용하면 잘못된 고정 확률이 크게 줄어든다. 다른 상황을 처리하기 위해 더 많은 설정이 테이블에 추가될 수 있으며, 테이블에 추가될 수 있는 많은 논리 조합이 있다. 예를 들어, I와 Q는 아니다.
I 및 Q 채널 둘 모두를 이용하는 모드가 보다 강건한 경향이 있고, 그래서 모드 6 및 7이 선호되는 경향이 있지만, 모드는 다양한 기준에 따라 선택될 수 있다. 제 1 예에서, 매우 강건하고 일반적으로 잘못된 고정을 겪지 않을 수 있는 QPSK 변조 방식으로 동작할 때, QPSK 트레이닝 신호는 임의의 모드를 사용하여 먼저 올바른 고정 포인트를 찾는데 사용될 수 있다. 다음에, 모드는 모드 6(I 또는 Q) 또는 모드 7(I 및 Q)로 설정될 수 있다. 이 두 가지 모드의 둘 모두는 - 단일 스트림을 고려하는 것보다 훨씬 강력할 수 있는 - I 및 Q 스트림 둘 모두를 고려하고 있으며, 잘못된 고정 확률을 줄일 수 있다. 제 2 예에서, I 및 Q 신호의 잘못된 고정 포인트가 상이하면, 많은 경우에서 모드 6 또는 모드 7이 결합된 그래프의 잘못된 고정 포인트를 제거하기 위해 사용될 수 있다. 제 3 예에서, 예컨대 PAM2 변조를 사용할 때 I 또는 Q가 두 개 레벨을 갖고 있으면, 모드를 모드 4 또는 5로 설정하는 것이 가능할 수 있다(그러나 예를 들어, 여기서 모드 6 및 7도 사용될 수 있다).
위에서 언급한 바와 같이, 일반적으로, 두 채널 모두 더 나은 결과를 생성하는 것으로 간주하지만, 이것은 항상 그럴 수 있는 것은 아니다. 경우에 따라, 채널 중 하나를 무시하면 더 나은 결과를 얻을 수 있다. 제 4 예에서, I 채널에는 의미 있는 ISI가 없지만, Q 채널에는 있으므로, 최상의 결과를 제공하는 모드 4가 선택될 수 있다.
모드 설정은 동적으로 변경될 수 있다. 이 경우, 모드는 3 비트로 표현될 수 있으며, 다양한 조건이 검출될 수 있으므로, 이들은 실시간으로 변경될 수 있다. 예를 들어, 송신기가 트레이닝 신호를 송신하지만, 이들이 수신기에 의해 수신되지 않으면, 트레이닝 신호가 다른 모드에서 수신될 수 있는지를 알아 보기 위해 모드가 변경될 수 있다. 어떤 형태의 디더링을 수행하는 것도 가능할 수 있다. 예를 들어, 모드 4가 일정 시간 동안 선택되고, 그런 다음에 모드 5로 전환될 수 있다. 그래서 모드 4와 모드 5가 디더링될 수 있으며, 조건이 검출되고 모니터링되어 특정 시점에서 또는 변화하는 조건에 응답하여 어떤 모드가 더 좋거나 최상인지를 결정할 수 있다. 이러한 개념은 더 큰 데이터 비트 값에 대해 64-QAM 또는 더 높은 변조 모드로 일반화될 수 있다. 상위 변조 모드에 대해, 도 339의 테이블(33900)과 유사하게, +1이 극단에서의 오류에 대해 제공되고 다른 값에 대해서는 -1이 제공되는 테이블이 생성될 수 있다.
본 발명의 일부 양태는 저전력 MIMO 시스템을 위한 저분해능 ADC의 사용에 관한 것으로, 저분해능 아날로그-디지털 변환기(ADC)를 갖는 수신기에서, 저전력 대기 시간이 짧은 애플리케이션을 목표로 하는, AGC 설계를 위한 새로운 준최적(near-optimal) 신호 전력 추정기를 제공한다.
본 발명은 수신된 신호 전력이 ADC의 동적 범위보다 높을 때 양자화 잡음의 영향을 감소시키고 전력 추정의 정확도를 현저하게 증가시키는 근사 최대 우도(near maximum likelihood) 전력 추정 알고리즘을 제공한다. 정확한 전력 추정은 MIMO 통신 시스템의 지연을 줄이고 저전력 MIMO 시스템을 위한 저분해능 ADC의 사용을 가능하게 한다. 이러한 솔루션은 AGC 피드백 루프로 변경하지 않고 단일-입력-단일-출력(single-input-single-output)(SISO) 및 MIMO 시스템에 고분해능 ADC를 사용하지 않으며, 또한 MIMO 시스템의 각 안테나 출력에서 AGC 회로를 사용하지 않는다. 따라서, 제안된 솔루션은 전력 효율적일 수 있다. 저분해능의 평균 전력 계산을 사용하면, ADC는 지연(설정 시간)을 또한 증가시키는 높은 추정 오류를 갖는다. 따라서 제안된 솔루션은 높은 정확도와 낮은 대기 시간을 갖는다.
ADC에서의 총 전력 소산을 감소시키기 위해, 본 명세서에 설명된 시스템 및 방법은 일부 양태들에 따라: 1) 각 안테나 출력 및 단일 디지털 AGC 피드백 루프에서 저분해능 ADC를 이용하고; 2) 양자화 빈(동위상/직교 신호(I/Q) 양자화 빈을 함께) 각각에 대해, 양자화 빈의 일부 세트에 대한 수신 신호의 확률을 계산 또는 시뮬레이션하여 룩업 테이블을 생성하고; 3) 몇몇 특정 양자화 빈 세트에 속하는 총 샘플 개수를 카운트하고, 카운트된 개수의 샘플에 관련하여 룩업 테이블로부터의 전력 레벨을 결정할 수 있다. 본 개시내용의 일부 양태는 임의의 유형의 콘스텔레이션 및 채널, 및 이러한 최적의 검출 솔루션의 특성을 사용하는 임의의 개수의 ADC 비트 분해능을 갖는 전력 검출 알고리즘을 제공한다. 수신기에서 AGC의 기능성은 ADC의 입력에서 일정한 진폭을 유지하는 것일 수 있다. 본 명세서에서, 일부 양태에 따르면, 저분해능 ADC 및 새로운 전력 검출기 알고리즘을 갖는 수신기 시스템이 제안된다.
도 344a는 수신기의 동작 동안 수신된 신호의 진폭이 변화하는 수신기에서 구현될 수 있는 예시적인 AGC 회로(34400)의 개략적인 블록도이다. AGC 회로(34400)는 위에서 설명한 바와 같이, 디지털 기저대역 회로(310)를 포함 할 수 있거나 다른 형태의 디지털 기저대역 회로를 포함할 수 있다. 신호는 안테나(34410)에서 수신될 수 있고 RF 증폭기(34415)로 공급될 수 있다. 신호는 국부 발진기를 사용하여 RF 신호로부터 중간 주파수(IF) 신호로 변환하는 믹서(34420)에 제공될 수 있다. IF 신호는 가변 이득 증폭기(VGA)(34425)에 제공될 수 있으며, 출력은 저분해능 ADC(34435)에 의해 디지털화될 수 있는 샘플 및 홀드(S/H) 회로(34430)에 제공될 수 있다. 디지털 신호의 일부는 전력 결정기(34440) 로의 입력으로서 제공될 수 있다. 출력 전압은 기준 전압(VREF)과 결합(34445)되고 루프 필터(34450)에 제공될 수 있다. 루프 필터(34450)는 출력을 VGA(34425)에 대한 제어로서 이용하고, 그럼으로써 제어 루프를 완료한다.
도 344b는 직교 변조된 신호로부터 복수의 양자화된 신호를 수신(S34465)하고, 양자화된 신호를 양자화된 전력 레벨에 따라 동위상(I)/직교(Q) 양자화 빈으로 구성된 콘스텔레이션 맵의 영역에 할당(S34470)하고, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(MLE)를 결정(S34475)하고, MLE에 기초하여 전력을 추정(S34480)하며, 추정된 전력에 기초하여 추가로 수신된 신호에 대해 가변 이득 증폭기를 조정(S34485)하는 단계를 포함하는 예시적인 AGC 프로세스(34460)의 흐름도이다. AGC 회로(34400)는 무선 주파수(RF) 수신기의 자동 이득 제어(AGC)를 위한 방법을 수행하는 수단을 구성할 수 있는 하나의 예이지만, 수단은 이것으로 제한되지 않는다.
도 345는 단일 안테나 수신기 시스템에서 수신기 신호의 I/Q 성분 각각에서 b = log2(2n) 비트인 저분해능 ADC에 대한 양자화 빈을 나타내는 직교 인코딩을 위한 콘스텔레이션 그래프(34500)이다. 양자화 다음에 수신된 신호는 다음과 같이 작성될 수 있다:
Figure pct00178
, 여기서 N은 총 샘플 개수이다. 여기서, xn는 채널 입력 신호이고 16-QAM, 8PSK, 64-QAM, BPSK 등과 같은 사이즈(M)의 콘스텔레이션으로부터 선택될 수 있고, hn은 채널 이득이며, n은 제로 평균 및 단위 분산을 갖는 부가 백색 가우시안 잡음(additive white Gaussian noise)(AWGN)이다.
위의 수학식에서, Q( )는 양자화기이며 양자화기의 문턱 레벨은
Figure pct00179
으로 표시되어,
Figure pct00180
Figure pct00181
이 되고, 따라서,
Figure pct00182
Figure pct00183
일 때,
Figure pct00184
이다.
상기 양자화 연산은 수신 신호의 동일한 허수 성분일 수도 있다.
영역(
Figure pct00185
)은 도 345에 도시된 바와 같이 각각의 영역 내의 샘플이 동일한 전력 레벨을 갖도록 양자화된 전력 레벨에 따라 I/Q 양자화 빈에 대해 정의될 수 있다. 예를 들어, 영역(r1)은 t-1와 t1 사이의 영역에 대응한다. 영역(r1)에 속하는 샘플은
Figure pct00186
과 동일한 전력 레벨을 갖는다.
그 다음으로 최대 우도(ML) 추정기는 다음과 같이 공식화될 수 있다:
Figure pct00187
, 여기서 nri는 영역(r1)에서 양자화된 N 개중의 샘플 개수이며, P는
Figure pct00188
로서 계산될 수 있는 평균 수신 신호 전력이다. 주어진 콘스텔레이션 선택의 경우, P는 채널(h)의 분산(variance)에만 종속한다.
다음으로, 최적의 솔루션은 위의 ML 추정기에 대해 결정될 수 있으며, 조건부 분포,
Figure pct00189
의 특성은 다음과 같이 식별된다:
Figure pct00190
위의 수학식에서, 첫 번째 부등식은
Figure pct00191
Figure pct00192
라는 사실로 인한 것이며, 등식은 x = 1 일 때 충족될 수 있다. 이로부터 다음과 같은 결과를 가져온다:
Figure pct00193
위의 부등식의 좌변(LHS)은 한정되어 있고 상한은
Figure pct00194
일 때 달성될 수 있다(예를 들어,
Figure pct00195
Figure pct00196
.
그러므로, 조건부 분포(conditional distribution)
Figure pct00197
및 양자화 샘플 수(nri)를 이용하여, 전력이 추정될 수 있다. 그러나 다음과 같은 문제가 존재할 수 있다: i) 조건부 분포는 다수의 솔루션을 가질 수 있고, ii) 영역(ri)의 개수,
Figure pct00198
는 클 수 있으며, iii) 샘플의 개수(N)는 지연 요건으로 인해 작을 수 있다.
다음은 조건부 분포의 특성을 논의하고, 위의 문제를 해결하며, 허용 가능한 정확도가 달성될 수 있도록 검색 횟수를 제한함으로써 추정 알고리즘을 단순화한다. 이것은 다음의 단계에 의해 수행될 수 있다:
1. 단조적으로 증가 또는 감소하는 조건부 분포
Figure pct00199
를 갖는 영역(ri)을 선택한다.
2. 단계 1에서 선택한 영역(ri)으로부터, 관심의 P에 대해
Figure pct00200
이도록 한 세트의 영역을 선택한다. 이것은 카운트된 샘플 개수의 감도를 감소시킨다.
3. 최적화 문제를 해결한다:
Figure pct00201
도 346은 다음의 예에서 사용되는 3-비트 ADC에 대한 양자화 영역을 도시하는 직교 인코딩을 위한 콘스텔레이션 그래프(34600)이다. 단일 안테나 수신기 및 SNR=10dB 의 경우에 각각의 I/Q 성분에서 64-QAM 입력 신호 및 3-비트 ADC를 고려하면, 영역은 도 346에서 강조 표시된 바와 같이 정의된다. 영역을 사용하여, 조건부 분포는 조건부 확률 분포를 도시하는 그래프인 도 347의 그래프(34700)에 의해 제공되는 것으로 도시된 바와 같이 계산될 수 있으며, 여기서 r1 및 r5만이 단조롭게 증가 및 감소한다. 도면에 도시된 바와 같이, r1 및 r5의 조건부 분포만 P에 대해 단조롭게 증가하고 감소한다(위의 단계 1). 그 다음, (조건부 확률 분포의 도함수를 도시하는 그래프(34800)인) 도 348(단계 2)에 주어진 r1 및 r5의 조건부 확률 분포의 도함수가 조사된다. 도면에 도시된 바와 같이,
Figure pct00202
일 때, r1 는 가장 큰 슬로프를 갖는데, 이것은 추정 오류가 영역(r1)에서 카운트된 샘플 개수의 변동에 덜 민감할 수 있다는 것을 의미한다.
Figure pct00203
일 때, r5는 추정 정확도가 더 좋다. 그런 다음
Figure pct00204
을 사용하여, 단계 3의 최적화 문제가 해결될 수 있다. 일부 양태에서, 룩업 테이블이 생성되어 그 솔루션을 찾는데 사용될 수 있다.
도 349는 고전적 평균 전력 결정과 비교된 제안된 전력 추정 알고리즘의 추정 성능의 예를 도시하는 그래프(34900)이다. 여기서, 고전력 추정은 다음과 같은 평균 전력 추정일 수 있다:
Figure pct00205
도 349에 도시된 바와 같이, 새로운 알고리즘은 주지의 평균 전력 추정과 비교하여 상당히 우수한 성능을 갖는다. 평균 전력 계산 방법은 ADC의 제한된 동적 범위로 인해 유한 지점으로 수렴한다. 도면에서, 전력 추정은 모든 구역을 이용하여 제공되기도 한다. 또한 도면에 도시된 바와 같이, r1 및 r5 만의 사용으로 인한 성능 저하는 아주 작다.
예를 들어, 10 dB SNR에서 16-QAM 및 2 비트 ADC의 사용을 고려하고, 0.3 스텝 사이즈를 갖는 로그 피드백 루프를 갖는 새로운 알고리즘의 지연을 먼저 고려한다. 새로운 알고리즘을(새로운 알고리즘의 지연을 도시하는 그래프(35000)인) 도 350의 평균 전력 추정과 비교하기 위해, 초기
Figure pct00206
이 설정되며, 최상의 수렴 값은
Figure pct00207
이다. 도 350에 도시된 바와 같이, 제안된 알고리즘은 새로운 알고리즘이 더 나은 정확도를 가지므로 평균 전력 계산에 비해 매우 빠르게 수렴한다.
도 351은 정규화된 평균 제곱 오차(mean square error)(MSE)를 비교하는 그래프(35100)이다. 도면에 도시된 바와 같이, 새로운 알고리즘은 평균 전력 계산보다 상당히 양호할 수 있다.
도 352는 이러한 45° 위상 잡음으로 성능을 평가하는 균일한 45° 위상 잡음이 있는 평균 제곱 오차(MSE)를 도시하는 그래프(35200)이다. 도면에 도시된 바와 같이, 위상 잡음은 수신된 신호를 랜덤화하기 때문에 전력 검출의 측면에서 도움이 될 수 있다. 조건부 확률 분포는 잡음(신호 대 잡음비(SNR))에 종속하기 때문에, 성능은 SNR 값에 종속할 수 있다. 그러나 디더링 알고리즘을 사용하면, 모든 SNR에 대해 가능한 최상의 솔루션을 찾을 수 있다.
도 353은 (전력 결정기(34440)를 포함할 수 있는) 디지털 프로세서(35310), 디지털 AGC(35320) 및 다수의 위상 배열 안테나 및 I/Q 입력 채널(도 342에서 설명된 다른 구성요소는 여기서 반복되지 않음)을 가진 저분해능 ADC(34435)을 갖는 MIMO 수신기(35300)의 예를 도시하는 개략적인 블록도이다. 이러한 설계에서, 각각의 ADC(34435)의 모든 샘플은 함께 사용될 수 있다. 이것은 각각의 ADC(34435)가 더 적은 샘플을 사용하기 때문에 지연을 줄일 수 있다.
이러한 설계에 따른 새로운 전력 추정기는 다양한 저전력 수신기에서 사용될 수 있는 AGC 이득의 매우 빠른 적응을 가능하게 한다.
본 명세서에는 일부 양태에 따라, 안테나 배열을 수신 모드에서 동작하는 수신기 및 송신 모드에서 동작하는 송신기 둘 모두에 대한 이득 제어 요소로서 사용하는 시스템 및 방법이 개시된다. 시분할 이중(time-division duplex)(TDD) 시스템(및/또는 주파수 분할 이중(frequency division duplex)(FDD) 시스템)에서, 수신기 및 송신기는 동시에 동작하지 않기 때문에, 안테나 배열은 수신기 및 송신기에 대한 독립적인 이득 제어를 가능할 수 있도록 구성될 수 있다. 안테나 배열의 이득 제어는 안테나 배열의 이득 및 지향성이 동작 조건에 맞추어 질 수 있도록 배열의 요소를 선택적으로 턴 온(또는 오프)함으로써 구현될 수 있다.
송신 시간 슬롯 동안 안테나 배열의 요소를 선택적으로 턴 온(또는 턴 오프)함으로써 방사된 전력을 제어 할 수 있으면서, 또한 요소가 턴 오프될 때 배터리 전력 절감으로 이어질 수 있다. 수신 시간 슬롯 동안 안테나 배열의 요소를 선택적으로 턴 온(또는 턴 오프)함으로써 제 1 증폭 스테이지에 앞서 이득 제어를 구현할 수 있다. 배열의 요소가 턴 오프될 때, 이러한 스테이지 쪽의 구동 레벨은 감소될 수 있고, 이에 따라 선형성 요건이 감소될 수 있다.
이것을 구현할 때의 하나의 과제는 안테나 배열이 수신 또는 송신 모드에서 이득 제어를 수행하기 위해 언제 사용되어야 하는지를 결정하는 방법일 수 있다. 이것은(수신의 경우) 간섭 전력을 감지하는 것 및 사용자 장비(UE)와 기지국(BS) 사이의 링크가 더 높은 처리량 또는 심지어(신호 조건 하에서) 최고의 처리량에 적합한 신호 대 잡음 왜곡비(SNDR)를 유지함으로써 열화되지 않도록 빔 탐색을 수행하는 것을 포함한다.
본 명세서에 설명된 시스템 및 방법은 또한 전류 드레인 감소 대 네트워크 상태에 기초하여 안테나 배열의 증가된 또는 최적화된 제어를 위해 사용될 수 있다. 레이더 또는 고정된 포인트-투-포인트 시스템과 같은 기존의 mmWave 시스템에서, 송수신기는 링크 품질을 유지하기 위해 정교한 이득 제어를 사용하지 않는다. 대조적으로, 이동 셀룰러 시스템은 수신기 및 송신기 둘 모두에서 보다 복잡한 이득 제어를 일상적으로 사용한다.
도 354는 빔포밍 회로(35400)의 구현예를 도시하는 블록도이며 N 개의 동일한 송수신기 슬라이스(35410) 및 N 개의 안테나 요소(35420)를 도시한다. 시스템은 위에서 논의한 바와 같이 병렬 수신 회로(382) 및/또는 조합된 수신 회로(384)를 포함할 수 있거나, 상이한 수신 회로를 포함할 수 있다. TDD 송수신기의 구현예는 제 1 슬라이스(35410)에 도시된다. 특정 빔 패턴을 달성하기 위해, 안테나 요소(35420)에는 특정 진폭 및 위상을 갖는 신호가 공급될 수 있다. 스위치(35430)는 송수신기가 송신 모드 또는 수신 모드로 동작하는지를 설정하는데 사용될 수 있다. 송수신기는 이득 제어(수신 경로의 가변 이득 저잡음 증폭기(LNA)(35440) 및 송신 경로의 가변 이득 전력 증폭기(PA)(35460)) 및 위상 변위기(35450, 35470)를 포함하여 주어진 빔 패턴에 맞는 진폭 및 위상을 설정한다. 도 354는 아래에서 보다 상세히 논의되는, 이득 테이블(35490)을 이용하여 위상 배열을 제어하는데 사용될 수 있는 프로세서(35480)를 더 포함한다.
안테나 배열은 직사각형 패턴, 예를 들어, 8-요소 안테나를 위한 2 x 4 패턴과 같은 다양한 구성으로 배열된 안테나를 가질 수 있다. 무지향성 안테나 요소도 포함될 수 있다. 하나의 예시적인 구성에서, 수신 신호(원하는 신호 및 간섭원 신호)는 안테나 어레이에서 20log(n)에 의해 주어진 이득을 거치는 반면에 열 잡음은 10log(n)의 이득으로 증폭된다. 이러한 상황에서, 안테나 어레이의 유효 수신 이득은 20log(n) - 10log(n)이다.
형성되는 빔은 얼마나 많은 요소가 활성화되는지에 따라 다를 수 있다. 2 x 4 패턴의 여덟 개 요소가 모두 턴 온될 때, 이득이 가장 높고(예를 들어, 13.2 dB) 빔이 가장 좁다. 반대로 여덟 개 요소 중 네 개 요소만 턴 온될 때 이득이 가장 낮고(예를 들어, 10.1 dB) 빔이 가장 넓다. 여섯 개 요소가 턴 온될 때, 빔 폭과 마찬가지로 이득은 이러한 극한 값 사이에 있다(예를 들어, 11.7 dB).
아래의 표 11은 턴 되는 주어진 개수의 어레이 요소에 대한 이론적인 수신 이득, 시뮬레이션된 수신 이득 및(이론과 시뮬레이션된) 이득의 차이를 요약한다. 표 11에서, 안테나 어레이는 수신 시간 슬롯 동안 여덟 개 중 두 개 요소만 턴 온될 때 적어도 추가 5.5 dB의 이득 제어 범위를 제공할 수 있음을 알 수 있다. 어레이의 하나의 요소만 턴 온되면 추가 3 dB의(이론적인) 이득 감소가 가능할 수 있다.
Figure pct00208
또한, 빔포밍은 활성 요소의 위치 구성에 기초할 수 있다. 예를 들어, 빔은 여덟 개 안테나 요소 중 외측 네 개 또는 내측 네 개가 활성화되는지에 따라 더 넓거나 더 좁을 수 있다.도 355 및 도 356은 안테나 어레이가 이득 제어 메커니즘으로서 사용되는 경우 실현될 수 있는 수신기 동적 범위의 개선을 도시하는 그래프(35500, 35600)이다. 이들 그래프는 두 경우에 대해 안테나에서 SNDR 대 입력 전력의 도포 구성이다. 도 355는 안테나 어레이 이득이 일정하게 유지되는 때의 경우를 도시한다. SNDR 신호(35510)와 아날로그-디지털 변환(ADC)(35520)를 갖는 SNDR의 중첩 및 ADC 구동 레벨(35530) 대 전력 곡선이 도표로 구성된다. 안테나의 전력이 충분히 높을 때, SNDR(35510, 35520)은 상당히 줄어들며 ADC 구동 레벨(35530)은(35540)의 감소된 동적 범위 영역에서 크게 상승하는 것을 볼 수 있다.
도 356는 안테나 어레이 이득이 변동하여 이득 제어가 가능해질 때의 경우를 도시한다. SNDR 신호(35610)와 아날로그-디지털 변환(ADC)(35620)을 갖는 SNDR의 중첩 및 ADC 구동 레벨(35630) 대 전력 곡선이 도시된다. 안테나의 전력이 충분히 높을 때, SNDR(35610, 35620)은 자신의 레벨을 유지하며 ADC 구동 레벨(35630)은 이전 도면의 (35540)의 감소된 동적 범위 영역에 의해 점유된 전력 범위에서 대략 동일하게 머무는 것을 볼 수 있다.
도 355와 도 356을 비교하면, 이득 제어 메커니즘으로서 안테나 어레이를 이용하는 것이 여덟 개 요소 안테나 어레이의 수신기의 동적 범위를 적어도 10 dB 만큼 증가시키는 것을 알 수 있다. 더 많은 수의 안테나 요소는 수신기의 유효 동적 범위를 더 크게 증가시킬 수 있다. 뿐만 아니라, 송수신기의 슬라이스를 차단함으로써 전류 드레인 절감이 실현될 수 있다. 예를 들어, 여덟 개의 어레이 요소 중 네 개만 사용하면 수신기 프론트 엔드에서 약 50 %의 전류 드레인 절감에 이를 수 있다.
유사하게, 송신된 신호는 안테나 어레이로 인해 이득을 경험한다. 송신기에 대해 얻은 이득 제어 범위는 여덟 요소 배열의 경우 20log(Non/8)로 표현될 수 있으며, 여기서 Non는 어레이의 활성 요소의 개수이다. 이러한 관계는 각각의 요소로의 입력이 상관될 수 있기 때문에 유지된다. 어레이의 요소가 이득 제어 메커니즘의 일부로서 턴 오프될 때, 전류 드레인 절감이 송신기에서도 또한 획득될 수 있다.
도 357은 안테나 어레이 내의 활성 요소의 개수에 대한 방사 전력(35710) 및 상대 전류 드레인(35720)을 도시하는 그래프(35700)이다.
위로부터 알 수 있는 바와 같이, 안테나 어레이에서 이득 제어를 가능하게 하는 이점은 중요할 수 있다. 아래에서 논의되는 양태는 안테나 어레이에서 이득 제어를 적용하는 방법과 시기의 알고리즘 및 원리이다.
수신기 동적 범위는 수신된 신호 강도 표시기(received signal strength indicator)(RSSI) 측정치들을 사용함으로써 확장될 수 있다. 또한, 높은 신호 레벨에 대한(전력 증폭기의 포화보다 낮은 레벨에서 동작하는) 이득 백-오프는 원하는 신호 및/또는 간섭 검출에 기초할 수 있다. 저 내지 중간 신호 레벨에 대한 이득 백-오프는 전류 드레인 감소를 위해 사용될 수 있다. 또한 짝수 개의 체인이 대칭을 유지하기 위해 사용될 수 있는 반면, 홀수 개의 체인은 증가된 제어 단계/범위를 위해 사용될 수 있다. 송신과 관련하여, 요청된/프로그래밍된 송신 전력은 활성 체인의 개수를 결정할 수 있다. 높은 전력 레벨에 대한 이득 백-오프는 전류 드레인을 감소시키기 위해 고려될 수 있는 반면, 낮은 전력 레벨에 대한 이득 백-오프는 송신기 이득 제어 범위를 확장하기 위해 고려될 수 있다. 또한 수신과 마찬가지로, 짝수 개의 체인은 대칭을 유지하기 위해 사용될 수 있는 반면, 홀수 개의 체인은 증가된 제어 단계/범위를 위해 사용될 수 있다.
도 358 및 도 359는 안테나 어레이 내의 활성 요소의 개수 대 신호 전력 레벨, Rx의 RSSI, 및 Tx에 요구된 전력을 제어하기 위한 동작 윈도우의 경계를 프레임화한 그래프이다. 동작 윈도우는 또한 상쇄관계 대 전류 드레인을 도시한다.
도 358은 Rx에 대한 동작 조건 절충을 도시하는 그래프(35800)이다. 여기서, 활성 요소의 개수는 좌측 경로(35810)에 의해 도시된 바와 같이, 가장 허용 가능한 신호대 잡음비(SNR)를 유지하는 낮은 신호 레벨에서 감소되어 전류 드레인을 개선할 수 있다. 이것은 수신기가 빔포밍 이득 없이 동작되는 넓은 범위의 신호 레벨을 발생할 수 있다. 이것은 낮은 간섭 조건에서의 동작 모드의 예이다. 대조적으로, 우측 경로(35820)는 안테나 패턴이 원하는 신호에 초점을 맞춘 좁은 빔 폭을 갖기 때문에 높은 간섭 조건에 아주 적합할 수 있다. 좁은 빔 폭 조건은 시선(LOS) 동작에 아주 적합할 수 있으며, 넓은 빔 조건은 비 LOS 동작에 아주 적합할 수 있다.
도 359은 Tx에 대한 동작 조건 상쇄 관계를 도시하는 그래프(35900)이다. 여기서, 활성 요소의 개수는 우측 경로(35910)에 의해 도시된 바와 같이, 허용 가능한 SNR를 유지하는 가장 높은 신호 레벨에서 감소되어 전류 드레인을 개선할 수 있다. 이것은 송신기가 빔포밍 이득 없이 동작될 수 있는 넓은 범위의 신호 레벨을 발생할 수 있다. 이것은 최저 전력 소비를 위한 동작 모드일 수 있다. 대조적으로, 좌측 경로(35920)는 안테나 패턴이 원하는 기지국에 초점을 맞춘 좁은 빔 폭을 갖기 때문에 적은 또는 최소량의 간섭을 방사하는데 아주 적합할 수 있다.
도 360 및 도 361은 각각 예를 들어 안테나 어레이를 구성하기 위한 수신(36000) 및 송신(36100) 프로세스에 대한 흐름도이다. 이들 프로세스(36000)는 동작의 송신 모드(TM)와 수신 모드(RM) 사이에서 스위칭 가능한 송신 및 수신 스위치를 스위칭하는 것을 포함할 수 있는, 위상 배열 송수신기의 안테나 어레이를 제어하기 위한 방법을 수행하는 수단을 구성할 수 있는 예이지만, 수단은 이러한 프로세스로 제한되지 않는다. 이러한 흐름도에서 정의된 동작은 디바이스의 메모리에 저장된 명령어를 실행하는 프로세서(35480)(도 354)에 의해 실행될 수 있다. 도 360은 수신 프로세스(36000)를 도시하며, 수신 프로세스는 동작(S36010)에서 안테나 어레이의 최소 전류 드레인 설정을 위한 이득 테이블(35490)(도 354)을 구성함으로써 시작한다. 동작(S36020)에서, 테이블(35490)을 이용하여 AGC 동작이 수행될 수 있다. 이러한 동작은 가변 저잡음 증폭기(35440)를 조정함으로써 및/또는 활성 안테나 요소(35420)의 개수 또는 구성을 조정함으로써 이득에 대한 정상적인 조정을 포함할 수 있다. 동작(S36030)에서, 광대역 및 협 대역 신호 검출이 수행될 수 있다. 동작(S36040)에서, 간섭원이 존재할 수 있는지에 관해 결정이 이루어질 수 있다. 그렇다면((S36040)에서 예이면), 동작(S36050)에서 이득 테이블(35490)은 안테나 어레이의 더 좁은 빔 폭 설정을 위해 구성될 수 있고, 프로세스는 단계(S36020)에서 계속될 수 있다. 그렇지 않으면((S36040)에서 아니오이면), 프로세스는 단계(S36010)에서 계속될 수 있다.
도 361은 송신 프로세스(36100)를 도시하며, 송신 프로세스는 동작(S36110)에서 안테나 어레이의 최소 전류 드레인 설정을 위한 이득 테이블(35490)을 구성함으로써 시작한다. 동작(S36120)에서, 테이블(35490)을 이용하여 전력 제어 동작이 수행될 수 있다. 이러한 동작은 전력 증폭기(35460)를 조정함으로써 및/또는 활성 안테나 요소(35420)의 개수 또는 구성을 조정함으로써 이득에 대한 정상적인 조정을 포함할 수 있다. 동작(S36130)에서, 알고 있는 공존 또는 간섭 관심사가 있는지에 관해 결정이 이루어질 수 있다. 그렇다면((S36130)에서 예이면), 동작(S36140)에서 이득 테이블(35490)은 안테나 어레이의 더 좁은 빔 폭 설정을 위해 구성될 수 있고, 프로세스는 단계(S36120)에서 계속된다. 그렇지 않으면((S36130)에서 아니오이면), 동작(S36150)에서, 네트워크가 더 좁은 빔 폭을 요구했는지에 관해 결정이 이루어질 수 있다. 그렇지 않으면((S36150)에서 아니오이면), 프로세스는 이전과 같이 동작(S36140)에서 계속될 수 있다. 그렇지 않으면((S36150)에서 아니오이면), 프로세스는 단계(S36110)에서 계속될 수 있다.
고려될 수 있는 다른 인자는 이동 디바이스의 이동 속도 - 예를 들어, 셀 폰을 들고 걷고 있는 보행자 대 자기 차에서 셀 폰을 사용하는 보행자 - 를 포함한다. 빔포밍 방향은 그렇게 빈번하게 만들 필요가 없기 때문에, 이동 디바이스는 더 넓은 빔으로부터 이익을 얻을 가능성이 더 많을 수 있다. 신체에 의해 흡수된 에너지의 측정치인 특정 흡수율(specific absorption rate)(SAR)이 또한 안전상의 이유로 고려될 수 있다. 예를 들어, 사용자의 근접도와 방향은 빔포밍 결정에 영향을 미칠 수 있다. 송신 방향을 고려할 때, 좁은 빔을 생성하면 빔이 사용자로부터 멀리 떨어지게 될지라도, 더 많은 요소를 활성화하고 빔포밍을 수행하는 것이 바람직할 수 있는데, 왜냐하면 이것은 보다 큰 대역폭으로 동작하는 것보다 사용자에게 보다 안전한 구성이 될 것이기 때문이다. 반대로, 송신 방향이 사용자를 향하고 있으면, 보다 적은 요소를 활성화시켜 보다 넓은(어떤 방향에서든 덜 강력한) 빔을 생성하는 것이 바람직할 수 있는데, 왜냐하면 이것이 사용자에게 더 안전하기 때문이다.
위에서 논의한 시스템 및 방법을 이용하면 강화된 송수신기 성능 및 강화된 배터리 수명을 가능하게 할 수 있다.
무선 채널에서, 모든 탭이 동일한 강도로 동시에 사용되는 것은 아니다. 정상적인 사용 중에 탭의 대부분(예를 들어 80 % 초과)은 낮은 강도(예를 들어, 최대 값의 25 % 미만)로 설정될 수 있다. 통신 신호의 나중의 포스트-커서 탭은 이전의 탭보다 강도가 낮으며, 이러한 사실이 활용될 수 있다. DAC의 전체 규모를 줄이고 분해능의 범위를 상쇄 관계로 만듦으로써, 저 강도 탭의 양자화 잡음 감소가 실현될 수 있다.
도 362는 전형적인 DAC 아키텍처(36200)의 개략도이다. DAC(36200)는 위에서 설명한 바와 같이 DAC(340) 또는 상이한 아키텍처를 포함할 수 있다. 그러한 DAC는 트랜지스터(36220)를 통해 전류를 제공하는 전류 소스(36210)를 포함하는 전류 미러(14905)를 이용하여, 트랜지스터(36220)의 게이트에서 전압 레벨을 설정한다. 게이트는 또한 DAC의 분해능을 구성하는 비트를 포함하는 다수의 DAC 레그(leg)(36250)를 포함하는 회로의 우측 부분으로부터 분리될 수 있도록 스위치(36230)를 더 가질 수 있다. 출력(36255)이 제공되며, 이 출력에서는 활성화된 DAC 레그의 개수와 관련된 전압 레벨이 제공된다. 활성화는 스위치로서 작용하고 트랜지스터(36270)를 통해 전류가 흐를 수 있게 하는 트랜지스터(36260)를 통해 이루어질 수 있으며, 전류는 전류 미러(36205)를 통해 흐르는 전류와 동일하다. 이러한 DAC는 예를 들어 128 개의 상이한 레벨을 갖는 고분해능 7-비트 DAC일 수 있다. 이러한 레벨은 예를 들어, 5 볼트에 걸쳐 전개될 수 있고, 그래서 DAC의 각 단계는 대략 5V/128 단계 = 0.0391V/단계의 전압 레벨을 나타낸다.
도 363은 본 명세서에서 설명된 디바이스의 하나의 구현예에 따른, 계층적으로 구조화된 DAC(36300)의 개략도이다. 유사한 방식으로 이전 도면의 기능과 공통인 요소 및 이에 대한 설명은 여기에서 반복되지 않는다. 이러한 DAC(36300)는 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 1 구성요소에서, 전류 소스로부터 전류를 적어도 두 개의 스위칭 가능한 경로를 통해 전달하여 스위칭 온된 경로의 개수에 종속하는 기준 전압 포인트에서 기준 전압을 설정하는 단계 및 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 2 구성요소에서, 제 2 구성요소와 연관된 출력이 스위칭 온된 경로의 제 2 개수 및 전압 기준 포인트에 종속하고, 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 연결하는 것을 포함할 수 있는, 디지털-아날로그 회로 디바이스를 동작시키는 방법을 수행하기 위한 수단의 예이지만, 수단은 이것으로 제한되지 않는다. 이러한 설계의 추가적인 특징은 회로의 좌측 부분에 있는 제 2 DAC 레그(36330)이며, 좌측 부분은 다음과 같은 이유로 "커스(coarse) DAC"라고 명명된다. 이러한 DAC 레그(36330)가 스위치로서 작용하는 트랜지스터(36345)를 통해 턴 온될 때, 전류 소스(36210)로부터의 전류는 레그를 통하고 트랜지스터(36340)를 통해 흐른다. 이러한 레그(36330) 및 트랜지스터(36220)를 포함하는(및 또한 자신의 스위치(36320)를 통해 동작 가능한) 초기 레그(36250)가 온되어 소스(36210)에 대한 전류 드레인으로서 작용할 때, 전류는 두 레그 사이에서 분할되고, 게이트에서(및 스위치(36230)에서) 전압은 단지 하나의 레그가 활성일 때 그 값의 절반으로 감소된다. 이것은 우측의 파인(fine) DAC 부분으로 간주될 수 있는 유효 범위를 감소시킨다.
위에서 제공된 예를 사용하면, 128 단계를 갖는 7-비트 DAC가 여전히 우측에 존재하지만, 회로의 좌측에 있는 두 개의 레그에 의해 분할되는 전류로 인하여, 그 범위는 절반으로, 예를 들어 2.5V로 줄어든다. 분해능이 유지되기 때문에, 이것은 DAC의 각 단계가 현재 약 2.5V/128 단계 = 0.1953V/단계의 전압 레벨을 나타낸다는 것을 의미한다. 따라서, DAC 레그(36330) 중 하나를 결합 또는 결합 해제함으로써, 우리는 DAC의 동작을 0-5 V 내지 0-2.5V 사이에서 스위칭할 수 있으며, 본질적으로 두 개의 레벨 사이에서 스위칭하도록 동작하는 커스 DAC로서 작동시킬 수 있다.
커스 DAC 측은 두 개의 레그를 갖는 것으로 제한되지 않는다. 추가의 레그가 트랜지스터(36345)와 유사한 상부 스위치를 통해 결합 및 이탈 가능하도록 추가되고 구성될 수 있다. 네 개의 DAC 레그(36330)가 커스 DAC 측에 제공되면, DAC는 전체 범위(하나의 레그 활성화), 절반 범위(두 개의 레그 활성화), 1/3 범위(세 개의 레그 활성화) 및 1/4 범위(네 개의 레그 활성화)에서 동작시킬 수 있다.
설계 시에, DAC의 전체 분해능을 동일하게 하기 위해) N-비트 커스 DAC를 포함시킴으로써 최대 N-비트 분해능의 파인 DAC를 줄일 수 있다. 그러나 파인 DAC를 N 비트만큼 줄이면 그 영역이 2N 배 줄어들 수 있다. 따라서 DACS를 이러한 계층 구조에 배열함으로써, 기능에 거의 영향을 주지 않고 상당한 칩 공간이 절감될 수 있다.
본 명세서에는 일부 양상에 따라, 다중화를 위한 mmWave 무선 통신에서 편파를 사용하여 다중화된 채널을 추가적인 데이터 스트림으로서 사용함으로써 스펙트럼 이용 효율을 개선하는 시스템 및 방법이 개시된다. 무선 채널은 특히 수백 개의 탭을 가진 방대한 MIMO 구성의 경우, 기가비트/초(GB/s) 레이트의 다중 경로 반사로부터의 ISI 및 교차 편파로 어려움을 겪는다. 전통적으로, 이러한 문제를 처리하는 것은 ADC(아날로그-디지털 변환기)를 사용하고 그런 다음 디지털 신호 프로세서(DSB)를 이용하는 디지털 도메인에서 수행하여 이러한 문제를 분석하고 수정하였다. 그러나 이러한 솔루션은 대략 초당 수 기가비트 정도일 수 있는, mmWave 무선 통신에 사용되는 데이터 속도에서는 실용적이지 않다. 뿐만 아니라, 이러한 솔루션은 복잡하고 비싸다는 경향이 있다. 본 명세서에 개시된 시스템은 ADC + DSP 솔루션보다 낮은 전력을 이용하고 mmWave 대역폭의 신호를 적절하게 처리하기에 충분한 속도를 갖는 혼합 신호 피드포워드 + 피드백 편파기 + 등화기(mixed signal feedforward + feedback polarizer + equalizer)(MSFFPE) 설계이다.
도 364는 송신 안테나(36430) 및 수신 안테나(36440)가 정렬될 때/병렬화될 때 동일 편파(co-polarization)(36410) 및 교차 편파(cross-polarization)(36420)를 도시하는 한 쌍의 그래프를 포함하는 결합된 회화적 차트도(36400)이다. 도면은 교차 편파된 신호의 수신된 진폭이 상대적으로 낮다는 것을 보여준다.
그러나, 특히 이동 디바이스와 관련하여, 언제나 안테나를 정렬하는데만 의존할 수는 없다. 도 365는 송신 안테나(36530) 및 수신 안테나(36540)가 오정렬될 때/병행화되지 않을 때 동일 편파(36510) 및 교차 편파(36520)를 도시하는 한 쌍의 그래프를 포함하는 결합된 회화적 차트도(36500)이다. 이 도면은 교차 편파된 신호의 수신된 진폭이 도 364에 도시된 정렬된 안테나 상황에서 보다 이 상황에서 상당히 높다는 것을 보여준다.
도 366은 일부 양태에 따라, MSFFPE 설계를 이용하는 수신기(36600)의 예이다. 수신기(36600)는 MSFFPE를 동작시키는 방법을 위한 수단을 구성할 수 있는 하나의 예이지만, 수단은 이것으로 제한되지 않는다. 수신기(36600)는 수직(36610V) 및 수평(36610H) 구성요소를 갖는 복수의 빔포밍 안테나를 포함할 수 있다. 이들 각각은 빔포밍 요소(36620) 내에서(별도로 표시되지 않은) 추가의 프로세싱 구성요소를 가질 수 있다. 수신기(15300)는 위에서 설명한 바와 같은 기저대역 프로세싱 회로(392)를 이용할 수 있거나, 다른 회로를 포함할 수 있다. 이들은 저잡음 증폭기(LNA), 별개의 I 및 Q 믹서 및 신호를 결합하는 합산기를 포함할 수 있다. 수직(36610V) 및 수평(36610H) 구성요소 각각의 I 및 Q 신호는 가변 이득 증폭기(VGA)(36630) 및 캐리어 복구 회로(36640)에 제공될 수 있다. ADC(36650)는 VI, VQ, HI 및 HQ 신호 라인 각각에 대해 제공될 수 있다. 도 366에 도시된 바와 같이, 신호가 디지털로 변환된 후 신호를 수집하는 새로운 MSFFPE(36660)가 제공되며 이들 신호는 클록 지연(36664)을 거칠 수 있다. 지연 신호는 편파기 및 등화기 구성요소(36662)에 의해 처리되어 등화 및 편파 프로세싱을 통해 필터링을 제공할 수 있으며, 출력 신호는 ADC(36650)의 아날로그 측에 제공될 수 있다. 등화는 아래에서 더 상세히 설명되는 적분 결정 피드백 등화 기(DFE) 합산기를 이용함으로써 수행될 수 있다.
도 367은 종래의 합산기(36700)를 도시하는 회로도이며, 도 368은 관련 차이점을 강조한, 적분 DFE 합산기(36800)를 도시하는 회로도이다. 종래의 합산기(36700)에서, 대역폭은 저항기(36710) 및 캐패시턴스(36720)에 의해 생성된 RC 시정수에 의해 제한된다. 대역폭이 제한되는 것 외에도, 이러한 설계는 정적 전류 및 이득 대역폭 상쇄 관계로 인해 높은 전력 소비를 갖는다.
대조적으로, 적분 DFE 합산기(36800)는 정적 전류 및 설정 시간 요건이 없기 때문에 저전력 설계를 갖는다. 종래의 합산기(36700)의 저항기(36710)는 재설정 가능한 캐패시터(36810)로 대체되고, 출력 캐패시터 전압은 리셋(CLK = 0) 동안 리셋된다. 캐패시턴스(36820)는 위에서 설명한 캐패시턴스(36720)와 동일하다. 그 다음, 전하가 적분(CLK = 1) 동안 적분되고, 그 다음에 샘플링된다.
도 369는 DFE 합산기(36900) 설계에 관해 보다 세부 구성을 제공하는 개략도이다. 연산 증폭기(36910)는 대역폭 강화 및 오프셋 제거를 위한 부스트 디바이스(36950)와 관련된 공통 모드 피드백을 위해 제공된다. 신호는 전치 증폭기(36920)에 제공될 수 있고, 그 다음에 출력을 위해 쉬어(36930)에 제공될 수 있다. 회로는 또한 DFE 피드백 탭(36940) 및 DFE 입력(36960)을 포함한다.
도 370은 합산 증폭기 출력 신호(37010) 및 스트롱-아암-1(strong-arm-1)(SA1) 신호(37020)에 관련한 클록 신호(37005)를 도시하는 DFE 합산기(36900) 설계와 관련된 그래프(37000)이다. 합산기는 위에서 언급한 리셋 및 적분이라는 두 단계를 갖는 리셋 스위치를 이용한다. 이것은 5G 심볼/s에 필요한 전체 5 GHz 클록 레이트를 지원할 수 있으며 공통 모드 피드백을 제공한다. 캐스코드 구성된 디바이스는 대역폭 강화를 제공하며, 부스트 디바이스는 대역폭 및 오프셋 제거를 위해 제공될 수 있다. AC 커플링 캐패시터는 오프셋을 제거하기 위해 제공될 수 있으며, 일곱 개의 전치 증폭기 및 슬라이스를 사용하면 일곱 개의 서로 다른 문턱값(오프셋)을 제공할 수 있다. 의도적인 클록 스큐가 CLK_SUM과 CLK_SLICER 사이에 제공되어 최적의 시점에서 합산기 출력을 샘플링할 수 있다.
일부 예증적인 양태에 따른, RF 디바이스(371100)의 블록도를 개략적으로 도시하는 도 371이 참조된다. 도 371에 도시된 바와 같이, 일부 예증적인 양태에서, RF 디바이스(371100)는 송수신기를 포함할 수 있다. 예를 들어, 송수신기는 반이중 송수신기, 전이중 송수신기 등을 포함할 수 있다. 본 명세서에 설명된 RF 디바이스는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로에 통합될 수 있지만, RF 디바이스는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 위에서 설명한 바와 같이, 하나 이상의 유형의 무선 통신 신호 및/또는 시스템과 함께 사용될 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 적어도 하나의 안테나(371101)를 포함 할 수 있고 및/또는 적어도 하나의 안테나(371101)에 동작 가능하게 연결될 수 있다. 예를 들어, 안테나(371101)는 위상 배열 안테나, 다중 요소 안테나, 스위칭된 빔 안테나 세트 등을 포함할 수 있다.
일부 예증적인 양태에서, 적어도 하나의 안테나(371101)는 별개의 송신 및 수신 안테나 소자들을 사용하여 송신 및 수신 기능들을 구현할 수 있다. 일부 예시적인 양태에서, 적어도 하나의 안테나(371101)는 공통의 및/또는 통합된 송신/수신 요소를 사용하여 송신 및 수신 기능성을 구현할 수 있다.
일부 예증적인 양태에서, 예를 들어, 아래에서 설명되는 바와 같이, RF 디바이스(371100)는 예를 들어, 적어도 하나의 안테나를 스위칭하여 Rx 신호를 예를 들어, RF 디바이스의 RX 경로에 인가하고, 또는 적어도 하나의 안테나(371101)를 스위칭하여, 예를 들어, RF 디바이스(37110)의 TX 경로로부터 Tx 신호를 수신하도록 구성될 수 있는 TX/RX 스위치(371105)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, 아래에서 설명되는 바와 같이, Tx RF 신호를 Tx 신호로 증폭하도록 구성될 수 있는 전력 증폭기(PA)(371110)를 포함할 수 있다. 예를 들어, PA(371110)는 광대역 PA, 저 대역 PA, 아날로그 PA, 디지털 PA, 결합된 아날로그 및 디지털 PA, 아웃페이징 PA, 도허티 PA 등을 포함 할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 아래에서 설명되는 바와 같이, Rx 신호를 Rx RF 신호로 증폭하도록 구성될 수 있는 저잡음 증폭기(LNA)(371115)를 포함할 수 있다. 예를 들어, LNA(371115)는 광대역 증폭기, 저 대역 증폭기, 아날로그 증폭기, 디지털 증폭기, 결합된 디지털 및 아날로그 증폭기 등을 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, 아래에서 설명되는 바와 같이, Tx RF 신호의 위상을 시프트하도록 구성될 수 있는 위상 변위기(371120)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 아래에서 설명되는 바와 같이, Rx 신호의 위상을 시프트하도록 구성될 수 있는 위상 변위기(371125)를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위기(371120) 및/또는 위상 변위기(371120)는 예를 들어, 트랜지스터 회로를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 TX 경로로부터 Tx 신호를 수신하는 믹서(371125)를 스위칭하도록, 또는 예를 들어 RF 경로에 Rx 신호를 제공하는 믹서(371120)를 스위칭하도록 구성될 수 있는 TX/RX 스위치(371130)를 포함할 수 있다. 예를 들어, TX/RX 스위치(371130)는 복수의 전계 효과 트랜지스터(Field Effect Transistor)(FET), 스위칭 회로, 스위칭 로직, 스위칭 서브시스템 등을 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, 하나 이상의 RF 신호를 결합하도록 및/또는 예를 들어, 하나 이상의 RF 신호를 분리하도록 구성될 수 있는 분할기/결합기(371135)를 포함할 수 있다. 예를 들어, 분할기/결합기(371135)는 1:4 분할기/결합기, 1:6 분할기/결합기, 2:6 분할기/결합기, 윌킨슨 분할기/결합기, 아날로그 분할기/결합기, 디지털 분할기/및/또는 아날로그 분할기/결합기와 디지털 분할기/결합기의 임의의 조합을 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 TX 경로로부터 Tx 신호를 수신하는 분할기/결합기(371135)를 스위칭하도록, 또는 예를 들어 RF 경로에 Rx 신호를 제공하는 분할기/결합기(371120)를 스위칭하도록 구성될 수 있는 TX/RX 스위치(371140)를 포함할 수 있다. 예를 들어, TX/RX 스위치(371140)는 예를 들어, 복수의 FET, 스위칭 회로, 스위칭 로직, 스위칭 서브시스템 등을 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, Rx RF 신호를 증폭하도록 구성될 수 있는 예를 들면 Rx 증폭기(371145)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, Tx RF 신호를 증폭하도록 구성될 수 있는 예를 들면 Tx 증폭기(371150)를 포함할 수 있다.
일부 예증적인 양태에서, Rx 증폭기(371145) 및/또는 Tx 증폭기(371150)는 예를 들어, 광대역 증폭기, 저 대역 증폭기, IF 증폭기, 아날로그 증폭기, 디지털 증폭기 및/또는 임의의 다른 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, 아래에서 설명되는 바와 같이, 예를 들어, Tx IF 신호를 Tx RF 신호로 상향 변환하도록 구성될 수 있는 믹서(371155)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Rx RF 신호를 Rx IF 신호로 하향 변환하도록 구성될 수 있는 믹서(371160)를 포함할 수 있다.
일부 예증적인 양태에서, 믹서(371135) 및/또는 믹서(371160)는 예를 들어, 길버트 셀 믹서(Gilbert cell mixer), 아날로그 믹서, 디지털 믹서 및/또는 임의의 다른 믹서를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)는 예를 들어, 아래에서 설명되는 바와 같이, 예를 들어, Tx IF 신호를 생성하고 및/또는 Rx IF 신호를 처리하도록 구성될 수 있는 IF 유닛(371170)을 포함할 수 있다.
일부 예증적인 양태에서, IF 유닛(371170)은 디지털 회로, 아날로그 회로 및/또는 임의의 다른 IF 회로를 포함할 수 있다.
일부 양태에서, 예를 들어, RF 디바이스(371100)는 Tx 모드 또는 Rx 모드에서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)가 Tx 모드에 있을 때, TX/RX 스위치(371140, 371130 및 371105)는 TX 경로를 연결하도록 스위칭될 수 있다. 하나의 예에서, IF 유닛(371170)은 Tx IF Tx를 생성 할 수 있고 Tx IF 신호를 믹서(371155)에 제공할 수 있다. 믹서(371155)는 Tx IF를 원하는 주파수 대역, 예를 들어 60 GHz 주파수 대역에서 Tx RF 신호로 상향 변환할 수 있다.
일부 예증적인 양태에서, Tx 모드에서, Tx 증폭기(371145)는 Tx RF 신호를 증폭할 수 있으며, 분할기/결합기(371135)는 예를 들어, 분할기 모드에서, Tx RF 신호를 TX/RX 스위치(371130)를 통해 위상 변위기(371125)에 제공할 수 있다. 위상 변위기(371125)는 예를 들어, 콘스텔레이션 포인트 맵에 따라, Tx RF 신호의 위상을 원하는 위상으로 시프트할 수 있다. PA(371110)은 Tx RF 신호를 Tx 신호로 증폭할 수 있다. Tx 신호는 적어도 하나의 안테나(371101)를 통해 송신될 수 있다.
일부 예증적인 양태에서, RF 디바이스(371100)가 Rx 모드에 있을 때, TX/RX 스위치(371140, 371130 및 371105)는 RX 경로를 적어도 하나의 안테나(371101)에 연결하도록 설정될 수 있다.
일부 예증적인 양태에서, Rx 모드에서, Rx 신호는 적어도 하나의 안테나(371101)로부터 TX/RX 스위치(371105)를 통해 LNA(371115)에 의해 수신될 수 있다. LNA(371115)는 Rx 신호를 Rx RF 신호로 증폭할 수 있다. 위상 변위기(371120)는 예를 들어, 콘솔레이션 포인트 맵에 따라, Rx RF 신호의 위상을 원하는 위상으로 시프트할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 결합기/분할기(371130)는 결합기 모드에서 동작할 수 있다. 이 모드에서, 결합기/분할기(371130)는 Rx RF 신호를 Rx 증폭기(371150)에 제공할 수 있다. 믹서(371160)는 Rx RF 신호를 Rx IF 신호로 증폭할 수 있다. Rx IF 신호는 예를 들어, IF 회로(371170)에 제공될 수 있다. IF 회로(371170)는 Rx IF 신호를 처리하도록 구성될 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 적어도 하나의 양방향 증폭기를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있으며, 적어도 하나의 양방향 증폭기는 예를 들어 아래에서 설명되는 바와 같이, RF 회로(425)의 Tx 방향의 RF 신호 및/또는 RF 회로(425)의 Rx 방향의 RF 신호를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 일부 유스 케이스 및/또는 시나리오에서, 예를 들어, 아래에서 설명되는 바와 같이, 송신 및 수신 경로 용도의 하나 이상의 회로를 공유할 수 있는 무선 아키텍처를 구현하는 것이 유리할 수 있다. 수신 및/또는 송신 경로는, 예를 들어, 필요하다면, 하나 이상의 증폭기, 하나 이상의 분할기, 하나 이상의 결합기, 하나 이상의 믹서 및/또는 하나 이상의 다른 추가 또는 대체 구성요소를 포함할 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어, 아래에서 설명되는 바와 같은 양방향 증폭기 회로를 포함할 수 있다. 유리하게는, 양방향 증폭기 회로는 예를 들어, 송신 경로에 필요한 PA와 같은 별개의 회로, 수신 경로에 필요한 LNA와 같은 별개의 회로 및 PA와 LNA 사이를 스위칭하는 하나 이상의 스위치를 포함하는 회로와 유사한 성능을 제공할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기는 무선 아키텍처에서 구현될 때, 예를 들어 스위치의 필요성을 제거함으로써 하나 이상의 이득을 제공 및/또는 하나 이상의 기술적 문제를 해결할 수 있고, 삽입 손실을 제거함으로써 성능을 개선할 수 있고 및/또는 무선 아키텍처 회로의 면적 크기를 심지어 50 %만큼 감소시킬 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 적어도 하나의 양방향 증폭기, 적어도 하나의 양방향 믹서 및 적어도 하나의 양방향 분할기/결합기를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기 회로는 필요하다면, 예를 들어 서브시스템(415)(도 4)의 일부로서, 상향 변환 및 하향 변환 회로, 예를 들어 서브시스템(424)(도 4)의 일부로서의 필터링 및 증폭 회로, 예를 들어 서브시스템(430)(도 4)의 일부로서 전력 결합 및 분할 회로, 및/또는 예를 들어 서브시스템(435)(도 4)의 일부로서 무선 체인 회로, 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 포함될 수 있고 및/또는 그의 하나 이상의 동작 및/또는 기능성을 수행할 수 있다.
이제 일부 예증적인 양태에 따른 RF 디바이스(372100)의 블록도를 개략적으로 도시하는 도 372가 참조된다. 예를 들어, RF 디바이스(372100)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 1 및/또는 도 1a를 참조하여 위에서 설명한 바와 같이 송수신기의 일부로서 구현될 수 있다. 본 명세서에 설명된 RF 디바이스는 또한 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로에 통합될 수 있지만, RF 디바이스는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 예를 들어, 아래에서 설명되는 바와 같이, RF 디바이스(372100)는 Tx 신호를 송신하고, Rx 신호를 수신하도록 구성된 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기는 제 5 세대(5G) 셀룰러 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기는 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 60 GHz 송수신기를 포함할 수 있다. 그러나, 다른 양태에서, 송수신기는 임의의 다른 주파수 대역, 예를 들어, 45 ㎓ 이상의 주파수 대역을 통해 Tx 신호를 송신하고 및/또는 Rx 신호를 수신하도록 구성된 송수신기를 포함할 수 있다.
다른 양태에서, 송수신기는 임의의 다른 추가 또는 대안의 주파수 대역을 통해 송신 및 수신하도록 구성된 임의의 다른 유형의 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기는 반이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어, 하나 이상의 위상 어레이 안테나 및/또는 임의의 다른 유형의 안테나를 포함하는 적어도 하나의 안테나(372101)를 포함할 수 있고 및/또는 적어도 하나의 안테나(372101)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 양방향 증폭기(372105)를 포함하는 하나 이상의 양방향 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372105)는 PA 및/또는 LNA의 하나 이상의 동작 및/또는 기능성을 수행하도록 구성될 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372105)에 동작 가능하게 연결된 위상 변위기(372110)를 포함할 수 있다.
일부 실증인 양태에서, RF 디바이스(372100)는 복수의 안테나 경로를 통해 복수의 안테나(372101)(도시되지 않음)에 연결될 수 있다. 예를 들어, 안테나 경로는 양방향 증폭기(372105) 및 위상 변위기(372110)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어 아래에서 설명되는 바와 같이, 위상 변위기(372110) 및 양방향 증폭기(372120)에 동작 가능하게 연결된 분할기/결합기(372115)를 포함할 수 있다. 예를 들어, 분할기/결합기(372115)는 예를 들어, 아래에서 설명되는 바와 같이, Tx 신호를 복수의 안테나 경로로 분할하고, 복수의 안테나 경로로부터 복수의 Rx 신호를 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372120)는 Tx IF 증폭기 및/또는 Rx IF 증폭기의 하나 이상의 동작 및/또는 기능성을 수행하도록 구성될 수 있다. Tx IF 증폭기 및/또는 Rx IF 증폭기는 예를 들어 광대역 증폭기, 저대역 증폭기, 디지털 증폭기, 아날로그 증폭기 및/또는 결합된 아날로그-디지털 증폭기에 의해 구현될 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372120)에 동작 가능하게 연결된 믹서(372125)를 포함할 수 있다.
일부 예증적인 양태에서, RF 디바이스(372100)는 예를 들어 아래에서 설명되는 바와 같이, 믹서(372125)에 동작 가능하게 연결된 IF 회로(372170)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, RF 디바이스(372100)는 예를 들어 Tx 신호를 처리하는 Tx 모드와 예를 들어 Rx 신호를 처리하는 Rx 모드 사이에서 양방향 증폭기(372105 및/또는 372120)를 스위칭하도록 구성될 수 있는 제어 회로(372180)를 포함할 수 있다.
일부 예증적인 양태에서, Tx 모드에서, 예를 들어 IF 회로(372170)는 Tx IF 신호를 믹서(372155)에 제공 할 수 있으며, 믹서(372155)는 Tx IF 신호를 원하는 주파수 대역 예를 들어, 60 GHz 주파수 대역 및/또는 임의의 다른 주파수 대역의 Tx RF 신호로 상향 변환할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(372120)는 Tx RF 신호를 증폭 할 수 있고, 증폭된 Tx RF 신호를 분할기/결합기(372115)에 제공할 수 있다. 예를 들어, 분할기/결합기(372215)는, 예를 들어 Tx RF 신호를 복수의 안테나 경로 사이에 분할함으로써 증폭된 Tx RF 신호를 위상 변위기(372110)에 제공할 수 있다. 예를 들어, 위상 변위기(372110)는 예를 들어, 변조 방식에 기초하여, 증폭된 Tx RF 신호의 위상을 원하는 위상으로 시프트할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(372105)는 위상 변위기(372110)로부터의 증폭된 Tx RF 신호를 증폭 할 수 있고, Tx 신호를 안테나(372101)에 제공할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, Rx 신호는 하나 이상의 안테나(372101)에 의해 수신될 수 있다. 양방향 증폭기(372120)는 예를 들어 안테나(372101)로부터 Rx 신호를 증폭 할 수 있고, 증폭된 Rx RF 신호를 위상 변위기(372110)에 제공할 수 있다. 위상 변위기(372110)는 변조 방식, 예를 들어 직교 진폭 변조(QAM) 방식 또는 임의의 다른 방식에 기초하여, 증폭된 Rx RF 신호의 위상을 원하는 위상으로 시프트할 수 있다. 결합기/분할기(372115)는 예를 들어, 복수의 안테나 경로로부터의 증폭된 Rx RF 신호를 결합함으로써 증폭된 Rx RF 신호를 양방향 증폭기(372120)에 제공할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(372120)는 Rx RF 신호를 증폭 할 수 있고, 증폭된 Rx RF 신호를 분할기/결합기(372125)에 제공할 수 있다. 믹서(372125)는 Rx RF 신호를 Rx IF 신호로 하향 변환할 수 있다. IF 회로(372170)는 Rx IF 신호를 처리할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기, 예를 들어 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)는 Tx 모드에서 Tx 신호를 증폭하여 증폭된 Tx 신호를 제공하는 제 1 증폭기 및 Rx 모드에서 Rx 신호를 증폭하여 증폭된 Rx 신호를 제공하는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기, 예를 들면, 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)는 Tx 모드에서 Tx 신호를 제 1 입력/출력으로부터 제 1 증폭기로 제공하고, Rx 모드에서 제 1 입력/출력에서 제 2 증폭기로부터 증폭된 Rx 신호를 출력하는 제 1 변압기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기, 예를 들면 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)는 Rx 모드에서 Rx 신호를 제 2 입력/출력으로부터 제 2 증폭기로 제공하고, Tx 모드에서 제 2 입력/출력에서 제 1 증폭기로부터 증폭된 Tx 신호를 출력하는 제 2 변압기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기, 예를 들어 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)는 Tx 모드에서 복수의 활성화 전압을 제 1 증폭기로 스위칭하고, 복수의 비활성화 전압을 제 2 증폭기로 스위칭하는 복수의 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 구현 방식에 따르면, 복수의 스위치는 예를 들어 아래에서 설명되는 바와 같이, Rx 모드에서, 복수의 활성화 전압을 제 2 증폭기로 스위칭하고, 복수의 비활성화 전압을 제 1 증폭기로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압을 포함할 수 있다. 하나의 예에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치는 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 드레인 전압을 인가하고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 드레인 전압을 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되는 소스 전압을 포함할 수 있다. 하나의 예에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치는 Tx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 소스 전압을 인가하고, Rx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 소스 전압을 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 스위치는 Tx 모드에서의 드레인 전압과 Rx 모드에서의 소스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인을 스위칭하는 제 1 스위치 및 Tx 모드에서의 소스 전압과 Rx 모드에서의 드레인 전압 사이에서 제 1 증폭기의 적어도 하나의 드레인을 스위칭하는 제 2 스위치를 포함할 수 있다.
일부 실증인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)는 제 1 변압기로부터의 Tx 신호를 제 1 증폭기의 제 1 입력에 제공하는 제 1 캐패시터, 제 1 변압기로부터의 Tx 신호를 제 1 증폭기의 제 2 입력에 제공하는 제 2 캐패시터, 제 2 변압기로부터의 Rx 신호를 제 2 증폭기의 제 1 입력에 제공하는 제 3 캐패시터 및 제 2 변압기로부터의 Rx 신호를 제 2 증폭기의 제 2 입력에 제공하는 제 4 캐패시터를 포함한다.
일부 예증적인 양태에서, 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)의 제 1 및 제 2 증폭기 중 적어도 하나의 증폭기는 예를 들어, 아래에서 설명되는 바와 같이 공통 소스 네거티브 금속 산화물 반도체(Negative Metal Oxide Semiconductor)(NMOS) FET를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 제 2 구현 방식에 따르면, 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 게이트에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되는 바이어스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되는 소스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 게이트에 인가되는 드레인 전압; 및 Tx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되는 바이어스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 스위치는 Tx 모드에서의 드레인 전압과 Rx 모드에서의 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 1 스위치; Tx 모드에서의 바이어스 전압과 Rx 모드에서의 소스 전압 사이에서 제 1 증폭기의 적어도 하나의 소스를 스위칭하는 제 2 스위치; Tx 모드에서의 소스 전압과 Rx 모드에서의 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 소스를 스위칭하는 제 3 스위치; 및/또는 Tx 모드에서의 바이어스 전압과 Rx 모드에서의 드레인 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 4 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 제 3 구현 방식에 따르면, 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되는 소스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 게이트에 인가되는 제 1 바이어스 전압 및 Rx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되는 제 2 바이어스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되고 제 2 증폭기의 적어도 하나의 소스에 인가되는 제 1 바이어스 전압, 및 Rx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고 제 1 증폭기의 적어도 하나의 소스에 인가되는 제 2 바이어스 전압을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 스위치는 Tx 모드에서의 소스 전압과 Rx 모드에서의 제 2 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 1 스위치; Tx 모드에서의 바이어스 전압과 Rx 모드에서의 소스 전압 사이에서 제 1 증폭기의 적어도 하나의 소스를 스위칭하는 제 2 스위치; Tx 모드에서의 드레인 전압과 Rx 모드에서의 제 2 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 소스를 스위칭하는 제 3 스위치; 및/또는 Tx 모드에서의 제 1 바이어스 전압과 Rx 모드에서의 드레인 전압 사이에서 제 1 증폭기의 적어도 하나의 드레인 및 제 2 증폭기의 적어도 하나의 게이트를 스위칭하는 제 4 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제 1 증폭기는 하나 이상의 포지티브 금속 산화물 반도체(Positive Metal Oxide Semiconductor)(PMOS) FET를 포함할 수 있고, 및/또는 제 2 증폭기는 하나 이상의 네거티브 금속 산화물 반도체(NMOS) FET를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Tx 모드 또는 Rx 모드에 따라, 제어 회로(372180)는 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)의 제 1 증폭기와 제 2 증폭기 사이에서 복수의 스위치를 제어 가능하게 스위칭하는 복수의 제어 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)의 제 1 증폭기는 예를 들어 PA를 포함하고 및/또는 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)의 제 2 증폭기는 예를 들어 LNA를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372105) 및/또는 양방향 증폭기(372120)의 제 1 증폭기는 예를 들어 제 1 공통 소스 FET 쌍을 포함할 수 있고; 및/또는 양방향 증폭기(12105) 및/또는 양방향 증폭기(372120)의 제 2 증폭기는 예를 들어 제 2 공통 소스 FET 쌍을 포함할 수 있다.
일부 예증적인 양상들에 따라, 양방향 증폭기 회로(373100)를 개략적으로 도시하는 도 373이 참조한다. 예를 들어, 양방향 증폭기(372105)(도 372) 및/또는 양방향 증폭기(372120)(도 372)는 양방향 증폭기 회로(373100)의 하나 이상의 요소 및/또는 기능성을 구현할 수 있다. 본 명세서에 설명된 양방향 증폭기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(325))에 통합될 수 있지만, 증폭기 회로는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(373100)는 공통 소스 FET 차동 트랜지스터 쌍((Q1)(373110) 및(Q2)(373120)), 공통 소스 FET 차동 트랜지스터 쌍((Q3)(373130) 및(Q3)(373140)), 입력/출력 노드(13150), 입력/출력 노드(373155), 입력/출력 노드(373160), 입력/출력 노드(373165), 변압기(373170), 변압기(373175), 및 예를 들면, 스위치(373180, 373185, 373190 및/또는 13195)를 포함하는 복수의 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q1)(373110), (Q2)(373120)) 및 제 2 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q3)(373130) 및 (Q4)(373140))은 동일한 유형일 수 있고, NMOSFET 또는 PMOSFET 등을 포함할 수 있다.
일부 예증적인 양태에서, FET는 소스(S), 드레인(D) 및 게이트(G)의 세 개의 단자를 포함할 수 있다. 소스(S)는 캐리어가 트랜지스터의 채널로 들어가는 단자일 수 있다. 예를 들어, 소스(S)에서 채널로 들어가는 전류는 소스 전류(IS)라고 지정될 수 있다. 드레인(D)은 캐리어가 트랜지스터의 채널을 떠나는 단자일 수 있다. 예를 들어, 드레인(D) 단자에서 채널로 들어가는 전류는 ID라고 지정될 수 있으며, 드레인-소스 간 전압은 VDS라고 지정될 수 있다. 게이트(G) 단자는 채널 전도도를 조절할 수 있으며, 예를 들어 ID는 전압을 게이트(G) 단자에 인가함으로써 제어될 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(373100)는 RF 디바이스 예를 들어, RF 디바이스(372100)(도 372)의 RX 경로의 LNA 및/또는 TX 경로의 PA로서 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(373180, 373185, 373190 및/또는 373195)는 양방향 증폭기(373100)를 Tx 모드와 Rx 모드 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(373180, 373185, 373190 및/또는 373195)는 예를 들어, 복수의 활성화 전압 및/또는 비활성화 전압을 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120)) 및/또는 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))에 연결함으로써, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120)) 및/또는 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))을 활성화 및/또는 비활성화할 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120))의 드레인(D)에 인가될 수 있는 드레인 전압(VDD)을 포함할 수 있다. 예를 들어, 드레인 전압(VDD)은 예를 들어 Rx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 드레인(D)에 인가될 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120))의 게이트(G)에 인가될 수 있는 바이어스 전압(Vbias)을 포함할 수 있다. 예를 들어, 바이어스 전압(Vbias)은 예를 들어 Rx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 게이트에 인가될 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120))의 소스(S)에 인가될 수 있는 소스 전압(VSS)을 포함할 수 있다. 예를 들어, 소스 전압(VSS)는 예를 들어 Rx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 소스(S)에 인가될 수 있다.
일부 예증적인 양태에서, 복수의 비활성화 전압은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 게이트(G)에 인가될 수 있고, 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120))의 게이트(G)에 인가될 수 있는 드레인 전압(VDD)을 포함할 수 있다. 예를 들어, 바이어스 전압(Vbias)은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 소스(S)에 인가될 수 있고, 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), (Q2)(373120))의 소스(S)에 인가될 수 있다.
일부 예증적인 양태에서, 스위치(373180)는 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 드레인(D) 및 제 1 공통 소스 트랜지스터 쌍((Q1)(373130), (Q2)(373100))의 게이트(G)를 예를 들어, Tx 모드에서의 드레인 전압(VDD)과 예를 들어, Rx 모드에서의 바이어스 전압(Vbias) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(373185)는 제 1 공통 소스 트랜지스터 쌍((Q1)(373110), Q2)(373120)의 소스(S)를 예를 들어 Tx 모드에서의 바이어스 전압(Vbias)과 예를 들어 Rx 모드에서의 소스 전압(VSS) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(373190)는 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 소스(S)를 예를 들어 Tx 모드에서의 소스 전압(VSS)과 예를 들어 Rx 모드에서의 바이어스 전압(Vbias) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(373195)는 제 2 공통 소스 트랜지스터 쌍((Q3)(373130) 및 (Q4)(373140))의 드레인(D) 및 제 1 공통 소스 트랜지스터 쌍((Q1)(373130), (Q2)(373100))의 게이트(G)를 예를 들어, Tx 모드에서의 바이어스 전압(Vbias)과 예를 들어, Rx 모드에서의 드레인 전압(VDD) 사이에서 스위칭할 수 있다. 비록, Tx 모드 및 Rx 모드는 상호 교환 가능하고 위의 Tx 모드의 예는 Rx 모드에 적용 가능할 수 있으며, 그 반대로도 가능하다는 것을 이해하여야 한다.
일부 예증적인 양태에 따른, 양방향 증폭기 회로(374100)를 개략적으로 도시하는 도 374가 참조한다. 예를 들어, 양방향 증폭기(372105)(도 372) 및/또는 양방향 증폭기(372120)(도 372)는 양방향 증폭기 회로(374100)의 하나 이상의 요소 및/또는 기능성을 구현할 수 있다. 본 명세서에 설명된 양방향 증폭기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예어, 무선 체인 회로(325))에 통합될 수 있지만, 증폭기 회로는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(374100)는 공통 소스 FET 차동 트랜지스터 쌍(374110 및 374120), 공통 소스 FET 차동 트랜지스터 쌍(374130 및 374140), 입력/출력 노드(374150), 입력/출력 노드(374155), 변압기(374153), 입력/출력 노드(374160), 입력/출력 노드(374165), 변압기(374163), 캐패시터(374170), 캐패시터(374172), 캐패시터(374174), 캐패시터(374176), 저항기(374180), 저항기(374182), 저항기(374184), 저항기(374180), 스위치(374190) 및 스위치(374195)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 캐패시터(374170, 374172, 374174 및/또는 374176)의 값은 약 10 펨토패러드(fF)와 약 10 피코패러드(pF) 사이에서 변할 수 있고, 저항기(374180, 374182, 374184 및/또는 374180)는 트랜지스터(374110, 374120, 374130 및/또는 374140)의 사이즈에 기초하여 약 100 Ohm과 약 10 Kohm 사이에서 변할 수 있다. 일부 예증적인 양태에서, 다른 범위가 사용될 수 있다.
일부 예증적인 양태에서, 제 1 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q1)(374110), (Q2)(374120)) 및 제 2 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q3)(374130) 및 (Q4)(374140))은 동일한 유형일 수 있고, NMOSFET 또는 PMOSFET 등을 포함할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(374100)는 RF 디바이스 예를 들어, RF 디바이스(372100)(도 372)의 RX 경로의 LNA 및/또는 TX 경로의 PA로서 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(374190 및/또는 374195)는 양방향 증폭기(374100)를 Tx 모드와 Rx 모드 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(374190 및/또는 374195)는 예를 들어, 복수의 활성화 전압 및/또는 비활성화 전압을 공통 소스 트랜지스터 쌍((Q1)(374110), (Q2)(374120)) 및/또는 공통 소스 트랜지스터 쌍((Q3)(374130) 및 (Q4)(374140))에 연결함으로써, 제 1 공통 소스 트랜지스터 쌍((Q1)(374110) 및(Q2)(374120)) 및/또는 제 2 공통 소스 트랜지스터 쌍((Q3)(374130) 및 (Q4)(374140))을 활성화 및/또는 비활성화할 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(374110) 및(Q2)(374120))의 드레인(D)에 인가될 수 있고, 예를 들어 Tx 모드에서, 제 2 공통 소스 FET 차동 쌍 트랜지스터((Q3)(374130) 및 (Q4)(374140))의 드레인(D)에 인가될 수 있는 드레인 전압(VDD)을 포함할 수 있다.
일부 예증적인 양태에서, 복수의 비활성화 전압은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(374130) 및 (Q4)(374140))의 드레인(D)에 인가될 수 있고, 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(374110) 및(Q2)(374120))의 드레인(D)에 인가될 수 있는 소스 전압(VSS)을 포함할 수 있다.
일부 예증적인 양태에서, 스위치(374195)는 공통 소스 트랜지스터 쌍((Q3)(374130) 및 (Q4)(374140))의 드레인(D)을 예를 들어 Tx 모드에서의 드레인 전압(VDD)과 예를 들어 Rx 모드에서의 소스 전압(VSS) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(374190)는 제 1 공통 소스 트랜지스터 쌍((Q1)(374110) 및(Q2)(374120))의 드레인을 예를 들어 Tx 모드에서의 소스 전압(VSS)과 예를 들어 Rx 모드에서의 드레인 전압(VDD) 사이에서 스위칭할 수 있다. 비록, Tx 모드 및 Rx 모드는 상호 교환 가능하고 위의 Tx 모드의 예는 Rx 모드에 적용 가능할 수 있으며, 그 반대로도 가능하다는 것을 이해하여야 한다.
일부 예증적인 양태에서, 예를 들어, 캐패시터(374170)는 변압기(374153)로부터의 입력 신호를 트랜지스터(Q3)(374130)의 게이트(G)에 제공할 수 있고, 캐패시터(374176)는 변압기(374153)로부터의 입력 신호를 트랜지스터(Q4)(374140)의 게이트(G)에 제공할 수 있고, 캐패시터(374172)는 변압기(374163)로부터의 입력 신호를 트랜지스터(Q1)(374110)의 게이트(G)에 제공할 수 있으며, 및/또는 캐패시터(374174)는 변압기(374163)로부터의 입력 신호를 트랜지스터(Q2)(374120)의 게이트(G)에 제공할 수 있다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터((Q1)(374110), (Q2)(374120), (Q3)(374130) 및/또는 (Q4)(374140))는 동일한 유형일 수 있고, NMOSFET 또는 PMOSFET 등을 포함할 수 있다.
일부 예증적인 양태에 따른, 양방향 증폭기 회로(375100)를 개략적으로 도시하는 도 375가 참조한다. 예를 들어, 양방향 증폭기(372105)(도 372) 및/또는 양방향 증폭기(372120)(도 372)는 양방향 증폭기 회로(375100)의 하나 이상의 요소 및/또는 기능성을 구현할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(375100)는 공통 소스 FET 차동 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120)), 공통 소스 FET 차동 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140)), 입력/출력 노드(375150), 입력/출력 노드(375155), 입력/출력 노드(375160), 입력/출력 노드(375165), 변압기(375170), 변압기(375175), 및 예를 들면, 스위치(375180, 375185, 375190 및/또는 375195)를 포함하는 복수의 스위치(위와 같음)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q1)(375110), (Q2)(375120))은 동일한 유형일 수 있고, NMOSFET를 포함할 수 있으며, 및/또는 제 2 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q3)(375130) 및 (Q4)(375140))은 동일한 유형일 수 있고, PMOSFET를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q1)(375110), (Q2)(375120))는 동일한 유형일 수 있고, PMOSFET를 포함할 수 있으며 및/또는 제 2 공통 소스 트랜지스터 쌍, 예를 들어, 트랜지스터((Q3)(375130) 및 (Q4)(375140))은 동일한 유형일 수 있고, NMOSFET를 포함할 수 있다.
다른 양태에서, 트랜지스터(375110, 375120, 375130 및/또는 375140)는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 증폭기(375100)는 RF 디바이스 예를 들어, RF 디바이스(372100)(도 372)의 RX 경로의 LNA 및/또는 TX 경로의 PA로서 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(375180, 375185, 375190, 및/또는 375195)는 양방향 증폭기(375100)를 Tx 모드와 Rx 모드 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(375180, 375185, 375190 및/또는 375195)는 예를 들어, 복수의 활성화 전압 및/또는 비활성화 전압을 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120)) 및/또는 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))에 연결함으로써, 제 1 공통 소스 트랜지스터 쌍((Q1)(375110), (Q2)(375120)) 및/또는 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))을 활성화 및/또는 비활성화할 수 있다.
일부 예증적인 양태에서, 예를 들어 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))의 소스(S)에 인가될 수 있고, 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120))의 드레인(D)에 인가될 수 있는 드레인 전압(VDD)을 포함할 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))의 드레인(D)에 인가될 수 있고, 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120))의 소스(S)에 인가될 수 있는 소스 전압(VSS)을 포함할 수 있다.
일부 예증적인 양태에서, 복수의 활성화 전압은 예를 들어 Tx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))의 게이트(G)에 인가될 수 있는 제 1 바이어스 전압(Vbias1) 및/또는 예를 들어 Rx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120))의 게이트(G)에 인가될 수 있는 제 2 바이어스 전압(Vbias2)을 포함할 수 있다.
일부 예증적인 양태에서, 복수의 비활성화 전압은 예를 들어 Tx 모드에서, 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120))의 드레인(D) 및 소스(S)에 인가될 수 있는 제 1 바이어스 전압(Vbias1) 및/또는 예를 들어 Rx 모드에서, 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))의 드레인(D) 및 소스(S)에 인가될 수 있는 제 2 바이어스 전압(Vbias2)을 포함할 수 있다.
일부 예증적인 양태에서, 스위치(375195)는 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(375140))의 드레인(D) 및 제 1 공통 소스 트랜지스터 쌍((Q1)(37511), (Q2)(375120))의 게이트(G)를 예를 들어, Tx 모드에서의 소스 전압(VSS)과 예를 들어, Rx 모드에서의 제 2 바이어스 전압(Vbias2) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(375190)는 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및(Q2)(375120))의 소스(S)를 예를 들어 Tx 모드에서의 제 1 바이어스 전압(Vbias1)과 예를 들어 Rx 모드에서의 소스 전압(VSS) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(375185)는 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(373140))의 소스(S)를 예를 들어 Tx 모드에서의 드레인 전압(VDD)과 예를 들어 Rx 모드에서의 제 2 바이어스 전압(Vbias2) 사이에서 스위칭할 수 있다.
일부 예증적인 양태에서, 스위치(375180)는 제 1 공통 소스 트랜지스터 쌍((Q1)(375110) 및 (Q2)(375120))의 드레인(D) 및 제 2 공통 소스 트랜지스터 쌍((Q3)(375130) 및 (Q4)(373140))의 게이트(G)를 예를 들어, Tx 모드에서의 제 1 바이어스 전압(Vbias1)과 예를 들어, Rx 모드에서의 드레인 전압(VDD) 사이에서 스위칭할 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 적어도 하나의 양방향 분할기 및 결합기를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있으며, 적어도 하나의 양방향 분할기 및 결합기는 예를 들어 아래에서 설명되는 바와 같이, RF 회로(425)의 Tx 방향에서 RF 신호를 분할하고 및/또는 RF 회로(425)의 Rx 방향에서 복수의 안테나로부터의 RF 신호를 결합하도록 구성될 수 있다.
일부 예시적인 양태에서, 예를 들어 약 60 GHz. 예를 들면 WiGig의 통신 주파수를 이용하는 갖는 5G의 셀룰러 시스템 및/또는 WLAN과 같은 mm-파 애플리케이션은 예를 들어 아래에서 설명되는 바와 같이, 양방향 분할기 및 결합기 회로를 포함할 수 있다.
일부 예시적인 양태에서, 양방향 분할기 및 결합기 회로는 복수의 저 전류 증폭기를 포함할 수 있다. 예를 들어, 저 전류 증폭기는, 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 복수의 저항기에 의해, 예를 들어 저 전류 증폭기와의 공통 포트와 동작 가능하게 연결될 수 있는 RF 부하/소스, 예를 들면 변압기를 사용함으로써, 50 옴 임피던스 또는 임의의 다른 임피던스로 구성될 수 있다.
일부 예시적인 양태에서, RF 부하/소스는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 분할 네트워크의 일부로서 구현될 수 있고, 분할 네트워크에 연결될 수 있고, 및/또는 분할 네트워크에서 RF 소스로서 사용될 수 있다.
일부 예증적인 양태에서, RF 부하/소스는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 결합 네트워크의 일부로서 구현될 수 있고, 결합 네트워크에 연결될 수 있고 및/또는 결합 네트워크에서 RF 부하로서 사용될 수 있다.
일부 예증적인 양태에서, RF 부하/소스는 예를 들어 양방향 분할기 및 결합기 회로에 연결될 수 있는 회로, 예를 들면 증폭 회로의 임피던스를 나타낼 수 있다.
일부 예시적인 양태에서, 변압기 및/또는 RF 부하는 예를 들어 아래에서 설명되는 바와 같이, 분할 네트워크의 일부로서 구현될 수 있고, 분할 네트워크에 연결될 수 있고, 및/또는 분할 네트워크에서 사용될 수 있다. 예를 들어 아래에서 설명되는 바와 같이, 분할 네트워크는 입력 포트로부터의 신호를 예를 들어 여섯 개 이상의 출력 포트로 분할할 수 있다. 다른 양태에서, 임의의 다른 개수의 출력 포트가 사용될 수 있다.
하나의 예에서, 변압기 및/또는 RF 부하/소스는 예를 들어 아래에서 설명되는 바와 같이, 결합 네트워크의 일부로서 구현될 수 있고, 결합 네트워크에 연결될 수 있고, 및/또는 결합 네트워크에서 사용될 수 있다. 결합 네트워크는 예를 들어 여섯 개 이상의 입력 포트로부터의 신호를 출력 포트에서 하나의 신호로 결합할 수 있다. 다른 양태에서, 임의의 다른 개수의 입력 포트가 사용될 수 있다.
일부 예증적인 양태에서, 능동 양방향 분할기 및 결합기(active bidirectional splitter and combiner)(ABDSC)는 예를 들어 저 전류 증폭기의 토폴로지로 구현될 수 있는 다수의 트랜지스터를 이용할 수 있다. 예를 들어, 저 전류 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 기생 성분, 예를 들어 내부 캐패시턴스 및/또는 저항으로 인해, 전류 스위치 및/또는 매칭 요소로서 동작하도록 사용될 수 있다.
유리하게, 일부 예증적인 양태에서, ABDSC는 예를 들어, 전류 소비가 낮고, 포트 간의 격리가 높고, 삽입 손실이 낮으며, 모든 포트에서 매칭 성능이 양호한 예를 들어, 작은 사이즈의 패키지에서도 구현될 수 있다. 일부 양태에서, ABDSC는 이러한 속성, 기술적 이점 및/또는 이득의 일부 또는 전부를 제공하고 및/또는 하나 이상의 추가 또는 대안의 속성 및/또는 기술적 이점 및/또는 이득을 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, ABDSC는 예를 들어, 아래에서 설명되는 바와 같이, 결합 모드 및/또는 분할 모드와 같은 두 개의 동작 모드에서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 결합 모드에서, 예를 들어 아래에서 설명되는 바와 같이, 저 전류 증폭기는 RF 부하/소스 및/또는 변압기를 통해 전류를 구동할 수 있고, 그 결과 하나 이상의, 예를 들어, 모든 활성 증폭기로부터의 전류를 결합할 수 있다.
일부 예증적인 양태에서, 예를 들어, 분할 모드에서, 공통 입력은 예를 들어, 아래에서 설명되는 바와 같이, RF 부하/소스, 예를 들어, RF 소스, 및/또는 변압기에 의해 다수의 증폭기를 구동할 수 있다.
일부 예증적인 양태에서, ABDSC는 예를 들어 하나 이상의 기술적 이득 및/또는 이점을 예를 들어, 5G, WiGig 등과 같은 다수의 방사 요소를 지원하는 적어도 일부 애플리케이션에 제공하도록 구성되어, 예를 들어, 심지어 개선된 또는 최적의 전력 결합 및/또는 전력 분할을 가능하게 할 수 있다. 예를 들어, 5G 및/또는 WiGig 디바이스는 위상 배열 안테나 및/또는 다중 입력 다중 출력(MIMO) 아키텍처를 포함할 수 있다. 다른 양태에서, ABDSC는 임의의 다른 기술로 구현될 수 있고 및/또는 다른 무선 통신 주파수 대역 및/또는 디바이스와 관련하여 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어, 아래에서 설명되는 바와 같이, ABDSC는 예를 들어 캐스코드 토폴로지에 따라 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, ABDSC는 공통 소스(Common-Source)(CS) 토폴로지에 따라 구현될 수 있다.
일부 예증적인 양태에서, 에어 아래에서 설명되는 바와 같이, ABDSC는 예를 들어 공통 소스/공통 게이트(Common-Gate)(CG/CS) 토폴로지에 따라 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어, 아래에서 설명되는 바와 같이, ABDSC는 예를 들어 공통 게이트 기술(CG)에 따라 구현될 수 있다.
다른 양태에서, ABDSC는 토폴로지의 조합에 기초하여 및/또는 임의의 다른 부가적인 또는 대안적인 토폴로지에 따라 구현될 수 있다.
일부 예증적인 양태에서, ABDSC는 전력 결합/분배 회로의 일부로서 포함될 수 있고 및/또는 전력 결합/분배 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(430)(도 4)의 일부로서 수행할 수 있다.
이제 일부 예증적인 양태에 따른 ABDSC(376100)의 캐스코드 토폴로지를 포함하는 송수신기(376000)의 블록도를 개략적으로 도시하는 도 376이 참조된다.
일부 예증적인 양태에서, 예를 들어, 아래에서 설명되는 바와 같이, 송수신기(376000)는 하나 이상의 Tx 신호를 송신하고, 하나 이상의 Rx 신호를 수신하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(376000)는 예를 들어, 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 60 GHz 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기는 반이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(376000)는 5G 셀룰러 송수신기를 포함할 수 있다.
다른 양태에서, 송수신기(376000)는 임의의 다른 유형의 송수신기를 포함할 수 있고 및/또는 임의의 다른 주파수 대역을 통해 Tx 및/또는 Rx 신호를 통신하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(376000)는 하나 이상의 안테나(376200)를 포함할 수 있고 및/또는 하나 이상의 안테나(376200)에 동작 가능하게 연결될 수 있다.
일부 예시적인 양태에서, 안테나(376200)는 하나 이상의 위상 어레이 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드와 분할기 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드에서 각각의 복수의 안테나 포트(376190)로부터 복수의 Rx 신호를 수신하고, 분할기 모드에서 복수의 Tx 신호를 각각의 복수의 안테나 포트(376190)로 출력하도록 구성될 수 있는 복수의 안테나 인터페이스(376115)를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는, 예를 들어 아래에서 설명되는 바와 같이, ABDSC(376100)를 증폭 회로(376105)에 동작 가능하게 연결하는 RF 부하/소스(376101), 예를 들어, 변압기(376110)를 포함할 수 있다.
일부 예증적인 양태에서, RF 부하/소스, 예를 들어, RF 부하/소스(376101), 예를 들어, 변압기(376110)의 임피던스는 분할기 모드에서, 증폭 회로(376105)로부터 Tx 신호를 복수의 안테나 인터페이스(376115)에 전달하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, RF 부하/소스(376101), 예를 들어, 변압기(376110)는 결합기 모드에서, 복수의 Rx 신호를 증폭 회로(376105)에 제공될 결합된 Rx 신호로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 안테나 인터페이스(376115)의 안테나 인터페이스(376115)는 예를 들면, 트랜지스터에 의해, 예를 들어 제 1 트랜지스터 쌍의 트랜지스터(376130)에 의해, 예를 들어 분할기 모드에서 활성화되고, 결합기 모드에서 비활성화될 수 있는, 캐스코드 연결의 제 1 트랜지스터 쌍, 예를 들면 트랜지스터(376120 및 376130)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 안테나 인터페이스(376115)는 예를 들어, 트랜지스터에 의해, 예를 들면 제 2 트랜지스터 쌍의 트랜지스터(376150)에 의해, 예를 들어 결합기 모드에서 활성화되고, 분할기 모드에서 비활성화될 수 있는, 캐스코드 연결의 제 2 트랜지스터 쌍, 예를 들면 트랜지스터(376140 및 376150)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제 1 트랜지스터 쌍, 예를 들어 트랜지스터(376120 및 376130)는 제 1 FET 쌍을 포함 할 수 있고, 및/또는 제 2 트랜지스터 쌍, 예를 들어 트랜지스터(376140 및 376150)는 제 2 FET 쌍을 포함할 수 있다. 다른 양태에서, 임의의 다른 형태의 트랜지스터가 사용될 수 있다.
일부 예증적인 양태에서, 복수의 안테나 인터페이스(376115)는 예를 들어 아래에서 설명되는 바와 같이, 적어도 네 개의 안테나 인터페이스를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(376115)의 개수는 안테나 포트 및/또는 안테나의 개수와 유사할 수 있다. 예를 들어, 네 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(376100)는 네 개의 안테나 인터페이스(376115)를 포함할 수 있다. 이 예에서, ABDSC(376100)은 1:4 ABDSC라고 지칭할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어 여섯 개의 안테나 및/또는 안테나 포트로부터/로 신호를 분할 및/또는 결합하기 위해 여섯 개의 안테나 인터페이스(376115)를 포함할 수 있다. 이 예에서, ABDSC(376100)은 1:6 ABDSC라고 지칭할 수 있다.
다른 양태에서, ABDSC(376100)는 임의의 다른 개수의 안테나 인터페이스(376115)를 포함 할 수 있고, 및/또는 ABDSC(376100)은 임의의 다른 1:x ABDSC를 포함 할 수 있으며, 여기서 x> 1 이다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터(376120, 376130, 376140 및/또는 376150)는 FET, 금속 산화 반도체 FET(MOSFET) 트랜지스터, 바이폴라 접합 트랜지스터(bipolar junction transistor)(BJT) 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다. MOSFET 트랜지스터는 네거티브 MOSFET(NMOS) 및/또는 포지티브 MOSFET(PMOS)를 포함할 수 있다. 예를 들어, BJT는 네거티브-포지티브-네거티브(Negative-Positive-Negative)(NPN) 트랜지스터 및/또는 포지티브-네거티브-포지티브(Positive-Negative-Positive)(PNP) 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터(376120, 376130, 376140 및/또는 376150)는 NMOS 트랜지스터, PMOS 트랜지스터 및/또는 NMOS 및/또는 PMOS 트랜지스터의 조합을 포함할 수 있다.
유리하게, NMOS 트랜지스터와 PMOS 트랜지스터의 조합은 예를 들어, DC 블록 캐패시터와 같은 ABDSC(376100)의 구성요소의 개수를 줄이고, 상이한 바이어싱 조건하에서 트랜지스터의 기생 성분을 감소시키고, 및/또는 ABDSC(376100)의 전체 성능을 개선할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어, 트랜지스터(376120)에 동작 가능하게 연결된 저항기(376180)를 포함할 수 있다. 예를 들어, 저항기(376180)는 1적어도 트랜지스터(Q1)(376120)의 드레인(D)을 바이어싱하도록 구성된 50 Ω 저항 및/또는 임의의 다른 적절한 값을 가질 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 능동 부하(376180), 예를 들어 저항을 포함할 수 있다. 예를 들어, 능동 부하(376180)는 예를 들어 3극 영역(triode region)에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어 트랜지스터(376120)의 게이트(G)에 DC 전압을 제공하도록 동작 가능하게 연결된 직류(Direct Current)(DC) 전압 소스(376160)를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 예를 들어 저항기(376180)를 통해 트랜지스터(376120)의 드레인(D)에 DC 전압을 제공하도록 동작 가능하게 연결된 DC 전압 소스(376170)를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는, 예를 들어 아래에서 설명되는 바와 같이, ABDSC(376100)를 분할기 모드와 결합기 모드 사이에서 제어 가능하게 스위칭하도록 구성될 수 있는 제어기 회로(376107)를 포함 할 수 있고 및/또는 제어기 회로(376107)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 제어기 회로(376107)는 예를 들어 분할기 모드에서 트랜지스터(Q4)(376150)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 분할기 모드에서, RF 부하/소스(376101), 예를 들어, 변압기(376110)는 예를 들어, 증폭 회로(376105)로부터 제공된 RF 신호를 적어도 일부 트랜지스터, 예를 들어 트랜지스터(376130)에 제공할 수 있다. 예를 들어, 복수의 안테나(376200) 중 하나 이상의 안테나, 예를 들어 각각의 안테나에 제공되는 신호는 트랜지스터(376120)의 드레인(D)으로부터 제공될 수 있다.
일부 예증적인 양태에서, 제어기 회로(376105)는 예를 들어, 결합기 모드에서 트랜지스터(Q4)(376120)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 결합기 모드에서, 복수의 안테나(376200) 중 하나 이상의 안테나, 예를 들어 각각의 안테나로부터의 RF 신호는 트랜지스터(376140)의 게이트(G)에 제공될 수 있다. 예를 들어, 결합기 모드에서, 예를 들어 복수의 안테나 인터페이스(376115)의 각각의 안테나 인터페이스의 트랜지스터(376150)는 안테나 신호를 RF 부하/소스(376101), 예를 들어, 변압기(376110)에 제공할 수 있다. 예를 들어, RF 부하/소스(376101), 예를 들어, 변압기(376110)는 복수의 안테나 인터페이스(376115)의 트랜지스터(376150)로부터의 신호를 결합하여 결합된 신호를 증폭 회로(376105)에 제공할 수 있다.
다음은 예를 들어 일부 예증적인 양태에 따라 결합기 모드 및 분할기 모드에서 1:4 ABDSC, 예를 들면 1:4 ABDSC(376100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T1]
Figure pct00209
다음은 예를 들어, 일부 예증적인 양태에 따른, 결합기 모드 및 분할기 모드에서 1:6 ABDSC, 예를 들면 1:6 ABDSC(376100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T2]
Figure pct00210
일부 예증적인 양태에서, 증폭 회로(376105)는 예를 들어 Tx 신호를 증폭하는 적어도 하나의 전력 증폭기(PA), 및/또는 Rx 신호를 증폭하는 적어도 하나의 저잡음 증폭기(LNA)를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(376100)는 증폭 회로(376130)의 양방향 증폭기로부터 Tx 신호를 수신하고 및/또는 결합된 Rx 신호를 증폭 회로(376150)의 양방향 증폭기에 제공하도록 동작 가능하게 연결될 수 있다. 예를 들어, 증폭 회로(376105)는, 예를 들어 아래에서 설명되는 바와 같이, 양방향 증폭기(372205)(도 372)의 하나 이상의 요소를 포함하고 및/또는 양방향 증폭기(372205)(도 372)의 하나 이상의 기능성을 수행하도록 구성될 수 있다.
다른 양태에서, 증폭 회로(376150)는 예를 들어 양방향 증폭기 대신에, Tx 증폭기 및 Rx 증폭기와 같은 하나 이상의 개별 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 증폭 회로(376105)는 결합된 Rx 신호를 증폭된 Rx 신호로 증폭하도록 구성될 수 있고 및/또는 상향 변환된 Tx 신호를 증폭함으로써 Tx 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(376000)는 IF Tx 신호를 상향 변환된 Tx 신호로 상향 변환하고 및/또는 증폭된 Rx 신호를 하향 변환하도록 구성될 수 있는 믹서, 예를 들어 믹서(372225)(도 372)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(376000)는 IF 서브 시스템(372170)(도 372)의 하나 이상의 요소를 포함하는 IF 회로를 포함하여 하나 이상의 IF 신호를 믹서에 제공할 수 있다. 예를 들어, IF 회로는 IF Rx 신호에 기초하여 제 1 디지털 신호를 생성하고 및/또는 제 2 디지털 신호에 기초하여 IF Tx 신호를 생성하도록 구성될 수 있다.
이제 일부 예증적인 양태에 따른 ABDSC(377100)의 공통 소스 토폴로지의 회로도를 개략적으로 도시하는 도 377이 참조된다.
일부 예증적인 양태에서, 예를 들어, ABDSC(377100)는 예를 들어 ABDSC(376100)(도 376) 대신에, 송수신기의 일부로서, 예를 들어 송수신기(376000)(도 376)의 일부로서 구현될 수 있다. 본 명세서에 설명된 RABDSC는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 전력 결합 및 분할 회로(374))에 통합될 수 있지만, ABDSC는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, ABDSC(377100)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드와 분할기 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, ABDSC(377100)는, 예를 들어 아래에서 설명되는 바와 같이, RF 부하/소스(377101), 변압기(377110) 및 복수의 안테나 인터페이스(377115)를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)의 개수는 예를 들어, 안테나 포트 및/또는 안테나의 개수와 유사할 수 있다. 예를 들어, 네 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(377100)는 네 개의 안테나 인터페이스(377115)를 포함할 수 있다. 예를 들어, ABDSC(377100)는 1:4 ABDSC라고 지칭할 수 있다. 네 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(377100)는 네 개의 안테나 인터페이스(377115)를 포함할 수 있다. 예를 들어, ABDSC(377100)는 1:6 ABDSC라고 지칭할 수 있다. 다른 양태에서, ABDSC(377100)는 임의의 다른 개수의 안테나 인터페이스(377115)를 포함 할 수 있고, 및/또는 ABDSC(377100)은 임의의 다른 1:x ABDSC를 포함 할 수 있으며, 여기서 x> 1 이다.
일부 예증적인 양태에서, 복수의 안테나 인터페이스(377115)의 안테나 인터페이스(377115)는 예를 들어 공통 소스 연결을 갖는 제 1 트랜지스터(377120)를 포함할 수 있다. 예를 들어, 트랜지스터(377120)는 예를 들어 아래에서 설명되는 바와 같이, 분할기 모드에서 활성화될 수 있고, 결합기 모드에서 비활성화될 수 있다.
일부 예증적인 양태에서, 복수의 안테나 인터페이스(377115)는 공통 소스 연결부를 갖는 제 1 트랜지스터(377130)를 포함할 수 있다. 예를 들어, 트랜지스터(377130)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드에서 활성화될 수 있고, 분할기 모드에서 비활성화될 수 있다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터(377120 및 377130)는 FET, MOSFET 트랜지스터, BJT 등을 포함할 수 있다. 예를 들어, MOSFET는 NMOS 및/또는 PMOS 트랜지스터를 포함할 수 있다. 예를 들어, BJT는 NPN 및/또는 PNP 트랜지스터를 포함할 수 있다.
하나의 예에서, 트랜지스터(377120 및/또는 377130)는 NMOS 트랜지스터, PMOS 트랜지스터 및/또는 NMOS와 PMOS 트랜지스터의 조합을 포함할 수 있다.
유리하게, NMOS 트랜지스터와 PMOS 트랜지스터의 조합은 예를 들어, DC 블록 캐패시터와 같은 ABDSC(377100)의 구성요소의 개수를 줄이고, 상이한 바이어싱 조건하에서 트랜지스터의 기생성분을 감소시키며 ABDSC(377100)의 전체 성능을 개선할 수 있다.
다른 양태에서, 트랜지스터(375120 및/또는 377130)는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)는 트랜지스터(377120)의 드레인(D)에 동작 가능하게 연결될 수 있는 저항기(377180)를 포함할 수 있다. 예를 들어, 저항기(377180)는 예를 들어, 트랜지스터(377120)의 드레인(D)을 바이어싱하기 위한 150 Ω 저항 및/또는 임의의 다른 적절한 값을 가질 수 있다. 일부 다른 양태에서, 안테나 인터페이스(377115)는 부하(377180), 예를 들면 저항기(377180)를 포함할 수 있다. 예를 들어, 부하(377180)는 능동 부하 예를 들어, 트랜지스터의 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)는 예를 들어 트랜지스터(377120)의 게이트(G)에 동작 가능하게 연결될 수 있는 저항기(377185)와 같은 고저항 구성요소를 포함할 수 있다. 예를 들어, 저항기(377185)는 예를 들어, 트랜지스터(377120)의 게이트(G)를 바이어싱하기 위한 2 KΩ 저항 및/또는 임의의 다른 적합한 값을 가질 수 있다. 일부 다른 양태에서, 저항기(377185)는 능동 부하, 예를 들어 3 극성 영역에 있도록 구성된 트랜지스터 및/또는 임의의 다른 능동 부하로 대체될 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)는 트랜지스터(377130)의 게이트(G)에 동작 가능하게 연결될 수 있는 저항기(377190)를 포함할 수 있다. 예를 들어, 저항기(377190)는 예를 들어, 트랜지스터(Q1)(377130)의 게이트(G)를 바이어싱하기 위한 2 KΩ 저항 및/또는 임의의 다른 적합한 값을 가질 수 있다. 일부 다른 양태에서, 저항기(377190)는 능동 부하, 예를 들어 3 극성 영역에 있도록 구성된 트랜지스터 및/또는 임의의 다른 능동 부하로 대체될 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)는 트랜지스터(377120)의 게이트(G)에 동작 가능하게 연결될 수 있는 캐패시터(377140)를 포함할 수 있다. 예를 들어, 캐패시터(377140)는 예를 들어, 트랜지스터(377120)의 게이트 바이어싱 전압으로부터 변압기(37110)를 분리하도록 구성될 수 있는, Q 인자가 15인 60 GHz 대역 용도의 100 펨토 패러드(fF)와 같은 저/중 Q 캐패시터를 포함할 수 있다. 다른 양태에서, 임의의 다른 캐패시턴스 값 및 Q 인자가 사용될 수 있다. 다른 양태에서, 예를 들어, PMOS 및/또는 NMOS 트랜지스터가 함께 사용될 수 있을 때, 캐패시터는 불필요할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(377115)는 트랜지스터(377130)의 게이트(G)에 동작 가능하게 연결될 수 있는 캐패시터(377150)를 포함할 수 있다. 예를 들어, 캐패시터(377150)는 예를 들어, 트랜지스터(377130)의 게이트 바이어싱 전압으로부터 트랜지스터(377120)의 드레인 바이어스를 분리하도록 구성될 수 있는 Q 인자가 15인 60 GHz 대역 용도의 100 fF와 같은 저/중 Q 캐패시터를 포함할 수 있다. 다른 양태에서, 임의의 다른 캐패시턴스 값 및 Q 인자가 사용될 수 있다. 다른 양태에서, 예를 들어, PMOS 및/또는 NMOS 트랜지스터가 함께 사용될 수 있을 때, 캐패시터는 불필요할 수 있다.
일부 예시적인 양태에서, 트랜지스터(377120 및/또는 377130)는 이중 기능성으로 동작하도록 구성될 수 있다. 예를 들어, 제 1 기능성 모드에서, 트랜지스터(377120 및/또는 377130)는 증폭기로서 기능할 수 있고, 및/또는 제 2 기능 모드에서, 트랜지스터(377120 및/또는 377130)는 스위치로서 기능할 수 있다. 예를 들어, 트랜지스터(377120 및/또는 377130)는 예를 들어 아래에 설명되는 바와 같이, 예를 들어 분할기 방향 및/또는 결합기 방향 사이에서 ABDSC(377100)의 방향성을 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, DC 전압 소스(377160)는 DC 전압을 트랜지스터(377120)의 게이트(G)에 공급할 수 있다. 예를 들어, DC 전압 소스(377170)는 DC 전압을 예를 들어 저항기(377180)를 통해 트랜지스터(377120)의 드레인(D)에 공급할 수 있다.
일부 예증적인 양태에서, ABDSC(377100)는 예를 들어 아래에서 설명되는 바와 같이, ABDSC(377100)를 분할기 모드와 결합기 모드 사이에서 제어 가능하게 스위칭하도록 구성될 수 있는 제어기 회로(376107)(도 376)를 포함할 수 있고 및/또는 제어기 회로(376107)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 제어기 회로(376107)(도 376)는 예를 들어 분할기 모드에서 트랜지스터(377130)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 분할기 모드에서, RF 부하/소스(377101), 예를 들면, 변압기(377110)는 예를 들어, 증폭 회로(376105)로부터 제공된 RF 신호를 안테나 인터페이스(37115)의 적어도 일부 트랜지스터, 예를 들면 트랜지스터(377120)에 제공할 수 있다. 예를 들어, 복수의 안테나, 예를 들면 안테나(376200)(도 376) 중 하나 이상의 안테나, 예를 들어 각각의 안테나에 제공되는 신호는 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(377120)의 드레인(D)으로부터 제공될 수 있다.
일부 예증적인 양태에서, 제어기 회로(376107)(도 376)는 예를 들어, 결합기 모드에서 트랜지스터(377120)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 결합기 모드에서, 복수의 안테나, 예를 들면 안테나(376200)(도 376) 중 하나 이상의 안테나, 예를 들어 각각의 안테나로부터의 RF 신호는 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(377130)의 게이트(G)에 제공될 수 있다. 예를 들어, 결합기 모드에서, 예를 들어 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(377130)는 안테나 신호를 RF 부하/소스(377101), 예를 들면 변압기(377110)에 제공할 수 있다. 예를 들어, RF 부하/소스(377101), 예를 들면 변압기(377110)는 하나 이상의 안테나 인터페이스(377115)의 트랜지스터(377130)로부터의 신호를 결합할 수 있고, 결합된 신호를 증폭 회로(376105)(도 376)에 제공할 수 있다.
다음은 예를 들어 일부 예증적인 양태에 따라 결합기 모드 및 분할기 모드에서 1:4 ABDSC, 예를 들면 1:4 ABDSC(377100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T3]
Figure pct00211
다음은 예를 들어 일부 예증적인 양태에 따라 결합기 모드 및 분할기 모드에서 1:6 ABDSC, 예를 들면 1:6 ABDSC(377100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T4]
Figure pct00212
이제 일부 예증적인 양태에 따른 ABDSC(378100)의 공통 게이트 토폴로지를 개략적으로 도시하는 도 378이 참조된다.
일부 예증적인 양태에서, 예를 들어, ABDSC(378100)는 예를 들어 ABDSC(376100)(도 376) 대신에, 송수신기의 일부로서, 예를 들어 송수신기(376000)(도 376)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, ABDSC(378100)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드와 분할기 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, ABDSC(378100)는 예를 들어 아래에서 설명되는 바와 같이, RF 부하/소스(378101), 예를 들면 변압기(378110) 및 복수의 안테나 인터페이스(378115)를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(378115)의 개수는 예를 들어, 안테나 포트 및/또는 안테나의 개수와 유사할 수 있다. 예를 들어, 네 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(378100)는 네 개의 안테나 인터페이스(378115)를 포함할 수 있다. 예를 들어, ABDSC(378100)는 1:4 ABDSC라고 지칭될 수 있다. 여섯 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(378100)는 여섯 개의 안테나 인터페이스(378115)를 포함할 수 있다. 예를 들어, ABDSC(378100)는 1:6 ABDSC라고 지칭될 수 있다. 다른 양태에서, ABDSC(378100)는 임의의 다른 개수의 안테나 인터페이스(17115)를 포함할 수 있고, 및/또는 ABDSC(377100)는 임의의 다른 1:x ABDSC를 포함할 수 있으며, 여기서 x> 1 이다.
일부 예증적인 양태에서, 복수의 안테나 인터페이스(378115)의 안테나 인터페이스(378115)는 예를 들어 공통 게이트 연결부를 갖는 트랜지스터(378120)를 포함할 수 있다. 예를 들어, 트랜지스터(378120)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 모드에서 트랜지스터(378120)의 드레인에서 드레인 전압(Vd), 트랜지스터(378120)의 소스에서 소스 전압(Vs), 및 트랜지스터(378120)의 게이트에서 게이트 전압(Vg)을 수신할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 트랜지스터(378120)는 분할기 모드에서 드레인에서 소스 전압(Vs), 소스에서 드레인 전압(Vd) 및 게이트에서 게이트 전압(Vg)을 수신할 수 있다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터(378120)는 FET, MOSFET 트랜지스터, BJT 등을 포함할 수 있다. 예를 들어, MOSFET는 NMOS 및/또는 PMOS 트랜지스터를 포함할 수 있다.
유리하게, NMOS 및/또는 PMOS 트랜지스터의 조합은 ABDSC(378100)의 구성요소의 개수를 줄이고, 상이한 바이어싱 조건하에서 트랜지스터의 기생성분을 감소시키며 ABDSC(378100)의 전체 성능을 개선할 수 있다.
일부 예증적인 양태에서, 복수의 안테나 인터페이스(378115)의 안테나 인터페이스(378115), 예를 들면 각각의 안테나 인터페이스(378115)는 트랜지스터(378120)에 동작 가능하게 연결될 수 있는 저항기(378180)를 포함할 수 있다. 예를 들어, 저항기(378180)는 예를 들어, 트랜지스터(Q1)(378120)의 드레인(D)을 바이어싱하기 위한 150 Ω 저항 및/또는 임의의 다른 적절한 값을 가질 수 있다. 일부 다른 양태에서, 안테나 인터페이스(378115)는 레지스터(378120) 대신에, 능동 부하, 예를 들어 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 결합기 모드에서, 복수의 안테나(376200) 중 하나 이상의 안테나, 예를 들어 각각의 안테나로부터의 RF 신호는 트랜지스터(378120)의 드레인(D)에 제공될 수 있다.
일부 예시적인 양태에서, 소스 전압(Vs)은 트랜지스터(378120)의 드레인(D)에 제공될 수 있다. 예를 들어, 트랜지스터(378120)는 RF 신호를 RF 부하/소스(378101), 예를 들면 변압기(378110)에 제공하도록 구성될 수 있다. RF 부하/소스(378101), 예를 들면 변압기(378110)는 복수의 안테나, 예를 들면 안테나(376200)(도 376) 중 하나 이상의 안테나, 예를 들어 각각의 안테나로부터의 신호를 결합할 수 있고, 결합된 신호를 증폭 회로, 예를 들면 증폭 회로(376105)(도 376)에 제공할 수 있다.
일부 예증적인 양태에 따라, 이제 ABDSC(379100)의 공통 게이트/공통 소스(CS/CG) 토폴로지를 개략적으로 도시하는 도 379가 참조된다.
일부 예증적인 양태에서, 예를 들어, ABDSC(379100)는 예를 들어 ABDSC(376100)(도 376) 대신에, 송수신기의 일부로서, 예를 들어 송수신기(376000)(도 376)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(379115)의 개수는 예를 들어, 안테나 포트 및/또는 안테나의 개수와 유사할 수 있다. 예를 들어, 네 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(379100)는 네 개의 안테나 인터페이스(379115)를 포함할 수 있다. 예를 들어, ABDSC(379100)는 1:4 ABDSC라고 지칭될 수 있다. 여섯 개의 안테나 및/또는 안테나 포트의 경우, ABDSC(379100)는 여섯 개의 안테나 인터페이스(379115)를 포함할 수 있다. 예를 들어, ABDSC(379100)는 1:6 ABDSC라고 지칭될 수 있다.
일부 예증적인 양태에서, 예를 들어, ABDSC(379100)는 두 개의 변압기 및/또는 RF 부하/소스 및 여섯 개의 안테나 인터페이스(19115)를 포함할 수 있다. 이 예에서, ABDSC(379100)은 2:6 ABDSC라고 지칭될 수 있다.
다른 양태에서, ABDSC(379100)는 임의의 다른 개수의 안테나 인터페이스(379115)를 포함할 수 있고, 및/또는 ABDSC(379100)는 임의의 다른 1:x ABDSC를 포함할 수 있으며, 여기서 x> 1 이다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 안테나 인터페이스(379115) 중 하나 이상의 안테나 인터페이스(379115) 예를 들어, 각각의 안테나 인터페이스(379115)는 공통 게이트 연결부를 갖고 결합기 모드에서 활성화되고, 분할기 모드에서 비활성화되도록 구성된 제 1 트랜지스터(379130); 및 공통 소스 연결부를 가지며, 분할기 모드에서 활성화되고, 결합기 모드에서 비활성화되도록 구성된 제 2 트랜지스터(379120)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 트랜지스터(379120 및 379130)는 FET, MOSFET 트랜지스터, BJT 등을 포함할 수 있다. 예를 들어, MOSFET는 NMOS 및/또는 PMOS 트랜지스터를 포함할 수 있다. 예를 들어, BJT는 NPN 및/또는 PNP 트랜지스터를 포함할 수 있다.
하나의 예에서, 트랜지스터(379120 및/또는 379130)는 NMOS 트랜지스터, PMOS 트랜지스터 및/또는 NMOS와 PMOS 트랜지스터의 조합을 포함할 수 있다.
유리하게, NMOS 및 PMOS 트랜지스터의 조합은 ABDSC(379100)의 구성요소의 개수를 줄이고, 상이한 바이어싱 조건하에서 트랜지스터의 기생성분을 감소시키며 안테나 인터페이스 ABDSC(379100)의 전체 성능을 개선할 수 있다.
다른 양태에서, 트랜지스터(375120 및/또는 379130)는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(379115)는 트랜지스터(379120)의 드레인(D)에 동작 가능하게 연결될 수 있는 저항기(379180)를 포함할 수 있다. 예를 들어, 저항기(379180)는 예를 들어, 트랜지스터(Q1)(379120)의 드레인(D)을 바이어스하도록 구성된 150 Ω 저항 및/또는 임의의 다른 적절한 값을 가질 수 있다. 일부 다른 양태에서, 안테나 인터페이스(379115)는 레지스터(379180) 대신에, 능동 부하, 예를 들어 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(379115)는 트랜지스터(379120)의 게이트(G)에 동작 가능하게 연결될 수 있는 저항기(379185)를 포함할 수 있다. 예를 들어, 저항기(379185)는 예를 들어, 트랜지스터(379120)의 게이트(G)를 바이어스하도록 구성된 2 KΩ 저항 및/또는 임의의 다른 적합한 값을 가질 수 있다. 일부 다른 양태에서, 안테나 인터페이스(379115)는 레지스터(379185) 대신에, 능동 부하, 예를 들어 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(379115)는 트랜지스터(379190)의 게이트(G)에 동작 가능하게 연결될 수 있는 저항기(19190)를 포함할 수 있다. 예를 들어, 저항기(19190)는 예를 들어, 트랜지스터(379130)의 게이트(G)를 바이어스하도록 구성될 수 있는 2 KΩ 저항 및/또는 임의의 다른 적합한 값을 포함할 수 있다. 일부 다른 양태에서, 안테나 인터페이스(379115)는 레지스터(377180) 대신에, 능동 부하, 예를 들어 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 안테나 인터페이스(379115)는 트랜지스터(379120)의 게이트(G)에 동작 가능하게 연결될 수 있는 캐패시터(379140)를 포함할 수 있다. 예를 들어, 캐패시터(379140)는 예를 들어, 트랜지스터(379120)의 게이트 바이어싱 전압으로부터 변압기(37110)를 분리하도록 구성될 수 있는, Q 인자가 15 인 60 GHz 대역 용도의 100 fF의 저/중 Q 캐패시터를 포함할 수 있다. 일부 예증적인 양태에서, 예를 들어, 트랜지스터(379120)는 공통 소스 토폴로지로 구현될 수 있고, 및/또는 트랜지스터(379130)는 공통 게이트 토폴로지로 구현될 수 있다. 예를 들어, DC 전압 소스(379150)는 DC 전압을 트랜지스터(379130)의 드레인(D)에 공급할 수 있다. 예를 들어, DC 전압 소스(379155)는 필요하다면, DC 전압을 트랜지스터(379120)의 소스(S)에 공급할 수 있다. 예를 들어, DC 전압 소스(379160)는 DC 전압을 예를 들어 저항기(379190)를 통해 트랜지스터(379130)의 드레인(D)에 공급할 수 있다. 예를 들어, DC 전압 소스(379165)는 DC 전압을 예를 들어 저항기(379185)를 통해 트랜지스터(379120)의 게이트(G)에 공급할 수 있다. 예를 들어, DC 전압 소스(379170)는 DC 전압을 예를 들어 트랜지스터(379180)를 통해 트랜지스터(Q1)(379120)의 드레인(D)에 공급할 수 있다. 일부 다른 양태에서, 저항기(379190 및 379185)는 저항기(377180) 및/또는 전류 미러로서 능동 부하로 대체될 수 있다. 예를 들어, 저항기(379180)는 트랜지스터의 3 극 영역에 있도록 구성된 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, ABDSC(379100)는 예를 들어 아래에서 설명되는 바와 같이, ABDSC(379100)를 분할기 모드와 결합기 모드 사이에서 제어 가능하게 스위칭하도록 구성될 수 있는 제어기 회로(376107)(도 376)를 포함할 수 있고 및/또는 제어기 회로(376107)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 제어기 회로, 예를 들면 제어기 회로(376107)(도 376)는 예를 들어 분할기 모드에서 트랜지스터(379130)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 분할기 모드에서, 변압기(379110)는 예를 들어, 증폭 회로(376105)(도 376)로부터 제공된 RF 신호를 복수의 안테나 인터페이스(37115)의 적어도 일부 트랜지스터, 예를 들면 트랜지스터(379120)에 제공할 수 있다. 예를 들어, 복수의 안테나 중 하나 이상의 안테나, 예를 들어 각각의 안테나, 예를 들면 안테나(376200)(도 376)에 제공되는 신호는 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(379120)의 드레인(D)으로부터 제공될 수 있다.
일부 예증적인 양태에서, 제어기 회로(376107)(도 376)는 예를 들어, 결합기 모드에서 트랜지스터(379120)를 오프 상태로 스위칭하도록 구성될 수 있다. 예를 들어, 복수의 안테나, 예를 들면 안테나(376200)(도 376)의 각각의 안테나로부터의 RF 신호는 예를 들어 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(379130)의 소스(S)에 제공될 수 있다. 예를 들어, 복수의 안테나 인터페이스(377115) 중 하나 이상의 안테나 인터페이스, 예를 들어 각각의 안테나 인터페이스의 트랜지스터(379130)는 안테나 신호를 변압기(377110)에 제공할 수 있다. 예를 들어, 변압기(377110)는 하나 이상의 안테나 인터페이스(377115)의 트랜지스터(379130)로부터의 신호를 결합할 수 있고, 결합된 신호를 증폭 회로(376105)(도 376)에 제공할 수 있다.
다음은 예를 들어, 일부 예증적인 양태에 따라, 결합기 모드 및 분할기 모드에서 1:4 ABDSC, 예를 들면 1:4 ABDSC(379100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T5]
Figure pct00213
다음은 예를 들어, 일부 예증적인 양태에 따라, 결합기 모드 및 분할기 모드에서 1:6 ABDSC, 예를 들면 1:6 ABDSC(379100)에 의해 달성될 수 있는 시뮬레이션된 파라미터의 하나의 예이다:
[표 T6]
Figure pct00214
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 예를 들어 아래에서 설명되는 바와 같이, RF 신호를 증폭하도록 구성될 수 있는 적어도 하나의 디지털 클래스 E 스택 PA를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 밀리미터 파(mm-Wave) 5G 애플리케이션 및/또는 임의의 다른 구현예에 높은 데이터 레이트를 지원하는 일부 유스 케이스, 시나리오 및/또는 구현예에서, 스펙트럼적으로 효율적인 극변조 콘스텔레이션, 예를 들어 다중 레벨 진폭 위상 편이 변조(Multi-level Amplitude-Phase Shift Keying)(M-APSK), 및/또는 데카르트 콘스텔레이션(Cartesian constellation), 예를 들어 높은 속도, 높은 진폭 및/또는 위상 분해능이 가능한 예를 들어, 다중 레벨 직교 진폭 변조(Multi-Level Quadrature Amplitude Modulation)(m-QAM)를 실현하기 위한 기술적 필요성이 있을 수 있다.
일부 예증적인 양태에서, 예를 들어, mmWave 송신기 프론트-엔드에서, 고 진폭 분해능을 실현하기 위해, 예를 들어 아래에서 설명되는 바와 같이, 송신기 내의 mmWave PA는 복수의 세그멘트, 예를 들어, 바이너리 스케일링된(binary scaled) 세그멘트로 세그멘트화될 수 있다.
일부 예증적인 양태에서, 예를 들어, 하나 이상의 증폭기 세그멘트는 예를 들어 각각의 증폭기 세그멘트 조차도, 예를 들어 아래에서 설명되는 바와 같이, 원하는 진폭 분해능을 실현하기 위해 디지털 방식으로 제어될 수 있다.
일부 예증적인 양태에서, 두 개의 트랜지스터가 직렬로 적층된, 예를 들어 다른 트랜지스터 위에 하나의 트랜지스터가 연결된 스위칭 전력 증폭기 아키텍처, 예를 들면 클래스-E/클래스-F PA 등이 직렬 변조 제어 스위치의 손실을 완화하기 위해 사용될 수 있다. 예를 들어, 적층 상부 트랜지스터(stacked top transistor)가 변조 제어 스위치로서 동작하도록 구성될 수도 있다. 예를 들어, 상부 트랜지스터의 게이트 전압은 제어 신호에 의해 디지털 방식으로 제어되어, 예를 들어 상부 트랜지스터의 전류가 하부 트랜지스터를 셧 다운시켜 예를 들어, 제어 신호의 디지털 제어 비트에 따라, 예를 들어, 변조된 출력 진폭, 예를 들어 mm-파 변조된 출력 진폭이 강제로 높거나 낮아지게 할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, N-비트 분해능 디지털 전력 증폭기는 N 개의 동일한 적층 트랜지스터 세그멘트를 복제하고 바이너리 스케일링함으로써 구현될 수 있다.
일부 예증적인 양태에서, N-비트 분해능 디지털 전력 증폭기는 예를 들어, 증폭기 세그멘트의 각각의 입력에서 손실이 많은 직렬 스위치의 필요성을 제거하도록 구성될 수 있다.
일부 예증적인 양태에서, 상부 적층 트랜지스터는 예를 들어 이하에 설명되는 바와 같이, 변조 제어 스위치뿐만 아니라 전력 증폭 스테이지라는 이중 역할을 수행할 수 있다. 예를 들어, 변조 스위치 기생 성분을 예를 들어 mm-파 PA 설계 네트워크에 통합함으로써, 예를 들어 25 ㎛ 내지 250 ㎛의 더 큰 스위치 사이즈는 더 큰 스위치 기생 캐패시턴스, 예를 들어, 20-200 펨토패러데이(fF)의 불이익을 치르지 않고도, 스위치 온 저항 손실(switch ON resistance loss)을 감소시키는데 사용될 수 있다.
일부 예증적인 양태에서, 원한다면, N-비트 디지털 PA는 무선 체인 회로(435)(도 4)에 포함될 수 있다.
이제 일부 예증적인 양태에 따른 RF 디바이스(380100)의 블록도를 개략적으로 도시하는 도 380이 참조된다.
일부 실증인 양태에서, 송신기(380100)는 예를 들어 집적 회로(IC)의 일부로서 내장될 수 있다.
일부 예증적인 양태에서, 송신기(380100)는, 예를 들어 아래에서 설명되는 바와 같이, mmWave 주파수 대역을 통해 신호를 송신하는 밀리미터 파 송신기를 포함할 수 있다. 다른 양태에서, 송신기는 임의의 다른 주파수 대역을 통해 신호를 송신하도록 구성된 임의의 다른 유형의 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 아날로그 송신기, 광대역 송신기, 디지털 송신기, 디지털 제어 송신기 등을 포함할 수 있다. 예를 들어, 송신기(380100)의 하나 이상의 요소는 송신기(371110)(도 371)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 LO(380110), 예를 들어 60 GHz LO, 또는 임의의 다른 LO를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 위상 데이터(380125)를 생성하기 위해 기저대역(380120)을 포함할 수 있다. 예를 들어, 기저대역(380120)은 위상 데이터(380125)를 생성할 수 있는 위상 데이터 서브시스템(도시되지 않음)의 일부로서 포함될 수 있다. 위상 데이터(380125)는 예를 들어 아날로그 위상 데이터 및/또는 디지털 위상 데이터를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 예를 들어 LO 생성기(380110)로부터의 LO 신호에 따라 위상 데이터(380125)를 변조함으로써, 입력 신호(380135)를 생성하도록 구성된 위상 변조기(380130)를 포함할 수 있다. 하나의 예에서, 입력 신호(380135)는 60 GHz RF 신호 또는 임의의 다른 주파수 대역의 임의의 다른 신호를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 예를 들어 진폭 데이터를 나타내는 디지털 제어 신호(380145)를 생성하는 진폭 데이터 신호 소스(380140)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 예를 들어 아래에서 설명되는 바와 같이, 제어 신호(380145)에 기초하여, 입력 신호(380135)를 증폭하도록 구성될 수 있는 N-비트 디지털 PA(380150)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(380100)는 예를 들어 이하에서 설명되는 바와 같이, 입력 신호(380135)에 기초하여 적어도 하나의 신호를 송신하기 위해 예를 들어 디지털 PA(380150)에 연결된, 적어도 하나의 안테나(380170)를 포함할 수 있거나 또는 적어도 하나의 안테나(380170)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 송신기(382100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 디지털 PA(382150)에 연결된 하나 이상의 위상 배열 안테나(380170)를 포함할 수 있다.
일부 예증적인 양태에서, N-비트 디지털 PA(380150)는 예를 들어 아래에서 설명되는 바와 같이, 결합기(380159)에 동작 가능하게 연결된 복수의 적층 게이트 제어 증폭기(380155)를 포함할 수 있다.
일부 예증적인 양태에서, N-비트 디지털 PA(380150)는 예를 들어 아래에서 설명되는 바와 같이 결합기(380159)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 디지털 PA(380150)는 예를 들어 디지털 제어 신호(380145)에 기초하여 입력 신호(380135)를 제어 가능하게 증폭 및 변조하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 적층 게이트 제어 증폭기(380155)는 디지털 제어 신호(380145)에 의해 제어되어 예를 들어 복수의 증폭된 변조 신호(380157)를 제공할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 적층 게이트 제어 증폭기(380155)의 적층 게이트 제어 증폭기(380151)는 입력 신호(380135)를 수신하는 제 1 입력(380152), 디지털 제어 신호(380145)를 수신하는 제 2 입력(20153) 및 증폭된 변조 신호(380157)를 제공하는 출력(380154)을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 결합기(380159)는 복수의 증폭된 변조 신호(380157)를 예를 들어 디지털 제어 신호(380145)에 기초한 출력 전력 레벨 및 변조를 갖는 결합기 출력 신호(380180)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 트랜지스터 및 제 2 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)의 제 1 트랜지스터는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 디지털 제어 신호(380145)에 기초하여, 예를 들어 적층 게이트 제어 증폭기의 제 2 트랜지스터의 게이트에서 입력 신호(380135)를 증폭 및 변조함으로써, 증폭된 변조 신호(380157)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)의 제 1 트랜지스터는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 디지털 제어 신호(380145)에 기초하여, 적층 게이트 제어 증폭기(380152)의 제 2 트랜지스터의 증폭을 디지털적으로 제어하도록 구성될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)의 제 2 트랜지스터는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 적층 게이트 제어 증폭기(380152)의 비트 값에 기초하여, 적층 게이트 제어 증폭기(380152)를 온 상태와 오프 상태 사이에서 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)의 제 1 트랜지스터는 예를 들어 제 1 FET를 포함 할 수 있고, 및/또는 적층 게이트 제어 증폭기(380152)의 제 2 트랜지스터는 예를 들어 제 2 FET를 포함할 수 있다. 다른 양태에서, 제 1 및/또는 제 2 트랜지스터는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(380152)의 제 1 트랜지스터는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 디지털 제어 신호(380145)의 비트에 기초하여, 입력 신호(380135)를 예를 들어 2의 인자로 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 디지털 PA(380150)는 변조 방식에 따라, 예를 들어 도 12a, 도 12b, 도 12c, 도 13a 및/또는 도 13b를 참조하여 위에서 설명된 변조 방식 및/또는 임의의 다른 변조 방식에 따라, 디지털 제어 신호(20145)에 기초하여 입력 신호(380135)를 변조하도록 구성될 수 있다.
일부 예증적인 양태에서, 변조 방식은 예를 들어 도 12a, 도 12b, 도 12c, 도 13a 및/또는 도 13b를 참조하여 위에서 설명한 바와 같은 QAM 방식을 포함할 수 있다.
일부 예증적인 양태에서, QAM 방식은 예를 들어 아래에서 설명되는 바와 같이, 64 QAM 방식을 포함할 수 있다. 다른 양태에서, QAM 방식은 임의의 다른 QAM 방식, 예를 들어 256 QAM 방식 또는 임의의 다른 높은 또는 낮은 정도의 QAM을 포함할 수 있다.
하나의 예에서, N-비트 디지털 PA(20150)는 64 QAM 또는 128 QAM의 높은 변조 속도를 지원하는 여섯 개의 세그멘트를 포함할 수 있다. 다른 양태에서, 임의의 다른 개수의 세그멘트가 구현될 수 있다.
일부 예증적인 양태에서, 디지털 제어 신호(380145)는 예를 들어 아래에서 설명되는 바와 같이 6 비트를 포함할 수 있다. 다른 양태에서, 디지털 제어 신호(380145)는 임의의 다른 개수의 비트, 예를 들어 6 비트 미만 또는 초과의 비트를 포함할 수 있다.
일부 예증적인 양태에서, 복수의 적층 게이트 제어 증폭기(380155)는 예를 들어 아래에서 설명되는 바와 같이, 여섯 개의 적층 게이트 제어 증폭기를 포함할 수 있다. 다른 양태에서, 복수의 적층 게이트 제어 증폭기(380155)는 임의의 다른 계수의 적층 게이트 제어 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변조기(380130)는 예를 들어 위상 데이터(380125)에 기초하여, 입력 신호(38035)를 디지털 PA(380155)에 제공할 수 있다. 예를 들어 아래에서 설명되는 바와 같이, 기저대역(380120)은 예를 들어 위상 데이터(380125)에 기초하여, 디지털 제어 신호(380145)를 디지털 PA(380150)에 제공할 수 있다.
일부 예증적인 양태에서, 기저대역(380120)은 N-비트 디지털 신호(380125)를 N-비트 디지털 PA(380150)에 제공할 수 있다. 기저대역(380120)은 위상 데이터(380125)와 관련된 디지털 신호를 위상 변조기(380130)에 제공할 수 있다. 위상 변조기(380130)는 LO(380110)로부터 LO 신호를 수신할 수 있다. LO(380110)는 예를 들어 60 GHz 변조 신호를 위상 변조기(380130)에 제공할 수 있다. 위상 변조기(380130)는 위상 데이터(380125)를 LO 신호로 변조할 수 있고 입력 신호(380135)를 N-비트 디지털 PA(380150)에 제공할 수 있다.
일부 예증적인 양태에서, 복수의 적층 게이트 제어 증폭기(380155)의 제 1 입력(380152)은 위상 변조기(380130)에 연결될 수 있고, 복수의 적층 게이트 제어 증폭기(380155)의 제 2 입력(380153)은 진폭 데이터 신호 소스(380140)에 연결될 수 있고, 및/또는 복수의 적층 게이트 제어 증폭기(380155)의 출력(380154)은 결합기(380159)에 연결될 수 있다. 결합기(380159)는 예를 들어 변조된 RF 신호를 포함하는 출력 신호(380180)를 하나 이상의 안테나(380170)에 제공할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 적층 게이트 제어 증폭기(380155)의 제 2 입력(380153)에서의 디지털 제어 신호(380135), 예를 들면 N-비트 디지털 신호는 결합기(380159)의 출력 전력 레벨 및/또는 출력 신호(380180)의 변조를 제어할 수 있다.
일부 예증적인 양태에 따른 적층 게이트 제어 증폭기(381100)의 전자 회로를 개략적으로 도시하는 도 381a 및 도 381b가 참조된다. 예를 들어, 적층 게이트 제어 증폭기(380150)(도 380)는 적층 게이트 제어 증폭기(381100)의 하나 이상의 요소를 포함할 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(381100)는 입력 신호(38170)를 수신하는 트랜지스터(M1)(371100)를 포함할 수 있다. 하나의 예에서, 입력 신호는 예를 들어, 약 60GHz의 주파수에서 약 1 볼트의 진폭을 가질 수 있다. 다른 양태에서, 임의의 다른 진폭 및/또는 주파수가 구현될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(381100)는 디지털 제어 신호(381180)를 수신하는 트랜지스터(M2)(381120)를 포함할 수 있다. 예를 들어, 디지털 제어 신호는 1 볼트와 0 볼트 사이 또는 다른 전압 범위 사이에서 변동될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(381100)는 캐패시터(381130)를 포함할 수 있다. 예를 들어, 트랜지스터(381120)의 게이트에서의 캐패시터(381130)는 트랜지스터(M1)(381110) 및 (M2)(381120)에서의 신호를 동위상으로 증폭하기 위해 최적의 스윙을 도입할 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(381100)는 예를 들어 캐패시터 분배기 네트워크로서 구성된 캐패시터(381140) 및/또는 캐패시터(381150)를 포함할 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기(381100)는 제어 신호에 의해 공급 전압(VDD)으로부터 인출된 전류를 클램핑하고, 트랜지스터(M1)(381110)를 셧 다운시키고 및/또는 출력(381190)에서 출력 진폭을 낮게, 예를 들어, 0 볼트로 만들도록 구성될 수 있는 인덕터(381160)를 포함할 수 있다.
일부 예증적인 양태에서, 도 381b에 도시된 바와 같이, 적층 게이트 제어 증폭기(381100)는 도 381b에 도시된 바와 같이, 예를 들어 디지털 제어 신호(381180)에 기초하여, 트랜지스터(381170)의 게이트에서 입력 신호(381170)를 증폭 및 변조함으로써 증폭된 변조 신호를 제공하는 트랜지스터(381120) 및/또는 예를 들어 디지털 제어 신호(381180)에 기초하여, 트랜지스터(381170)의 증폭을 디지털적으로 제어하는 트랜지스터(381120)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 도 381a에 도시된 바와 같이, 트랜지스터(381180)는 예를 들어 디지털 제어 신호(381170)의 비트 값에 기초하여, 적층 게이트 제어 증폭기(381100)를 온 상태와 오프 상태 사이에서 스위칭하도록 구성될 수 있다. 예를 들어, 트랜지스터(381180)는 예를 들어 트랜지스터(381120)의 게이트에서의 비트가 "하이" 값을 가질 때 적층 게이트 제어 증폭기(381100)를 온 상태로 스위칭하고, 예를 들어 트랜지스터(381120)의 게이트에서의 비트가 "로우"일 때 적층 게이트 제어 증폭기(381100)를 오프 상태로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(M1)(381110) 및 트랜지스터(M2)(381120)는 예를 들어 캐스코드 연결에 따라 서로 연결될 수 있는 FET를 포함할 수 있다.
일부 예증적인 양태에서, 트랜지스터(M2)(381120)의 게이트 전압이 디지털 하이, 예를 들어 1 볼트일 때, 2-직렬 적층 패키지 트랜지스터, 예를 들면 트랜지스터(M1)(381110) 및 트랜지스터(M2)(381120)는 스위칭 PA로서 동작할 수 있다. 예를 들어, 캐패시터(381140 및 381150)에 의해 형성된 캐패시터 분배기 네트워크는 트랜지스터(M1)(381110) 및 (M2)(381120)에서의 신호를 동위상으로 증폭하기 위해 최적의 스윙을 도입하도록 구성될 수 있다.
하나의 예에서, 디지털 제어 신호(381180)의 로직 "1" 비트 레벨은 예를 들어 트랜지스터(M2)(38120)에 의한 입력 신호(381170)를 두 배로 증폭시킬 수 있다. 디지털 제어 신호(381180)의 로직 0 신호 레벨은 출력 신호(381190)에서 제로 레벨 신호를 발생시킬 수 있다. 입력 신호(381170)의 1 볼트 진폭은 출력 신호(381190)에서 2 볼트 진폭을 발생시킬 수 있다.
도 381b를 참조하면, 일부 예증적인 양태에서, 변조 중에, 트랜지스터(M2)(381120)의 게이트(G) 전압은 예를 들어 적층 게이트 제어 증폭기(381100)를 자기 차례에서 오프 상태로 만드는 디지털적으로 로우, 예를 들면 0 볼트이다. 이것은 트랜지스터(M1)(381110)의 게이트(G)에서 신호 스윙에 관계없이 트랜지스터(M2)(381120)가 턴 오프되도록 할 수 있다. 트랜지스터(M2)(381120)는 셧 다운됨에 따라, 인덕터(381160)를 통해 공급 전압(VDD)로부터 인출된 전류를 클램핑하며, 그 결과 트랜지스터(M1)(381110)를 셧 다운시키고, 출력(381190)에서 출력 진폭을 로우, 예를 들어 0 볼트로 만든다.
일부 예증적인 양태에서, 기저대역 프로세서, 예를 들어, 기저대역 서브시스템(380145)(도 380)은 예를 들어 N-비트 디지털 신호의 형태의 디지털 제어 신호(381180)를 생성하여, 적층 게이트 제어 증폭기(381100)의 출력 신호의 출력 전력 레벨 및/또는 변조를 제어한다.
일부 예증적인 양태에 따른, 적층 패키지 게이트 변조 디지털(stacked-gate modulated digital) PA(382110)를 포함하는 송신기(382100)의 블록도를 개략적으로 도시하는 도 382가 참조된다. 예를 들어, 적층 패키지 게이트 변조 디지털 PA(382110)는 N-비트 디지털 PA(380150)(도 380)의 하나 이상의 요소를 포함 할 수 있다. 본 명세서에 설명된 전력 증폭기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(372))에 통합될 수 있지만, 전력 증폭기는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 송신기(382100)는 디지털 제어 신호(382125)를 제공하도록 구성된 기저대역 프로세서를 포함할 수 있는 프로세서(382120)를 포함할 수 있다. 예를 들어, 기저대역 프로세서(382120)는 진폭 데이터 신호 소스(380140)(도 380)의 하나 이상의 동작 및/또는 기능성을 수행할 수 있다.
일부 예증적인 양태에서, 송수신기(382100)는 변조기(382130)를 포함할 수 있다. 예를 들어, 변조기(382130)는 위상 변조기(380130)(도 380)의 하나 이상의 동작 및/또는 기능성을 수행할 수 있다.
일부 예증적인 양태에서, 적층 패키지 변조 디지털 PA(382110)는 출력 신호(382145)를 생성하는 복수의 적층 게이트 제어 증폭기(382150)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(382100)는 출력 신호(382145)를 적어도 하나의 안테나, 예를 들어 위상 배열 안테나 또는 임의의 다른 유형의 안테나에 제공하는 안테나 포트(382140)를 포함할 수 있다.
일부 예증적인 양태에서, 프로세서(382120)는 예를 들어 위에서 설명한 바와 같이, 예를 들어 N-비트 디지털 신호를 복수의 적층 게이트 제어 증폭기(382150)의 트랜지스터(382152)의 게이트에 제공할 수 있다.
예를 들어, N-비트 디지털 신호(382125)의 비트는 복수의 적층 게이트 제어 증폭기(382150)의 각각의 적층 게이트 제어 증폭기의 트랜지스터의 게이트에 제공될 수 있다.
하나의 예에서, N-비트 디지털 신호(382125)는 6 비트를 포함할 수 있다. 이러한 예에 따르면, N-비트 디지털 신호의 제 1 비트, 예를 들어 비트 0은 복수의 적층 게이트 제어 증폭기(382150)의 제 1 적층 게이트 제어 증폭기에 제공될 수 있고; N-비트 디지털 신호의 제 2 비트, 예를 들어, 비트 1은 복수의 적층 게이트 제어 증폭기(382150)의 제 2 적층 게이트 제어 증폭기에 제공될 수 있으며; N-비트 디지털 신호의 제 3 비트, 예를 들어, 비트 2는 복수의 적층 게이트 제어 증폭기(382150)의 제 3 적층 게이트 제어 증폭기에 제공될 수 있고; N-비트 디지털 신호의 제 4 비트, 예를 들어 비트 3은 복수의 적층 게이트 제어 증폭기(382150)의 제 4 적층 게이트 제어 증폭기에 제공될 수 있고; N-비트 디지털 신호의 제 5 비트, 예를 들어, 비트 4는 복수의 적층 게이트 제어 증폭기(382150)의 제 5 적층 게이트 제어 증폭기에 제공될 수 있고; 및/또는 N-비트 디지털 신호의 제 6 비트, 예를 들어, 비트 5는 복수의 적층 게이트 제어 증폭기(382150)의 제 6 적층 게이트 제어 증폭기에 제공될 수 있다.
일부 예증적인 양태에서, 변조기(382130)는 RF 변조된 신호를 복수의 적층 게이트 제어 증폭기(382150)의 트랜지스터(382154)에 제공할 수 있다. 복수의 적층 게이트 제어 증폭기(382150)는 N-비트 디지털 신호의 비트 시퀀스에 따라 RF 변조된 신호를 증폭할 수 있다. 적층 패키지 게이트 변조 디지털 PA(382110)는 복수의 적층 게이트 제어 증폭기(382150)로부터의 Tx RF 신호, 예를 들어 Tx RF 신호와 같은 출력 신호(382145)를 안테나 포트(382140)로 출력할 수 있다.
일부 예증적인 양태에 따른 다중 레벨 고속 아이 다이어그램(eye diagram)(383100)의 동적 실현을 개략적으로 도시하는 도 383a 및 도 383b가 참조된다.
일부 예증적인 양태에서, 예를 들어, 변조기(382130)는 QAM 방식, 예를 들어 16 QAM, 32 QAM, 64 QAM 등에 따라 IF 신호를 변조할 수 있다. 예를 들어, 결합된 출력 신호(382145)는 예를 들어 16 QAM에 대해 도 383에서 도시되고 64 QAM에 대해 도 383에 도시된 바와 같은 QAM 변조 방식의 원하는 콘스텔레이션 포인트를 매칭시키기 위해 N-비트 디지털 신호에 의해 형상화될 수 있다.
일부 예증적인 양태에 따라, 입력 직렬 스위치 증폭기에 대응하는 성능 개선 그래프(도 384a) 및 전력 감소 그래프(도 384b)를 도시하는 도 384a 및 도 384b가 참조된다.
일부 예증적인 양태에서, 도 384a 및 도 384b에 도시된 바와 같이, 적층 게이트 제어 증폭기, 예를 들면 적층 게이트 제어 증폭기(382150)(도 382)는 예를 들어 변조 제어 스위치 증폭기와 비교하여, 25 %의 전력 감소의 개선을 달성할 수 있고, 전력 부가 효율(power-added efficiency)(PAE)의 적어도 150 % 증가를 달성할 수 있다.
일부 예증적인 양태에 따라, N-비트 디지털 PA, 예를 들면 PA(382150)(도 382)에 대응하는 진폭 분해능 그래프(도 385a) 및 전력 효율 그래프(도 385b)를 도시하는 도 385a 및 도 385b가 참조된다.
하나의 예에서, 6-비트 진폭 분해능은 비트 설정에 기초하여 선형성에 가깝다(도 385a).
하나의 예에서, 예를 들어, 도 385b에 도시된 바와 같이, 적층 게이트 디지털 증폭기에 의해 6 dB 전력 백 오프 하에서 최대 효율의 50 %가 달성될 수 있다.
일부 예증적인 양태에 따라, 적층 게이트 제어 증폭기 및 그 앞의 드라이버 증폭기의 전력 포화에 대한 드레인 효율의 그래프를 도시하는 도 386가 참조된다.
일부 예증적인 양태에서, 예를 들어, 적층 게이트 제어 증폭기 앞의 드라이버 증폭기를 갖는 N-비트 디지털 PA는 효율이 예를 들어 50 %일 수 있는 도 385와 비교하여 6-dB 백오프(예를 들어, 39 %)에서 감소된 효율을 가질 수 있다. 예를 들어, 디지털 PA의 세그멘트가 스위치 오프되어 있을 때라도, 드라이버 증폭기 전력은 거의 동일하게 유지될 수 있으며, 따라서 전체 시스템이 6-dB 백오프에서 최대 효율의 50 %를 유지할 수 있게 한다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기의 출력단에서 예를 들어 50 % 효율을 취득하기 위해, 적층 게이트 제어 증폭기 앞에 복수의 드라이버 증폭기가 추가될 수 있다.
일부 예증적인 양태에서, 유리하게, 적층 게이트 제어 증폭기 아키텍처, 예를 들면 적층 게이트 제어 증폭기(381100)(도 381) 또는 적층 게이트 제어 증폭기(380151)(도 380)는 예를 들어 PA 체인의 -2 dBm 내지 8 dBm의 전력 이득 및/또는 60mm-파 대역폭, 예를 들면 60 GHz 대역폭에서 최대 39 %의 송신기 효율을 제공할 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 예를 들어 아래에서 설명되는 바와 같이, 복수의 RF 신호를 RF 신호로 결합하고, RF 신호를 하나 이상의 안테나를 통해 송신하도록 구성될 수 있는 서브-쿼터 파장 발룬(sub-quarter wavelength balun)을 갖는 적어도 하나의 직렬 도허티 결합기(Doherty combiner)를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다.
일부 예증적인 양태에서, 적층 게이트 제어 증폭기, 예를 들면 적층 게이트 제어 증폭기(381100)(도 381) 및/또는 적층 게이트 제어 증폭기(380151)(도 380)는 변조 제어 스위치로서 설계된 적층된 상부 트랜지스터, 예를 들면, 트랜지스터(381120)(도 381), 예를 들어 적층된 mmWave 스위칭 증폭기를 재사용할 수 있으며, 이에 따라 예를 들어 N-비트 디지털 PA의 전력 포화 2.5 dBm 내지 8 dBm에서 드레인 효율을 최대 39 % 이상 개선할 수 있다.
일부 예증적인 양태에서, 일부 유스 케이스 및/또는 시나리오에서, 예를 들어, 아래에서 설명되는 바와 같이, 송신 및 수신 경로 용도의 하나 이상의 회로를 공유할 수 있는 무선 아키텍처를 구현하는 것이 유리할 수 있다. 수신 및/또는 송신 경로는, 원한다면, 예를 들어 하나 이상의 증폭기, 하나 이상의 분할기, 하나 이상의 결합기, 하나 이상의 믹서 및/또는 하나 이상의 다른 추가의 또는 대안의 구성요소를 포함할 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어, 아래에서 설명되는 바와 같은 도허티 전력 증폭기(Doherty power amplifier)를 포함할 수 있다.
일부 예증적인 양태에서, 무선 아키텍처에서 도허티 전력 증폭기를 구현하는 것은 예를 들어, 적은 다이 면적을 차지하면서 적어도 전력 증폭기의 효율을 증가시킴으로써 적어도 하나의 이득을 제공하고 및/또는 하나 이상의 기술적 문제를 해결할 수 있다. 예를 들어, 출력 전력의 효율은 9 dB 또는 임의의 다른 레벨만큼 증가할 수 있다.
일부 예증적인 양태에서, 도허티 전력 증폭기는 예를 들어 아래에서 설명되는 바와 같이, RF 신호의 고효율 증폭을 제공하도록 구성될 수 있다. 예를 들어, RF 신호의 고효율 증폭을 제공하는 기능은 예를 들어 적어도 전력 소비 감소라는 기술적 이득을 가능하게 할 수 있다.
일부 예증적인 양태에서, 도허티 전력 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 소형의 다이 면적에서도 예를 들어 효율적인 전력 결합을 제공하기 위해 서브쿼터 파장 발룬 개념을 사용하도록 구성될 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어, 아래에서 설명되는 바와 같이, 적어도 하나의 믹서에 동작 가능하게 연결된 적어도 하나의 도허티 전력 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 도허티 전력 증폭기는 무선 체인 회로의 일부로서 포함될 수 있고 및/또는 무선 체인 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 양태에서, 본 명세서에 설명된 도허티 증폭기 및/또는 도허티 결합기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(372))에 통합될 수 있지만, 증폭기 및 결합기는 이것으로 제한되지 않는다.
일부 예증적인 양태에 따라, 송신기(27000)의 블록도를 개략적으로 도시하는 도 387이 참조된다. 예를 들어, RF 디바이스(387100)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 1 및/또는 도 1a 및/또는 도 371을 참조하여 위에서 설명한 바와 같이, 송수신기의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 예를 들어 아래에서 설명되는 바와 같이, Tx 신호를 송신하도록 구성될 수 있다. 예를 들어, 송신기(387000)는 예를 들어, 아래에서 설명되는 바와 같이 I/Q 송신기를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 적어도 하나의 안테나(387180)를 포함할 수 있고 및/또는 적어도 하나의 안테나(387180)에 동작 가능하게 연결될 수 있다. 예를 들어, 적어도 하나의 안테나(387180)는 예를 들어 아래에서 설명되는 바와 같이, 위상 배열 안테나, 다이폴 안테나, 안테나의 배열 등을 포함할 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 예를 들어 아래에서 설명되는 바와 같이, 도허티 전력 증폭기(387110)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 도허티 전력 증폭기(387110)는 예를 들어, 션트 연결 부하(shunt-connected-load) 유형의 2-스테이지 도허티 전력 증폭기, 직렬 연결 부하(series-connected-load) 유형의 2-스테이지 도허티 전력 증폭기, 또는 임의의 다른 유형의 도허티 전력 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 도허티 전력 증폭기(387110)는 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 제 1 스테이지 증폭기(387113) 및 적어도 하나의 제 2 스테이지 증폭기(387200)를 포함할 수 있는 2-스테이지 도허티 전력 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 스테이지 증폭기(387113)는 예를 들어 아래에서 설명되는 바와 같이, 도허티 전력 증폭기(387110)의 제 2 스테이지에 드라이버 RF 신호를 제공하도록 구성될 수 있는 드라이버 증폭기를 포함할 수 있다.
예를 들어, 제 2 스테이지 증폭기(387200)는 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 메인 증폭기(387210)("캐리어 증폭기(carrier amplifier)(CA)"라고도 지칭함) 및 적어도 하나의 제어 가능한 피킹 증폭기(peaking amplifier)(PA)(387220)를 포함할 수 있다. 예를 들어, 메인 증폭기(387210) 및 제어 가능한 PA(387220)는, 예를 들어 아래에서 설명되는 바와 같이, 드라이버 RF 신호를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 도허티 전력 증폭기(387110)는, 예를 들어 아래에서 설명되는 바와 같이, 제 1 및 제 2 스테이지를 포함하는 서브쿼터 -파장(Sub-Quarter-Wavelength)(SQWL) 발룬(387230)을 포함할 수 있다. 예를 들어, SQWL 발룬(387230)은 예를 들어 아래에서 설명되는 바와 같이, 제 1 스테이지에서 제 2 스테이지로 제공되는 신호를 결합하여, 제 2 스테이지에서, 예를 들어 메인 증폭기(387210) 및 제어 가능한 PA의 출력에서 직렬 부하로서 사용되도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 도허티 전력 증폭기(387110)는 션트 연결 부하 구성에서 동작하도록 구성될 수 있다. 예를 들어, 션트 연결 부하 구성에서, ZLP로 표시된 증폭기 부하는 증폭기(387210 및/또는 제어 가능 PA(387220)에 적용될 수 있다.
일부 예증적인 양태에서, 예를 들어 도허티 전력 증폭기(387110)는 직렬 연결 부하 구성에서 동작하도록 구성될 수 있다. 예를 들어, 직렬 연결 부하 구성에서, ZLS라고 표시된 증폭기 부하는 증폭기(387210) 및/또는 제어 가능 PA(387220)에 적용될 수 있다.
일부 예증적인 양태에서, 예를 들어, 션트 연결 부하 유형의 구성의 경우, 다음의 관계가 유지될 수 있다:
[수학식 6]
Figure pct00215
여기서, ZCL은 CA 부하, ZLP는 증폭기 부하, ZPL은 PA 부하, Ζτ는 총 부하를 나타낸다.
일부 예증적인 양태에서, CA 부하(ZCL)는 예를 들어 다음과 같이 직렬 연결 부하 유형의 구성으로 표현될 수 있다:
[수학식 7]
Figure pct00216
일부 예증적인 양태에서, 예를 들어, 직렬 연결 부하 유형의 구성 및 션트 연결 부하 유형의 구성 둘 모두에 대해, 다음의 수학식이 유지될 수 있다:
[수학식 8]
Figure pct00217
예를 들면, 다음의 경우에 그러하다:
[수학식 9]
Figure pct00218
여기서, Z0는 부하 임피던스를 나타낸다.
일부 예증적인 양태에서, 예를 들어, 부하 임피던스(Z0)는 안테나 임피던스, 예를 들어 50 옴의 임피던스를 포함할 수도 있고, 50 옴의 임피던스를 나타낼 수도 있고 및/또는 50 옴의 임피던스에 기초할 수도 있다. 다른 양태에서, 부하 임피던스(Z0)는 임의의 다른 추가의 또는 대안의 임피던스를 포함할 수도 있고, 이를 나타낼 수도 있고, 및/또는 이것에 기초할 수도 있다.
일부 예증적인 양태에서, 예를 들어, 제어 가능한 PA(387220)가 6 dB 아래의 전력 포화(Psat), 예를 들면 6 dB 백오프의 출력 전력 레벨에 대응하는 낮은 RF 입력 레벨에서 오프 상태에 있을 때, ZPL은 무한일 수 있다. 예를 들어, 그러한 경우에, 캐리어(주) 증폭기 부하, 예를 들어 ZCL은 1/4 파장 임피던스 변환기를 고려할 때 2Z0가 될 수 있다.
일부 예증적인 양태에서, 제어 가능한 PA(387220)는 활성화될 수 있으며, ZPL의 값은 예를 들어 최대 출력 전력(Psat)에 대응하는 높은 RF 입력 레벨에서 감소할 수 있다. 예를 들어, ZPL이 Z0과 동일한 전력 레벨에서, ZCL은 Z0이 될 수 있다. 그러므로 예를 들어, 캐리어(주) 증폭기 부하, 예를 들면 ZCL은 제어 가능한 PA(387220)의 상태에 따라, 예를 들면 제어 가능한 PA(387220)가 오프되어 있는지 및/또는 제어 가능한 PA(387220)가 얼마나 오래 동안 턴 온될 수 있는지에 따라, Z0와 2Z0 사이에서 조절될 수 있다.
일부 다른 예증적인 양태에서, 제어 가능 PA(387220)의 상태는 예를 들어, 입력 전력 레벨의 양에 의해 제어될 수 있다.
일부 예증적인 양태에서, SQWL 발룬(387230)은 예를 들어 아래에서 설명되는 바와 같이, 제어 가능 PA(382220) 및 메인 증폭기(387210)에 직렬 연결 부하로서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, 2-스테이지 도허티 증폭기(387110)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 SQWL 발룬(387230)에 의해 구현될 수 있는 직렬 부하를 포함할 수 있다. 다른 양태에서, 2-스테이지 도허티 증폭기(387110)는 임의의 다른 추가의 또는 대안의 다른 발룬에 의해 구현될 수 있는 임의의 다른 추가의 또는 대안의 부하를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 스테이지 증폭기(387200)는 예를 들어 아래에서 설명되는 바와 같이 디지털 신호(387115)에 의해 제어될 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(387125)를 생성하는 LO(387120)를 포함할 수 있다. 예를 들어, LO 신호(387125)는 60 GHz 신호일 수 있다. 다른 양태에서, LO 신호(387125)는 임의의 다른 주파수를 포함할 수 있다. 예를 들어, LO(387120)는 수정 발진기, 가변 주파수 발진기, 주파수 신시사이저 등을 포함할 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(387125)에 기초하여 I 신호(387135)를 생성하도록 구성될 수 있는 동위상(I) 믹서(387130) 및 LO 신호(387125)에 기초하여 Q 신호(387125)를 생성하도록 구성될 수 있는 직교 위상(Q) 믹서(387140)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(387000)는 예를 들어 아래에서 설명되는 바와 같이, I 신호(387135)를 Q 신호(387125)와 결합하여, 예를 들어 드라이버 증폭된 입력 신호(387155)를 제공하도록 구성될 수 있는 결합기 회로(387150)를 포함할 수 있다.
일부 예증적인 양태에서, I 믹서 회로(387130)는 예를 들어 LO 신호(387125)를 예를 들어 위상 변조기로부터 수신될 수 있는 RF 신호, 예를 들면 I RF 신호(387132)와 혼합함으로써 I 신호(387135)를 생성하도록 구성될 수 있다. 다른 양태에서, I 신호(387135)는 임의의 다른 회로에 의해 및/또는 임의의 다른 신호에 기초하여 생성될 수 있고 및/또는 도허티 증폭기(387110)에 제공될 수 있다.
일부 예증적인 양태에서, Q 믹서 회로(387140)는 예를 들어, LO 신호(387125)를 예를 들어 위상 변조기로부터 수신될 수 있는 RF 신호, 예를 들면 Q RF 신호(387142)와 혼합함으로써 Q 신호(387145)를 생성하도록 구성될 수 있다. 다른 양태에서, Q 신호는 임의의 다른 회로에 의해 및/또는 임의의 다른 신호에 기초하여 생성될 수 있고 및/또는 도허티 증폭기(387110)에 제공될 수 있다.
일부 예증적인 양태에서, 결합기 회로(387150)는 I 신호(387135) 및 Q 신호(387145)를 드라이버 증폭된 입력 신호(387155)에 결합하도록 구성될 수 있다. 예를 들어, 결합기(387150)는 윌킨슨 결합기(Wilkinson combiner), 2 대 1 결합기, 4 대 2 결합기 등을 포함할 수 있다. 다른 양태에서, 임의의 다른 유형의 결합기가 사용될 수 있다.
일부 예증적인 양태에서, 하나 이상의 안테나(381780)는 2-스테이지 도허티 증폭기(387110)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 제 1 스테이지 증폭기(387113)는 드라이버 증폭된 입력 신호(387155)를 증폭하도록 구성될 수 있고, 예를 들어, 아래에서 설명되는 바와 같이 제 1 스테이지에서 드라이버 RF 신호(387157)를 제공할 수 있다.
일부 예증적인 양태에서, 제 2 스테이지의 적어도 하나의 메인 증폭기, 예를 들어 CA(387210)는 예를 들어 아래에서 설명되는 바와 같이, 드라이버 RF 신호(387157)를 증폭하고, 제 2 스테이지에서 메인 증폭기 신호(387215)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 제어 가능한 PA(387220)는 예를 들어 드라이버 RF 신호(387157)의 레벨에 기초하여 온 상태로 돌아가도록 구성될 수 있다. 예를 들어, 온 상태에서, 2-스테이지 도허티 증폭기(387110)는, 예를 들어 아래에서 설명되는 바와 같이, 드라이버 RF 신호(387157)를 증폭하여 예를 들어 피킹 증폭기 신호(387225)를 제공할 수 있다.
일부 예증적인 양태에서, SQWL 발룬(387230)은 예를 들어 아래에서 설명되는 바와 같이, 피킹 증폭기 신호(387225)와 메인 증폭기 신호(387125)를 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, SQWL 발룬(387230)은 예를 들어 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)의 적어도 하나의 출력, 적어도 하나의 메인 증폭기(387210)의 적어도 하나의 입력 및 적어도 하나의 제어 가능한 PA(387220)의 적어도 하나의 입력 사이의 임피던스를 매칭시키는 제 1 전송 선로(387232)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, SQWL 발룬(387230)은 예를 들어 적어도 하나의 메인 증폭기(387210)의 적어도 하나의 출력과 적어도 하나의 제어 가능한 증폭기(387210)의 적어도 하나의 출력 사이의 임피던스를 매칭시키는 제 2 전송 선로(387235)를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 발룬(387230)은 예를 들어 제 3 전송 선로(387237) 및 복수의 스텁(stub)을 포함할 수 있다. 예를 들어, 제 3 전송 선로(387237)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 임피던스를 가질 수 있고, 복수의 스텁의 스텁, 예를 들어 각각의 스텁은 제 2 임피던스를 가질 수 있다.
일부 예증적인 양태에서, 스텁("공진 스텁(resonant stub)"이라고도 지칭함)은 예를 들어, 하나의 단부에 연결될 수 있는 일정 길이의 요소, 예를 들면 전송 선로 또는 도파관을 포함할 수 있다.
일부 예증적인 양태에서, 제 1 임피던스는 제 2 임피던스의 두 배일 수 있다. 예를 들어, 제 3 전송 선로(387237)는 예를 들어 아래에서 설명되는 바와 같이, 50 옴의 임피던스를 가질 수 있고 및/또는 복수의 스텁의 스텁은 25 옴의 임피던스를 가질 수 있다. 다른 양태에서, 임의의 다른 유형의 임피던스가 사용될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 스텁은 예를 들어, 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)의 적어도 하나의 입력을 제 3 전송 선로(387237)에 동작 가능하게 연결시킬 수 있고, 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)의 적어도 하나의 출력을 제 1 전송 선로(387232)에 동작 가능하게 연결시킬 수 있고, 적어도 하나의 메인 증폭기(387210)의 적어도 하나의 입력을 제 1 전송 선로(387232)에 동작 가능하게 연결시킬 수 있고, 적어도 하나의 제어 가능 PA(387220)의 적어도 하나의 입력을 제 1 전송 선로(387232)에 동작 가능하게 연결시킬 수 있고, 적어도 하나의 메인 증폭기(387210)의 적어도 하나의 출력을 제 2 전송 선로(387235)에 동작 가능하게 연결시킬 수 있고, 및/또는 적어도 하나의 제어 가능 PA(387220)의 적어도 하나의 출력을 제 2 전송 선로(387235)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 스텁의 길이는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 드라이버 RF 신호(387257)의 파장의 팔분의 일에 기초할 수 있다.
일부 예증적인 양태에서, 제 2 전송 선로(387235) 및 복수의 스텁은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 메인 증폭기(387210)의 적어도 하나의 출력에서 및 제어 가능 PA(387220)의 적어도 하나의 출력에서 직렬 부하를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)는 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함할 수 있는 제 1 매칭 네트워크 및 복수의 스텁 중 제 2 스텁에 동작 가능하게 연결된 제 2 입력을 갖는 제 2 매칭 네트워크를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 및 제 2 매칭 네트워크는 예를 들어 아래에서 설명되는 바와 같이, 제 1 및 제 2 스텁의 임피던스를 제 3 전송 선로(387237)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)는 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결될 수 있는 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함 할 수 있는 제 1 전력 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 드라이버 증폭기, 예를 들면 제 1 스테이지 증폭기(387113)는 제 2 매칭 네트워크의 제 2 출력에 동작 가능하게 연결될 수 있는 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있는 제 2 전력 증폭기를 포함 할 수 있다.
일부 예증적인 양태에서, 제 3 및 제 4 스텁은 예를 들어 아래에서 설명되는 바와 같이, 제 1 및 제 2 전력 증폭기의 제 1 및 제 2와 제 1 전송 선로 사이의 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 메인 증폭기(387210)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 매칭 네트워크 및 제 2 매칭 네트워크를 포함할 수 있다. 예를 들어, 메인 증폭기(387210)의 제 1 매칭 네트워크는 예를 들어, 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함할 수 있으며, 메인 증폭기(387210)의 제 2 매칭 네트워크는 복수의 스텁 중 제 2 스텁에 동작 가능하게 연결될 수 있는 제 2 입력을 포함할 수 있다. 일부 예증적인 양태에서, 제 1 및 제 2 매칭 네트워크는 예를 들어 아래에서 설명되는 바와 같이, 제 1 및 제 2 스텁의 임피던스를 제 1 전송 선로(387232)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 메인 증폭기(387210)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 전력 증폭기 및/또는 제 2 전력 증폭기를 포함할 수 있다. 예를 들어, 메인 증폭기(387210)의 제 1 전력 증폭기는 메인 증폭기(387210)의 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결될 수 있는 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다. 예를 들어, 메인 증폭기(387210)의 제 2 전력 증폭기는 제 2 매칭 네트워크의 제 2 출력에 동작 가능하게 연결될 수 있는 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있다. 예를 들어 아래에서 설명되는 바와 같이, 제 3 및 제 4 스텁은 메인 증폭기(387210)의 제 1 및 제 2 전력 증폭기의 제 1 및 제 2 출력과 제 2 전송 선로 사이의 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 제어 가능한 PA 증폭기(387220)는 제 1 매칭 네트워크 및 제 2 매칭 네트워크를 포함할 수 있다. 예를 들어, 제어 가능한 PA(387220)의 제 1 매칭 네트워크는 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함할 수 있으며, 제어 가능한 PA(387220)의 제 2 매칭 네트워크는 복수의 스텁 중 제 2 스텁에 동작 가능하게 연결될 수 있는 제 2 입력을 포함할 수 있다. 일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제어 가능한 PA(387220)의 제 1 매칭 네트워크는 제 1 및 제 2 스텁의 임피던스를 제 1 전송 선로(387232)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 적어도 하나의 제어 가능한 PA(387220)는 제 1 전력 증폭기 및/또는 제 2 전력 증폭기를 포함할 수 있다. 예를 들어, 제어 가능한 PA(387220)의 제 1 전력 증폭기는 제어 가능한 PA(387220)의 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결될 수 있는 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다. 제어 가능한 PA(387220)의 제 1 전력 증폭기는 제어 가능한 PA(387220)의 제 2 출력에 동작 가능하게 연결될 수 있는 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있다. 하나의 예에서, 예를 들어 아래에서 설명되는 바와 같이, 제 3 및 제 4 스텁은 제어 가능한 PA(387220)의 제 1 및 제 2 전력 증폭기의 제 1 및 제 2 출력과 제 2 전송 선로 사이의 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에 따른, SQWL 발룬(3888000)을 사용할 수 있는 2-스테이지 도허티 증폭기의 블록도를 개략적으로 도시하는 도 388이 참조된다. 예를 들어, SQWL 발룬(388000)을 갖는 2-스테이지 도허티 증폭기는 2-스테이지 도허티 증폭기(387100)(도 387)의 하나 이상의 동작 및/또는 기능성을 수행하도록 구현될 수 있다.
일부 예증적인 양태에서, 2-스테이지 도허티 증폭기(388000)는 예를 들어, 제 1 드라이버 증폭기(388100) 및 제 2 드라이버 증폭기(388110)를 포함할 수 있다. 예를 들어, 제 1 드라이버 증폭기(388100) 및/또는 제 2 드라이버 증폭기(388110)는 RF 입력 신호(388350)를 증폭하도록 구성될 수 있고, 제 1 스테이지에서 제 1 드라이버 RF 신호(388360) 및 제 2 드라이버 RF 신호(388365)를 제공할 수 있다.
일부 예증적인 양태에서, 2-스테이지 도허티 증폭기(388000)는 예를 들어, 드라이버 RF 신호(388360)를 증폭하고 제 2 스테이지에서 메인 증폭기 신호(388340)를 제공하도록 구성될 수 있는 제 1 메인 증폭기(388300) 및 제 2 메인 증폭기(3883100)를 포함할 수 있다.
일부 예시적인 양태에서, 2-스테이지 도허티 증폭기(388000)는 예를 들어, 제 1 제어 가능한 PA(388200) 및 제 2 제어 가능한 PA(388210)를 포함할 수 있다. 일부 예증적인 양태에서, 제 1 제어 가능한 PA(388200) 및 제 2 제어 가능한 PA(388210)는 예를 들어 드라이버 RF 신호(388360)의 레벨에 기초하여 온 상태로 돌아가도록 구성될 수 있다. 예를 들어, 온 상태에서, 2-스테이지 도허티 증폭기(388000)는 드라이버 RF 신호(388360)를 증폭하여 PA 신호(388240)를 제공할 수 있다.
일부 예증적인 양태에서, 2-스테이지 도허티 증폭기(388000)는 예를 들어 메인 증폭기 신호(388340)를 PA 신호(388240)와 결합하도록 구성될 수 있는 SQWL 발룬(388400)을 포함할 수 있다.
일부 예증적인 양태에서, SQWL 발룬(388400)은 예를 들어, 제 1 드라이버 증폭기(388100)의 출력과 제 1 메인 증폭기(28300)의 입력, 제 2 드라이버 증폭기(388110)의 출력과 제 2 메인 증폭기(388310)의 입력 및/또는 제 1 제어 가능한 PA(388200)의 입력과 제 2 제어 가능한 PA(388220)의 입력 사이의 임피던스를 매칭시키는 제 1 전송 선로(388500)를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 발룬(388400)은 예를 들어, 제 1 메인 증폭기(388300)의 출력과 제 2 메인 증폭기(388310)의 출력 사이의 임피던스를 매칭하도록 구성된 제 2 전송 선로(388600)를 포함할 수 있다. 제 2 전송 선로(388600)는 제 1 제어 가능한 PA(388800)의 출력과 제 2 제어 가능한 PA(388210)의 출력 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, SQWL 발룬(388400)은 예를 들어 50 옴의 임피던스를 갖는 제 3 전송 선로(388700) 및 복수의 스텁(388800)를 포함할 수 있다. 예를 들어, 복수의 스텁(388800)의 적어도 하나의 스텁, 예를 들어 각각의 스텁(388800)은 예를 들어 25 옴의 임피던스를 가질 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 드라이버 증폭기(388100)의 입력 및 제 2 드라이버 증폭기(388110)의 입력을 제 3 전송 선로(388700)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 드라이버 증폭기(388100)의 출력 및 제 2 드라이버 증폭기(388100)의 출력을 전송 선로(388500)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 메인 증폭기(388300)의 입력 및/또는 제 2 메인 증폭기(388310)의 입력을 제 1 전송 선로(388500)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 제어 가능한 PA(388200)의 입력 및 제 2 제어 가능한 PA(388210)의 입력을 제 1 전송 선로(388500)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 메인 증폭기(388300)의 출력 및 제 2 메인 증폭기(388310)의 출력을 제 2 전송 선로(388600)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 복수의 스텁(388800)은 예를 들어 제 1 제어 가능한 PA(388200)의 출력 및 제 2 PA(388100)의 출력을 제 2 전송 선로(388600)에 동작 가능하게 연결시킬 수 있다.
일부 예증적인 양태에서, 스텁(388800)의 길이는 예를 들어 드라이버 RF 신호(388360) 및/또는 RF 드라이버 신호(388365)의 파장의 팔분의 일에 기초할 수 있다.
일부 예증적인 양태에서, 제 2 전송 선로(388600) 및 복수의 스텁(388800)은 예를 들어 제 1 메인 증폭기(388300)의 제 1 출력에서, 제 2 메인 증폭기(388310)의 출력에서, 제 1 제어 가능한 PA(388200)의 출력에서 및/또는 제 2 제어 가능한 PA(388210)의 출력에서 직렬 부하를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 드라이버 증폭기(388110) 및/또는 제 2 드라이버 증폭기(388110)는 예를 들어, 제 1 매칭 네트워크(388130) 및 제 2 매칭 네트워크(388135)를 포함할 수 있다. 예를 들어, 제 1 매칭 네트워크(388130)의 입력은 복수의 스텁(388800) 중 제 1 스텁에 연결될 수 있고, 제 2 매칭 네트워크(388135)의 입력은 복수의 스텁(388800) 중 제 2 스텁에 연결될 수 있다.
일부 예증적인 양태에서, 제 1 및 제 2 매칭 네트워크, 예를 들면 매칭 네트워크(388130 및/또는 388135)는 제 1 및 제 2 스텁의 임피던스를 제 3 전송 선로(388700)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 드라이버 증폭기(388100)는 제 1 매칭 네트워크(388130)의 제 1 출력에 동작 가능하게 연결될 수 있는 입력을 갖는 제 1 전력 증폭기(388120)를 포함할 수 있다. 제 1 드라이버 증폭기(38100)는 복수의 스텁(388800)의 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다.
일부 예시적인 양태에서, 제 1 드라이버 증폭기(388100)는 제 2 매칭 네트워크(388135)의 제 2 출력에 동작 가능하게 연결될 수 있는 입력을 갖는 제 2 전력 증폭기(388125)를 포함할 수 있다. 예를 들어, 제 1 드라이버 증폭기(388100)는 복수의 스텁(388800)의 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 2 드라이버 증폭기(388110)는 제 2 드라이버 증폭기(388110)의 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결될 수 있는 입력을 갖는 제 1 전력 증폭기를 포함할 수 있다. 제 2 드라이버 증폭기(388110)는 복수의 스텁(388800)의 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다.
일부 예증적인 양태에서, 제 2 드라이버 증폭기(388110)는 제 2 드라이버 증폭기(388110)의 제 2 매칭 네트워크(388110)의 제 2 출력에 동작 가능하게 연결될 수 있는 입력을 가질 수 있는 제 2 전력 증폭기를 포함할 수 있다. 예를 들어, 제 2 드라이버 증폭기(388110)는 복수의 스텁(388800)의 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 가질 수 있다.
일부 예증적인 양태에서, 하나 이상의 스텁(388800)은 제 1 및 제 2 전력 증폭기의 출력을 드라이버 RF 신호(388360) 및/또는 드라이버 RF 신호(388365)로 결합하는 2 대 1 결합기로서 사용될 수 있다.
일부 예증적인 양태에서, 제 1 메인 증폭기(388300)는 제 1 매칭 네트워크(388320) 및 제 2 매칭 네트워크(388325)를 포함할 수 있다. 예를 들어, 제 1 매칭 네트워크(388320)는 예를 들어, 복수의 스텁의 한 스텁에 동작 가능하게 연결된 제 1 입력을 포함할 수 있으며, 제 2 매칭 네트워크(388325)는 복수의 스텁의 다른 스텁에 동작 가능하게 연결될 수 있는 제 2 입력을 포함할 수 있다. 일부 예증적인 양태에서, 제 1 매칭 네트워크(388320) 및 제 2 매칭 네트워크(388325)는 스텁(388800)의 임피던스를 제 1 전송 선로(388600)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 메인 증폭기(388300)는 제 1 전력 증폭기(388330) 및 제 2 전력 증폭기(388335)를 포함할 수 있다. 예를 들어, 제 1 전력 증폭기(388330)는 제 1 매칭 네트워크(388320)의 제 1 출력에 동작 가능하게 연결될 수 있는 제 1 입력 및 복수의 스텁(388800) 중 한 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다. 제 2 전력 증폭기(388335)는 제 2 매칭 네트워크(388325)의 제 2 출력에 동작 가능하게 연결될 수 있는 제 2 입력 및 복수의 스텁 중 다른 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있다. 예를 들어, 전송 선로(388600) 및 전송 선로(388600)에 동작 가능하게 연결되는 스텁은 4 대 1 결합기로서 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 위에서 설명한 바와 같이, 제 2 메인 증폭기(388310)는 예를 들어, 제 1 메인 증폭기(388300)의 제 1 및 제 2 매칭 네트워크 및 제 1 및 제 2 전력 증폭기로서 동작하도록 구성될 수 있는, 예를 들어, 제 1 및 제 2 매칭 네트워크 및 제 1 및 제 2 전력 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 제어 가능한 PA(388200)는 제 1 매칭 네트워크(388220) 및 제 2 매칭 네트워크(388225)를 포함할 수 있다. 예를 들어, 제 1 매칭 네트워크(388220)는 예를 들어, 복수의 스텁 중 한 스텁에 동작 가능하게 연결된 제 1 입력을 포함할 수 있으며, 제 2 매칭 네트워크(388225)는 복수의 스텁 중 다른 스텁에 동작 가능하게 연결될 수 있는 제 2 입력을 포함할 수 있다. 예를 들어, 제 1 매칭 네트워크(388320)는 스텁의 임피던스를 제 1 전송 선로(388500)의 임피던스와 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 제어 가능 PA(388200)는 제 1 전력 증폭기(388230) 및 제 2 전력 증폭기(388235)를 포함할 수 있다. 예를 들어, 제 1 전력 증폭기(388230)는 제 1 매칭 네트워크(388220)의 제 1 출력에 동작 가능하게 연결될 수 있는 제 1 입력 및 복수의 스텁(388800) 중 한 스텁에 동작 가능하게 연결될 수 있는 제 1 출력을 포함할 수 있다. 제 2 전력 증폭기(388235)는 제 2 매칭 네트워크(388225)의 제 2 출력에 동작 가능하게 연결될 수 있는 제 2 입력 및 복수의 스텁 중 다른 스텁에 동작 가능하게 연결될 수 있는 제 2 출력을 포함할 수 있다. 하나의 예에서, 스텁(388800)은 제 1 전력 증폭기(388230)의 제 1 출력 및 제 2 전력 증폭기(388235)의 제 2 출력과 제 2 전송 선로(388600) 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, RF 입력 신호(388350)는 4 방향으로 분할될 수 있고, 제 1 드라이버 증폭기(388130) 및 제 2 드라이버 증폭기(388110)에 공급될 수 있다. 예를 들어, 제 1 드라이버 증폭기(388130) 및 제 2 드라이버 증폭기(388310)는 RF 입력 신호(388350)를 증폭할 수 있고 네 개의 출력 RF 신호를 제공할 수 있다.
하나의 예에서, 네 개의 RF 출력 신호의 각각의 쌍은, 예를 들어 SQWL 발룬(388400)에 의해 제 1 스테이지의 상부 절반 및 하부 절반에서 결합될 수 있으며, SQWL 발룬(388400)은 출력 임피던스가 예를 들어 50 Ω일 수 있는, 예를 들면 제 1 및/또는 제 2의 2 대 1 전력 결합기를 포함할 수 있다. 제 1 및 제 2의 2 대 1 전력 결합기는 드라이버 RF 신호(388360)를 증폭할 수 있고 및/또는 드라이버 RF 신호(388365)를 증폭할 수 있다. 예를 들어, 증폭 드라이버 RF 신호(388360) 및/또는 증폭 드라이버 RF 신호(388365)는 제 2 스테이지의 상부 절반 및 하부 절반에서 제 1 메인 증폭기(388300), 제 2 메인 증폭기(388310), 제 1 제어 가능한 증폭기(388200) 및/또는 제 2 제어 가능한 증폭기 사이에서 분할될 수 있다. 예를 들어, SQWL 발룬(388400)은 입력 임피던스가 예를 들어 50 Ω이 되도록 구성될 수 있는 적어도 두 개의 4 대 1 분할기를 포함할 수 있으며, 4 대 1 분할기는 제 1 메인 증폭기(388300), 제 2 메인 증폭기(388310), 제 1 제어 가능 증폭기(388200) 및/또는 제 2 제어 가능한 증폭기(388210) 사이에서 드라이버 RF 신호(388360)를 분할하고 및/또는 드라이버 RF 신호(388365)를 증폭하도록 사용될 수 있다.
하나의 예에서, SQWL 발룬(388400)은 SQWL 발룬(388400)의 상부 절반과 하부 절반 사이에서 2-방향 병렬 결합기로서 거동할 수 있는 8-방향 전력 결합기를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 발룬(388400)은 제 1 PA(388800)의 출력 및/또는 제 2 PA(388210)의 출력을 제 1 메인 증폭기(388300)의 출력 및/또는 제 2 메인 증폭기(388310)의 출력과 결합하도록 구성될 수 있는 4-방향 직렬 결합기를 포함할 수 있다. 예를 들어, 4-방향 직렬 결합기는 제 2 전송 선로(388600) 및 복수의 스텁(388800)을 포함할 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 TDD 모드에서 동작하도록 구성될 수 있는 무선 아키텍처에 따라 구성될 수 있다. 일부 예증적인 양태에서, RF 회로(425)는 Tx 체인을 포함할 수 있는데, Tx 체인의 일부 구성요소 및/또는 기능성은 예를 들어 아래에서 설명되는 바와 같이 Rx 체인에서 재사용되도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 무선 아키텍처는 예를 들어, 무선 통신 장치의 Rx 모드 동안 Tx 체인의 하나 이상의 요소를 재사용하도록 구성될 수 있는 적어도 하나의 I/Q 생성기를 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기는 예를 들어 아래에서 설명하는 바와 같이, 예를 들어 Rx 모드 동안 극변조 송신기의 위상 변조 체인의 하나 이상의 요소를 재사용하도록 구성될 수 있다.
일부 예증적인 양태에서, Rx 모드에서 Tx 체인의 요소를 재사용하는 I/Q 생성기를 구현하는 것은 하나 이상의 이득을 제공할 수 있고 및/또는 하나 이상의 기술적인 문제를 해결할 수 있다. 예를 들어, Rx 모드 동안 극변조 송신기의 위상 변조 체인의 하나 이상의 요소를 재사용하면 다이 면적을 줄일 수 있다. 예를 들어, Tx 모드에서 사용될 수 있는 주입 고정 기반 발진기 변조기는 예를 들어 아래에서 설명되는 바와 같이, Rx 모드 동안 I 또는 Q LO로서 재사용될 수 있다.
일부 예증적인 양태에서, mmWave 송신기 및/또는 수신기, 및/또는 임의의 다른 유형의 송신기 및/또는 수신기는 시분할 이중(TDD) 모드로 동작할 수 있다. 예를 들어, TDD 모드에서, 동일한 주파수 대역 및/또는 적어도 부분적으로 중첩하는 주파수 대역은 송신 및 수신 둘 모두를 위해 사용될 수 있다. 예를 들어, 아래에서 설명되는 바와 같이, 교번적인 시간 슬롯을 송신 및 수신 동작에 할당함으로써 Tx 모드와 Rx 모드 사이에서 주파수 대역이 공유될 수 있다.
일부 예증적인 양태에서, 송수신기 칩, 예를 들어 반이중 송수신기가 TDD 모드에서 동작하도록 구성될 수 있다. 예를 들어, 송수신기 칩은 큰 칩 면적을 필요로 할 수 있는 큰 수동 소자를 포함할 수 있다. 예를 들어, 수동 요소 사이에 기생 커플링(parasitic coupling)이 존재하는 큰 칩 면적은 바람직하지 않은 영향을 초래할 수 있다.
일부 예증적인 양태에서, 예를 들어 송수신기가 Tx 모드 및/또는 Rx 모드에서 동작할 수 있을 때, 하나 이상의 큰 수동 소자가 재사용될 수 있다. 예를 들어, 극변조 Tx의 위상 변조 체인의 하나 이상의 요소는 예를 들어 아래에서 설명되는 바와 같이, Rx 동작 모드 동안 재사용될 수 있다.
일부 예증적인 양태에서, 극변조 Tx의 위상 변조 체인은 예를 들어 Tx 모드에서 주입 고정 기반 발진기 변조기로서 사용될 수 있는 하나 이상의 요소를 포함 할 수 있으며, 예를 들어, Rx 모드에서는 I LO 및/또는 Q LO로서 재사용될 수 있다. 예를 들어, 단일 LO는 Rx 모드 및 Tx 모드 둘 모두에서 예를 들어, 적어도 위상 배열 애플리케이션을 위해 구현될 수 있는 LO 위상 변위를 수행하기 위해 사용될 수 있다.
일부 예증적인 양태에서, I/Q 생성기는 상향 변환기 및/또는 하향 변환기의 일부로서 포함될 수 있고 및/또는 상향 변환기 및/또는 하향 변환기의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(415)(도 4) 및/또는 무선 체인의 일부로서 예를 들면, 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
이제 일부 예증적인 양태에 따른 송수신기(389100)의 블록도를 개략적으로 도시하는 도 389가 참조된다. 예를 들어, 송수신기(389100)의 하나 이상의 요소는 송수신기(371100)(도 371)의 일부로서 구현될 수 있고, 및/또는 송수신기(371100)(도 371)의 하나 이상의 기능성을 수행할 수 있다.
도 389에 도시된 바와 같이, 일부 예증적인 양태에서, 송수신기(389100)는 반이중 송수신기를 포함할 수 있다. 예를 들어, 송수신기(389100)는 TDD 모드에서 동작할 수 있는 반이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(389100)는 2.4 GHz 대역, 5 GHz 대역, mmWave 대역, 서브-1 GHz(S1G) 대역 및/또는 임의의 다른 대역을 통해 통신하도록 구성될 수 있다.
다른 양태에서, 송수신기(389100)는 임의의 다른 추가의 또는 대안의 주파수 대역을 통해 통신하는 임의의 다른 유형의 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(389100)는 예를 들어 아래에서 설명되는 바와 같이, 하나 이상의 안테나(389185)를 연결하는 적어도 하나의 안테나 포트(389180)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(389100)는 적어도 하나의 안테나 포트(389180)를 통해 하나 이상의 안테나(389185)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 안테나(389185) 중 하나 이상은 내부 안테나, 다이폴 안테나, 위상 배열 안테나, 야기(Yagi) 안테나, 안테나의 어레이 등을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 송수신기(389100)는 예를 들어 하나 이상의 안테나 포트(389180)로부터 수신된 신호(389182)에 기초하여, Rx 신호(389175)를 생성하도록 구성될 수 있는 LNA(389170)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(389100)는 예를 들어 Tx 신호(389126)를 증폭하고 증폭된 신호를 하나 이상의 안테나 포트(389180)를 통해 하나 이상의 안테나(389185)에 제공하도록 구성될 수 있는 PA(389160)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(389100)는 예를 들어 아래에서 설명되는 바와 같이, 하나 이상의 I 및/또는 Q 신호를 생성하는 I/Q 신호 생성기(389110)를 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(17)를 생성하는 LO(389115)를 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드 및/또는 Rx 모드에서 LO 신호(389117)의 위상을 변조하도록 구성될 수 있는 제어 가능한 위상 변조 체인(389120)을 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 모드에서 LO 신호(389117)에 기초하여, Q 위상 변위된 신호(389136)를 생성하도록 구성될 수 있는 제어 가능한 위상 변조 체인을 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 모드에서, 예를 들어 하나 이상의 안테나 포트(389180)로부터의 Rx 신호(389175)를 하나 이상의 LO 신호와 혼합하도록 구성된 믹서 회로(389140)를 포함할 수 있다.
일부 예증적인 양태에서, LO(389115)는 fcarrier라고 표시된 캐리어 주파수의 1/3, 예를 들어 (fcarrier/3)일 수 있는 주파수를 갖는 LO 신호(389117)를 생성하도록 구성될 수 있다. 하나의 예에서, 예를 들어 아래에서 설명되는 바와 같이, LO 신호(389117)는 예를 들어 송수신기(389100)가 60 GHz 주파수 대역에서 동작하도록 구성될 때, 20 GHz의 주파수를 가질 수 있다.
일부 예증적인 양태에서, LO(389115)는 예를 들어 수정 발진기, 가변 주파수 발진기, 주파수 신시사이저 등을 포함할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389120)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 위상 변위된 신호(389123)를 생성하도록 구성될 수 있는 위상 변위기(389122)를 포함할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389120)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서, 위상 변위된 신호(389123)를 3배로 늘림으로써 Tx 신호(389126)를 생성하고, 예를 들어 Rx 모드에서, 위상 변위된 신호(389123)를 3배로 늘림으로써 위상 변위된 I 신호(389128)를 생성하도록 구성될 수 있는 트리플러(tripler)(389124)를 포함할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389120)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서, LO 신호(389117)에 기초하여 Tx 신호(389126)를 생성하고, 예를 들어 Rx 모드에서, LO 신호(389117)에 기초하여 위상 변위된 I 신호(389128)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(389122) 및/또는 트리플러(389124)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서 Tx 신호(389126)를 생성하도록 구성될 수 있고, 예를 들어 Rx 모드에서 위상 변위된 I 신호(389128)를 생성하도록 재사용될 수 있다.
일부 예증적인 양태에서, 위상 변위기(389122)는 LO 신호(389117)의 위상을 제 1 위상 변위, 예를 들면 Δφ/3만큼 변위하도록 구성될 수 있으며, 여기서 Δφ는 LO 신호의 위상으로부터의 위상 변위를 나타내고, 여기서, Δφ는 LO 신호(389117)의 위상에 비해, 제어 가능한 위상 변조 체인(29120)의 출력, 예를 들면 Tx 신호(389126) 및/또는 위상 변위된 I 신호(389128)의 위상 변위를 나타낸다.
일부 예증적인 양태에서, 위상 변위기(389122)는 예를 들어 LO 신호(389117)에 기초하여 위상 변위된 신호(389123)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(389124)는 예를 들어, 위상 변조된 신호(389123)의 위상 및 주파수를 3 배로 늘림으로써 예를 들어 Tx 모드에서, Tx 신호(389126)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(389124)는 예를 들어, 위상 변위된 신호(389123)의 위상 및 주파수를 3 배로 늘림으로써, 예를 들어 Rx 모드에서 위상 변위된 I 신호(389128)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 제어 가능한 위상 변조 체인(389120)을 PA(389160)에 선택적으로 연결하거나 제어 가능한 위상 변조 체인(389120)을 PA(389160)로부터 분리하도록 구성될 수 있는 스위치(389155)를 포함할 수 있다. 예를 들어, 스위치(389155)는 예를 들어 Tx 모드에서 제어 가능한 위상 변조 체인(389120)을 PA(389160)에 연결하고 및/또는 예를 들어 Rx 모드에서 제어 가능한 위상 변조 체인(389120)을 PA(389160)로부터 분리하도록 제어될 수 있다.
예를 들어, Tx 모드에서, 스위치(389155)는 Tx 신호(389126)를 PA(389160)에 인가할 수 있으며, PA(389160)는 Tx 신호(389126)를 증폭하여 증폭된 Tx 신호를 안테나 포트(389180)를 통해 하나 이상의 안테나(389185), 예를 들어 위상 배열 안테나(389185)의 요소에 제공할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(389110)는 제어 가능한 위상 변조 체인(389120)을 믹서 회로(389140)에 선택적으로 연결하거나 제어 가능한 위상 변조 체인(389120)을 믹서 회로(389140)로부터 분리하도록 구성될 수 있는 스위치(389150)를 포함할 수 있다. 예를 들어, 스위치(389150)는 예를 들어 Tx 모드에서, 제어 가능한 위상 변조 체인(389120)을 믹서 회로(389140)에 연결하고 및/또는 예를 들어 Rx 모드에서, 제어 가능한 위상 변조 체인(389120)을 믹서 회로(389140)로부터 분리하도록 제어될 수 있다.
예를 들어, Rx 모드에서, 스위치(389150)는 위상 변위된 I 신호(389128)를 믹서 회로(389140)에 인가할 수 있고, 믹서 회로(389140)는 예를 들어 위상 변위된 I 신호(389128)에 기초하여 Rx I 신호(389175)를 IF 신호로 하향 변환할 수 있다.
일부 예증적인 양태에서, 예를 들어, 스위치(389150) 및/또는 스위치(389155)는 FET, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET) 및/또는 임의의 다른 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 스위치(389155) 및/또는 스위치(389150)는 예를 들어 송수신기(389100)의 동작 모드에 기초하여, 제어기(389200)에 의해 제어될 수 있다. 예를 들어, 제어기(389200)는 기저대역 제어기 또는 임의의 다른 제어 회로, 서브시스템 및/또는 로직을 포함하거나 그 일부로서 구현될 수 있다.
예를 들어, Tx 모드에서, 제어기(389200)는 스위치(389155)를 제어하여 트리플러(389124)의 출력과 PA(380160)의 입력 사이를 동작 가능하게 연결할 수 있고, 및/또는 제어기(389150)는 스위치(389150)를 제어하여 트리플러(389124)의 출력을 믹서 회로(389140)로부터 동작 가능하게 분리할 수 있다.
예를 들어, Rx 모드에서, 제어기(389200)는 스위치(389155)를 제어하여 트리플러(389124)의 출력을 PA(380160)의 입력으로부터 동작 가능하게 분리하고, 및/또는 제어기(389200)는 스위치(389150)를 제어하여 트리플러(389124)의 출력을 믹서 회로(389140)에 동작 가능하게 연결할 수 있다.
다른 양태에서, 임의의 다른 스위칭 구성이 제어 가능한 위상 변조 체인(389120)과 PA(389160) 및/또는 믹서(389140) 사이를 스위칭 가능하게 연결하도록 구현될 수 있다. 하나의 예에서, 하나의 스위치 또는 두 개 초과의 스위치가 신호(389126)를 PA(380160) 또는 믹서(389140)에 스위칭 가능하게 제공하도록 구현될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389130)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 위상 변위된 신호(389138)를 생성하도록 구성될 수 있는 위상 변위기(389132)를 포함할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389130)은 예를 들어 아래에서 설명되는 바와 같이, 위상 변위된 신호(389136)를 위상 변위된 Q 신호(389136)로 3배 늘리도록 구성될 수 있는 트리플러(389134)를 포함할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변조 체인(389130)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서, LO 신호(389117)에 기초하여 위상 변위된 신호(389138)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 위상 변위기(389132) 및/또는 트리플러(389134)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 모드에서 위상 변위된 Q 신호(389136)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(389132)는 LO 신호(389117)의 위상을 예를 들어 제 2 위상 변위, 예를 들어 Δφ/3± 30°만큼 변위하도록 구성될 수 있다. 다른 예증적인 양태에서, 예를 들어 트리플러(389134) 및/또는 트리플러(389134)를 포함하지 않을 수 있는 양태에서, 위상 변위기(389132)는 LO 신호(389117)의 위상을 제 2 위상 변위, 예를 들어, Δφ ± 90°만큼 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(389132)는 예를 들어 LO 신호(389117)에 기초하여, 위상 변위된 신호(389138)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(389124)는 예를 들어, 위상 변위된 신호(389138)의 위상 및 주파수를 3배로 늘림으로써, 예를 들어 Rx 모드에서 위상 변위된 Q 신호(389136)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(389132)는 예를 들어 Rx 모드에서, LO 신호(389117)의 위상을 예를 들어 제 2 위상 변위만큼 변위하도록 구성될 수 있다. 예를 들어, 제 2 위상 변위는 제 1 위상 변위의 90도 회전, 예를 들어 Δφ/3을 포함할 수 있다.
예를 들어, 위상 변위된 Q 신호(389136)는 예를 들어 아래에서 설명되는 바와 같이, 90도 회전의 위상 변위, 예를 들면 Δφ±90°를 갖는 캐리어 주파수(fcarrier)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 위상 변위된 I 신호(389128) 및/또는 위상 변위된 Q 신호(389136)는 위상 변위, 예를 들면 위상 변위(Δφ)를 갖는 캐리어 주파수(fcarrier)를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(389134)는, 예를 들어 아래에서 설명되는 바와 같이, 위상 변위된 Q 신호(29136)를 믹서 회로(389140)에 제공할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 믹서 회로(389140)는 예를 들어 LNA(389170)로부터 Rx 신호(389175)를 수신할 수 있고, 위상 변위된 I 신호(389128)와 Rx 신호(389143)를 예를 들어 I 위상 신호(389143)로 혼합할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Rx 모드에서, 믹서 회로(389140)는 위상 변위된 Q 신호(389136)와 Rx 신호(389146)를 예를 들어 Q 위상 신호(389143)로 혼합할 수 있다.
일부 예증적인 양태에서, 믹서 회로(389140)는 믹서(389142) 및/또는 믹서(389145)를 포함할 수 있다. 예를 들어, Rx 모드에서, 믹서(389142)는 Rx 신호(389175)와 위상 변위된 I 신호(389128)를 I 위상 신호(389143)로 혼합할 수 있고, 및/또는 믹서(29145)는 Rx 신호(389175)와 위상 변위된 Q 신호(389136)를 Q 위상 신호(389146)로 혼합할 수 있다.
일부 예증적인 양태에서, I 위상 신호(3891430) 및/또는 Q 위상 신호(389146)는 예를 들어, 기저대역 신호를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 신호(389143)는 I IF 신호로서 사용되고 및/또는 Q 위상 신호(389146)는 Q IF 신호로서 사용되어, 기저대역, 예를 들면 송신 회로(315) 및/또는 수신 회로(320)(도 3a) 내의 IF 및 기저대역 프로세싱 회로에 제공될 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 RF 신호를 증폭하도록 구성될 수 있는 적어도 하나의 아웃페이징 전력 증폭기(outphasing power amplifier)를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다. 일부 예증적인 양태에서, 적어도 하나의 아웃페이징 전력 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 키렉스 서브-쿼터 파장 발룬(Chireix sub-quarter wavelength balun)에 의해 구현될 수 있다.
일부 예증적인 양태에서, 무선 아키텍처에서 아웃페이징 전력 증폭기를 구현하는 것은 예를 들어 적은 다이 면적을 차지하고 높은 전력 레벨을 제공하면서 전력 증폭기의 효율을 증가시키고 임의의 다른 추가의 또는 대안의 기술적 이득 및/또는 이점을 제공함으로써, 적어도 하나의 이득을 제공하고 및/또는 하나 이상의 기술적 문제를 해결할 수 있다.
일부 예증적인 양태에서, 아웃페이징 전력 증폭기는 예를 들어 아래에서 설명되는 바와 같이, RF 신호의 고효율 증폭을 제공하도록 구성될 수 있다. 예를 들어, 복수의 전력 증폭기의 출력을 효율적으로 결합하는 기능은 예를 들어, 고전력 레벨 신호를 달성하는 적어도 기술적 이득을 가능하게 할 수 있다.
일부 예증적인 양태에서, 아웃 페이징 전력 증폭기는 서브쿼터 파장(SQWL) 발룬에 동작 가능하게 연결될 수 있다. 예를 들어, SQWL 발룬은 예를 들어 아래에 설명되는 바와 같이, 예를 들어 적어도 효율적인 전력 결합 및/또는 고전력 레벨을 가능하게 하기 위해, 키렉스 결합기 방식(Chireix combiner scheme)을 사용하도록 구성될 수 있다.
일부 예증적인 양태에서, SQWL 발룬은 디지털적으로 제어될 수 있는 선택적 인덕턴스 뱅크를 사용하도록 구성될 수 있고, 예를 들어 결과적으로 키렉스 결합기의 대역폭을 증가시킬 수 있다.
일부 예증적인 양태에서, 아웃페이징 전력 증폭기는 무선 체인 회로의 일부로서 포함될 수 있고 및/또는 무선 체인 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
이제 일부 예증적인 양태에 따른 송신기(390000)의 블록도를 개략적으로 도시하는 도 390이 참조된다. 예를 들어, 송신기(390000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 371을 참조하여 위에서 설명한 바와 같이, 송수신기의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송수신기(390000)는 RF 증폭기(390100)를 포함할 수 있다. 예를 들어, RF 증폭기(390100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 아웃 페이징 증폭기(390200) 및/또는 제 2 아웃 페이징 증폭기(390300)를 포함하는 복수의 아웃 페이징 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 아웃 페이징 증폭기(390200) 및/또는 아웃 페이징 증폭기(390300)는 예를 들어 아래에서 설명되는 바와 같이, 상수 엔벨로프 증폭기(constant envelop amplifier)로서 구성될 수 있다.
일부 예증적인 양태에서, 제 1 상수 엔벨로프 증폭기, 예를 들면 아웃 페이징 증폭기(390110)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 상수 엔벨로프 증폭기, 예를 들면 아웃 페이징 증폭기(390120)와 상이한 위상으로 동작하도록 구성될 수 있다.
다른 양태에서, 아웃페이징 증폭기(390200) 및/또는 아웃페이징 증폭기(390300)는 임의의 다른 구성을 가질 수 있고 및/또는 임의의 다른 파라미터에 따라 동작할 수 있다.
일부 예증적인 양태에서, 예를 들어, 진폭 변조 신호(Sin(t)=A(t)cos(ωt))는 두 개의 "상수 진폭" 신호 S1(t)와 S2(t)의 합으로 재작성될 수 있고, 예를 들면, 여기서:
[수학식 10]
Figure pct00219
하나의 예에서, 각도(θ=cos-1(A(t)))는 예를 들어, 제 1 아웃페이징 증폭기(390200)와 제 2 아웃페이징 증폭기(390300) 사이의 위상 변위를 가리키는 메트릭에서 사용될 수 있는 아웃페이징 각도를 나타낼 수 있다 예를 들어, 제 1 아웃페이징 증폭기(390200) 및 제 2 아웃페이징 증폭기(390300)가 G라는 이득을 갖는다면, 결합된 출력은 예를 들어 다음과 같이 결정될 수 있다:
[수학식 11]
Figure pct00220
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 변조된 신호는 상이한 위상을 갖는 두 개의 상수 엔벨로프 증폭기, 예를 들면 제 1 아웃페이징 증폭기(390200) 및 제 2 아웃페이징 증폭기(390300)를 통해 증폭될 수 있다.
유리하게, 상수 엔벨로프 증폭기에서 임의의 주어진 입력 진폭 레벨에 대해 일정 진폭을 갖는 것은, 예를 들어 심지어 모든 입력 전력 레벨에 대해서도 높은 효율을 제공할 수 있다.
일부 예증적인 양태에서, RF 디바이스(390100)는 예를 들어 아래에서 설명되는 바와 같이, SQWL 4-방향 결합기 발룬(390400)를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(390400)은 예를 들어, 키렉스 결합기를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(390400)은 예를 들어 비격리 결합기(non-isolating combiner)를 포함할 수 있다.
다른 양태에서, SQWL 4-방향 결합기 발룬(390400)은 임의의 다른 결합기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, SQWL 4-방향 결합기 발룬(390400)은 로드 풀링(load pulling)을 제공하고 결과적으로 효율을 증가시키는 비격리 결합기, 예를 들면 키렉스 결합기로서 구성될 수 있다. 예를 들어, 비 격리 결합기의 경우, 제 1 아웃페이징 증폭기(390200) 및 제 2 아웃페이징 증폭기(390300)의 임피던스는
Figure pct00221
Figure pct00222
에 의해 결정될 수 있다.
일부 예증적인 양태에서, 키렉스 결합기는 각각의 증폭기, 예를 들면 제 1 아웃페이징 증폭기(390200) 및 제 2 아웃페이징 증폭기(390300)의 출력에서 캐패시턴스와 인덕턴스를 추가하고 각각의 증폭기에서 보이는 재 활성화 요소(
Figure pct00223
)를 공진시킴으로써 비격리 결합기의 효율을 최적화하는 기술을 제공할 수 있다. 이러한 예에서, 각 증폭기는 예를 들어 아래에서 설명되는 바와 같이, RL/2의 순수한 실제 임피던스를 볼 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제 1 아웃페이징 증폭기(390200)는 예를 들어, 제 1 입력 신호에 기초하여 제 1 I 신호, 및/또는 예를 들어, 제 2 입력 신호에 기초하여 제 1 Q 신호를 제공하도록 구성될 수 있는 제 1 아웃페이징 증폭기 회로(390210)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기 회로(390220)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 입력 신호에 기초하여 제 2 I 신호, 및/또는 예를 들어, 제 2 입력 신호에 기초하여 제 2 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 아웃 페이징 증폭기(390300)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 3 입력 신호에 기초하여 제 3 I 신호, 및/또는 예를 들어 제 4 입력 신호에 기초하여 제 3 Q 신호를 제공하도록 구성된 제 3 아웃페이징 증폭기 회로(390310)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기(390300)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 3 입력 신호에 기초하여 제 4 I 신호, 및/또는 예를 들어, 제 4 입력 신호에 기초하여 제 4 Q 신호를 제공하도록 구성될 수 있는 제 4 아웃페이징 증폭기 회로(390320)를 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(390400)은 제 1 I 신호 및 제 2 I 신호를 제 1 전송 선로에 결합하는 제 1 유도성 스텁(inductive stub), 제 3 I 신호 및 제 3 I 신호를 결합하는 제 1 전송 선로에 결합하는 제 2 유도성 스텁, 제 1 Q 신호 및 제 2 Q 신호를 제 1 전송 선로에 결합하는 제 1 용량성 스텁(capacitive stub), 및/또는 제 3 Q 신호 및 제 4 Q 신호를 제 2 전송 선로에 결합하는 제 2 용량성 스텁을 포함할 수 있다.
일부 예증적인 양태에서, 제 1 전송 선로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 I 신호, 제 2 I 신호, 제 1 Q 신호 및/또는 제 2 Q 신호의 결합에 기초하여, 제 1 RF 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 전송 선로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 3 I 신호, 제 4 I 신호, 제 3 Q 신호 및/또는 제 4 Q 신호의 결합에 기초하여 제 2 RF 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 아웃페이징 증폭기 회로(390210)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 유도성 스텁에 동작 가능하게 연결될 수 있는 제 1 증폭기, 및/또는 제 1 용량성 스텁에 동작 가능하게 연결될 수 있는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기 회로(390220)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 유도성 스텁에 동작 가능하게 연결될 수 있는 제 1 증폭기, 및/또는 제 1 용량성 스텁에 연결될 수 있는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 제 3 아웃페이징 증폭기 회로(390310)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 유도성 스텁에 동작 가능하게 연결될 수 있는 제 1 증폭기, 및/또는 제 2 용량성 스텁에 동작 가능하게 연결될 수 있는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 제 4 아웃페이징 증폭기(390320) 회로는 예를 들어 아래에서 설명되는 바와 같이, 제 2 유도성 스텁에 동작 가능하게 연결될 수 있는 제 1 증폭기(390325) 및/또는 제 2 용량성 스텁에 동작 가능하게 연결될 수 있는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 아웃페이징 증폭기, 예를 들면 제 1 아웃페이징 증폭기(390215), 제 2 아웃페이징 증폭기(390225), 제 3 아웃페이징 증폭기(390315) 및/또는 제 4 아웃페이징 증폭기(390325)의 각각의 아웃페이징 증폭기는 예를 들어 아래에서 설명되는 바와 같이, LO I 신호에 기초하여 초기 I 신호를 생성하고, LO Q 신호에 기초하여 초기 Q 신호를 생성하는 I/Q 생성기를 포함할 수 있다.
예를 들어, 예를 들어 아래에서 설명되는 바와 같이, 제 1 아웃페이징 증폭기(390215)는 I/O 생성기(390127)를 포함할 수 있고, 제 2 아웃페이징 증폭기(390225)는 I/O 생성기(390227)를 포함 할 수 있고, 제 3 아웃페이징 증폭기(390315)는 I/O 생성기(390317)를 포함할 수 있고, 및/또는 제 4 아웃페이징 증폭기(390325)는 I/O 생성기(390337)를 포함 할 수 있다.
일부 예증적인 양태에서, 예를 들어, 아웃페이징 증폭기 예를 들면, 제 1 아웃페이징 증폭기(390215), 제 2 아웃페이징 증폭기(390225), 제 3 아웃페이징 증폭기(390315) 및/또는 제 4 아웃 페이징 증폭기(390325)의 각각의 아웃페이징 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 아웃페이징 증폭기의 제 1 입력에 기초하여 초기 I 신호를 변조함으로써 위상 변조된 I 신호를 생성하고, 아웃페이징 증폭기의 제 2 입력에 기초하여 초기 Q 신호를 변조함으로써 위상 변조된 Q 신호를 생성하는 위상 변조기 회로를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 아웃페이징 증폭기 예를 들면, 제 1 아웃페이징 증폭기(390215), 제 2 아웃페이징 증폭기(390225), 제 3 아웃페이징 증폭기(390315) 및/또는 제 4 아웃페이징 증폭기(390325)의 각각의 아웃페이징 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 위상 변조된 I 신호를 증폭함으로써 증폭된 I 신호를 출력하는 제 1 증폭기 및 위상 변조된 Q 신호를 증폭함으로써 증폭된 Q 신호를 출력하는 제 2 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, SQWL 4-방향 결합기 발룬(390400)의 제 1 유도성 스텁은 예를 들어 아래에서 설명되는 바와 같이, 미리 정의된 임피던스, 예를 들어 25 옴 임피던스 또는 임의의 다른 임피던스를 아웃 페이징 증폭기(390215, 390225, 290315 및/또는 390325)의 제 1 증폭기의 출력에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, SQWL 4-방향 결합기 발룬(390400)의 제 1 유도성 스텁은 예를 들어 아래에서 설명되는 바와 같이, 미리 정의된 임피던스, 예를 들어 25 옴 임피던스 또는 임의의 다른 임피던스를 아웃 페이징 증폭기(390215, 390225, 390315, 및/또는 390325)의 제 2 증폭기의 출력에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 2 유도성 스텁은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 25 옴 임피던스 또는 임의의 다른 임피던스를 아웃페이징 증폭기(390215, 390225, 390315, 또는 390325)의 제 1 증폭기의 출력에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 유도성 스텁은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 25 옴 임피던스 또는 임의의 다른 임피던스를 아웃 페이징 증폭기(390215, 390225, 390315 및/또는 390325)의 제 2 증폭기의 출력에 인가할 수 있다.
일부 예증적인 양태에서, RF 증폭기(390100)는 LO I 신호 및 LO Q 신호를 생성하는 LO(390500)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(390000)는 하나 이상의 안테나(390700)를 포함할 수 있거나 또는 하나 이상의 안테나(390700)에 동작 가능하게, 예를 들어 RF 증폭기(390100)에 동작 가능하게 연결될 수 있다. 예를 들어, 하나 이상의 안테나(390700)는 위상 배열 안테나, 다이폴 안테나, 내부 안테나, 안테나의 어레이 등을 포함할 수 있다.
일부 예증적인 양태에서, 송신기(390000)는 RF 프로세서(390600)를 포함할 수 있다. 예를 들어, 신호 프로세서(390600)는 I 및 Q 입력 신호를 생성하도록 구성될 수 있다. 예를 들어, I 및 Q 입력 신호는 아웃페이징 증폭기(390215, 390225, 390315 및/또는 390325)의 입력에 인가될 수 있다.
일부 예증적인 양태에 따른, SQWL 발룬(391100)을 부하로서 사용할 수 있는 아웃페이징 증폭기(391000)의 블록도를 개략적으로 도시하는 도 391이 참조된다. 예를 들어, SQWL 발룬(391100)을 갖는 아웃페이징 도허티 증폭기는 RF 증폭기(390100)(도 390)의 하나 이상의 동작 및/또는 기능성을 수행할 수 있다.
일부 예증적인 양태에서, 아웃 페이징 증폭기(391000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 아웃페이징 증폭기(391200), 제 2 아웃페이징 증폭기(391300), 제 3 아웃페이징 증폭기(391400) 및/또는 제 4 아웃페이징 증폭기(391500)를 포함할 수 있다. 예를 들어, 아웃페이징 증폭기(391200, 391300, 391400 및/또는 391500)는 RF 전력 증폭기의 하나 이상의 동작을 수행하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 아웃페이징 증폭기 회로(391200)는 제 1 입력 신호(391020), 예를 들면 입력 I 신호에 기초하여 제 1 I 신호(391212)를 제공하고, 제 2 입력 신호(391010), 예를 들면 입력 Q 신호에 기초하여 제 1 Q 신호(391214)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기 회로(391300)는 예를 들어 제 1 입력 신호(391020)에 기초하여, 제 2 I 신호(391312)를 제공하고, 및/또는 예를 들어 제 2 입력 신호(391010)에 기초하여, 제 2 Q 신호(391314)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 3 아웃페이징 증폭기(390300)는 예를 들어 제 3 입력 신호(391030)에 기초하여, 제 3 I 신호(391412)를 제공하고, 및/또는 예를 들어, 제 4 입력 신호(391040)에 기초하여, 제 3 Q 신호(391414)를 제공할 수 있다.
일부 예증적인 양태에서, 제 4 아웃페이징 증폭기 회로(391500)는 예를 들어 제 3 입력 신호(391030)에 기초하여, 제 4 I 신호(391512)를 제공하고, 및/또는 예를 들어 제 4 입력 신호(391040)에 기초하여, 제 4 Q 신호(391514)를 제공할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(391100)은 제 1 I 신호(391212) 및 제 2 I 신호(391312)를 제 1 전송 선로(391120)에 연결할 수 있는 제 1 유도성 스텁(391110)을 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(391100)은 제 3 I 신호(391412) 및 제 4 I 신호(391512)를 제 1 전송 선로(391120)에 연결할 수 있는 제 2 유도성 스텁(391130)을 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(391100)은 제 1 Q 신호(391214) 및 제 2 Q 신호(391314)를 제 1 전송 선로(391120)에 연결할 수 있는 제 1 용량성 스텁(391140)을 포함할 수 있다.
일부 예증적인 양태에서, SQWL 4-방향 결합기 발룬(391100)은 제 3 Q 신호(391414) 및 제 4 Q 신호(391514)를 제 2 전송 선로(391160)에 연결할 수 있는 제 1 용량성 스텁(391150)을 포함할 수 있다.
일부 예증적인 양태에서, 제 1 전송 선로(391120)는 예를 들어 제 1 I 신호(391212), 제 2 I 신호(391312), 제 1 Q 신호(391214) 및/또는 제 2 Q 신호(391314)의 결합에 기초하여, 제 1 RF 신호(391050)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 전송 선로(391160)는 예를 들어 제 3 I 신호(391412), 제 4 I 신호(391512), 제 3 Q 신호(391414) 및/또는 제 4 Q 신호(391514)의 결합에 기초하여 제 2 RF 신호(391060)를 제공할 수 있다.
일부 예증적인 양태에서, 제 1 아웃페이징 증폭기 회로(391200)는 제 1 유도성 스텁(391110)에 동작 가능하게 연결될 수 있는 제 1 증폭기(391210), 및/또는 제 1 용량성 스텁(391140)에 동작 가능하게 연결될 수 있는 제 2 증폭기(391220)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기 회로(391300)는 제 1 유도성 스텁(391110)에 동작 가능하게 연결될 수 있는 제 1 증폭기(391310), 및/또는 제 1 용량성 스텁(391140)에 동작 가능하게 연결될 수 있는 제 2 증폭기(391320)를 포함할 수 있다.
일부 예증적인 양태에서, 제 3 아웃페이징 증폭기 회로(391400)는 제 2 유도성 스텁(391130)에 동작 가능하게 연결될 수 있는 제 1 증폭기(391410), 및/또는 제 2 용량성 스텁(391150)에 동작 가능하게 연결될 수 있는 제 2 증폭기(391420)를 포함할 수 있다.
일부 예증적인 양태에서, 제 4 아웃페이징 증폭기 회로(391500)는 제 2 유도성 스텁(391130)에 동작 가능하게 연결될 수 있는 제 1 증폭기(391510), 및/또는 제 2 용량성 스텁(391150)에 동작 가능하게 연결될 수 있는 제 2 증폭기(391520)를 포함할 수 있다.
일부 예시적인 양태에서, 제 1 아웃 페이징 증폭기(391200)는 제 1 증폭기(391210)의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 1 매칭 네트워크(391230); 및 제 2 증폭기(391220)의 임피던스를 예를 들어, 50 오옴에 매칭하도록 구성될 수 있는 제 2 매칭 네트워크(391240)를 포함할 수 있다. 다른 양태에서, 임의의 다른 매칭 임피던스가 사용될 수 있다.
일부 예증적인 양태에서, 제 2 아웃페이징 증폭기(391300)는 제 1 증폭기(391310)와의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 1 매칭 네트워크(391330); 및 제 2 증폭기(391320)와의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 2 매칭 네트워크(391340)를 포함할 수 있다. 다른 양태에서, 임의의 다른 매칭 임피던스가 사용될 수 있다.
일부 예증적인 양태에서, 제 3 아웃페이징 증폭기(391400)는 제 1 증폭기(391410)와의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 1 매칭 네트워크(391430); 및 제 2 증폭기(391420)와의 임피던스를 예를 들어, 50 오옴에 매칭하도록 구성될 수 있는 제 2 매칭 네트워크(391440)를 포함할 수 있다. 다른 양태에서, 임의의 다른 매칭 임피던스가 사용될 수 있다.
일부 예증적인 양태에서, 제 4 아웃페이징 증폭기(391500)는 제 1 증폭기(391510)와의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 1 매칭 네트워크(391530); 및 제 2 증폭기(391520)와의 임피던스를 예를 들어, 50 옴에 매칭하도록 구성될 수 있는 제 2 매칭 네트워크(391540)를 포함할 수 있다. 다른 양태에서, 임의의 다른 매칭 임피던스가 사용될 수 있다.
일부 예증적인 양태에서, 예를 들어, 아웃페이징 증폭기, 예를 들면 아웃페이징 증폭기(391200, 391300, 391400 및/또는 391500)의 각 아웃 페이징 증폭기는 I/Q 생성기를 포함할 수 있다. 예를 들어, 아웃페이징 증폭기(391200)는 I/O 생성기(391250)를 포함할 수 있고, 아웃페이징 증폭기(391300)는 I/O 생성기(391350)를 포함할 수 있고, 아웃페이징 증폭기(391400)는 I/O 생성기(391450)를 포함할 수 있고, 및/또는 아웃페이징 증폭기(391500)는 I/O 생성기(391550)를 포함할 수 있다.
일부 예증적인 양태에서, I/Q 생성기(391250)는 LO I 신호, 예를 들어 LO I 신호(391070)에 기초하여 초기 I 신호, 예를 들면 초기 I 신호(391260)를 생성하고, LO Q 신호, 예를 들어 LO Q 신호(391080)에 기초하여 초기 Q 신호, 예를 들면 초기 Q 신호(391270)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, I/Q 생성기(391250)는 LO I 신호, 예를 들면 LO I 신호(391071)에 기초하여 초기 I 신호, 예를 들어 초기 I 신호(391360)를 생성하고, LO Q 신호, 예를 들면 LO Q 신호(391081)에 기초하여 초기 Q 신호, 예를 들면 초기 Q 신호(391370)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, I/Q 생성기(391450)는 LO I 신호, 예를 들면 LO I 신호(391072)에 기초하여 초기 I 신호, 예를 들면 초기 I 신호(391460)를 생성하고, LO Q 신호, 예를 들면 LO Q 신호(31082)에 기초하여 초기 Q 신호, 예를 들면 초기 Q 신호(391470)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, I/Q 생성기(391550)는 LO I 신호, 예를 들면 LO I 신호(391073)에 기초하여 초기 I 신호, 예를 들면 초기 I 신호(391560)를 생성하고, LO Q 신호, 예를 들면 LO Q 신호(391083)에 기초하여 초기 Q 신호, 예를 들면 초기 Q 신호(391570)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 아웃페이징 증폭기, 예를 들면 아웃페이징 증폭기(391200, 391300, 391400 및/또는 391500)의 각각의 아웃페이징 증폭기는 예를 들어 아래에서 설명되는 바와 같이, 아웃페이징 증폭기의 제 1 입력에 기초하여 초기 I 신호를 변조함으로써 위상 변조된 I 신호를 생성하고, 아웃페이징 증폭기의 제 2 입력에 기초하여 초기 Q 신호를 변조함으로써 위상 변조된 Q 신호를 생성하는 위상 변조기 회로를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 아웃 페이징 증폭기(391200)는 위상 변조된 I 신호(391282)를 증폭함으로써 I 신호(391212)를 출력하도록 구성될 수 있는 제 1 증폭기(391210), 및/또는 위상 변조된 Q 신호(391284)를 증폭함으로써 Q 신호(391214)를 출력하도록 구성될 수 있는 제 2 증폭기(391220)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 2 아웃 페이징 증폭기(391300)는 위상 변조된 I 신호(391382)를 증폭함으로써 I 신호(391312)를 출력하도록 구성될 수 있는 제 1 증폭기(391310), 및/또는 위상 변조된 Q 신호(391384)를 증폭함으로써 Q 신호(391314)를 출력하도록 구성될 수 있는 제 2 증폭기(391320)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 3 아웃 페이징 증폭기(391400)는 위상 변조된 I 신호(391482)를 증폭함으로써 I 신호(391412)를 출력하도록 구성될 수 있는 제 1 증폭기(391410), 및/또는 위상 변조된 Q 신호(391484)를 증폭함으로써 Q 신호(391414)를 출력하도록 구성될 수 있는 제 2 증폭기(391420)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 4 아웃 페이징 증폭기(391500)는 위상 변조된 I 신호(391582)를 증폭함으로써 I 신호(391512)를 출력하도록 구성될 수 있는 제 1 증폭기(391510), 및/또는 위상 변조된 Q 신호(391584)를 증폭함으로써 Q 신호(391514)를 출력하도록 구성될 수 있는 제 2 증폭기(391520)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 1 아웃 페이징 증폭기(391200)는 내부 I 신호(391260) 및/또는 내부 Q 신호(391270)를 예를 들어 입력 I 신호(391020) 및/또는 입력 Q 신호(391020)로 변조함으로써, 위상 변조된 I 신호(391282) 및/또는 위상 변조된 Q 신호(391284)를 생성하도록 구성될 수 있는 위상 변조기(391280)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 2 아웃페이징 증폭기(391300)는 내부 I 신호(391360) 및/또는 내부 Q 신호(391370)를 예를 들어 입력 I 신호(391020) 및/또는 입력 Q 신호(391020)로 변조함으로써, 위상 변조된 I 신호(391382) 및/또는 위상 변조된 Q 신호(391384)를 생성하도록 구성될 수 있는 위상 변조기(391380)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 3 아웃 페이징 증폭기(391400)는 내부 I 신호(391460) 및/또는 내부 Q 신호(391470)를 예를 들어 입력 I 신호(391020) 및/또는 입력 Q 신호(391020)로 변조함으로써, 위상 변조된 I 신호(391482) 및/또는 위상 변조된 Q 신호(391484)를 생성하도록 구성될 수 있는 위상 변조기(391480)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 제 4 아웃 페이징 증폭기(391500)는 내부 I 신호(391560) 및/또는 내부 Q 신호(391570)를 예를 들어 입력 I 신호(391020) 및/또는 입력 Q 신호(391020)로 변조함으로써, 위상 변조된 I 신호(391582) 및/또는 위상 변조된 Q 신호(391584)를 생성하도록 구성될 수 있는 위상 변조기(391580)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 유도성 스텁, 예를 들면 제 1 유도성 스텁(391110) 및/또는 제 2 유도성 스텁(391130)은 25 옴 임피던스를 아웃페이징 증폭기(391200, 391300, 391400 및/또는 391500)의 제 1 증폭기의 출력, 예를 들면 각각의 출력에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 용량성 스텁, 예를 들면 제 1 용량성 스텁(391140) 및/또는 제 2 용량성 스텁(391150)은 25 옴 임피던스를 아웃페이징의 증폭기(391200, 391300, 391400 및/또는 391500)의 제 2 증폭기의 출력, 예를 들어 각각의 출력에 인가하도록 구성될 수 있다.
다른 양태에서, 제 1 유도성 스텁(391110), 제 2 유도성 스텁(391130), 제 1 용량성 스텁(391140) 및/또는 제 2 용량성 스텁(391160)은 하나 이상의 아웃페이징 증폭기(391200, 391300, 391400 및/또는 391500)의 제 1 증폭기 및/또는 제 2 증폭기 중 하나 이상에 임의의 다른 임피던스를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 아웃페이징 증폭기(391000)는 LO 분할기(391600) 및/또는 LO 분할기(391650)를 포함할 수 있다. 예를 들어, LO 분할기(391600) 및/또는 LO 분할기(31650)는 LO, 예를 들면 LO(390500)(도 390)로부터 LO 신호를 수신하도록 구성될 수 있다. 예를 들어, LO 분할기(391600)는 LO 신호를 예를 들어 LO I 신호(391070 및/또는 391073) 및/또는 LO Q 신호(391080 및/또는 391083)로 분할할 수 있다. 예를 들어, LO 분할기(391650)는 LO 신호를 예를 들어 LO I 신호(391071 및/또는 391072) 및/또는 LO Q 신호(391081 및/또는 391082)로 분할할 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 예를 들어 아래에서 설명되는 바와 같이, 하나 이상의 미리 정의된 위상 값에 기초하여, 신호의 위상을 원하는 위상으로 변위 및/또는 회전시키도록 구성될 수 있는 적어도 하나의 위상 변위기("위상 회전기(phase rotator)"라고도 지칭함)를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 저전력 및/또는 고분해능을 제공하도록 구성될 수 있는 제어 가능한 위상 변위기, 예를 들어 전압 제어 위상 변위기로서 구현될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 무선 체인 회로의 일부로서 포함될 수 있고 및/또는 무선 체인 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 동위상(I) 신호의 위상 및/또는 직교 위상(Q) 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 콘스텔레이션 맵에 따라, 예를 들어 제어 가능한 위상 변위기의 최대 이득에서, 예를 들어 높은 레벨의 정확도 및/또는 높은 조정을 제공하도록 교정될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 높은 정밀도로 1/Q 이득 및/또는 위상 불평형을 보정하도록 교정될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, I 신호 및 Q 신호에 기초하여 위상 변위된 I 신호를 제공하도록 구성될 수 있는 I 위상 변위 회로(phase shifting circuitry)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 제어 신호에 따라 I 신호의 위상을 변위시킴으로써 제 1 변위된 I 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 2 제어 신호에 따라 Q 신호의 위상을 변위시킴으로써 제 1 변위된 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, I 위상 변위 회로는 예를 들어, 제 1 변위된 I 신호를 제 1 변위된 Q 신호와 결합함으로써 위상 변위된 I 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Q 신호 및 I 신호에 기초하여, 위상 변위된 Q 신호를 제공하도록 구성될 수 있는 Q 위상 변위 회로를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 3 제어 신호에 따라 I 신호의 위상을 변위시킴으로써 제 2 변위된 I 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 4 제어 신호에 따라 Q 신호의 위상을 변위시킴으로써 제 2 변위된 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 변위된 I 신호를 제 2 변위된 Q 신호와 결합함으로써 위상 변위된 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로 및/또는 Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 전압 제어 위상 변위 회로(voltage controlled phase shifting circuitry)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 제어 신호를 I 제어 전압으로 변환하도록 구성될 수 있는 제 1 전압 디지털-아날로그 변환기(VDAC)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압에 따라 I 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 제어 신호를 Q 제어 전압으로 변환하도록 구성될 수 있는 제 2 VDAC를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Q 제어 전압에 따라 Q 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 3 제어 신호를 I 제어 전압으로 변환하는 제 1 VDAC를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, I 제어 전압에 따라 I 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 4 제어 신호를 Q 제어 전압으로 변환하도록 구성될 수 있는 제 2 VDAC를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Q 제어 전압에 따라 Q 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 위상 변위된 I 신호 및/또는 위상 변위된 Q 신호를 송신(Tx) 경로에서 하나 이상의 전력 증폭기(PA)에 제공하고, 및/또는 수신(Rx) 경로에서 하나 이상의 저잡음 증폭기(LNA)로부터 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 본 명세서에 설명된 바와 같이, 제어 가능한 위상 변위기를 구현하는 것은 예를 들어 분해능과 무관하게, 전력 소비를 하는 고도로 선형성의 위상 변위기를 제공함으로써, 및/또는 임의의 다른 추가의 또는 대안의 기술적 이득 및/또는 이점을 제공함으로써, 하나 이상의 이득을 제공하고 및/또는 하나 이상의 기술적 문제를 해결할 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 낮은 이득 설정 및/또는 높은 이득 설정 근처에서도 고분해능을 제공하도록 구성될 수 있다.
이제 일부 예증적인 양태에 따른 송수신기(392000)의 블록도를 개략적으로 도시하는 도 392가 참조된다. 예를 들어, 송신기(392000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 371을 참조하여 위에서 설명한 바와 같이, 송수신기(371100)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 반이중 송수신기 및/또는 전이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 60 GHz 주파수 대역을 통해 동작하도록 구성될 수 있는 밀리미터 파 송수신기를 포함할 수 있다. 다른 양태에서, 송수신기(392000)는 임의의 다른 추가의 또는 대안의 주파수 대역을 통해 동작하도록 구성된 임의의 다른 유형의 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 아래에서 설명되는 바와 같이, 복수의 Rx 안테나(392100) 및/또는 복수의 Tx 안테나(392150)에 동작 가능하게 연결될 수 있다. 예를 들어, Rx 안테나(392100) 및/또는 Tx 안테나(392150)는 예를 들어 하나 이상의 안테나 요소, 하나 이상의 위상 배열 안테나, 하나 이상의 다이폴 안테나, 하나 이상의 내부 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 송수신기(392000)는 예를 들어 LO 신호(392205)를 생성하도록 구성될 수 있는, 예를 들면 국부 발진기(LO)(392200)를 포함할 수 있다.
일부 예증적인 양태에서, LO(392200)는 예를 들어 수정 발진기, 위상 고정 루프(PLL), 주입 LO(ILO) 및/또는 임의의 다른 유형의 LO를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 위상 변위된 LO 신호를 하나 이상의 송신기 및/또는 수신기 구성요소, 회로 및/또는 서브시스템에 분배하도록 구성될 수 있는 LO 분배 네트워크 회로(392300)를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위된 LO 신호는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 사인 신호(392264) 및/또는 코사인 신호(392274)를 포함할 수 있다. 다른 양태에서, 임의의 다른 추가의 또는 대안의 LO 신호가 사용될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하나 이상의 Rx 무선 주파수(RF) 신호를 예를 들어 Rx 안테나(392100)로부터 수신하도록 구성될 수 있는 수신기(392200)를 포함할 수 있다.
일부 예증적인 양태에서, 수신기(392200)는 복수의 Rx 안테나(392100)에 각각 동작 가능하게 연결될 수 있는, 예를 들면 복수의 LNA(392210)를 포함할 수 있다. 예를 들어, Rx 안테나(392100)에 동작 가능하게 연결될 수 있는 LNA(392210)는 예를 들어 아래에서 설명되는 바와 같이, Rx 안테나(392100)로부터의 RF 신호(392230)를 증폭함으로써 Rx 신호(392220)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 수신기(392200)는 예를 들어 복수의 LNA(392210)에 각각 동작 가능하게 연결될 수 있는, 예를 들면 복수의 믹서(392250)를 포함할 수 있다. 예를 들어, LNA(392210)에 연결된 믹서(392250)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LNA(392210)로부터의 RF 신호(392220)에 따라 I 신호(392262) 및/또는 Q 신호(392272)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 수신기(392200)는 복수의 Rx 안테나(392250)에 각각 동작 가능하게 연결될 수 있는, 예를 들면 복수의 제어 가능한 위상 변위기(392240)를 포함할 수 있다. 예를 들어, 믹서(32250)에 동작 가능하게 연결될 수 있는 제어 가능한 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, I 신호(392262)의 위상 및/또는 Q 신호(392272)의 위상을 믹서(392250)로부터 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹서(392250)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392240)의 제 1 입력(392265)에 동작 가능하게 연결될 수 있는 제 1 믹서(392260)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 믹서(392260)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 사인 신호(392264)에 따라, Rx 신호(392220)를 혼합함으로써 I 신호(392262)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹서(392250)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392240)의 제 2 입력(392275)에 동작 가능하게 연결될 수 있는 제 2 믹서(392270)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 믹서(392270)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 코사인 신호(392274)에 따라, Rx 신호(39220)를 혼합함으로써 Q 신호(392272)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 복수의 제어 가능한 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, 복수의 각각의 위상 변위를 복수의 Rx 안테나(392100)에 제어 가능하게 적용하도록 구성될 수 있다. 예를 들어, 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 빔포밍 방식에 따라, 빔을 생성 및/또는 조정하도록 구성될 수 있는 각각의 복수의 위상 변위를 Rx 안테나(392100)에 적용하도록 제어될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 제어 신호(392410)에 따라 I 신호(392262)의 위상을 변위시키고, 위상 변위된 I 신호(392280)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 제어 신호(392420)에 따라 Q 신호(392272)의 위상을 변위시키고, 위상 변위된 Q 신호(392290)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 복수의 제어 가능한 위상 변위기(392210)에 동작 가능하게 연결될 수 있는 Q Rx 결합기(392510)를 포함할 수 있다. 예를 들어, Q Rx 결합기(392510)는 복수의 제어 가능한 위상 변위기(392240)로부터의 복수의 위상 변위된 Q 신호(392290)를 예를 들어 Q 중간 주파수(IF) Rx 신호(392295)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 복수의 제어 가능한 위상 변위기(392240)에 동작 가능하게 연결될 수 있는 I Rx 결합기(392520)를 포함할 수 있다. 예를 들어, I Rx 결합기(392520)는 복수의 제어 가능한 위상 변위기(392240)로부터의 복수의 위상 변위된 I 신호(392280)를 예를 들어, I IF Rx 신호(392285)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 I Rx 결합기(392520) 및 Q Rx 결합기(392510)에 동작 가능하게 연결될 수 있는 기저대역(392500)을 포함할 수 있다. 예를 들어, 기저대역(392500)은 예를 들어 아래에서 설명되는 바와 같이, IF 신호, 예를 들어 I IF Rx 신호(392285) 및/또는 Q IF Rx 신호(392295)를 처리하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 아래에서 설명되는 바와 같이, 기저대역(392500)에 동작 가능하게 연결되어, 예를 들어 하나 이상의 Tx 신호를 Tx 안테나(392150)를 통해 송신할 수 있는 송신기(392300)를 포함할 수 있다.
일부 예증적인 양태에서, 기저대역(392500)은 예를 들어 아래에서 설명되는 바와 같이, 송신기(392300)에 의해 송신될 수 있는 하나 이상의 IF Tx 신호, 예를 들어, I IF Tx 신호(392580) 및/또는 Q IF Tx 신호(392590)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392300)는 예를 들어 아래에서 설명되는 바와 같이, 복수의 Tx RF 신호를 복수의 Tx 안테나(392150)를 통해 송신하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 기저대역(392500)에 동작 가능하게 연결될 수 있는 I Tx 분할기(392530)를 포함할 수 있다. 예를 들어, I Tx 분할기(392530)는 I IF Tx 신호(392580)를 예를 들어, 복수의 Tx 안테나(392150)를 통해 예를 들어, 각각 전송되는 Tx I 신호(392285)로 분할하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어 기저대역(392500)에 동작 가능하게 연결될 수 있는 Q Tx 분할기(392540)를 포함할 수 있다. 예를 들어, Q Tx 분할기(392540)는 Q IF Tx 신호(392590)를 예를 들어 복수의 Tx 안테나(392150)를 통해 예를 들어 각각 전송되는 복수의 Tx Q 신호(392295)로 분할하도록 구성될 수 있다.
일부 예증적인 양태에서, 송신기(392300)는 예를 들어, Q Tx 분할기(392540) 및 I Tx 분할기(392530)에 동작 가능하게 연결될 수 있는 복수의 제어 가능한 위상 변위기(392340)를 포함할 수 있다. 예를 들어, 제어 가능한 위상 변위기(392340)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I Tx 분할기(392530)로부터의 Tx I 신호(392285)의 위상 및/또는 Q Tx 분할기(392540)로부터의 Tx Q 신호(392295)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 복수의 제어 가능한 위상 변위기(392240)는 예를 들어 아래에서 설명되는 바와 같이, 복수의 각각의 위상 변위를 복수의 Tx 안테나(392150)에 제어 가능하게 적용하도록 구성될 수 있다. 예를 들어, 위상 변위기(392340)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 빔포밍 방식에 따라, 빔을 생성 및/또는 조정하도록 구성될 수 있는 각각의 복수의 위상 변위를 Tx 안테나(392150)에 적용하도록 제어될 수 있다.
일부 예증적인 양태에서, 송신기(392300)는 예를 들어 복수의 제어 가능한 위상 변위기(392340)에 각각 동작 가능하게 연결될 수 있는, 예를 들면 복수의 믹서(392350)를 포함할 수 있다. 예를 들어, 제어 가능한 위상 변위기(392340)에 연결된 믹서(392350)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392340)로부터의 I 변위된 신호(392360) 및/또는 Q 변위된 신호(32365)에 따라 RF 신호(392330)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 복수의 믹서(392350)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392340)의 제 1 출력(392341)에 동작 가능하게 연결될 수 있는 제 1 믹서(392370)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 믹서(392370)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 사인 신호(392264)에 따라, I 변위된 신호(392360)를 혼합함으로써 제 1 RF 신호(392332)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 복수의 믹서(392250)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392340)의 제 2 출력(392342)에 동작 가능하게 연결될 수 있는 제 2 믹서(392380)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 믹서(392380)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 코사인 신호(392274)에 따라, Q 변위된 신호(392365)를 혼합함으로써 제 2 RF 신호(392334)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기(392340)로부터의 제 1 RF 신호(392332) 및 제 2 RF 신호(392334)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 각각의 Tx 안테나(392150)를 통해 송신될 RF 신호(392330)로 결합될 수 있다.
일부 예증적인 양태에서, 송신기(392300)는 예를 들어 복수의 믹서(392380)에 예를 들어 각각 동작 가능하게 연결될 수 있는 복수의 PA(392310)를 포함할 수 있다. 예를 들어, 믹서(392350)에 동작 가능하게 연결될 수 있는 PA(392310)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 믹서(392350)로부터의 RF 신호(392330)를 Tx RF 신호(392320)로 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, PA(392310)는 예를 들어 아래에서 설명되는 바와 같이, Tx RF 신호(392320)를 Tx 안테나(392150)에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기(392240) 및/또는 제어 가능한 위상 변위기(392340)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, I 신호 및 Q 신호에 기초하여, 위상 변위된 I 신호(392280)를 제공하도록 구성될 수 있는 I 위상 변위 회로(392242)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 제어 신호에 따라 I 신호의 위상을 변위시킴으로써 제 1 변위된 I 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 제어 신호에 따라 Q 신호의 위상을 변위시킴으로써 제 1 변위된 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 변위된 I 신호를 제 1 변위된 Q 신호와 결합함으로써 위상 변위된 신호(392280)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기(392240) 및/또는 제어 가능한 위상 변위기(392340)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Q 신호(392272) 및 I 신호(392362)에 기초하여, 위상 변위된 Q 신호(392290)를 제공하도록 구성될 수 있는 Q 위상 변위 회로(392244)를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 3 제어 신호에 따라 I 신호(392262)의 위상을 변위시킴으로써 제 2 변위된 I 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 4 제어 신호, 예를 들면 제어 신호(392440)에 따라, Q 신호(392272)의 위상을 변위시킴으로써 제 2 변위된 Q 신호를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로는 예를 들어 아래에서 설명되는 바와 같이, 제 2 변위된 I 신호를 제 2 변위된 Q 신호와 결합함으로써 위상 변위된 Q 신호(392290)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 제 1 제어 신호를 I 제어 전압으로 변환하도록 구성될 수 있는 제 1 VDAC(도 392에는 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압에 따라, I 신호(393362)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 신호(392420)를 Q 제어 전압으로 변환하도록 구성될 수 있는 제 2 VDAC(도 392에는 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Q 제어 전압에 따라 Q 신호(392272)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압에 따라, 예를 들어 제 1 변위된 I 신호를 생성하도록 구성될 수 있는 캐스코드 게이트 배열(도 392에는 도시되지 않음) 내의 제 1 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, I 위상 변위 회로(392242)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Q 제어 전압에 따라, 예를 들어 제 1 변위된 Q 신호를 생성하도록 구성될 수 있는 캐스코드 게이트 배열(도 392에는 도시되지 않음) 내의 제 2 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 신호(392430)를 I 제어 전압으로 변환하도록 구성될 수 있는 제 1 VDAC(도 392에는 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압에 따라, I 신호(393362)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 신호(392440)를 Q 제어 전압으로 변환하도록 구성될 수 있는 제 2 VDAC(도 392에는 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Q 제어 전압에 따라 Q 신호(392272)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압에 따라, 예를 들어 제 2 변위된 I 신호를 생성하도록 구성될 수 있는 캐스코드 게이트 배열(도 392에는 도시되지 않음) 내의 제 1 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, Q 위상 변위 회로(392244)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Q 제어 전압에 따라, 예를 들어 제 2 변위된 Q 신호를 생성하도록 구성될 수 있는 캐스코드 게이트 배열(도 392에는 도시되지 않음) 내의 제 2 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 제어 신호, 예를 들면 제어 신호(392410)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 제 1 데이터를 I 위상 변위 회로(392242)에 인가하는 제 1 디지털 신호를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 제어 신호, 예를 들면 제어 신호(392420)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 제 2 데이터를 I 위상 변위 회로(392242)에 인가하는 제 2 디지털 신호를 포함할 수 있다.
일부 예증적인 양태에서, 제 3 제어 신호, 예를 들면 제어 신호(392430)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 제 3 데이터를 Q 위상 변위 회로(392244)에 인가하는 제 3 디지털 신호를 포함할 수 있다.
일부 예증적인 양태에서, 제 4 제어 신호, 예를 들면 제어 신호(392440)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 제 4 데이터를 Q 위상 변위 회로(392244)에 인가하는 제 4 디지털 신호를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(392000)는 예를 들어, 기저대역(392500), 제어 가능한 위상 변위기(392240) 및/또는 제어 가능한 위상 변위기(392340)를 포함하는 송수신기(392000)의 하나 이상의 요소에 동작 가능하게 연결될 수 있는 예를 들어 교정 및 제어 서브시스템(392400)을 포함할 수 있다. 예를 들어, 교정 및 제어 서브시스템(392400)은 예를 들어 아래에서 설명되는 바와 같이, 하나 이상의 제어 신호, 예를 들면 제어 신호(392410), 제어 신호(392420), 제어 신호(392430), 및/또는 제어 신호(392440)를 이용하여, 예를 들어 제어 가능한 위상 변위기(392240) 및/또는 제어 가능한 위상 변위기(392340)를 제어 및/또는 교정하도록 구성될 수 있다.
일부 예증적인 양태에서, 교정 및 제어 서브시스템(392400)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 가능한 위상 변위기(392240) 및/또는 제어 가능한 위상 변위기(392340)의 하나 이상의 파라미터를 교정하도록 구성될 수 있다.
일부 예증적인 양태에서, 교정 및 제어 서브시스템(392400)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 따라, 복수의 제어 가능한 위상 변위기(392240) 및/또는 복수의 제어 가능한 위상 변위기(392340)의 선형성 및/또는 분해능을 교정하도록 구성될 수 있다.
일부 예시적인 양태에서, 교정 및 제어 서브시스템(392400)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 룩 업 테이블(LUT)에 따라, 복수의 제어 가능한 위상 변위기(392240) 및/또는 복수의 제어 가능한 위상 변위기(392340)를 제어 및/또는 교정하도록 구성될 수 있다.
일부 예증적인 양태에서, LUT(392450)는 교정 및 제어 서브시스템(392400)에 의해 생성 및/또는 업데이트될 수 있다. 다른 양태에서, LUT(392450)는 교정 및 제어 서브시스템(392400)에 의해 생성되지 않을 수 있다. 예를 들어, LUT(392450)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 송수신기(392000)에서 미리 구성될 수 있는 미리 정의된 LUT를 포함할 수 있다.
일부 예증적인 양태에서, LUT(392450)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 결정된 콘스텔레이션 포인트 맵에 따라, 예를 들어 각각의 복수의 콘스텔레이션 포인트에 대응하는 복수의 전압 값 쌍을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 복수의 전압 값 쌍의 한 전압 값 쌍은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 신호, 예를 들면 제어 신호(392410)에 인가될 제 1 I 전압 값, 제 2 제어 신호, 예를 들면 제어 신호(392420)에 인가될 제 1 Q 전압 값, 제 3 제어 신호, 예를 들면 제어 신호(392430)에 인가될 제 2 I 전압 값, 및 제 4 제어 신호, 예를 들면 제어 전압(392440)에 인가될 제 2 Q 전압 값을 포함할 수 있다.
일부 예증적인 양태에 따른, 위상 변위 회로(393000)의 전자 회로 평면을 개략적으로 도시하는 도 393이 참조된다. 예를 들어, 송신기(393000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어 도 392를 참조하여 위에서 설명한 바와 같이, 제어 가능한 위상 변위기(392240)의 일부로서 및/또는 제어 가능한 위상 변위기(392340)의 일부로서 구현될 수 있다. 본 명세서에 설명된 위상 변위 회로는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(372))에 통합될 수 있지만, 위상 변위 회로는 이것으로 제한되지 않는다.
하나의 예에서, 위상 변위 회로(33000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어 도 392를 참조하여 위에서 설명한 바와 같이, Q 위상 변위 회로(392244)의 일부로서 및/또는 I 위상 변위 회로(392242)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 신호(393070), 예를 들면 차동 I 신호, 및 Q 신호(393080), 예를 들면 차동 Q 신호에 기초하여, 위상 변위된 신호, 예를 들면 양의 위상 변위된 신호(393015) 및 음의 위상 변위된 신호(393020)를 포함하는 차동 위상 변위된 신호(393010)를 제공하도록 구성될 수 있다. 예를 들어, 위상 변위된 신호(393010)는 위상 변위된 I 신호, 예를 들면 위상 변위된 I 신호(392280)(도 392)를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 신호(393070), 예를 들면 차동 I 신호 및 Q 신호(393080), 예를 들면, 차동 Q 신호에 기초하여, 위상 변위된 신호, 예를 들면 양의 위상 변위된 신호(도 393에는 도시되지 않음) 및 음의 위상 변위된 신호(도 393에는 도시되지 않음)를 포함하는 차동 위상 변위된 신호(393090)를 제공하도록 구성될 수 있다. 예를 들어, 위상 변위된 신호(393090)는 위상 변위된 Q 신호, 예를 들면 위상 변위된 Q 신호(392290)(도 392)를 포함할 수 있다.
일부 예증적인 양태에서, 도 393에 도시된 바와 같이, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 I 제어 전압(393510)에 따라, 예를 들어 변위된 I 신호(393050)를 생성하도록 구성될 수 있는 캐스코드 게이트 배열 내의, 예를 들면 제 1 복수의 트랜지스터(393600)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 복수의 트랜지스터(393600)는 예를 들어 하나 이상의 전계 효과 트랜지스터(FET), 하나 이상의 바이폴라 접합 트랜지스터(BJT), 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 제 1 복수의 트랜지스터(393600)에 연결될 수 있는 제 1 VDAC(393500)를 포함할 수 있다. 예를 들어, 제 1 VDAC(393500)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 제어 신호(393300), 예를 들면 I 제어 신호를 I 제어 전압(393510)으로 변환하고, I 제어 전압(393510)을 제 1 복수의 트랜지스터(393600)에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 제어 신호(393300)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 예를 들어 제 1 데이터를 위상 변위 회로(393000)에 인가하도록 구성될 수 있는 제 1 디지털 신호, 예를 들면 제어 신호(392410)(도 392)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 VDAC(393500)는 예를 들어 5-비트 VDAC, 6-비트 VDAC 및/또는 임의의 다른 분해능의 VDAC를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 제 1 복수의 트랜지스터(33600)에 연결될 수 있는 제 1 I 부호 스위치(sign switch)(393610) 및/또는 제 2 I 부호 스위치(393620)를 포함할 수 있다. 예를 들어, 제 1 I 부호 스위치(393610) 및/또는 제 2 I 부호 스위치(33620)는 양의 I 신호 또는 음의 I 신호를 제 1 복수의 트랜지스터(393600)에 인가하도록 구성될 수 있다. 예를 들면, 제 1 I 부호 스위치(393610) 및/또는 제 2 I 부호 스위치(393620)는 예를 들어 제 1 I 부호 제어 신호(393030)가 제 1 I 부호 스위치(393010) 및/또는 제 2 I 부호 스위치(393620)에 인가될 때 양의 I 신호를 제 1 복수의 트랜지스터(393600)에 인가하는 것과, 예를 들어 제 2 I 부호 제어 신호(393040)가 제 1 I 부호 스위치(393610) 및/또는 제 2 I 부호 스위치(393620)에 인가될 때 음의 I 신호를 제 1 복수의 트랜지스터(393600)에 인가하는 것 사이에서 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 I 부호 스위치(393610) 및/또는 제 2 I 부호 스위치(393620)는 예를 들어, 하나 이상의 FET, 하나 이상의 BJT, 및/또는 임의의 다른 유형의 트랜지스터 및/또는 스위치 회로를 포함할 수 있다.
일부 예증적인 양태에서, 도 393에 도시된 바와 같이, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Q 제어 전압(393520)에 따라, 예를 들어 변위된 Q 신호(393060)를 생성하도록 구성될 수 있는 캐스코드 게이트 배열 내의 예를 들어 제 2 복수의 트랜지스터(393650)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 복수의 트랜지스터(393650)는 예를 들어 하나 이상의 FET, 하나 이상의 BJT, 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 제 2 복수의 트랜지스터(393650)에 연결될 수 있는 제 2 VDAC(393550)를 포함할 수 있다. 예를 들어, 제 2 VDAC(393550)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 제어 신호(393350) 예를 들면, Q 제어 신호를 Q 제어 전압(393520)으로 변환하고, Q 제어 전압(393520)을 제 2 복수의 트랜지스터(393650)에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 제어 신호(393350)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 콘스텔레이션 포인트 맵에 기초하여, 예를 들어 제 2 데이터를 위상 변위 회로(393000)에 인가하도록 구성될 수 있는 제 2 디지털 신호, 예를 들면, 제어 신호(392420)(도 392)를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 VDAC(393550)는 예를 들어 5-비트 VDAC, 6-비트 VDAC 및/또는 임의의 다른 분해능의 VDAC를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 제 2 복수의 트랜지스터(393650)에 동작 가능하게 연결될 수 있는 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)를 포함할 수 있다. 예를 들어, 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)는 양의 Q 신호를 예를 들어 제 2 복수의 트랜지스터(393650)에 인가하는 것 또는 음의 Q 신호를 예를 들어 제 2 복수의 트랜지스터(393650)에 인가하는 것 사이를 스위칭하도록 구성될 수 있다. 예를 들어, 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)는 양의 I 신호 또는 음의 I 신호를 제 2 복수의 트랜지스터(393650)에 인가하도록 구성될 수 있다. 예를 들어, 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)는 예를 들어 제 1 Q 부호 제어 신호(393035)가 제 1 Q 부호 스위치(393030) 및/또는 제 2Q 부호 스위치(393640)에 인가될 때 양의 Q 신호를 제 2 복수의 트랜지스터(393650)에 인가하는 것과, 예를 들어 제 2 Q 부호 제어 신호(393045)가 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)에 인가될 때 음의 Q 신호를 제 2 복수의 트랜지스터(393650)에 인가하는 것 사이에서 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 Q 부호 스위치(393630) 및/또는 제 2 Q 부호 스위치(393640)는 예를 들어, 하나 이상의 FET, 하나 이상의 BJT, 및/또는 임의의 다른 유형의 트랜지스터 및/또는 스위치 회로를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 제 1 복수의 트랜지스터(393600) 및 제 2 복수의 트랜지스터(393650)에 동작 가능하게 연결될 수 있는 결합기(393400)를 포함할 수 있다. 예를 들어, 결합기(393400)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 변위된 I 신호(393050) 및 변위된 Q 신호(393060)를 결합하도록 구성될 수 있다. 예를 들어, 결합기(393400)는 예를 들어 양의 변위된 I 신호(393100)를 양의 변위된 Q 신호(393200)와 결합할 수 있고, 예를 들어 음의 변위된 I 신호(393110)를 음의 변위된 Q 신호(393210)와 결합할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 제어 신호(393300)에 따라 I 신호(393070)의 위상을 변위시킴으로써, 변위된 I 신호, 예를 들면 양의 변위된 I 신호(393100) 및 음의 변위된 I 신호(393110)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 제어 신호(393350)에 따라 Q 신호(393040)의 위상을 변위시킴으로써, 변위된 Q 신호, 예를 들면 양의 변위된 Q 신호(393200) 및 음의 변위된 Q 신호(393210)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(393000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 변위된 I 신호(393050)를 변위된 Q 신호(393060)와 결합함으로써 위상 변위된 신호(393010)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에 따른, 콘스텔레이션 포인트 맵의 제 1 사분면(394000)을 개략적으로 도시하는 도 394가 참조된다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기, 예를 들면 제어 가능한 위상 변위기(392240)(도 392) 및/또는 제어 가능한 위상 변위기(392340)(도 392)는 도 394의 콘솔레이션 포인트 맵 내의 포인트에 따라 I 신호의 위상 및/또는 Q 신호의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 도 394에 도시된 바와 같이, 콘스텔레이션 포인트 맵의 제 1 사분면(394000)은 예를 들어, 제 1 축("I 축")을 따라 놓인 복수의 I 값 및 예를 들어, 제 2 축("Q 축")을 따라 놓인 복수의 Q 값에 의해 정의된 예를 들어 복수의 콘스텔레이션 포인트를 포함할 수 있다. 예를 들어, 도 394에 도시된 바와 같이, I 축 및 Q 축은 콘스텔레이션 포인트 맵의 제 1 사분면을 나타낼 수 있는, 0과 1 사이의 범위의 값을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어, 콘스텔레이션 포인트 맵의 제 2 사분면에서, I 축은 0과 -1 사이의 범위의 값을 포함할 수 있고, Q 축은 0과 1 사이의 범위의 값을 포함할 수 있고; 콘스텔레이션 포인트 맵의 제 3 사분면에서, I 축은 0과 -1 사이의 범위의 값을 포함할 수 있고, Q 축은 0과 -1 사이의 범위의 값을 포함할 수 있으며; 콘스텔레이션 포인트 맵의 제 4 사분면에서, I 축은 0과 1 사이의 범위의 값을 포함할 수 있고, Q 축은 0과 -1 사이의 범위의 값을 포함할 수 있다.
일부 예증적인 양태에 따라, 이상적 위상 변위된 콘스텔레이션 포인트에 대한 콘스텔레이션 포인트의 이득 변동을 도시하는 그래프(395000)를 개략적으로 도시하는 도 395가 참조된다.
일부 예증적인 양태에서, 제어 가능한 위상 변위기, 예를 들면 제어 가능한 위상 변위기(392240)(도 392) 및/또는 제어 가능한 위상 변위기(392340)(도 392)는 예를 들어 콘스텔레이션 포인트 맵, 예를 들면 도 394의 콘스텔레이션 포인트 맵에 따라, I/Q 이득 및/또는 위상 불평형을 예를 들어 높은 정밀도로 보정하도록 교정될 수 있다.
일부 예증적인 양태에서, 그래프(395000)는 예를 들어 이상적인 콘스텔레이션 맵의 이상적인 포인트(395100)에 대비한 예를 들어, 교정된 제어 가능한 위상 변위기, 예를 들면, 제어 가능한 위상 변위기(392240)(도 392) 및/또는 제어 가능한 위상 변위기(392340)의 교정된 위상 변위된 콘스텔레이션 포인트(395200)를 도시한다.
일부 예증적인 양태에서, 도 395에 도시된 바와 같이, 교정된 제어 가능한 위상 변위기의 교정된 위상 변위된 콘스텔레이션 포인트(395200)는 +/- 0.5dB 이내에 있을 수 있고 및/또는 이상적인 콘스텔레이션 맵의 이상적인 포인트(395100)와 유사한 미스매치가 있을 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 PA로부터의 Tx 신호의 누설을 소거함으로써 신호 안테나와 PA 또는 LNA 사이에서 인터페이스하도록 구성될 수 있는 적어도 하나의 PA-LNA 인터페이스를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어 아래에서 설명되는 바와 같이 PA-LNA 인터페이스를 포함할 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스는 예를 들어 아래에서 설명되는 바와 같이, 적어도 하나의 안테나와 PA 및 LNA 사이의 신호, 예를 들면 안테나로부터 LNA 로의 Rx 신호 및/또는 PA로부터 안테나로의 Tx 신호를 인터페이스할 수 있다.
일부 예증적인 양태에서, PA-LNA는 무선 체인 회로의 일부로서 포함될 수 있고 및/또는 무선 체인 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 예증적인 양태에서, 무선 아키텍처에서 PA-LNA 인터페이스를 구현하는 것은 예를 들어 PA로부터 LAN로 Tx 신호의 누설을 완화, 감소 및/또는 소거함으로써 및/또는 임의의 다른 추가의 또는 대안의 기술적 이득 및/또는 이점을 제공함으로써, 적어도 하나의 이득을 제공하고 및/또는 하나 이상의 기술적 문제를 해결할 수 있다.
누설과 관련하여 본 명세서에서 사용되는 "소거"라는 용어는 하나 이상의 신호, 입력, 출력, 요소 및/또는 구성요소에 미치는 누설 및/또는 누설의 영향을 부분적으로 또는 전체적으로 소거, 감소, 저감, 감쇠 및/또는 완화하는 것을 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, PA-LNA 인터페이스는 TX 경로와 RX 경로 사이에 원하는 격리 레벨, 예를 들어 높은 격리를 제공하여 예를 들어 Tx 모드에서 LNA 신뢰도를 보장하도록 구성될 수 있다.
일부 예시적인 양태에서, PA-LNA 인터페이스는 예를 들어 아래에서 설명되는 바와 같이, 줄어든 삽입 손실의 레벨, 예를 들면 낮은 삽입 손실을 유지하여, 예를 들어 Rx 모드에서 잡음 지수(Noise Figure)(NF) 전력의 저하를 감소, 예를 들면 최소화할 수 있게 하고 및/또는 예를 들어 Tx 모드에서 출력 전력의 저하를 감소, 예를 들면 최소화할 수 있게 구성될 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스는 예를 들어 아래에서 설명되는 바와 같이, LNA의 입력에서 소거 신호와 함께 Tx 신호의 누설을 합산함으로써 Tx 신호의 누설을 소거하도록 구성될 수 있다.
이제 일부 예증적인 양태에 따른 송수신기(396000)의 블록도를 개략적으로 도시하는 도 396이 참조된다. 예를 들어, 송신기(396000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 371을 참조하여 위에서 설명한 바와 같이, 송수신기(371100)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송수신기(396000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하나 이상의 안테나 단자(396150)에 동작 가능하게 연결될 수 있는 하나 이상의 안테나(396400)를 포함할 수 있거나, 하나 이상의 안테나(396400)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 하나 이상의 안테나(396400)는 예를 들어, 위상 배열 안테나, 다이폴 안테나, 내부 안테나, 및/또는 임의의 다른 추가적인 또는 대안적인 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(396000)는 예를 들어 아래에서 설명되는 바와 같이, PA(396310) 및 LNA(36310)와 안테나 단자(396150)를 인터페이스하도록 구성된 PA-LNA 인터페이스(396100)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(396000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, LNA(396210)를 포함하는 RX 회로를 포함하는 수신기(396200) 및/또는 예를 들어, PA(396310)을 포함하는 TX 회로를 포함하는 송신기(396300)를 포함할 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서 PA(396310)로부터 안테나 단자(396150)로 Tx 신호(36010)를 제공하고, 예를 들어 Rx 모드에서 안테나 단자(396150)로부터 Rx 신호를 LNA(396140)에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(396000)는 예를 들어 아래에서 설명되는 바와 같이, Rx 신호(396050)의 수신 및 Tx 신호(398010)의 송신을 따로 따로 및/또는 중첩하지 않는 기간 동안 처리하도록 구성될 수 있는 반이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(396000)는 예를 들어 아래에서 설명되는 바와 같이, Rx 신호(396050)의 수신 및 Tx 신호(396010)의 수신을 중첩하는 기간 동안 및/또는 동시에 처리하도록 구성될 수 있는 전이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(396300)는 예를 들어, 아웃페이징 송신기, 도허티 송신기, 디지털 송신기 등의 하나 이상의 요소 및/또는 구성요소를 포함 할 수 있고 및/또는 그의 하나 이상의 기능성을 수행할 수 있다.
일부 예증적인 양태에서, 송신기(396300)는 예를 들어 LO 신호(396020)를 데이터 신호(396030), 예를 들면 요구된 위상의 데이터와 혼합하여 위상 변조된 신호(396040)를 생성하는 믹서(396320)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(396300)는 예를 들어 아래에서 설명되는 바와 같이, 위상 변조된 신호(396040)를 증폭하여 Tx 신호(396010)를 생성하도록 구성될 수 있는 PA(396310)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(396300)는 도 396에 도시된 일부 또는 모든 요소를 포함할 수 있고 및/또는 하나 이상의 추가의 또는 대안의 기능성을 수행하는 하나 이상의 추가의 또는 대안의 요소를 포함할 수 있다. 예를 들어, 송신기(396300)는 송신기(380100)(도 38)의 하나 이상의 요소를 포함할 수 있고 및/또는 송신기(380100)의 하나 이상의 기능을 수행할 수 있다.
일부 예증적인 양태에서, 수신기(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 모드에서, 안테나 포트(396150)에서 수신된 Rx 신호(396050)에 기초하여 PA-LNA 인터페이스(396100)에 의해 제공될 수 있는 LNA 입력 신호(396055)를 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 수신기(396200)는 예를 들어 LNA 입력 신호(396055)를 증폭하고 증폭된 Rx 신호(396057)를 분할기(396220)에 제공하도록 구성될 수 있는 LAN(396210)를 포함할 수 있다. 예를 들어, 분할기(396220)는 증폭된 Rx 신호(396057)를 I Rx 신호(396058) 및 Q Rx 신호(396059)로 분할할 수 있다.
일부 예증적인 양태에서, 분할기(396220)는 윌킨슨 분할기(Wilkinson splitter), 1 대 2 분할기 및/또는 임의의 다른 유형의 분할기를 포함할 수 있다.
일부 예증적인 양태에서, 수신기(396200)는 예를 들어 직교 하이브리드 회로(396250)에 동작 가능하게 연결될 수 있는 I 신호 평형 믹서(balanced mixer)(396240) 및/또는 Q 신호 평형 믹서(396230)를 포함할 수 있다. 예를 들어, I 신호 평형 믹서(396240)는 분할기(396220)로부터의 I Rx 신호(396058) 및 직교 하이브리드 회로(396250)로부터의 제 1 위상, 예를 들면 0 도의 위상 또는 임의의 다른 위상을 갖는 LO 신호를 수신 할 수 있고, 양의 I 신호 및 음의 I 신호를 생성할 수 있다.
일부 예증적인 양태에서, 예를 들어 Q 신호 평형 믹서(396230)는 분할기(396220)로부터 Q Rx 신호(396059) 및 직교 하이브리드 회로(396250)로부터 제 2 위상, 예를 들면 90 도의 위상 또는 임의의 다른 위상을 갖는 LO 신호를 수신할 수 있고, 양의 Q 신호 및 음의 Q 신호를 생성할 수 있다.
일부 예증적인 양태에서, 수신기(396200)는 예를 들어, 드라이버 증폭기(396260) 및 드라이버 증폭기(36250)를 포함할 수 있다. 예를 들어, 드라이버 증폭기(396250)는 음의 Q 신호 및 양의 Q 신호를 예를 들어 기저대역에 출력하도록 구성될 수 있다. 예를 들어, 드라이버 증폭기(396260)는 음의 I 신호 및 양의 I 신호를 예를 들어 기저대역에 출력하도록 구성될 수 있다.
일부 예증적인 양태에서, 수신기(396200)는 도 396에 도시된 일부 또는 모든 요소를 포함할 수 있고 및/또는 하나 이상의 추가의 또는 대안의 기능성을 수행하는 하나 이상의 추가의 또는 대안의 요소를 포함할 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서 LNA(396310)의 입력에 높은 임피던스를 적용하도록 구성될 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어, Rx 모드에서 예를 들어 PA(396310)의 출력에서 높은 임피던스를 적용하도록 구성될 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LNA(396210)로 Tx 신호(396010)의 누설을 소거, 완화, 감쇠 및/또는 감소시킴으로써, LNA(396210)에 미치는 Tx 신호(396010)의 영향을 소거, 완화, 감쇠 및/또는 감소시키도록 구성될 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 PA(396319)로부터의 Tx 신호(396010)에 기초할 수 있는 감지된 신호(396060)를 제공하도록 구성될 수 있는 센서(396130)를 포함할 수 있다. 예를 들어, 센서(396130)는 용량성 센서를 포함할 수 있다. 다른 양태에서, 센서(396130)는 유도성 센서 및/또는 임의의 다른 유형의 센서를 포함할 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어, 감지된 신호(396060)의 위상을 회전시킴으로써 위상 회전된 신호(396070)를 제공하는 위상 회전기(396110)를 포함할 수 있다.
일부 예증적인 양태에서, 위상 회전기(396110)는 감지된 신호(396060)의 위상을 예를 들어 180 도 회전하도록 구성될 수 있다. 다른 양태에서, 임의의 다른 위상 회전이 사용될 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 Tx 신호의 진폭에 기초하여, 예를 들어 위상 회전된 신호(396070)를 증폭함으로써, Tx 누설 소거 신호(396080)를 제공하도록 구성된 가변 이득 증폭기(VGA)(396120)를 포함할 수 있다.
일부 예증적인 양태에서, PA-LNA 인터페이스(396100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 결합기 입력 신호(36085)와 제 2 결합기 입력 신호(396095)를 결합하도록 구성될 수 있는 결합기(396140)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 결합기 입력 신호(396085)는 예를 들어 아래에서 설명되는 바와 같이, Tx 누설 소거 신호(396080)를 포함할 수 있고, 제 2 결합기 입력 신호는 예를 들어 Tx 신호(396010)로부터 LNA(396210)로의 Tx 누설(396090)을 포함할 수 있다.
일부 예증적인 양태에서, 결합기(396140)는 윌킨슨 결합기를 포함할 수 있다. 다른 양태에서, 결합기(396140)는 임의의 다른 유형의 2 대 1 결합기를 포함할 수 있다.
일부 예증적인 양태에서, 위상 회전기(396110) 및/또는 VGA(396210)는 Tx 누설(396090)의 영향을 소거, 완화, 상쇄 및/또는 감소시키도록 구성될 수 있는, 위상 및 진폭을 갖는 Tx 누설 소거 신호(396080)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 회전기(396110)는 예를 들어 Tx 누설 소거 신호(396080)의 결과적인 위상이 Tx 누설(396090)의 위상과 실질적으로 반대일 수 있도록, 예를 들어, 감지된 신호(396060)의 위상을 예를 들어 180 도만큼 회전시킴으로써 위상 회전된 신호(396070)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, VGA(396120)는 위상 회전된 신호(396070)를 증폭함으로써 예를 들어, Tx 누설 소거 신호(396080)의 결과적인 진폭이 Tx 누설(396090)의 진폭과 실질적으로 동일해질 수 있도록, Tx 누설 소거 신호(396080)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, Tx 누설(396090)의 진폭과 Tx 신호(396010)의 진폭 및/또는 주파수 레벨 간의 관계는 예를 들어 시뮬레이션에 기초하여 결정되거나 및/또는 선험적으로 알고 있을 수 있다. 예를 들어, Tx 누설(396090)은 Tx 신호(396010)의 다양한 진폭 및/또는 주파수 레벨에 대해 제 2 결합기 입력 신호(396095)를 관찰함으로써 시뮬레이션을 통해 특징지어질 수 있다.
일부 예증적인 양태에서, VGA(396120)의 이득은 Tx 누설(396090)을 소거하도록 설정될 수 있다. 예를 들어, VGA(396120)의 이득은 예를 들어 Tx 신호의 진폭 및/또는 주파수 레벨에 기초하여, 기저대역 제어기(도 396에 도시되지 않음), 예를 들면 기저대역 서브시스템(110)(도 1)에 의해 설정될 수 있다. 하나의 예에서, Tx 신호(396010)의 복수의 진폭 및/또는 주파수 레벨에 대응하는 복수의 이득 값은 예를 들어 메모리 또는 룩업 테이블(LUT)에 저장될 수 있고, VGA(396120)의 이득은 예를 들어, Tx 신호(396010)의 진폭 및/또는 주파수에 대응하는 이득에 기초하여, 기저대역 제어기에 의해 설정될 수 있다.
다른 양태에서, VGA(396120)의 이득은 예를 들어 Tx 신호(396010)에 대응하는 임의의 추가의 또는 대안의 파라미터에 따라 설정 및/또는 제어될 수 있다.
일부 예증적인 양태에서, 결합기(396140)는 예를 들어, Rx 신호(396050)가 Tx 신호(396010)의 송신을 위한 기간과 적어도 부분적으로 중첩하는 기간 동안 수신되는 경우에, Rx 신호(396050)를 Tx 누설 소거 신호(396080)와 결합할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 예를 들어 제 2 결합기 입력 신호(396095)는 안테나 단자(396150)로부터의 Rx 신호(396050)와 Tx 신호(396010)로부터 LNA(396130) 로의 Tx 누설(396090)의 결합을 포함할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 예를 들어, 결합기(396140)는 예를 들어 제 1 결합기 입력 신호(396085)와 제 2 결합기 입력 신호(396095)의 합에 기초하여, LNA 입력 신호(396055)를 LNA(396210)에 제공하도록 구성될 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 적어도 하나의 직교 LO 분배 네트워크 회로를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있으며, 적어도 하나의 직교 LO 분배 네트워크 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호에 기초하여, LO I 및 Q 신호를 RF 회로(1000)의 구성요소 및/또는 서브시스템에 분배하도록 구성될 수 있다.
일부 예증적인 양태에서, 무선 아키텍처는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호에 기초한 예를 들어 I 신호 및/또는 Q 신호를 생성하도록 구성될 수 있는 직교 LO 생성기를 포함할 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기는 예를 들어 아래에서 설명되는 바와 같이, I 신호 및/또는 Q 신호를 송신기 및/또는 수신기의 하나 이상의 요소, 서브시스템, 회로 및/또는 구성요소에 분배하도록 구성될 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호에 기초한 I 및/또는 Q 신호를 생성 및 분배하도록 구성될 수 있는 LO 분배 네트워크를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, LO 분배 네트워크는 예를 들어 캐리어 주파수와 LO 신호의 주파수 사이의 비율에 기초할 수 있는 x로 표시된 체배 인자에 기초하여 I 및/또는 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 캐리어 주파수는 송신 및/또는 수신될 하나 이상의 신호를 반송하는 캐리어 신호의 주파수를 포함할 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호가 캐리어 주파수의 1/3인 주파수를 갖는 경우, 체배 인자(x = 3)에 기초하여 I 및/또는 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 캐리어 주파수는 예를 들어 60 GHz 주파수를 포함할 수 있고, LO 신호는 20 GHz 주파수를 가질 수 있다. 이러한 양태에 따르면, 예를 들어 LO 분배 네트워크는 체배 인자(X = 3)에 기초하여 I 및/또는 Q 신호를 생성하도록 구성될 수 있다. 다른 양태에서, LO 분배 네트워크는 임의의 다른 체배 인자, 임의의 다른 캐리어 주파수, 임의의 다른 LO 신호 주파수, 및/또는 이들의 임의의 다른 조합에 기초하여 I 및/또는 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호의 위상을 변위시켜서 위상 변위된 신호를 제공하고, 위상 변위된 신호의 위상 및 주파수를 체배 인자(X)에 따라 체배함으로써 I 및/또는 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크는 예를 들어 아래에서 설명되는 바와 같이, 체배 인자(X)에 따라 구성될 수 있는 φ로 표시된 위상 변위를 LO 신호에 적용하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 체배 인자(X) 및/또는 위상 변위(φ)는 예를 들어 x*φ=90 도가 되도록 구성되어 예를 들어, 90도(°)의 위상 변위를 갖는 I 및 Q 신호를 생성할 수 있다.
일부 예증적인 양태에서, 예를 들어 LO 분배 네트워크는 예를 들어 아래에서 설명되는 바와 같이, LO 신호에 φ=30°라는 위상 변위를 적용하여 30°의 위상 변위를 가진 제 1 및 제 2 변위된 신호를 생성하고, 제 1 및 제 2 변위된 신호의 주파수 및 위상을 예를 들어 주파수 트리플러를 이용하여 3배로 늘리도록 구성될 수 있다. 다른 양태에서, 임의의 다른 위상 변위 및/또는 체배기가 사용될 수 있다.
일부 예증적인 양태에서, 무선 아키텍처에서 직교 LO 생성기를 구현하는 것은 예를 들어 48-72 GHz의 주파수 대역보다 2 도 미만의 위상 변동에도 불구하고, 예를 들어 감소된 위상 변동을 달성하고, 주파수 트리플러의 출력에서 I 및 Q 신호에 대해 거의 동일한 진폭을 부여하고, 저전력을 소비하고 및/또는 하나 이상의 다른 추가의 또는 대안의 기술적 이득 및/또는 이점을 제공함으로써, 하나 이상의 이득을 제공하고 및/또는 하나 이상의 기술적 문제를 해결할 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기는 상향 변환기 및/또는 하향 변환기 회로, 서브시스템 및/또는 요소의 일부로서 포함될 수 있고 및/또는 상향 변환기 및/또는 하향 변환기 회로, 서브시스템 및/또는 요소의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(415)(도 4), 신시사이저 회로의 일부로서, 예를 들면, 서브시스템(420)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
이제 일부 예증적인 양태에 따른 송수신기(397000)의 블록도를 개략적으로 도시하는 도 397이 참조된다. 예를 들어, 송수신기(397000)의 하나 이상의 요소는 송수신기(371100)(도 371)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 송수신기(397000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 반이중 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(397000)는 60 GHz 주파수 대역을 통해 동작하도록 구성될 수 있는 밀리미터 파 송수신기를 포함할 수 있다. 다른 양태에서, 송수신기(397000)는 임의의 다른 추가의 또는 대안의 주파수 대역을 통해 동작하도록 구성된 임의의 다른 유형의 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(397000)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(397080)를 생성하는 LO(397600)를 포함할 수 있다.
일부 예증적인 양태에서, LO(397600)는 예를 들어 수정 발진기, 위상 고정 루프(PLL), 주입 LO(injection LO)(ILO) 및/또는 임의의 다른 유형의 LO를 포함할 수 있다.
일부 예증적인 양태에서, LO(397600)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 송수신기(397000)에 의해 구현될 수 있는 캐리어 주파수에 기초한, 예를 들어 캐리어 주파수의 몇 분의 일의 주파수를 갖는 LO 신호(397080)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, LO(397600)는 예를 들어 아래에서 설명되는 바와 같이, 캐리어 주파수의 1/3인 주파수를 갖는 LO 신호(397080)를 생성하도록 구성될 수 있다.
일부 예시적인 양태에서, LO(397600)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 20 GHz 주파수 대역, 예를 들면 60 GHz 캐리어 주파수의 1/3의 주파수를 갖는 LO 신호(397080)를 생성하기 위해, 예를 들면 20 GHz LO를 포함할 수 있다. 다른 양태에서, LO(397600)는 임의의 다른 캐리어 주파수에 기초할 수 있는 임의의 다른 주파수를 갖는 LO 신호(397080)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(397000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 위상 변위된 LO 신호를 예를 들어 하나 이상의 송신기 및/또는 수신기 구성요소, 회로 및/또는 서브시스템에 분배하도록 구성될 수 있는 LO 분배 네트워크 회로(397500)를 포함할 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크 회로(397500)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 하나 이상의 Tx 신호 예를 들면, Tx I 신호(397055) 및/또는 Tx Q 신호(397070)를 IQ 송신기(397300)에 분배하고; 및/또는 하나 이상의 Rx 신호 예를 들면, Rx I 신호(397025) 및/또는 Rx Q 신호(397040)를 예를 들어 IQ 수신기(397100)에 분배하도록 구성될 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크 회로(397500)는 예를 들어 아래에서 설명되는 바와 같이, LO(397600)로부터의 LO 신호(397080)에 기초하여 적어도 하나의 I 신호 및 Q 신호의 각각의 쌍을 생성하는 적어도 하나의 IQ 생성기를 포함할 수 있다.
일부 예증적인 양태에서, 적어도 하나의 IQ 생성기는 예를 들어 아래에서 설명되는 바와 같이, 직교 LO 생성기의 일부로서 구현될 수 있고, 및/또는 직교 LO 생성기의 하나 이상의 기능성을 수행할 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크 회로(397500)는 예를 들어, LO 신호(397080)를 적어도 하나의 IQ 생성기로 내보내도록 구성될 수 있는 예를 들어, 복수의 드라이버 증폭기, 예를 들면 드라이버 증폭기(397530), 드라이버 증폭기(397540), 드라이버 증폭기(397550) 및/또는 드라이버 증폭기(397560)를 포함할 수 있다. 다른 양태에서, 임의의 다른 개수 및/또는 구성의 드라이버 증폭기 및/또는 임의의 다른 부가의 또는 대안의 회로 또는 구성요소가 LO 신호(397080)를 적어도 하나의 IQ 생성기에 분배하도록 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, LO 분배 네트워크 회로(397500)는 예를 들어 LO 신호(397080)에 기초하여, 제 1 I 신호, 예를 들면 Tx I 신호(397055) 및 제 1 Q 신호, 예를 들면 Tx Q 신호(397070)를 생성하도록 구성될 수 있는 Tx IQ 생성기(397510); 및 예를 들어 LO 신호(397080)에 초하여, 제 2 I 신호, 예를 들면 Rx I 신호(397025) 및 제 2 Q 신호, 예를 들면 Rx Q 신호(397040)를 생성하도록 구성될 수 있는 Rx IQ 생성기(397520)를 포함할 수 있다.
일부 예증적인 양태에서, Tx IO 생성기(397510) 및/또는 Rx IQ 생성기(397520)는 예를 들어 아래에서 설명되는 바와 같이, 직교 LO 생성기의 일부로서 구현될 수 있고, 및/또는 직교 LO 생성기의 하나 이상의 기능성을 수행할 수 있다.
일부 예증적인 양태에서, LO 분배 네트워크 회로(397500)는 예를 들어, 도 397에 도시된 바와 같이, 두 개의 IQ 생성기, 예를 들면 Tx IQ 생성기(397510) 및 Rx IQ 생성기(397520)를 포함할 수 있다. 다른 양태에서, LO 분배 네트워크 회로(397500)는 임의의 다른 개수의 IQ 생성기, 예를 들면 하나의 Tx IQ 생성기, 예를 들면 Tx IO 생성기 또는 Rx IQ 생성기, 또는 두 개 초과의 IQ 생성기를 포함할 수 있다.
일부 예증적인 양태에서, Tx IQ 생성기(397510)는 예를 들어 아래에서 설명되는 바와 같이, 하나 이상의 안테나(397325)를 통해 송신될 수 있는, Tx 신호로 상향 변환될 Tx 신호(397055) 및 Tx Q 신호(397070)를 생성하는 Tx IQ 생성기로서 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Rx IQ 생성기(397520)는 예를 들어 하나 이상의 안테나에 의해 수신될 수 있는 Rx 신호에 기초하여, 하나 이상의 IF 신호로 하향 변환될 Rx I 신호(397025) 및 Rx Q 신호(397040)를 생성하는 Rx IQ 생성기로서 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, LO 분배 네트워크(397500)의 IQ 생성기, 예를 들면 IQ 생성기(397510) 및/또는 Rx IQ 생성기(397520)는 예를 들어, 제 2 위상 변위된 신호의 위상이 제 1 위상 변위된 신호로부터 위상 변위만큼, 예를 들면, 30°만큼 또는 임의의 다른 위상 변위만큼 변위될 수 있도록, 예를 들어 제 1 주파수를 가질 수 있는 LO 신호(397080)에 기초하여 예를 들어 제 1 위상 변위된 신호 및 제 2 위상 변위된 신호를 생성하는 위상 변위 회로를 포함할 수 있다.
일부 예증적인 양태에서, Tx IQ 생성기(397510)는 예를 들어 제 1 주파수 예를 들어, 20 GHz 주파수일 수 있는 LO 신호(397080)에 기초하여, 제 1 위상 변위된 신호(397052) 및 제 2 위상 변위된 신호(397072)를 생성하는 위상 변위 회로(397512)를 포함할 수 있다. 예를 들어, 제 2 위상 변위된 신호(397072)의 위상은 예를 들어 아래에서 설명되는 바와 같이, 제 1 위상 변위된 신호(397052)의 위상으로부터 30°만큼 변위될 수 있다. 예를 들어, LO 신호(397080)가 20 GHz의 주파수를 가질 때, 제 1 위상 변위된 신호(397052) 및/또는 제 2 위상 변위된 신호(397072)는 20 GHz의 주파수를 가질 수 있다.
일부 예증적인 양태에서, 제 1 위상 변위된 신호(397052)는 예를 들어, 복수의 신호를 포함하는 차동 신호(도 397에 도시되지 않음)를 포함할 수 있다. 예를 들어, 차동 신호는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 I 위상 변위된 신호 및 제 2 I 위상 변위된 신호를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 위상 변위된 신호(397072)는 예를 들어, 복수의 신호를 포함하는 차동 신호(도 397에 도시되지 않음)를 포함할 수 있다. 예를 들어, 차동 신호는 예를 들어, 아래에서 설명되는 바와 같이, 예를 들어 제 1 Q 위상 변위된 신호 및 제 2 Q 위상 변위된 신호를 포함할 수 있다.
일부 예증적인 양태에서, Rx IQ 생성기(397520)는 예를 들어 제 1 주파수일 수 있는 LO 신호(397080)에 기초하여, 제 3 위상 변위된 신호(397022) 및 제 4 위상 변위된 신호(397042)를 생성하는 위상 변위 회로(397522)를 포함할 수 있다. 예를 들어, 제 4 위상 변위된 신호(397042)의 위상은 예를 들어 아래에서 설명되는 바와 같이, 제 3 위상 변위된 신호(397022)의 위상으로부터 위상 변위만큼, 예를 들면 30° 만큼 또는 임의의 다른 위상 변위만큼 변위될 수 있다. 예를 들어, LO 신호(397080)가 20 GHz의 주파수를 가질 때, 제 3 위상 변위된 신호(397022) 및/또는 제 4 위상 변위된 신호(397042)는 20 GHz의 주파수를 가질 수 있다.
일부 예증적인 양태에서, 제 1 주파수는 반송파 주파수의 삼분의 일일 수 있다. 예를 들어, LO 신호(397080), 제 1 위상 변위된 신호(397052), 제 2 위상 변위된 신호(397072), 제 3 위상 변위된 신호(397022) 및/또는 제 4 위상 변위된 신호(397042)는 예를 들어 캐리어 주파수가 60 GHz 주파수를 포함할 때, 20 GHz의 주파수를 가질 수 있다. 다른 양태에서, LO 신호(397080), 제 1 위상 변위된 신호(397052), 제 2 위상 변위된 신호(397072), 제 3 위상 변위된 신호(397022) 및/또는 제 4 위상 변위된 신호(397042)는 임의의 다른 주파수 및/또는 캐리어 주파수의 임의의 다른 몇 분의 일을 가질 수 있다.
일부 예증적인 양태에서, 제 3 위상 변위된 신호(397022)는 예를 들어, 복수의 신호를 포함하는 차동 신호(도 397에 도시되지 않음)를 포함할 수 있다. 예를 들어, 차동 신호는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 I 위상 변위된 신호 및 제 2 I 위상 변위된 신호를 포함할 수 있다.
일부 예증적인 양태에서, 제 4 위상 변위된 신호(397042)는 예를 들어, 복수의 신호를 포함하는 차동 신호(도 397에 도시되지 않음)를 포함할 수 있다. 예를 들어, 차동 신호는 예를 들어, 아래에서 설명되는 바와 같이, 예를 들어 제 1 Q 위상 변위된 신호 및 제 2 Q 위상 변위된 신호를 포함할 수 있다.
일부 예시적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, LO 분배 네트워크(397500)의 IQ 생성기 예를 들면, Tx IQ 생성기(397510) 및/또는 Rx IQ 생성기(397520)는 예를 들어 IQ 생성기에 의해 생성된 제 1 위상 변위된 신호의 위상을 3 배로 늘리고 IQ 생성기에 의해 생성된 제 1 위상 변위된 신호의 주파수를 3 배로 늘림으로써, 제 2 주파수를 갖는 I 신호를 생성하는 제 1 트리플러 회로를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Tx IQ 생성기(397510)는 예를 들어 제 1 위상 변위된 신호(397052)의 위상을 3 배로 늘리고 제 1 위상 변위된 위상 신호(397052)의 주파수를 3배로 늘림으로써, 제 2 주파수를 갖는 Tx I 신호(397055)를 생성하는 제 1 트리플러 회로(397514)를 포함할 수 있다.
일부 예증적인 양태에서, Tx IQ 생성기(397510)는 반송파 주파수, 예를 들어 60 GHz와 동일한 주파수를 가질 수 있는 Tx I 신호(397055)를 생성하도록 구성될 수 있다. 예를 들어, Tx I 신호(397055)는 제 1 위상 변위된 신호(397052)가 20 GHz의 주파수를 가질 때, 60 GHz의 주파수를 가질 수 있다. 다른 양태에서, Tx I 신호(397055)는 예를 들어, 차례로 임의의 다른 캐리어 주파수의 몇 분의 일일 수 있는 제 1 위상 변위된 신호(397052)의 주파수의 배수에 기초한 임의의 다른 캐리어 주파수를 가질 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Rx IQ 생성기(397520)는 예를 들어 제 3 위상 변위된 신호(397022)의 위상을 3 배로 늘리고 제 3 위상 변위된 위상 신호(397022)의 주파수를 3배로 늘림으로써, 제 2 주파수를 갖는 Rx I 신호(397025)를 생성하는 제 1 트리플러 회로(397524)를 포함할 수 있다. 예를 들어, Rx I 신호(397025)는 제 1 위상 변위된 신호(397052)가 20 GHz의 주파수를 가질 때, 60 GHz의 주파수를 가질 수 있다. 다른 양태에서, Rx I 신호(397025)는 예를 들어, 차례로 임의의 다른 캐리어 주파수의 몇 분의 일일 수 있는 제 3 위상 변위된 신호(397022)의 주파수의 배수에 기초한 임의의 다른 캐리어 주파수를 가질 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, LO 분배 네트워크(397500)의 IQ 생성기 예를 들면, Tx IQ 생성기(397510) 및/또는 Rx IQ 생성기(397520)는 예를 들어 제 2 위상 변위된 신호의 위상을 3 배로 늘리고 제 2 위상 변위된 신호의 주파수를 3 배로 늘림으로써, 제 2 주파수를 갖는 Q 신호를 생성하는 제 2 트리플러 회로를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Tx IQ 생성기(397510)는 예를 들어 제 2 위상 변위된 신호(397072)의 위상을 3 배로 늘리고 제 2 위상 변위된 위상 신호(397072)의 주파수를 3배로 늘림으로써, 제 2 주파수를 갖는 Tx Q 신호(397070)를 생성하는 제 2 트리플러 회로(397516)를 포함할 수 있다. 예를 들어, Tx Q 신호(397070)는 제 2 위상 변위된 신호(397072)가 20 GHz의 주파수를 가질 때, 60 GHz의 주파수를 가질 수 있다. 다른 양태에서, Tx Q 신호(397070)는 예를 들어 차례로 임의의 다른 캐리어 주파수의 몇 분의 일일 수 있는 제 2 위상 변위된 신호(397072)의 주파수의 배수에 기초한 임의의 다른 캐리어 주파수를 가질 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, Rx IQ 생성기(397520)는 예를 들어 제 4 위상 변위된 신호(397042)의 위상을 3 배로 늘리고 제 4 위상 변위된 위상 신호(397042)의 주파수를 3배로 늘림으로써, 제 2 주파수를 갖는 Rx Q 신호(397040)를 생성하는 제 2 트리플러 회로(397526)를 포함할 수 있다. 예를 들어, Rx Q 신호(397040)는 제 4 위상 변위된 신호(397042)가 20 GHz의 주파수를 가질 때, 60 GHz의 주파수를 가질 수 있다. 다른 양태에서, Rx Q 신호(397040)는 예를 들어, 차례로 임의의 다른 캐리어 주파수의 몇 분의 일일 수 있는 제 4 위상 변위된 신호(397042)의 주파수의 배수에 기초한 임의의 다른 캐리어 주파수를 가질 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, IQ 생성기의 제 1 트리플러 회로, 예를 들면 Tx IQ 생성기(397510)의 제 1 트리플러 회로(397514) 및/또는 Rx IQ 생성기(397520)의 제 1 트리플러 회로(397524)는 예를 들어 IQ 생성기의 제 2 Q 위상 변위된 신호, 예를 들면 음의 Q 위상 변위된 신호에 따라, IQ 생성기의 위상 변위 회로에 의해 생성된 제 1 I 위상 변위된 신호, 예를 들면 양의 I 위상 변위된 신호의 진폭을 평형화하고; 및/또는 예를 들어 제 1 Q 위상 변위된 신호, 예를 들면 양의 Q 위상 변위된 신호에 따라, 제 2 I 위상 변위된 신호, 예를 들면 음의 I 위상 변위된 신호의 진폭을 평형화하는 제 1 불평형 및 진폭 회로(도 397에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, IQ 생성기의 제 2 트리플러 회로, 예를 들면 Tx IQ 생성기(397510)의 제 2 트리플러 회로(397516) 및/또는 Rx IQ 생성기(397520)의 제 2 트리플러 회로(397526)는 예를 들어 제 2 I 위상 변위된 신호, 예를 들면 음의 I 위상 변위된 신호에 따라, IQ 생성기의 위상 변위 회로에 의해 생성된 제 1 Q 위상 변위된 신호, 예를 들면 양의 Q 위상 변위된 신호의 진폭을 평형화하고; 및/또는 예를 들어 제 1 I 위상 변위된 신호, 예를 들면 음의 I 위상 변위된 신호에 따라, 제 2 Q 위상 변위된 신호, 예를 들면 음의 Q 위상 변위된 신호의 진폭을 평형화하는 제 2 불평형 및 진폭 회로(도 397에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 위상 변위 회로(397512) 및/또는 위상 변위 회로(397522)는 예를 들어 아래에서 설명되는 바와 같이, 수동 위상 변위 회로(도 397에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 생성기의 위상 변위 회로, 예를 들면 Tx IQ 생성기(397510)의 위상 변위 회로(397512) 및/또는 Rx IQ 생성기(3957520)의 위상 변위 회로(397522)는 예를 들어 아래에서 설명되는 바와 같이, IQ 생성기의 제 1 위상 변위된 신호를 생성하는 제 1 주입 LO(ILO) 회로(도 397에 도시되지 않음) 및/또는 IQ 생성기의 제 2 위상 변위된 신호를 생성하는 제 2 ILO 회로(도 397에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 수신기(397100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하나 이상의 안테나로부터의 하나 이상의 Rx 신호에 기초하여, 예를 들어 Rx I 신호(397025) 및/또는 Rx Q 신호(397040)를 이용하여 I IF 신호 및/또는 Q IF 신호를 생성하도록 구성될 수 있다. 예를 들어, IQ 생성기(397100)는 예를 들어, 안테나(397130 및/또는 397140)를 포함하는 하나 이상의 안테나를 포함할 수 있고 및/또는 하나 이상의 안테나에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 안테나(397130 및/또는 397140)는 예를 들어, 적어도 하나의 위상 배열 안테나, 다이폴 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, IQ 수신기(397100)는 예를 들어 Rx 신호, 예를 들면 Rx 신호(397010) 및/또는 Rx 신호(397011)에 기초하여, 적어도 하나의 증폭된 Rx 신호, 예를 들면 증폭된 Rx 신호(397015) 및/또는 증폭된 Rx 신호(397030)를 생성하도록 구성될 수 있는 예를 들어 LNA(397110) 및/또는 LNA(397120)를 포함하는 하나 이상의 저잡음 증폭기(LNA)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 수신기(397100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx I 신호(397025)에 기초하여 증폭된 Rx 신호(397015)를 하향 변환된 I 신호(397020)로 하향 변환하고; 및/또는 예를 들어 Rx Q 신호(397040)에 기초하여, 증폭된 Rx 신호(397030)를 하향 변환된 Q 신호(397035)로 하향 변환하도록 구성될 수 있는 RF 믹서(397200)를 포함할 수 있다.
일부 예증적인 양태에서, Rx 믹서(397200)는 예를 들어, Rx I 신호(397025)에 기초하여, 증폭된 Rx 신호(397015)를 하향 변환된 I 신호(397020)로 하향 변환하도록 구성될 수 있는 제 1 믹서, 예를 들면 I 믹서(397210)를 포함할 수 있다.
일부 예증적인 양태에서, Rx 믹서(397200)는 예를 들어, Rx Q 신호(397040) 에 기초하여, 증폭된 Rx 신호(397030)를 하향 변환된 Q 신호(397035)로 하향 변환하도록 구성될 수 있는 제 2 믹서, 예를 들면 Q 믹서(397220)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 송신기(397300)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하나 이상의 안테나(397310)를 통해 송신되는 증폭된 Tx 신호(397325)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, IQ 송신기(397300)는 하나 이상의 안테나(397310)를 포함할 수 있고 및/또는 적어도 하나의 안테나(387180)에 연결될 수 있다.
일부 예증적인 양태에서, 안테나(397310)는 하나 이상의 위상 배열 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, IQ 송신기(397300)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx I 신호(397055)에 기초하여, IF I 신호(397045)를 상향 변환된 I 신호(397050)로 상향 변환하도록 구성될 수 있는 Tx 믹서(397400)를 포함할 수 있다.
일부 예증적인 양태에서, Tx 믹서(397400)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx Q 신호(397070)에 기초하여, IF Q 신호(397060)를 상향 변환된 Q 신호(397065)로 상향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, Tx 믹서(397400)는 예를 들어, Tx I 신호(397055)에 기초하여, IF I 신호(397045)를 상향 변환된 I 신호(397050)로 상향 변환하도록 구성될 수 있는 제 1 믹서, 예를 들면 I 믹서(397420)를 포함할 수 있다.
일부 예증적인 양태에서, Tx 믹서(397400)는 예를 들어, Tx Q 신호(397070) 에 기초하여, IF Q 신호(397060)를 상향 변환된 Q 신호(397065)로 상향 변환하도록 구성될 수 있는 제 2 믹서, 예를 들면 Q 믹서(397410)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 송신기(397300)는 예를 들어, 상향 변환된 I 신호(397050)와 상향 변환된 Q 신호(397065)를 Tx 신호(397075)로 결합하도록 구성될 수 있는 결합기(397330)를 포함할 수 있다.
일부 예증적인 양태에서, IQ 송신기(397300)는 Tx 신호(397075)를 증폭된 Tx 신호(397325)로 증폭하도록 구성될 수 있는 PA(397320)를 포함할 수 있다. 예를 들어, 증폭된 Tx 신호(397325)는 하나 이상의 안테나(397310)를 통해 송신될 수 있다.
일부 예증적인 양태에 따라, 직교 LO 생성기(398000)를 개략적으로 도시하는 도 398이 참조된다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)의 하나 이상의 구성요소는 예를 들어 LO 분배 네트워크, 예를 들면 LO 분배 네트워크(397500)(도 397)의 일부로서, 예를 들어 I 및 Q 신호를 송신기, 예를 들면 IQ 송신기(397300)(도 397) 및/또는 수신기, 예를 들면 IQ 수신기(397100)(도 397)에 제공하도록 구현될 수 있다.
일부 양태에서, 본 명세서에 설명된 직교 LO 생성기는 도 3a에 도시된 mmWave 통신 회로(300)의 송신 회로(315)(도 3d) 내의 하나 이상의 회로(예를 들어, 상향 변환 회로(350))에 통합될 수 있지만, LO 생성기는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)의 하나 이상의 구성요소, 서브시스템 및/또는 회로는 예를 들어 Tx IQ 생성기, 예를 들면 Tx IQ 생성기(397510)(도 397)의 일부로서 및/또는 Rx IQ 생성기, 예를 들면 Rx IQ 생성기(397520)(도 397)의 일부로 구현될 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)는 예를 들어 아래에서 설명되는 바와 같이, LO(398100)에 의해 제공될 수 있는 LO 신호(398010) 및/또는 LO 신호(398020)에 기초하여 I 및 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 직교 LO 생성기(398000)는 예를 들어 LO 신호(398010) 및/또는 LO 신호(398020)에 기초하여, 예를 들어 제 1 I 변위된 신호(398030) 및 제 2 I 변위된 신호(398040)를 생성하도록 구성될 수 있는 ILO(398200)를 포함할 수 있다.
일부 예증적인 양태에서, ILO(398200)는 예를 들어 인덕터(L)-캐패시터(LC) 블록의 형태의 제어 가능한 공진 서브시스템(398205) 및 복수의 트랜지스터, 예를 들면 트랜지스터(398230, 398240, 398250 및/또는 398260)를 포함할 수 있다. 예를 들어, LO(398100)는 제 1 LO 신호(398010)를 트랜지스터(398250)에 제공하고 및/또는 제 2 LO 신호(398020)를 트랜지스터(398260)에 제공할 수 있다.
일부 예증적인 양태에서, 트랜지스터(398230, 398240, 398250 및/또는 398260)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, LO 신호(398020)는 LO 신호(398010)과 역위상될 수 있다. 예를 들어, LO 신호(398010)는 20 GHz 주파수 및 +30°의 위상을 가질 수 있고, 및/또는 LO 신호(398020)는 20 GHz의 주파수 및 -30°의 위상을 가질 수 있다. 다른 양태에서, 임의의 다른 위상 변위가 사용될 수 있다.
일부 예증적인 양태에서, 트랜지스터(398230) 및 트랜지스터(398240)는 제어 가능한 공진 서브시스템(398205)을 설계된 주파수, 예를 들면 20 GHz에서 공진시키도록 구성될 수 있다. 예를 들어, 제어 가능한 공진 서브시스템(398205)은 LO 신호(398010) 및/또는 LO 신호(398020)에 기초하여 제 1 I 변위된 신호(398030) 및/또는 제 2 I 변위된 신호(398040)를 각각 생성할 수 있다. 예를 들어, 제 2 I 변위된 신호(398040)는 제 1 I 변위된 신호(398030)와 역위상될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제어 가능한 공진 서브시스템(398205)은 예를 들어 제어 신호(398050)에 따라, 제 1 I 변위된 신호(398030) 및/또는 제 2 I 변위된 신호(398040)를 제어 가능하게 생성할 수 있다.
일부 예증적인 양태에서, 제어 신호(398050)는 예를 들어 제어기(398800), 예를 들면 기저대역 제어기 및/또는 임의의 다른 제어기에 의해 제공될 수 있다.
일부 예증적인 양태에서, 제어 신호(398050)는 예를 들어 제어 가능한 공진 서브시스템(398205)을 제어하여 제 1 I 변위된 신호(398030) 및/또는 제 2 I 변위된 신호(398040)의 위상을 변위시킬 수 있다. 예를 들어, 제어 신호(398050)는 예를 들어 7 비트의 캐패시터-디지털-아날로그-변환기(Capacitors-Digital-to-Analog-Convertor)(CAPDAC) 제어 및/또는 임의의 다른 제어 데이터를 가질 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호(398010) 및/또는 LO 신호(398020)에 기초하여, 예를 들어 제 1 Q 변위된 신호(398060) 및 제 2 Q 변위된 신호(398070)를 생성하도록 구성될 수 있는 ILO(398300)를 포함할 수 있다.
일부 예증적인 양태에서, ILO(398300)는 예를 들어 LC 블록의 형태의 제어 가능한 공진 서브시스템(398305) 및 복수의 트랜지스터, 예를 들면 트랜지스터(398330, 398340, 398350 및/또는 398360)을 포함할 수 있다. 예를 들어, LO(398100)는 제 1 LO 신호(398010)를 트랜지스터(398350)에 제공하고 및/또는 제 2 LO 신호(398020)를 트랜지스터(398360)에 제공할 수 있다.
일부 예증적인 양태에서, 트랜지스터(398330, 398340, 398350 및/또는 398360)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트랜지스터(398330) 및 트랜지스터(398340)는 제어 가능한 공진 서브시스템(398305)을 설계된 주파수, 예를 들면 20 GHz에서 공진시키도록 구성될 수 있다. 예를 들어, 제어 가능한 공진 서브시스템(398305)은 LO 신호(398010) 및/또는 LO 신호(398020)에 기초하여 제 1 Q 변위된 신호(398060) 및/또는 제 2 Q 변위된 신호(398070)를 각각 생성할 수 있다. 예를 들어, 제 2 Q 변위된 신호(398070)는 제 1 Q 변위된 신호(398060)와 역위상될 수 있다.
일부 예증적인 양태에서, 제어 가능한 공진 서브시스템(398305)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제어 신호(398080)에 따라, 제 1 Q 변위된 신호(398060) 및/또는 제 2 Q변위된 신호(398070)를 제어 가능하게 생성할 수 있다.
일부 예증적인 양태에서, 제어 신호(38080)는 예를 들어 제어기(398800), 예를 들면 기저대역 제어기 및/또는 임의의 다른 제어기에 의해 제공될 수 있다.
일부 예증적인 양태에서, 제어 신호(398080)는 예를 들어 제어 가능한 공진 서브시스템(398305)을 제어하여 제 1 Q 변위된 신호(38060) 및/또는 제 2 Q 변위된 신호(398070)의 위상을 변위시킬 수 있다. 예를 들어, 제어 신호(398080)는 예를 들어 7 비트의 CAPDAC 제어 및/또는 임의의 다른 제어 데이터를 가질 수 있다.
일부 예증적인 양태에서, 제어 가능한 공진 서브시스템, 예를 들면 공진 서브시스템(398205) 및/또는 공진 서브시스템(398305), 예를 들어 7-비트 CAPDAC 제어 및/또는 임의의 다른 제어 방식에 따라 제어될 수 있는 LC 블록으로 20 GHz ILO를 구현하는 것은 예를 들어 수동 위상 변위기와 비교하여, 위상 변위 튜닝에 대해 추가의 및/또는 개선된 제어를 제공할 수 있다. 또한 또는 대안적으로, ILO(398200 및/또는 398300)의 능동적 특성은 예를 들어 수동 위상 변위기와 비교하여 더 많은 이득을 보장할 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 I 변위된 신호(398030) 및 제 2 I 변위된 신호(398040)의 위상 및/또는 주파수를 3배 늘리도록 구성될 수 있는 트리플러(398400)를 포함할 수 있다. 예를 들어, 트리플러(398400)는 예를 들어 직렬 부하(398270) 및 캐패시터(398280)를 통해 제 1 I 변위된 신호(398030)를 수신할 수 있으며, 제 1 I 변위된 신호(398030)의 위상 및/또는 주파수를 3 배로 늘릴 수 있다. 예를 들어, 트리플러(398400)는 예를 들어, 직렬 부하(398275) 및 캐패시터(398285)를 통해 제 2 I 변위된 신호(398040)를 수신할 수 있고, 제 2 I 변위된 신호(398040)의 위상 및/또는 주파수를 3 배로 늘릴 수 있다.
일부 예증적인 양태에서, 트리플러(398400)는 예를 들어, 전류 소스(398470)에 연결될 수 있는, 예를 들어 공통 소스 배열의 트랜지스터(398430) 및 트랜지스터(398450)를 포함할 수 있다. 예를 들어, 전류 소스(398470)는 필요하다면, 미리 정의된 전류, 예를 들면 1.2 밀리암페어(mA) 또는 임의의 다른 전류를 트랜지스터(398430 및 398450)의 소스에 제공할 수 있다.
일부 예증적인 양태에서, 트랜지스터(398440)는 트랜지스터(398430)의 드레인에서 제 1 I 변위된 신호(398030)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(398460)는 트랜지스터(398450)의 드레인에서 제 2 I 변위된 신호(398040)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(398400)는 예를 들어, 캐패시터(398420) 및 변압기(398410)를 포함할 수 있다. 예를 들어, 트랜지스터(398430) 및 트랜지스터(398450)와 조합하여, 트리플러(398400)가 제 1 I 변위된 신호(398030) 및/또는 제 2 I 변위된 신호(398030)의 위상 및 진폭을 3 배로 늘릴 때, 캐패시터(398420)는 변압기(398410)와 공진하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(398430, 398440, 398450 및/또는 398460)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(398400)는 3 배로 늘어난 양의 I 신호 및 3 배로 늘어난 음의 I 신호를 믹서(398700)에 제공할 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기(398000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 Q 변위된 신호(398060) 및 제 2 Q 변위된 신호(398070)의 위상 및/또는 주파수를 3배 늘리도록 구성될 수 있는 트리플러(398500)를 포함할 수 있다. 예를 들어, 트리플러(398500)는 예를 들어 직렬 부하(398375) 및 캐패시터(398385)를 통해 제 1 Q 변위된 신호(398060)를 수신할 수 있으며, 제 1 Q 변위된 신호(398060)의 위상 및/또는 주파수를 3 배로 늘릴 수 있다. 예를 들어, 트리플러(398500)는 예를 들어, 직렬 부하(398375) 및 캐패시터(398385)를 통해 제 2 Q 변위된 신호(398070)를 수신 할 수 있고, 제 2 Q 변위된 신호(398070)의 위상 및/또는 주파수를 3 배로 늘릴 수 있다.
일부 예증적인 양태에서, 트리플러(398500)는 예를 들어, 전류 소스(398470)에 연결될 수 있는, 공통 소스 배열의 트랜지스터(398530) 및 트랜지스터(398550)를 포함할 수 있다. 예를 들어, 전류 소스(398470)는 필요하다면, 미리 정의된 전류, 예를 들면 1.2 mA 또는 임의의 다른 전류를 트랜지스터(398430 및 398450)의 소스에 제공할 수 있다.
일부 예증적인 양태에서, 트랜지스터(398540)는 제 1 Q 변위된 신호(398060)를 트랜지스터(398530)의 드레인에서 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(398560)는 제 2 QI 변위된 신호(398070)를 트랜지스터(398550)의 드레인에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(398500)는 예를 들어, 캐패시터(398520) 및 변압기(398510)를 포함할 수 있다. 예를 들어, 캐패시터(398520)는 변압기(398510)와 공진하도록 구성될 수 있다. 예를 들어, 트리플러(398400)는 예를 들어 트랜지스터(398430) 및 트랜지스터(398450)와 조합하여, 제 1 Q 변위된 신호(398060) 및/또는 제 2 Q 변위된 신호(398030)의 위상 및 진폭을 3 배로 늘릴 수 있다.
일부 예증적인 양태에서, 트랜지스터(398530, 398540, 398550 및/또는 398560)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(398500)는 3 배로 늘어난 양의 Q 신호 및 3 배로 늘어난 음의 Q 신호를 믹서(398600)에 제공할 수 있다.
일부 예증적인 양태에서, 주파수 트리플러, 예를 들면 트리플러(398400) 및 트리플러(398500)의 병렬 연결을 구현하는 직교 LO 생성기, 예를 들면 직교 LO 생성기(398000)는 예를 들어, 일련의 주파수 트리플러와 비교하여, 예를 들어 더 넓은 고정 범위를 제공할 수 있다.
일부 예증적인 양태에서, ILO(398200) 및 ILO(398300)를 구현하는 직교 LO 생성기, 예를 들면, 직교 LO 생성기(398000)는 예를 들어 수동 LO 생성기와 비교하여, 위상 튜닝에 대해 더 많은 제어를 제공할 수 있고, 진폭 불평형을 더 작게 할 수 있고 및/또는 약 60 GHz의 더 넓은 고정 범위를 가질 수 있다.
일부 예증적인 양태에 따라, 수동 직교 LO 생성기(399000)를 개략적으로 도시하는 도 399가 참조된다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 수동 직교 LO 생성기(399000)는 예를 들어 미리 정의된 위상 변위, 예를 들면 90° 위상 변위 또는 임의의 다른 위상 변위만큼 제 1 LO 신호(399010) 및 제 2 LO 신호(399020)의 위상을 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 직교 LO 생성기(399000)의 하나 이상의 구성요소는 예를 들어 LO 분배 네트워크, 예를 들면 LO 분배 네트워크(397500)(도 397)의 일부로서, 예를 들어 I 및 Q 신호를 송신기, 예를 들면 송신기(397300)(도 397) 및/또는 수신기, 예를 들면 수신기(397100)(도 397)에 제공하도록 구현될 수 있다.
일부 예증적인 양태에서, 수동 직교 LO 생성기(399000)의 하나 이상의 구성요소, 서브시스템 및/또는 회로는 예를 들어 Tx IQ 생성기, 예를 들면 Tx IQ 생성기(397510)(도 397)의 일부로서 및/또는 Rx IQ 생성기, 예를 들면 Rx IQ 생성기(397520)(도 397)의 일부로 구현될 수 있다.
일부 예증적인 양태에서, 수동 직교 LO 생성기(399000)는 예를 들어 아래에서 설명되는 바와 같이, LO(399100)에 의해 제공될 수 있는 LO 신호(399010) 및/또는 LO 신호(399020)에 기초하여 I 및 Q 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, LO(399100)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(399010) 및/또는 LO 신호(399020)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, LO 신호(399020)는 LO 신호(399010)와 역위상될 수 있다. 예를 들어, LO 신호(399010)는 20 GHz 주파수 및 +0°의 위상을 가질 수 있고, 및/또는 LO 신호(399020)는 20 GHz의 주파수 및 90°의 위상을 가질 수 있다. 다른 양태에서, 임의의 다른 위상 변위가 사용될 수 있다.
일부 예증적인 양태에서, 수동 직교 LO 생성기(399000)는 LO 신호(399010)의 위상 및/또는 LO 신호(399020)의 위상을 예를 들어 30° 만큼 변위하도록 구성될 수 있는 위상 변위기(399200)를 포함할 수 있다. 다른 양태에서, 위상 변위기(39200)는 원한다면, LO 신호(399010) 및/또는 LO 신호(399020)의 위상을 임의의 다른 위상으로 변위하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(399200)는 예를 들어 제 1 LO 신호(399010)에 기초하여, 예를 들어, 제 1 I 변위된 신호(399050), 예를 들면 양의 I 변위된 신호 및/또는 제 2 I 변위된 신호(399360), 예를 들면 음의 I 변위된 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(399200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 2 LO 신호(399020)에 기초하여, 예를 들어, 제 1 Q 변위된 신호(399040), 예를 들면 양의 Q 변위된 신호 및/또는 제 2 Q 변위된 신호(399030), 예를 들면 음의 Q 변위된 신호를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(399200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 제 1 I 변위된 신호(399050), 제 2 I 변위된 신호(398040), 제 1 Q 변위된 신호(399040) 및/또는 제 2 Q 변위된 신호(399030)를 생성하도록 구성될 수 있는 수동 인덕터-저항기-캐패시터(LRC) 회로(399205)를 포함할 수 있다.
일부 예증적인 양태에서, LRC 회로(399205)는 예를 들어 L의 인덕턴스를 갖는 인덕터(399210), 예를 들어 L의 인덕턴스를 갖는 인덕터(399220), 예를 들어 C의 캐패시턴스를 갖는 캐패시터(399230), 예를 들어 C의 캐패시턴스를 갖는 캐패시터(399240), 예를 들어 2R의 저항을 갖는 저항기(399250), 및/또는 예를 들어 2R의 저항을 갖는 저항기(399260)의 배열을 포함할 수 있다. 예를 들어, LRC 회로(399205)의 배열은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 미리 정의된 위상 변위, 예를 들면 30° 위상 변위 또는 임의의 다른 위상 변위를 가진 예를 들어, 제 1 I 변위된 신호(399050), 제 2 I 변위된 신호(398040), 제 1 Q 변위된 신호(399040) 및/또는 제 2 Q 변위된 신호(399030)를 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 인덕터(399210), 캐패시터(399240), 및/또는 저항기(399250)는 예를 들어 LO 신호(399010)에 기초하여, 예를 들어 제 1 I 변위된 신호(399050) 및/또는 제 2 Q 변위된 신호(399030)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 인덕터(399220), 캐패시터(399230), 및/또는 저항기(399260)는 예를 들어 LO 신호(399020)에 기초하여, 예를 들어 제 1 Q 변위된 신호(399040) 및/또는 제 2 I 변위된 신호(399060)를 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 위상 변위기(399200)에 의해 적용된 위상 변위는 예를 들어 LRC 회로(399025)의 최대 또는 피크 에너지와 관련될 수 있는, Q로 표시된 품질(Q) 인자에 기초할 수 있다. 예를 들어, Q 인자가 (Q = 1)인 경우, 90°의 위상 변위가 적용될 수 있고, 및/또는 Q 인자가 (Q = 0.25)인 경우, 30°의 위상 변위가 적용될 수 있다. 예를 들어, LO 신호(399010) 및/또는 LO 신호(399020)의 위상은 예를 들어 다음과 같이 결정될 수 있는 Q 계수에 기초하여 구성될 수 있다:
[수학식 8]
Figure pct00224
[수학식 9]
Figure pct00225
[수학식 10]
Figure pct00226
여기서 Q는 품질 계수를 나타내고, L은 인덕턴스를 나타내고, C는 캐패시턴스를 나타내고, R은 저항을 나타내며, ω0는 각 주파수(angular frequency)를 나타낸다.
일부 예증적인 양태에서, 직교 LO 생성기(399000)의 입력 임피던스는 저항(R)을 예를 들어, 50 옴 임피던스로 설정함으로써, 예를 들어 50 옴 임피던스 또는 임의의 다른 임피던스로 구성될 수 있다. 예를 들어, 위상 변위기(399200)의 입력 임피던스는 50 옴 임피던스 또는 더 높은 임피던스로 설계될 수 있다.
일부 예증적인 양태에서, 위상 변위기(399200)는 예를 들어, 50 옴 입력 임피던스를 갖는 60 GHz 직교 생성기용 30° 위상 변위기를 포함할 수 있다. 하나의 예에서, 30° 위상 변위기는 48-72 GHz의 주파수 대역에 통해 2° 미만의 위상 변동을 달성할 수 있다. 예를 들어, 위상 변위기(399200)의 I 및 Q 신호 사이의 진폭 불평형은 예를 들어 아래에서 설명되는 바와 같이, 트리플러의 입력에서 0.3 dB일 수 있다.
일부 예증적인 양태에서, 수동 직교 LO 생성기(399000)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 Q 변위된 신호(399030) 및 제 1 Q 변위된 신호(399040)의 위상 및/또는 주파수를 3 배로 늘리도록 구성될 수 있는 트리플러(399300)를 포함할 수 있다. 예를 들어, 트리플러(399300)는 트랜지스터(399370)의 게이트에서 제 1 Q 변위된 신호(399040)를 수신할 수 있고, 트랜지스터(399360)의 게이트에서 제 2 Q 변위된 신호(399040)를 수신할 수 있다.
일부 예증적인 양태에서, 트랜지스터(399360)는 예를 들어 제 2 Q 변위된 신호(399030)에 따라 발진하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(399370)는 예를 들어 제 1 Q 변위된 신호(399040)에 따라 발진하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(399300)는 트랜지스터(399360)로부터 제 2 Q 변위된 신호(399030)를 수신하고, 제 2 Q 변위된 신호(399030)의 위상 및 주파수를 3 배로 늘리도록 구성될 수 있는 트랜지스터(399330)를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(399300)는 제 1 Q 변위된 신호(399040)를 수신하고 제 1 Q 변위된 신호(399040)의 위상 및 주파수를 3 배로 늘리도록 구성될 수 있는 트랜지스터(399350)를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(399300)는 예를 들어 60 GHz의 주파수 및 예를 들어 90°의 위상에서 캐패시터(399320)와 공진하도록 구성될 수 있는 변압기(399310)를 포함할 수 있다. 다른 양태에서, 공진 주파수는 임의의 다른 주파수로 설정될 수 있다. 예를 들어, 공진 주파수에 따라, 트랜지스터(399370) 및/또는 트랜지스터(399350)는 제 1 Q 변위된 신호(399040)의 위상 및 주파수를 3 배로 늘릴 수 있고; 및/또는 트랜지스터(399360) 및/또는 트랜지스터(399330)는 제 2 Q 변위된 신호(399030)의 위상 및 주파수를 3 배로 늘릴 수 있다.
일부 예증적인 양태에서, 트리플러(399000)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 Q 변위된 신호(399030)의 진폭과 제 1 I 변위된 신호(399050)의 진폭 사이를 평형화하도록 구성될 수 있는 불평형 및 진폭 회로(399390)를 포함할 수 있다.
일부 예증적인 양태에서, 불평형 및 진폭 회로(399390)는 제 2 Q 변위된 신호(399030)와 제 1 I 변위된 신호(399050) 사이의 위상 및 진폭 불평형을 평형화되도록 구성될 수 있는 트랜지스터(399340), 예를 들면 결합 트랜지스터(coupling transistor)(M5) 또는 임의의 다른 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러 회로(398000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 Q 변위된 신호(399040)의 진폭과 제 2 I 변위된 신호(399060)의 진폭 사이를 평형화하도록 구성될 수 있는 불평형 및 진폭 회로(399395)를 포함할 수 있다.
일부 예증적인 양태에서, 불평형 및 진폭 회로(399395)는 제 1 Q 변위된 신호(399040)와 제 2 I 변위된 신호(399040) 사이의 위상 및 진폭 불평형을 평형화하도록 구성될 수 있는 트랜지스터(399380), 예를 들면 결합 트랜지스터(M6) 또는 임의의 다른 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트랜지스터(399030, 399340, 399350, 399360, 399380 및/또는 399390)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, IQ 생성기(399000)는 제 1 3배된 Q 신호(399070), 예를 들어, 양의 3배된 Q 신호를 제 2 3배된 Q 신호(399075), 예를 들면 음의 3배된 Q 신호와 혼합하여, 예를 들어 Q 변위된 신호(399510)를 제공하도록 구성된 믹서(399500)를 포함할 수 있다.
일부 예증적인 양태에서, 수동 직교 LO 생성기(399000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 I 변위된 신호(399050) 및 제 2 I 변위된 신호(399060)의 위상 및/또는 주파수를 3 배로 늘리도록 구성될 수 있는 트리플러(399400)를 포함할 수 있다. 예를 들어, 트리플러(399400)는 트랜지스터(399460)의 게이트에서 제 1 I 변위된 신호(399050)를 수신할 수 있고, 트랜지스터(399470)의 게이트로 제 2 I 변위된 신호(399060)를 수신할 수 있다.
일부 예증적인 양태에서, 트랜지스터(399460)는 예를 들어 제 1 I 변위된 신호(399050)에 따라 발진하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(399470)는 예를 들어 제 2 I 변위된 신호(399060)에 따라 발진하도록 구성될 수 있다.
일부 예증적인 양태에서, 트리플러(399400)는 트랜지스터(399460)으로부터 제 1 I 변위된 신호(399050)를 수신하고, 제 1 I 변위된 신호(399050)의 위상 및 주파수를 3 배로 늘리도록 구성될 수 있는 트랜지스터(399430)를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(399400)는 제 2 I 변위된 신호(399060)를 수신하고 제 2 I 변위된 신호(399060)의 위상 및 주파수를 3 배로 늘리도록 구성될 수 있는 트랜지스터(399450)를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러(399400)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 60 GHz의 주파수 및 예를 들어 90°의 위상에서 캐패시터(399420)와 공진하도록 구성될 수 있는 변압기(399410)를 포함할 수 있다. 다른 양태에서, 공진 주파수는 임의의 다른 주파수로 설정될 수 있다. 예를 들어, 트랜지스터(399460) 및/또는 트랜지스터(399470)는 공진 주파수에 따라, 제 1 I 변위된 신호(399050) 및/또는 제 2 I 변위된 신호(399060)의 위상 및 주파수를 3 배로 늘릴 수 있다.
일부 예증적인 양태에서, 트리플러(399400)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 Q 변위된 신호(399030)의 진폭과 제 1 I 변위된 신호(399050)의 진폭 사이를 평형화하도록 구성될 수 있는 불평형 및 진폭 회로(399490)를 포함할 수 있다.
일부 예증적인 양태에서, 불평형 및 진폭 회로(399490)는 제 2 Q 변위된 신호(399030)와 제 1 I 변위된 신호(399050) 사이의 위상 및 진폭 불평형을 평형화되도록 구성될 수 있는 트랜지스터(399440), 예를 들면 결합 트랜지스터(M5) 또는 임의의 다른 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트리플러 회로(3994000)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 Q 변위된 신호(399040)의 진폭과 제 2 I 변위된 신호(399060)의 진폭 사이를 평형화하도록 구성될 수 있는 불평형 및 진폭 회로(399495)를 포함할 수 있다.
일부 예증적인 양태에서, 불평형 및 진폭 회로(399495)는 제 1 Q 변위된 신호(399040)와 제 2 I 변위된 신호(399060) 사이의 위상 및 진폭 불평형을 평형화하도록 구성될 수 있는 트랜지스터(399480), 예를 들면 결합 트랜지스터(M6) 또는 임의의 다른 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 트랜지스터(399430, 399440, 399450, 399460, 399480 및/또는 399490)는 FET, BJT 및/또는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, IQ 생성기(399000)는 제 1 3배된 I 신호(399085), 예를 들어, 양의 3배된 I 신호를 제 2 3배된 I 신호(399080), 예를 들면 음의 3배된 I 신호와 혼합하여, 예를 들어 I 변위된 신호(399610)를 제공하도록 구성된 믹서(399600)를 포함할 수 있다.
유리하게, 트리플러(399300 및 399400)는 트리플러(399300 및 399400)의 출력에서 I 및 Q 신호에 실질적으로 동일한 진폭을 제공할 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 채널로부터의 RF 신호와 제 2 채널로부터의 RF 신호를 광대역 RF 신호로 증폭하도록 구성될 수 있는 적어도 하나의 이중 채널 광대역 증폭기를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 무선 아키텍처는 예를 들어 하나 이상의 채널 본딩(channel bonding) 기술 및/또는 채널 결합(channel aggregation) 기술에 따라, 예를 들어 둘 이상의 채널을 통한 동시적 통신을 지원하기 위해 다중 채널을 지원하도록 구성될 수 있는, 예를 들어 광대역 송수신기를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 송수신기는 하나 이상의 무선 채널을 통해 RF 신호를 송신하도록 구성될 수 있다. 예를 들어, 무선 매체는 주파수 대역 예를 들어, 60 GHz 대역, 2.4 GHz 대역, 5 GHz 대역 등과 관련하여 정의될 수 있다.
일부 예증적인 양태에서, 주파수 대역은 예를 들어 아래에서 설명되는 바와 같이, 미리 정의된 채널 대역폭, 예를 들어, 2.4 GHz 또는 5 GHz 대역 중, 예를 들면 20 메가 헤르츠(MHz) 또는 40 MHz, 예를 들어, 60 GHz 대역 중 2.16 GHz, 4.32 GHz, 6.48 GHz 또는 8.64 GHz를 갖는 하나 이상의 채널로 분리될 수 있다.
일부 예증적인 양태에서, 하나 이상의 채널 본딩 및/또는 채널 결합 기술은 예를 들어, 더 넓은 채널 대역폭을 제공하기 위해 사용될 수 있다.
일부 예증적인 양태에서, 예를 들어, 2.4 GHz 또는 5 GHz 주파수 대역에서, 채널 본딩은 예를 들어 두 개의 20 MHz 채널을 40 MHz 채널로, 두 개의 40 MHz 채널을 80 MHz 채널로, 두 개의 80 MHz 채널을 160 MHz 채널로 및/또는 임의의 다른 채널 대역폭의 임의의 다른 개수의 채널로 본딩 및/또는 결합함으로써 데이터 수송(data transportation)을 증가시킬 수 있다.
일부 예증적인 양태에서, 예를 들어, 45 GHz의 채널 주파수 위의, 예를 들어 60 GHz 주파수의 방향성 멀티 기가비트(Directional Multi Gigabit)(DMG) 주파수 대역에서, 예를 들어, 둘 이상의 채널, 예를 들면 둘 이상의 2.16 GHz 채널을 포함하는 채널 폭(channel width)(BW)("광역 채널(wide channel)", "EDMG 채널" 또는 "본딩된 채널(bonded channel)"이라고도 지칭l함)을 통한 통신을 지원하는 하나 이상의 메커니즘이 구현될 수 있다.
일부 예증적인 양태에서, 채널 본딩 메커니즘은 예를 들어 단일 채널을 통한 전송과 비교할 때, 예를 들어, 보다 높은 대역폭의 패킷 전송을 위해, 예를 들어 둘 이상의 채널, 예를 들면 2.16 GHz 채널이 결합되어, 예를 들어 보다 높은 데이터 레이트를 달성할 수 있는 메커니즘 및/또는 동작을 포함할 수 있다.
일부 예증적인 양태는 본 명세서에서 두 개 이상의 2.16 GHz 채널을 포함하는 채널 BW를 통한 통신과 관련하여 설명되지만, 다른 양태는 두 개 이상의 임의의 다른 개수의 채널, 예를 들면 둘 이상의 채널의 결합을 포함하는 결합된 채널을 포함하거나 결합된 채널에 의해 형성된 채널 대역폭, 예를 들면 "광역" 채널을 통한 통신과 관련하여 구현될 수 있다.
일부 예증적인 양태에서, 하나 이상의 채널 본딩 메커니즘은 예를 들어, 증가된 채널 대역폭, 예를 들면 4.32 GHz의 채널 BW, 6.48 GHz의 채널 BW, 8.64 GHz의 채널 BW 및/또는 임의의 다른 추가의 또는 대안의 채널 BW를 지원하기 위해 구현될 수 있다.
일부 예증적인 양태에서, 광대역 송수신기는 예를 들어 두 개 이상의 네트워크가 서로 상이한 둘 이상의 상이한 채널을 통한 통신을 지원하도록 구성될 수 있다.
하나의 예에서, 일부 무선 네트워크는 제 1 채널 대역폭, 예를 들면 20 MHz 채널 대역폭을 점유하도록 구성될 수 있고, 및/또는 일부 다른 무선 네트워크는 제 2 채널 대역폭, 예를 들면 40 MHz 채널 대역폭 또는 다른 더 넓은 채널 대역폭을 점유하도록 구성될 수 있다.
다른 예에서, 일부 무선 네트워크는 제 1 채널 대역폭, 예를 들면 2.16 GHz 채널 대역폭을 점유하도록 구성될 수 있고, 및/또는 일부 다른 무선 네트워크는 제 2 채널 대역폭, 예를 들면 4.32 GHz 채널 대역폭 또는 다른 더 넓은 채널 대역폭을 점유하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 평탄한 주파수 응답을 달성하도록 구성될 수 있는, 그래서 전력 손실을 보상하기 위해 더 많은 전력을 끌어다 쓸 수 있는, 하나 이상의 증폭기, 예를 들면 저-Q 매칭 네트워크를 포함하는 광대역 송신기를 구현하는 것이 효율적이지 않을 수 있다. 예를 들어, 단일 채널에서 작동할 때, 예를 들어, 대역폭의 일부가 사용될 수 없기 때문에, 증폭기는 전력 비효율적일 수 있다.
일부 예증적인 양태에서, 광대역 대역폭을 통한 송신을 위해 구성될 수 있는 광대역 송신기는 예를 들어 아래에서 설명되는 바와 같이, 광대역 대역폭 중 두 개 이상의 상이한 대역폭을 통해 송신될 신호를 증폭하도록 구성될 수 있는 광대역 PA를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 PA는 예를 들어 아래에서 설명되는 바와 같이, 광대역 대역폭 내 둘 이상의 각각의 상이한 대역폭에서 송신될 신호를 증폭하도록 구성될 수 있는 둘 이상의 PA를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 PA는 예를 들어 아래에 설명된 바와 같이, 둘 이상의 대역폭을 포함하는 광대역 대역폭을 통해 송신될 광대역 신호를 증폭하기 위해, 두 개 이상의 PA를 예를 들어 결합하여 이용하도록 구성될 수 있다. 예를 들어, 두 개 이상의 PA는 예를 들어, 광대역 RF 신호를 송신할 때, 평탄한 고이득 광대역 응답을 생성하도록 구성될 수 있다.
일부 예증적인 양태에서, 광대역 PA는 예를 들어 아래에서 설명되는 바와 같이, 두 개 이상의 PA 중 일부만을 선택적으로 이용하여 광대역 대역폭보다 좁은 대역폭을 통해 송신될 신호를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 본 명세서에서 설명된 바와 같이, 두 개 이상의 PA를 포함하는 광대역 PA를 구현하는 것은 예를 들어, PA 중 적어도 하나의 PA가 스위치 오프되고, 적어도 하나의 다른 PA가 RF 신호를 광대역 주파수 채널의 일부를 통해 송신하는데 사용될 때, 예를 들어, 광대역 PA의 전체 전력 소비를 감소시키는 것을 가능하게 할 수 있다.
일부 예증적인 양태에서, 광대역 PA는 예를 들어 아래에서 설명되는 바와 같이, 입력 RF 신호를 PA 중 하나 이상에 선택적으로 연결시키는 선택적 네트워크 전력 결합기 및/또는 PA 중 하나 이상으로부터의 출력 RF 신호를 선택적으로 연결시키는 선택적 네트워크 전력 분할기를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 선택적 네트워크 결합기는 변압기("결합기 변압기(combiner transformer)")를 포함하거나 변압기에 의해 구현될 수 있고, 및/또는 선택적인 네트워크 분할기는 변압기("분할기 변압기(splitter transformer)")를 포함하거나 변압기에 의해 구현될 수 있다. 다른 양태에서, 선택적 네트워크 결합기는 임의의 다른 결합기에 의해 구현될 수 있고 및/또는 선택적 네트워크 분할기는 임의의 다른 분할기에 의해 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 분할기 변압기는 입력 RF 신호를 두 개 이상의 PA에 각기 선택적으로 연결하는 두 개 이상의 섹션을 포함할 수 있고; 및/또는 결합기 변압기는 두 개 이상의 PA로부터의 출력 RF 신호를 각기 선택적으로 연결하는 두 개 이상의 섹션을 포함할 수 있다.
일부 예증적인 양태에서, 결합기 변압기의 섹션은 예를 들어 아래에서 설명되는 바와 같이, 그 섹션에 연결될 각각의 PA의 동작 주파수 및/또는 대역폭에 기초할 수 있는 물리적 구조 및/또는 사이즈를 갖도록 구성될 수 있다.
일부 예증적인 양태에서, 분할기 변압기의 섹션은 예를 들어 아래에서 설명되는 바와 같이, 그 섹션에 의해 연결될 각각의 PA의 동작 주파수 및/또는 대역폭에 기초할 수 있는 물리적 구조 및/또는 사이즈를 갖도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 변압기의 섹션의 사이즈, 예를 들어 결합기 변압기의 섹션 및/또는 분할기 변압기의 섹션의 사이즈는 그 섹션에 연결될 PA의 주파수에 비례하도록 구성될 수 있다. 예를 들어, 변압기의 제 1 섹션 예를 들어, 결합기 변압기의 제 1 섹션 및/또는 분할기 변압기의 제 1 섹션은 제 1 PA의 제 1 주파수에 맞게 구성된 제 1 사이즈를 가질 수 있고; 및/또는 변압기의 제 2 섹션 예를 들어, 결합기 변압기의 제 2 섹션 및/또는 분할기 변압기의 제 2 섹션은 제 2 PA의 제 2 주파수에 맞게 구성된 제 2 사이즈를 가질 수 있다. 예를 들어, 예를 들어 제 2 주파수가 제 1 주파수보다 높을 때, 제 2 사이즈는 제 1 사이즈보다 클 수 있다.
일부 예증적인 양태에서, 분할기 변압기 및/또는 결합기 변압기의 상이한 섹션마다 상이한 사이즈를 구현하는 것은, 예를 들어 아래에서 설명되는 바와 같이, 광대역 PA의 칩 면적을 감소시킬 수 있다.
일부 예증적인 양태에서, 예를 들어, 본 명세서에 설명된 바와 같이, 다수의 PA를 갖는 광대역 PA를 구현하는 것은, 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 이용될 대역폭에 기초하여, 예를 들어 감소된 전력 소비를 달성하고, 선형성을 높이고 및/또는 복수의 PA 중 하나 이상의 PA의 선택적인 스위칭을 가능하게 함으로써, 하나 이상의 이득을 제공하고 및/또는 하나 이상의 기술적인 문제를 해결할 수 있다.
일부 예증적인 양태에서, 광대역 PA는 무선 체인 회로의 일부로서 포함될 수 있고 및/또는 무선 체인 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(1035)(도 10) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 예증적인 양태에 따라, 송신기(400000)의 블록도를 개략적으로 도시하는 도 400이 참조된다.
일부 예증적인 양태에서, 송신기(400000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 60 GHz 주파수 대역 및/또는 임의의 다른 주파수 대역에서, 광대역 RF 신호 및/또는 고주파 RF 신호를 송신하도록 구성될 수 있다.
일부 예증적인 양태에서, 송신기(400000)는 예를 들어 하나 이상의 안테나(400100)에 동작 가능하게 연결될 수 있고 및/또는 하나 이상의 안테나(400100)를 포함할 수 있다. 예를 들어, 하나 이상의 안테나(400100)는 위상 배열 안테나, 다이폴 안테나, 내부 안테나 등을 포함할 수 있다. 다른 양태에서, 임의의 다른 형태의 안테나가 사용될 수 있다.
일부 예증적인 양태에서, 송신기(400200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하나 이상의 안테나(400100)를 통해 송신될 수 있는 광대역 RF 신호를 증폭하도록 구성될 수 있는 광대역 증폭기(400200)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(400000)는 IF 입력 신호(400310)를 생성하도록 구성될 수 있는 기저대역 회로(400300)를 포함할 수 있다.
일부 예증적인 양태에서, 송신기(400000)는 예를 들어 IF 입력 신호(400310)를 RF 입력 신호(400410)로 상향 변환함으로써, 예를 들어 RF 입력 신호(400410)를 생성하도록 구성될 수 있는 RF 회로(400400)를 포함할 수 있다. 예를 들어, RF 입력 신호(400410)는 송신에 사용될 채널의 주파수 대역폭에 따라 구성될 수 있다.
일부 예증적인 양태에서, 기저대역 회로(400300)는 예를 들어 RF 케이블(400500)에 의해 RF 회로(400400)에 동작 가능하게 연결될 수 있다. 예를 들어, RF 케이블(400500)은 동축 케이블 등을 포함할 수 있다. 다른 양태에서, 다른 유형의 RF 케이블, 커넥터 및/또는 인터페이스가 사용될 수 있다. 다른 양태에서, 임의의 다른 추가의 또는 대안의 요소 및/또는 서브시스템이 기저대역 회로(400300)와 RF 회로(400400) 사이에 연결되도록 구현될 수 있다.
일부 예증적인 양태에서, 예를 들어, 송신기(400000)는 예를 들어 도 404를 참조하여 아래에서 설명되는 바와 같이, 기저대역(400300)과 복수의 RF 회로 요소(400400) 사이를 동작 가능하게 연결하는 하나 이상의 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 증폭기(400200)는 예를 들어 아래에서 설명되는 바와 같이, RF 입력 신호(400410)를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 광대역 증폭기(400200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 60 GHz 주파수 대역에서 RF 신호(400410)를 증폭하도록 구성된 60 GHz 증폭기를 포함할 수 있다. 다른 양태에서, 광대역 증폭기(400200)는 임의의 다른 추가의 또는 대안의 주파수 대역을 위해 구성될 수 있다.
일부 예증적인 양태에서, 광대역 증폭기(400200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 RF 입력 신호(400410)를 고대역 주파수 및 저대역 주파수로 분할하고, 고대역 증폭기에 의해 고대역 주파수를 증폭하고, 저대역 증폭기에 의해 저대역 주파수를 증폭하며, 저대역 증폭 신호와 고대역 증폭 신호를 광대역 증폭된 신호로 결합함으로써, 예를 들어 RF 입력 신호(400410)를 광대역 주파수에 걸쳐 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 광대역 증폭기 회로(400200)는 RF 입력 신호(400410)를 각각의 복수의 주파수 대역을 통해 복수의 신호로 분할하도록 구성될 수 있는 분할기(400210)를 포함할 수 있다. 예를 들어, 복수의 신호는, 예를 들어 아래에서 설명되는 바와 같이, 제 1 및 제 2 주파수 대역에 걸친 적어도 제 1 및 제 2 신호를 포함할 수 있다. 예를 들어, 분할기(400210)는 예를 들어 아래에서 설명되는 바와 같이, RF 입력 신호(400410)를 예를 들어 고주파수 대역의 고주파수 대역 신호(400220) 및/또는 저주파수 대역의 저주파수 대역 신호(400230)로 분할하도록 구성될 수 있다.
일부 예증적인 양태에서, 고주파수 대역은 예를 들어, 5G 주파수 대역의 하나 이상의 제 1 채널을 포함하는 주파수 대역을 포함할 수 있고 및/또는 저주파수 대역은 예를 들어 5G 주파수 대역의 하나 이상의 제 2 채널을 포함할 수 있다. 하나의 예에서, 하나 이상의 제 1 채널 및/또는 하나 이상의 제 2 채널은 하나 이상의 500 MHz 채널 및/또는 임의의 다른 추가의 또는 대안의 채널을 포함할 수 있다. 하나의 예에서, 5G 주파수 대역은 예를 들어 37-43.5 GHz의 주파수 대역, 24.5-39.5 GHz의 주파수 대역 또는 임의의 다른 주파수 대역을 포함할 수 있다. 다른 양태에서, 임의의 다른 추가의 또는 대안의 주파수 대역이 사용될 수 있다.
본 명세서에서 일부 예증적인 양태는 두 개의 주파수 대역, 예를 들어, 고주파수 대역 및/또는 저주파수 대역을 구현하는 광대역 증폭기와 관련하여 설명된다. 다른 양태에서, 광대역 증폭기는 임의의 다른 개수의 주파수 대역 예를 들어, 적어도 세 개의 주파수 대역을 구현할 수 있다.
일부 예증적인 양태에서, 분할기(400210)는 예를 들어, 저항성 분할기, 하이브리드 분할기, 트랜지스터 구현 분할기, 윌킨슨 분할기 및/또는 임의의 다른 유형의 분할기를 포함할 수 있다.
일부 예증적인 양태에서, 분할기(400210)는 예를 들어 변압기(400215)를 포함할 수 있고, 변압기(400215)는 예를 들어 아래에서 설명되는 바와 같이, 변압기(400215)의 제 1 섹션(400218)에서, RF 입력 신호(400410)를 수신하고, 변압기(400215)의 제 2 섹션(400212)에 의해 예를 들어, 저주파수 대역 신호(400230)를 저역 증폭기(400250)에 제공하고, 변압기(400215)의 제 2 섹션(400212)과 저대역 증폭기(400250) 사이의 임피던스를 매칭시키도록 구성될 수 있다. 예를 들어, 변압기(400215)는 제 2 섹션(400212)과 저대역 증폭기(400250) 사이에서, 예를 들어 50 옴 임피던스를 매칭시키도록 구성될 수 있다. 다른 양태에서, 제 2 섹션(400212)과 저대역 증폭기(400250) 사이의 임피던스는 임의의 다른 임피던스 값을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(400215)는 예를 들어, 변압기(400215)의 제 3 섹션(400214)에 의해, 고주파수 대역 신호(400220)를 고대역 증폭기(40240)에 제공하고, 예를 들어 변압기(400215)의 제 3 섹션(400214)과 고대역 증폭기(400240) 사이의 임피던스를 매칭시키도록 구성될 수 있다. 예를 들어, 변압기(400215)는 제 3 섹션(400214)과 고대역 증폭기(400240) 사이에서, 예를 들어 50 옴 임피던스를 매칭시키도록 구성될 수 있다. 다른 양태에서, 제 3 섹션(400214)과 고대역 증폭기(400240) 사이의 임피던스는 임의의 다른 임피던스 값을 포함할 수 있다.
일부 예증적인 양태에서, 분할기(400210)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 RF 입력 신호(400410)로부터 저주파수 대역 신호(400230)를 필터링하는 제 1 회로(400211) 및 RF 입력 신호(400410)로부터 고주파수 대역 신호(400220)를 필터링하는 제 2 회로(400213)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 회로(400211)는 예를 들어, 변압기(400215)의 제 2 섹션(400212) 및 변압기(400215)의 제 1 섹션(400218)의 적어도 일부에 의해 구현될 수 있고, 및/또는 제 2 회로(400213)는 변압기(200214)의 제 3 섹션(400214) 및 변압기(400215)의 제 1 섹션(400218)의 적어도 일부에 의해 구현될 수 있다. 다른 양태에서, 제 1 회로(400211) 및/또는 제 2 회로(400213)는 임의의 다른 추가의 또는 대안의 요소에 의해 구현될 수 있다. 예를 들어, 제 1 회로(400211) 및/또는 제 2 회로(400213)는 예를 들어 복수의 트랜지스터, 집적 회로, 하이브리드 회로 및/또는 임의의 다른 구성요소에 의해 구현될 수 있다.
일부 예증적인 양태에서, 고대역 증폭기(400240)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 고주파수 대역 신호(400220)를 증폭하도록 구성될 수 있고, 제 1 증폭된 신호, 예를 들면 고대역 증폭된 신호(400245)를 제공할 수 있다.
일부 예증적인 양태에서, 고대역 증폭기(400240)는 예를 들어 도 390을 참조하여 위에서 설명한 바와 같은 아웃페이징 증폭기, 예를 들어 도 387을 참조하여 위에서 설명한 바와 같은 도허티 전력 증폭기, 예를 들어 도 380을 참조하여 위에서 설명한 바와 같은 디지털 전력 증폭기, 및/또는 임의의 다른 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 저대역 증폭기(400250)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 저주파수 대역 신호(400230)를 증폭하도록 구성될 수 있고, 제 2 증폭된 신호, 예를 들면 저대역 증폭된 신호(400255)를 제공할 수 있다.
일부 예증적인 양태에서, 저대역 증폭기(400250)는 예를 들어 도 390을 참조하여 위에서 설명한 바와 같은 아웃페이징 증폭기, 예를 들어 도 387을 참조하여 위에서 설명한 바와 같은 도허티 전력 증폭기, 예를 들어 도 380을 참조하여 위에서 설명한 바와 같은 디지털 전력 증폭기, 및/또는 임의의 다른 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 증폭기(400200)는 결합기(400260)를 포함할 수 있고, 결합기(400260)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 증폭된 신호, 예를 들면 고대역 증폭된 신호(400245) 및 제 2 증폭된 신호, 예를 들면 저대역 증폭된 신호(400255)를, 예를 들어 증폭된 RF 신호(400270)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 결합기(400260)는 저항성 결합기, 하이브리드 결합기, 트랜지스터 구현 결합기, 윌킨슨 결합기, 및/또는 임의의 다른 유형의 결합기를 포함할 수 있다.
일부 예증적인 양태에서, 결합기(400260)는 예를 들어 변압기(400265)를 포함할 수 있고, 변압기(400265)는 예를 들어 아래에서 설명되는 바와 같이, 고대역 증폭기(400240)로부터의 제 1("고 대역") 증폭된 신호(400245)를 변압기(400265)의 제 1 섹션(400262)에서 수신하고, 변압기(400265)의 제 1 섹션(400262)과 고대역 증폭기(400240) 사이의 임피던스, 예를 들면 50 옴 임피던스를 매칭시키도록 구성될 수 있다. 다른 양태에서, 예를 들어, 제 1 섹션(400245)과 고대역 증폭기(400240) 사이의 임피던스는 임의의 다른 임피던스 값을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(400265)는 예를 들어 아래에서 설명되는 바와 같이, 저대역 증폭기(400250)로부터의 제 2("저 대역") 증폭된 신호(400255)를 변압기(400265)의 제 2 섹션(400264)에서 수신하고, 변압기(400265)의 제 2 섹션(400264)과 저대역 증폭기(400250) 사이의 임피던스, 예를 들면 50 옴 임피던스를 매칭시키도록 구성될 수 있다. 다른 양태에서, 제 2 섹션(400264)과 저대역 증폭기(400250) 사이의 임피던스는 임의의 다른 임피던스 값을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(400265)는 예를 들어, 변압기(400265)의 제 1 섹션(400262)으로부터의 제 1 증폭된 신호(400245)와 변압기(400265)의 제 2 섹션(400265)으로부터의 제 2 증폭 신호(400255)를 증폭된 RF 신호(400270)로 결합하는 제 3 섹션(400267)을 포함할 수 있다.
일부 예증적인 양태에서, 제 3 섹션(400267)은 저대역 증폭기(400250)와 예를 들어 하나 이상의 안테나(400100) 사이 및 고대역 증폭기(400240)와 하나 이상의 안테나(400100) 사이의 예를 들면 50 옴 임피던스를 매칭하도록 구성될 수 있다. 다른 양태에서, 제 3 섹션(400267)과 하나 이상의 안테나(400100) 사이의 임피던스는 임의의 다른 임피던스 값을 포함할 수 있다.
일부 예증적인 양태에서, 제 1 섹션(400262) 및 제 2 섹션(400264)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상이한 물리적 사이즈를 가질 수 있다. 일부 예증적인 양태에서, 변압기(400265)의 섹션은 그 섹션에 의해 연결될 각각의 PA의 동작 주파수 및/또는 대역폭에 기초할 수 있는 물리적 구조 및/또는 사이즈를 갖도록 구성될 수 있다. 예를 들어, 변압기(400265)의 제 1 섹션(400262)의 물리적 사이즈는 변압기(400265)의 제 2 섹션(400264)의 물리적 사이즈보다 클 수 있다.
일부 예증적인 양태에서, 광대역 증폭기 회로(400200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, RF 입력 신호(400410)가 예를 들어 저대역 증폭기(400255)에 의해 증폭되는 저주파수 대역을 포함하는 제 1 주파수 대역을 적어도 초과할 때, 저대역 증폭기(400250)를 활성화하도록 구성될 수 있는 제 1 스위치(400270)를 포함할 수 있다.
일부 예증적인 양태에서, 광대역 증폭기 회로(400200)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, RF 입력 신호(400410)가 예를 들어 고대역 증폭기(400240)에 의해 증폭되는 고주파수 대역을 포함하는 제 2 주파수 대역을 적어도 초과할 때, 고대역 증폭기(400240)를 활성화하도록 구성될 수 있는 제 2 스위치(400280)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 스위치(400270)는 예를 들어 RF 입력 신호(400410)가 제 1 주파수 대역을 적어도 초과하지 않을 때, 저대역 증폭기(400250)를 비활성화하도록 구성될 수 있고, 및/또는 스위치(400270)는 RF 입력 신호(400410)가 제 2 주파수 대역을 적어도 부분적으로 벗어날 때, 저대역 증폭기(400250)를 비활성화하도록 구성될 수 있다.
일부 예증적인 양태에서, 스위치(400270) 및/또는 스위치(400280)는 예를 들어 RF 신호(400410)의 주파수 대역에 기초하여, 예를 들어 기저대역 회로(40310)에 의해 제어 가능하게 활성화 및/또는 비활성화될 수 있다.
일부 예증적인 양태에 따라 구현될 수 있는 복수의 채널 대역폭에 대응하는 복수의 채널의 대역 계획(401000)을 개략적으로 도시하는 도 401이 참조된다.
일부 예증적인 양태에서, 광대역 증폭기, 예를 들면 광대역 증폭기(400200)(도 400)는 도 401의 대역 계획에 따라 복수의 채널 대역폭 중 하나 이상의 채널 대역폭을 통해 RF 신호를 송신하도록 구성될 수 있다.
일부 예증적인 양태에서, 대역 계획(401000)은 예를 들어, IEEE 802.11 - 2016 규격에 따라, 예를 들어 57.24 GHz 내지 65.88 GHz의 주파수 범위에서, 예를 들어 복수의 2.16 GHz 채널(401400)을 포함할 수 있다. 예를 들어, 도 401에 도시된 바와 같이, 대역 계획(401000)은 #1, #2, #3 및 #4로 표시된 네 개의 2.16 GHz 채널을 포함할 수 있다.
일부 예증적인 양태에서, 광대역 증폭기, 예를 들면 광대역 증폭기(400200)(도 400)는 예를 들어, 채널(401400) 중 둘 이상의 채널에 의해 형성될 수 있는 하나 이상의 광대역 채널 대역폭을 통해 RF 신호를 송신하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 57.24 GHz 내지 65.88 GHz의 주파수 범위에서 채널 대역폭(401100)은 예를 들어, 8.64 GHz 주파수 대역폭을 포함할 수 있다. 예를 들어, 채널 대역폭(401100)은 예를 들어 위에서 설명한 바와 같이, 예를 들어, 저대역 증폭기(400250)(도 400)와 고대역 증폭기(400240)(도 400) 사이에서 분할될 수 있다. 예를 들어, 저대역 증폭기(400250)(도 400)는 예를 들어 도 401의 제 1 4.32 GHz 채널, 예를 들면 채널(#9)을 위해 구성될 수 있고, 고대역 증폭기(400250)(도 400)는 제 2 4.32 GHz 채널, 예를 들면 도 401의 채널(#11)을 위해 구성될 수 있다.
일부 예증적인 양태에서, 광대역 증폭기(400200)(도 400)는 네 개의 증폭기를 포함할 수 있고, 예를 들어 채널 대역폭(401100)을 형성하는 네 개의 채널(401400)의 각 채널은 채널의 주파수 대역 및 증폭기의 주파수 대역에 따라, 네 개의 증폭기의 각각의 다른 증폭기에 제공될 수 있다.
일부 예증적인 양태에서, 예를 들어 57.24 GHz 내지 63.72 GHz의 주파수 범위에서, 채널 대역폭(401200)은 예를 들어 대역폭 6.48 GHz를 포함할 수 있다. 예를 들어, 채널 대역폭(401200)은, 예를 들어 위에서 설명한 바와 같이, 예를 들어 저대역 증폭기(400250)(도 400)와 고대역 증폭기(400240)(도 400) 사이에서 분할될 수 있다. 하나의 예에서, 저대역 증폭기(400250)(도 400)는 예를 들어 제 1 2.16 GHz 채널, 예를 들면 도 401의 채널(#1)을 위해 구성될 수 있고, 고대역 증폭기(400240)(도 400)는 예를 들어 도 401의 채널(#2) 및 채널(#3)을 포함하는 4.32 GHz 대역폭을 위해 구성될 수 있다. 다른 예에서, 저대역 증폭기(400250)(도 400)는 예를 들어 4.32 GHz 채널, 예를 들면 도 401의 채널(#9)을 위해 구성될 수 있고, 고대역 증폭기(400240)(도 400)는 예를 들어, 2.16 GHz 채널, 예를 들면 도 401의 채널(#4)를 위해 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 57.24 GHz 내지 65.88 GHz의 주파수 범위에서, 채널 대역폭(401300)은 예를 들어 4.32 GHz 대역폭을 포함할 수 있고, 예를 들어 두 개의 채널, 예를 들면 57.24 GHz 내지 61.56 GHz의 낮은 채널 대역 및 예를 들면 61.56 GHz 내지 65.88 GHz의 높은 채널 대역을 포함할 수 있다. 예를 들어, 예를 들어 위에서 설명되는 바와 같이, 낮은 채널 대역은 저대역 증폭기(400250)(도 400)에 제공될 수 있고, 높은 채널 대역은 고대역 증폭기(400240)(도 400)에 제공될 수 있다. 하나의 예에서, 저대역 증폭기(400250)(도 400)는 예를 들어 제 1 2.16 GHz 채널, 예를 들면 도 401의 채널(#1)을 위해 구성될 수 있고, 고대역 증폭기(400250)(도 400)는 예를 들어 제 2 2.16 GHz 채널, 예를 들면 도 401의 채널(#2)를 위해 구성될 수 있다. 다른 예에서, 저대역 증폭기(400250)(도 400)는 예를 들어 제 3 2.16 GHz 채널, 예를 들면 도 401의 채널(#3)을 위해 구성될 수 있고, 고대역 증폭기(400250)(도 400)는 예를 들어 제 4의 2.16 GHz 채널, 예를 들면 도 401의 채널(#4)을 위해 구성될 수 있다.
일부 예증적인 양태에 따라, 저대역 증폭기 및 고대역 증폭기의 이득 응답을 도시하는 그래프(402000)를 개략적으로 도시하는 도 402가 참조된다. 일부 양태에서, 본 명세서에서 설명된 증폭기 회로는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(325))에 통합될 수 있지만, 증폭기 회로는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 광대역 증폭기(400200)(도 400)는 이득 응답(402100)을 갖는 저대역 증폭기(400250)(도 400) 및/또는 이득 응답(402200)을 갖는 고대역 증폭기(400240)(도 400)를 포함할 수 있다. 예를 들어, 이득 응답(402100)을 갖는 저대역 증폭기(400250)(도 400)와 이득 응답(402200)을 갖는 고대역 증폭기(400240)(도 400)의 조합은 예를 들어, 광대역 증폭기, 예를 들면 광대역 증폭기(400200)(도 400)에서 평탄한 이득 응답을 생성할 수 있다.
일부 예증적인 양태에 따라, 변압기(403000)를 개략적으로 도시하는 도 403이 참조된다.
일부 예증적인 양태에서, 변압기는 결합기 및/또는 분할기의 일부로서 포함될 수 있고 및/또는 결합기 및/또는 분할기의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 변압기(400265)(도 400) 및/또는 예를 들어 변압기(400215)(도 400)의 일부로서 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다. 일부 양태에서, 본 명세서에서 설명된 변압기는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(372))에 통합될 수 있지만, 변압기는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 변압기(403000)는 예를 들어 아래에서 설명되는 바와 같이, 입력/출력 포트(403400)에 의해 저대역 주파수를 수신 및/또는 출력하도록 구성될 수 있는 저대역 섹션(403100)을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(403000)는 예를 들어 아래에서 설명되는 바와 같이, 입/출력 포트(403300)에 의해 고대역 주파수를 수신 및/또는 출력하도록 구성될 수 있는 고대역 섹션(403200)을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(403000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 RF 신호를 수신하고 입력/출력 포트(403500)에서 결합된 RF 신호를 출력하도록 구성될 수 있는 공통 섹션(403600)을 포함할 수 있다.
일부 예증적인 양태에서, 변압기(403000)는 예를 들어 아래에서 설명되는 바와 같이, 결합기 및/또는 분할기로서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 결합기로서 동작할 때, 변압기(403000)는 저대역 섹션(403100)의 입력 포트(403400)에서 저대역 주파수 신호를 수신할 수 있고, 예를 들어 고대역 섹션의 입력 포트(403300)에서 고대역 주파수 신호를 수신할 수 있으며, 예를 들어 공통 섹션(403600)의 출력 포트(403500)에서 결합된 신호를 출력할 수 있다.
일부 예증적인 양태에서, 예를 들어, 분할기로서 동작할 때, 변압기(403000)는 예를 들어 공통 섹션(403600)의 입력 포트(403500)에서 입력 RF 신호를 수신할 수 있고, 예를 들어 저대역 섹션(403100)의 출력 포트(403400)에서 저대역 주파수 신호를 출력하고, 예를 들어 고대역 섹션(403200)의 출력 포트(403300)에서 고대역 주파수 신호를 출력할 수 있다.
일부 예증적인 양태에서, 저대역 섹션(403100)의 물리적 사이즈는 예를 들어 고대역 섹션(403200)의 물리적 사이즈보다 작을 수 있다.
도 1a를 다시 참조하면, 일부 예증적인 양태에서, 기저대역 서브 시스템(110) 및/또는 RFEM(115)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 무선 코어의 카운트에 기초하여, 모뎀 코어, 예를 들어 기저대역 서브시스템, 예를 들면 기저대역 프로세서(110)와 복수의 무선 코어, 예를 들면 RFEM(115) 사이의 임피던스를 매칭시키도록 구성될 수 있는 복수의 임피던스 매칭 스위치를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있다. 일부 예증적인 양태에서, 모뎀 코어는 예를 들어 하나 이상의 무선 코어를 통해 무선 통신을 위한 신호를 생성 및/또는 처리하는 기저대역 프로세서 및/또는 하나 이상의 부가의 또는 대안의 처리 구성요소를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기는 예를 들어 아래에서 설명되는 바와 같이, 복수의 무선 코어 및 적어도 하나의 모뎀 코어를 포함할 수 있다.
일부 예증적인 양태에서, 5G 송수신기는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 동축 케이블 및/또는 임의의 다른 RF 호환 가능한 연결 요소 및/또는 서브시스템에 의해 연결되는 적어도 두 개의 메인 코어를 포함할 수 있다. 예를 들어, 적어도 두 개의 메인 코어는 모뎀-기저대역(M-코어) 및 둘 이상의 라디오 프론트-엔드(R-코어)를 포함할 수 있다.
일부 예증적인 양태에서, R-코어는 하나 이상의 무선 체인 회로 및/또는 서브시스템의 일부로서 포함될 수 있고 및/또는 하나 이상의 무선 체인 회로 및/또는 서브시스템의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(435)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 예증적인 양태에서, 복수의 스위치는 M-코어를 복수의 R-코어에 연결하도록 구성될 수 있다. 예를 들어, 복수의 스위치는 예를 들어 아래에서 설명되는 바와 같이, 무선 디바이스 요건에 따라 스위칭될 수 있다. 예를 들어, M-코어는 예를 들어 아래에서 설명되는 바와 같이, 한 번에 하나의 R-코어에 연결될 수도 있고, 및/또는 예를 들어 작동하는 다수의 R-코어에 동시에 연결될 수도 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 복수의 스위치 중 적어도 하나의 스위치, 예를 들면 스위치 중 일부만 또는 스위치 중 각각의 스위치는, 예를 들어 M-코어에 연결될 수 있는 R-코어의 개수에 기초하여, 복수의 R-코어 중 R-코어와 M-코어 사이의 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 M-코어에 연결될 수 있는 R-코어의 개수에 기초하여, 복수의 R-코어 중 R-코어와 M-코어 사이의 임피던스를 매칭시키는 것은 하나 이상의 이득을 제공하고 및/또는 하나 이상의 기술적인 문제를 해결할 수 있다. 예를 들어, M-코어에 연결되는 R-코어의 개수에 기초하여, R-코어와 M-코어 사이의 임피던스를 매칭시키면, 예를 들어 M-코어와 R-코어 사이, 예를 들면 M-코어와 그 M-코어에 연결된 각각의 R-코어 사이에, 예를 들어 실질적으로 일정한 임피던스를, 예를 들면 50 옴의 임피던스 또는 임의의 다른 임피던스를 유지하게 할 수 있다.
이제 일부 예증적인 양태에 따른 무선 통신 장치(404000)의 블록도를 개략적으로 도시하는 도 404가 참조된다. 일부 양태에서, 본 명세서에 설명된 무선 통신 장치(예를 들어, 송신기, 수신기, 송수신기 등)는 도 3a에 도시된 mmWave 통신 회로(300) 내의 하나 이상의 회로에 통합(하나 이상의 회로로서 구현)될 수 있지만, 통신 장치는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 무선 통신 장치(404000)는 예를 들어 아래에서 설명되는 바와 같이, 무선 RF 신호를, 예를 들어 2.4 GHz 주파수 대역, 5 GHz 주파수 대역, 60 GHz 주파수 대역, 5G 통신 네트워크의 주파수 대역 및/또는 임의의 다른 주파수 대역을 통해 송신 및/또는 수신하도록 구성될 수 있다.
일부 예증적인 양태에서, 무선 통신 장치(404000)는 예를 들어 기저대역의 일부로서, 예를 들어 기저대역 회로 및/또는 로직의 일부로서, 및/또는 임의의 다른 추가의 또는 대안의 요소, 서브시스템 및/또는 회로의 일부로서 구현될 수 있는 M-코어(404300)를 포함할 수 있다.
일부 예증적인 양태에서, 무선 통신 장치(404000)는 M-코어(404300)에 선택적으로 연결되는 복수의 R-코어(404100)를 포함할 수 있다. 예를 들어, 도 404에 도시된 바와 같이, 복수의 R- 코어(404100)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)에 선택적으로 연결되는 적어도 두 개의 R-코어, 예를 들면 R-코어(404130) 및 R-코어(404160)를 포함할 수 있다. 예를 들어, R-코어(404130) 및/또는 R-코어(404160)는 라디오 프론트 엔드를 포함할 수 있다. 예를 들어, 라디오 프론트 엔드는, 예를 들어 전력 증폭기(PA), 저잡음 증폭기(LNA), 안테나 인터페이스 및/또는 이와 유사한 것과 같이, RF 신호를 수신 및/또는 송신하는 하나 이상의 회로, 구성요소 및/또는 서브시스템을 포함할 수 있다. 예를 들어, R-코어(404130) 및/또는 R-코어(404160)는 라디오 프론트 엔드(115)(도 1)의 하나 이상의 요소를 포함할 수 있고 및/또는 라디오 프론트 엔드(115)의 하나 이상의 기능성을 수행할 수 있다.
일부 예증적인 양태에서, R-코어(404130) 및/또는 R-코어(404160)는 예를 들어 아래에서 설명되는 바와 같이, 반이중 라디오 프론트 엔드, 반이중 송수신기 등을 포함할 수 있다. R-코어(404130) 및/또는 R-코어(404160)는 전이중 방식의 무선 장치를 포함할 수 있다.
일부 예증적인 양태에서, 무선 통신 장치(404000)는 예를 들어, 안테나(404400) 및/또는 안테나(404450)를 포함하는 하나 이상의 안테나를 포함할 수 있고 및/또는 하나 이상의 안테나에 동작 가능하게 연결될 수 있다. 예를 들어, R-코어(404130)는 적어도 하나의 안테나(404400)에 동작 가능하게 연결될 수 있고, 및/또는 무선 코어(404160)는 적어도 하나의 안테나(404450)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 안테나(404400 및/또는 404450)는 예를 들어, 하나 이상의 위상 배열 안테나, 하나 이상의 다이폴 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, 복수의 R-코어(404100)는 복수의 RF 케이블(404500)을 통해 M-코어(404300)에 연결될 수 있고, 이에 따라 예를 들어 M-코어(404300)는 적어도 하나의 RF 케이블을 통해 R-코어에 연결될 수 있다. 예를 들면, 예를 들어 아래에서 설명되는 바와 같이, R-코어(404130)는 RF 케이블(404530)을 통해 M-코어(404300)에 연결될 수 있으며, R-코어(404160)는 RF 케이블(404560)을 통해 M-코어(404300에) 연결될 수 있다.
일부 예증적인 양태에서, RF 케이블(404530) 및/또는 RF 케이블(404560)은 동축 케이블을 포함할 수 있다. 다른 양태에서, RF 케이블(404530) 및/또는 RF 케이블(404560)은 임의의 다른 RF 계산 가능한 케이블을 포함할 수 있다.
일부 예증적인 양태는 본 명세서에서 M-코어를 복수의 RF 코어에 연결하는 하나 이상의 RF 케이블을 구현하는 아키텍처와 관련하여 설명된다. 그러나, 다른 양태에서, 임의의 다른 추가의 또는 대안의 커넥터, 케이블 및/또는 요소가 M-코어를 복수의 R-코어에 연결하기 위해 구현될 수 있다.
일부 예증적인 양태에서, 무선 통신 장치(404000)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)를 복수의 R-코어(404100) 중 하나 이상의 R-코어에 스위칭 가능하게 연결하는 복수의 임피던스 매칭 스위치(404600)를 포함할 수 있다. 예를 들어, 도 404에 도시된 바와 같이, 복수의 임피던스 매칭 스위치(404600)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)를 R-코어(404130)에 스위칭 가능하게 연결하는 임피던스 매칭 스위치(404630); 및/또는 M-코어(404300)를 R-코어(404160)에 스위칭 가능하게 연결하는 임피던스 매칭 스위치(404630)를 포함할 수 있다.
일부 예증적인 양태에서, 도 404에 도시된 바와 같이, 복수의 임피던스 매칭 스위치(404600)는 M-코어(404300)를 두 개의 각각의 R-코어, 예를 들면 R-코어(404130) 및 R-코어(404160)에 스위칭 가능하게 연결하는 두 개의 스위치, 예를 들면 스위치(404630 및 404660)를 포함할 수 있다. 다른 양태에서, 다수의 임피던스 매칭 스위치(404600)는 M-코어(404300)를 임의의 다른 개수의 R-코어, 예를 들어, 세 개 이상의 각각의 R-코어에 스위칭 가능하게 연결하는 임의의 다른 개수의 스위치, 예를 들어 세 개의 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 복수의 임피던스 매칭 스위치(404600) 중 임피던스 매칭 스위치, 예를 들면 임피던스 매칭 스위치(404630) 및/또는 임피던스 매칭 스위치(404660)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)에 동작 가능하게 연결되는 제 1 단자 및 복수의 R-코어(404100)의 각각의 R-코어에 동작 가능하게 연결되는 제 2 단자를 포함한다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404630)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)에 동작 가능하게 연결되는 제 1 단자(404610) 및 R-코어(404130)에 동작 가능하게 연결되는 제 2 단자(404620)를 포함할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404660)는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)에 동작 가능하게 연결되는 제 1 단자(404670) 및 R-코어(404160)에 동작 가능하게 연결되는 제 2 단자(404680)를 포함할 수 있다.
일부 예증적인 양태에서, 도 404에 도시된 바와 같이, 복수의 임피던스 매칭 스위치(404600) 중의 임피던스 매칭 스위치, 예를 들면 임피던스 매칭 스위치(404630) 및/또는 임피던스 매칭 스위치(404660)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 임피던스 매칭 스위칭(404600)에 의해 M-코어(404300)에 연결될 수 있는 복수의 R-코어 중 하나 이상의 R-코어의 카운트에 기초하여, 복수의 R-코어(404100)의 R-코어와 M-코어(404600) 사이의 임피던스를 제어 가능하게 매칭시키는 임피던스 매칭 회로를 포함할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404630)는 임피던스 매칭 회로(404630)를 포함할 수 있으며, 임피던스 매칭 회로(404630)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 M-코어(404300)에 연결될 수 있는 복수의 R-코어(404100) 중 하나 이상의 R-코어의 카운트에 기초하여, 복수의 임피던스 매칭 스위치(404600)에 의해, R-코어(404130)와 M-코어(404300) 사이의 임피던스를 제어 가능하게 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404660)는 임피던스 매칭 회로(404660)를 포함할 수 있으며, 임피던스 매칭 회로(404660)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 M-코어(404300)에 연결될 수 있는 복수의 R-코어(404100) 중 하나 이상의 R-코어의 카운트에 기초하여, 복수의 임피던스 매칭 스위치(404600)에 의해, R-코어(404160)와 M-코어(404300) 사이의 임피던스를 제어 가능하게 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치의 임피던스 매칭 회로, 예를 들면 임피던스 매칭 스위치(404660)의 임피던스 매칭 회로 및/또는 임피던스 매칭 스위치(404630)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 M-코어(404300)에 의해 제공될 수 있는 제어 신호에 따라 복수의 임피던스 매칭 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404630)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)에 의해 제공될 수 있는 제 1 제어 신호(404010)에 따라 복수의 임피던스 매칭 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404660)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, M-코어(404300)로부터의 제 2 제어 신호(404020)에 따라, 복수의 임피던스 매칭 모드 사이에서 스위칭 가능할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치의 임피던스 매칭 회로, 예를 들면 임피던스 매칭 스위치(404660)의 임피던스 매칭 회로 및/또는 임피던스 매칭 스위치(404630)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 임피던스 매칭 모드 중의 임피던스 매칭 모드에서, 예를 들어 임피던스 매칭 모드에 대응하는 R-코어 카운트에 기초하여, M-코어(404300)와 임피던스 매칭 스위치에 대응하는 복수의 R-코어(404100) 중의 R-코어(40100) 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404630)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 임피던스 매칭 모드 중의 임피던스 매칭 모드에서, 임피던스 매칭 모드에 대응하는 R-코어 카운트에 기초하여, M-코어(404300)와 R-코어(404130) 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404660)의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 임피던스 매칭 모드 중 한 임피던스 매칭 모드에서, 임피던스 매칭 모드에 대응하는 R-코어 카운트에 기초하여, M-코어(404300)와 R-코어(404160) 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 복수의 임피던스 매칭 모드는 예를 들어 아래에서 설명되는 바와 같이, 임피던스 매칭 회로가 M-코어(404300)와 R-코어(404100) 중 하나의 R-코어(404300), 예를 들면 R-코어(404130) 또는 R-코어(404160) 사이의 임피던스를 매칭시키도록 구성될 수 있는 적어도 제 1 임피던스 매칭 모드; 임피던스 매칭 회로가 M-코어(404300)와 R-코어(404100) 중 두 개의 R-코어(404300), 예를 들면 둘 모두의 R-코어(404130) 및 R-코어(404160) 사이의 임피던스를 매칭시키도록 구성될 수 있는 적어도 제 2 임피던스 매칭 모드; 및/또는 임피던스 매칭 회로가 M-코어(404300)와 세 개의 R-코어, 예를 들면 R-코어(404130), R-코어(404160) 및 복수의 R-코어(404100) 중 다른 하나의 R-코어(도 404에 도시되지 않음) 사이의 임피던스를 매칭시키도록 구성될 수 있는 적어도 제 3 임피던스 매칭 모드를 포함할 수 있다. 다른 양태에서, 복수의 임피던스 매칭 모드는 M-코어(404300)와 임의의 다른 개수의 R-코어(404100) 사이의 임피던스를 매칭하는 하나 이상의 추가의 또는 대안의 임피던스 매칭 모드를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 위에서 설명한 바와 같은 임피던스 매칭 스위치의 임피던스 매칭 회로는 예를 들어 아래에서 설명되는 바와 같이, M-코어(404300)를 임피던스 매칭 스위치에 대응하는 R-코어에 연결하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 복수의 임피던스 매칭 스위치(404600) 중의 임피던스 매칭 스위치, 예를 들면 임피던스 매칭 스위치(404630) 및/또는 임피던스 매칭 스위치(404660)는 M-코어(404300)에 연결될 하나 이상의 R-코어(404100)의 카운트에 관계없이, M-코어(404300)와 임피던스 매칭 스위치에 대응하는 R-코어 사이에 실질적으로 일정한 임피던스, 예를 들면 50 옴 임피던스 또는 임의의 다른 임피던스를 유지하도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404630)는 예를 들어, M-코어(404300)에 연결될 하나 이상의 R-코어(404100)의 카운트에 관계없이 및/또는 무관하게, M-코어(404300)와 R-코어(404130) 사이에서 실질적으로 일정한 임피던스, 예를 들면 50 옴 임피던스 또는 임의의 다른 임피던스를 유지하도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(404660)는 예를 들어, M-코어(404300)에 연결될 하나 이상의 R-코어(404100)의 카운트에 관계없이 및/또는 무관하게, M-코어(404300)와 R-코어(404160) 사이에서 실질적으로 일정한 임피던스, 예를 들면 50 옴 임피던스 또는 임의의 다른 임피던스를 유지하도록 구성될 수 있다.
일부 예증적인 양태에 따라, 임피던스 매칭 스위치(405000)를 개략적으로 도시하는 도 405가 참조된다. 일부 양태에서, 본 명세서에서 설명된 스위치는 도 3a에 도시된 mmWave 통신 회로(300)의 RF 회로(325)(도 3d) 내의 하나 이상의 회로(예를 들어, 무선 체인 회로(372))에 통합될 수 있지만, 스위치는 이것으로 제한되지 않는다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(405000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 M-코어에 연결될 R-코어의 카운트에 기초하여, M-코어, 예를 들면 M-코어(404300)(도 404)와 복수의 R-코어, 예를 들면 복수의 R-코어(404100)(도 404) 중의 R-코어 사이의 임피던스를 매칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(405000)는 임피던스 매칭 스위치(404630) 및/또는 임피던스 매칭 스위치(404660)(도 404) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 포함될 수 있고 및/또는 원한다면, 임피던스 매칭 스위치(404630) 및/또는 임피던스 매칭 스위치(404660)(도 404) 및/또는 임의의 다른 서브시스템 및/또는 요소의 하나 이상의 동작 및/또는 기능성을 수행할 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 스위치(405000)는 M-코어(405700), 예를 들면 M-코어(404300)(도 404)를 임피던스 매칭 회로(405100)에 연결하는 제 1 단자(405010) 및 R-코어(405800), 예를 들면 R-코어(404130) 또는 R-코어(404160)(도 404)를 임피던스 매칭 회로(405100)에 연결하는 제 2 단자(405020)를 갖는 임피던스 매칭 회로(405100)를 포함한다.
일부 예증적인 양태에서, 임피던스 매칭 회로(405100)는 예를 들어, M-코어(405700)에 연결될 수 있는 하나 이상의 R-코어, 예를 들면 R-코어(404100)(도 404)의 카운트에 기초하여, M-코어(405700)와 R-코어(405800) 사이의 임피던스, 예를 들면 50 옴의 임피던스 또는 임의의 다른 임피던스를 제어 가능하게 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 회로(405100)는 예를 들어 제어 신호(405030)에 따라, 예를 들어 제 1 모드(모드 A), 제 2 모드(모드 B) 및 제 3 모드(모드 C)를 포함하는 복수의 임피던스 매칭 모드 사이에서 스위칭 가능할 수 있다. 예를 들어, 제어 신호(405030)는 M-코어(405700)에 의해 제공될 수 있다. 다른 양태에서, 임피던스 매칭 회로(405100)는 예를 들어, R-코어(404100)(도 404)에 기초하여, 임의의 다른 개수의 임피던스 매칭 모드 사이에서 스위칭되도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 A에서, 예를 들어 M-코어(405700)는 예를 들어 복수의 R-코어, 예를 들면 복수의 R-코어(404110)(도 404) 중의 단일 R-코어, 예를 들면 R-코어(405800)에 연결될 수 있다. 예를 들어, 임피던스 매칭 모드 A에서, 임피던스 매칭 회로(405100)는 예를 들어, M-코어(45700)가 R-코어(405800)에만 연결될 때, R-코어(405800)와 M-코어(405700) 사이의 임피던스, 예를 들면 50 옴의 임피던스 및/또는 임의의 다른 원하는 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 B에서, 예를 들어 M-코어(405700)는 두 개의 R-코어, 예를 들면 R-코어(405800) 및 복수의 R-코어, 예를 들면 복수의 R-코어(404110)(도 404) 중 하나의 다른 R-코어에 연결될 수 있다. 예를 들어, 임피던스 매칭 모드 B에서, 임피던스 매칭 회로(405100)는 예를 들어, M-코어(45700)가 R-코어(405800) 및 하나의 다른 R-코어에 연결될 때, R-코어(405800)와 M-코어(405700) 사이의 임피던스, 예를 들면 50 옴의 임피던스 및/또는 임의의 다른 원하는 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 C에서, M-코어(405700)는 네 개의 R-코어, 예를 들면 R-코어(405800) 및 복수의 R-코어, 예를 들면 복수의 R-코어(404110)(도 404) 중 세 개의 다른 R-코어에 연결될 수 있다. 예를 들어, 임피던스 매칭 모드 C에서, 임피던스 매칭 회로(405100)는 예를 들어, M-코어(45700)가 R-코어(405800) 및 두 개의 다른 R-코어에 연결될 때, R-코어(405800)와 M-코어(405700) 사이의 임피던스, 예를 들면 50 옴의 임피던스 및/또는 임의의 다른 원하는 임피던스를 매칭시키도록 구성될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 회로(405100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 임피던스 매칭 모드에 따라, 복수의 부하 중의 부하, 예를 들면 RF 부하를 통해 M-코어(405700)를 R-코어(405800)에 선택적으로 연결시킬 수 있는, 예를 들면 트랜지스터(405200, 405300 및/또는 405400)를 포함하는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제 1 부하 레벨, 예를 들면 제로 부하는 예를 들어 임피던스 매칭 모드 A에서, M 코어(405700)와 R 코어(405800) 사이에 적용될 수 있고; 제 1 부하 레벨보다 높은 제 2 부하 레벨은 예를 들어 임피던스 매칭 모드 B에서, M 코어(45700)와 R 코어(405800) 사이에 적용될 수 있고; 및/또는 제 2 부하 레벨보다 높은 제 3 부하 레벨은 예를 들어 임피던스 매칭 모드 C에서, M 코어(45700)와 R 코어(405800) 사이에 적용될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 A에서, 예를 들어, 트랜지스터(45400)는 예를 들어, 어떤 부하도 없이, 제어 신호(405060)에 의해, M-코어(405700)를 R-코어(405800)에 선택적으로 연결하도록 제어될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 B에서, 예를 들어 트랜지스터(405300)는 예를 들어 제어 신호(405040)에 의해, 예를 들어 부하(405600)를 통해, M-코어(405700)를 R-코어(405800)에 선택적으로 연결하도록 제어될 수 있다.
일부 예증적인 양태에서, 임피던스 매칭 모드 C에서, 예를 들어 트랜지스터(405200)는 예를 들어 제어 신호(405030)에 의해, 예를 들어 부하(405500)를 통해, M-코어(405700)를 R-코어(405800)에 선택적으로 연결하도록 제어될 수 있다.
일부 예증적인 양태에서, 부하(405500)는 예를 들어 부하(405600)보다 높을 수 있다.
일부 예증적인 양태에서, 부하(405600)는 예를 들어 50 옴의 부하를 포함할 수 있고, 부하(405500)는 예를 들어 100 옴의 부하를 포함할 수 있다. 다른 양태에서, 임의의 다른 부하 값이 사용될 수 있다.
일부 예증적인 양태에서, 부하(405500) 및/또는 부하(405600)는 예를 들어 저항-인덕터-캐패시터(RLC) 네트워크를 포함할 수 있다. 다른 양태에서, 부하(405500) 및/또는 부하(405600)는 능동 부하, 저항성 부하, 용량성 부하, 유도성 부하 등을 포함할 수 있다.
일부 예증적인 양태에서, RLC 네트워크는 예를 들어, M-코어(405700)에 연결될 R-코어의 카운트에 기초하여, M-코어(405700)와 R-코어(405800) 사이에 미리 정의된 임피던스, 예를 들면 50 옴 임피던스 또는 임의의 다른 임피던스를 유지하도록 구성될 수 있다.
도 4를 다시 참조하면, 일부 예증적인 양태에서, RF 회로(425)는 적어도 하나의 양방향 믹서를 포함할 수 있는 무선 아키텍처에 따라 구성될 수 있으며, 적어도 하나의 양방향 믹서는 예를 들어 아래에서 설명되는 바와 같이, 양방향 믹서의 상향 변환 모드에서, IF 신호를 RF 신호로 상향 변환하고, 양방향 믹서의 하향 변환 모드에서, RF 신호를 IF 신호로 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 약 60 GHz, 예를 들면 WiGig의 통신 주파수를 이용하는 5G의 셀룰러 시스템 및/또는 WLAN과 같은 mm-파 애플리케이션에서 구현될 수 있는 송수신기 아키텍처는 Tx IF 신호를 예를 들어, 송수신기의 Tx 모드에서 송신될 RF 신호로 상향 변환하도록 구성될 수 있는 제 1 믹서; 및/또는 예를 들어 송수신기의 Rx 모드에서, Rx RF 신호를 Rx IF 신호로 하향 변환하도록 구성될 수 있는 제 2 믹서를 포함할 수 있다.
일부 예증적인 양태에서, 이것은 Tx 모드 및 Rx 모드마다 별개의 믹서, 예를 들면, 두 개의 별개의 믹서를 포함하는 송수신기 아키텍처를 구현하는 일부 유스 케이스, 구현 및/또는 시나리오에서는 이득이 없을 수 있다.
일부 예증적인 양태에서, 송수신기 아키텍처는 예를 들어 아래에서 설명되는 바와 같이, Rx 모드 및 Tx 모드 둘 모두를 위해 구성될 수 있는 적어도 하나의 양방향 믹서를 구현할 수 있다.
일부 예증적인 양태에서, 송수신기 아키텍처에서 양방향 믹서를 구현하는 것은 하나 이상의 이득을 제공하고 및/또는 하나 이상의 기술적인 문제를 해결할 수 있다. 예를 들어, 양방향 믹서는 예를 들어, 하나 이상의 양방향 요소, 예를 들어 하나 이상의 양방향 RF 증폭기, 예를 들면 하나 이상의 양방향 RF 증폭기 및/또는 양방향 IF 증폭기 및/또는 임의의 다른 추가의 또는 대안의 양방향 요소를 송수신기 칩에서 구현함으로써, 송수신기 칩 면적을 감소시키는 것을 가능하게 할 수 있다.
일부 예증적인 양태에서, 양방향 믹서는 예를 들어, 충분한 변환 이득(conversion gain)(C.G.)을 제공하면서, 심지어 낮은 공급 전압 및/또는 낮은 전력 소비에도 잘 어울릴 수 있는 반수동(semi-passive) 믹서 아키텍처를 포함할 수 있다. 예를 들어, 일부 양방향 믹서는 RF 신호를 처리하는 RF 스테이지를 포함할 수 있다. 양방향 믹서의 RF 스테이지를 제거하면, 예를 들어 높은 선형성을 달성하기 위해 필요할 수도 있는 온도 의존성 및 전류 소비를 줄일 수 있게 할 수 있다.
일부 예증적인 양태에서, 양방향 믹서는 상향 변환 및 하향 변환 회로의 일부로서 포함될 수 있고, 및/또는 상향 변환 및 하향 변환 회로의 하나 이상의 동작 및/또는 기능성을, 원한다면, 예를 들어 서브시스템(415)(도 4) 및/또는 임의의 다른 서브시스템 및/또는 요소의 일부로서 수행할 수 있다.
일부 예증적인 양태에서, 양방향 믹서는 예를 들어 아래에서 설명되는 바와 같이, 상향 변환 모드 및/또는 하향 변환 모드에서 동작하도록 구성될 수 있다. 예를 들어, 예를 들어 아래에서 설명되는 바와 같이, 상향 변환 모드에서, 양방향 믹서는 IF 신호를 RF 신호로 상향 변환할 수 있고, 및/또는 하향 변환 모드에서, 양방향 믹서는 RF 신호를 IF 신호로 하향 변환할 수 있다.
일부 예증적인 양상에서, 양방향 믹서는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 상향 변환 모드로부터 하향 변환 모드로 스위칭할 때, 양방향 믹서에 의해 처리될 신호의 방향을, 예를 들어 신호를 제 1 방향으로 처리하는 것으로부터 신호를 제 2 방향으로 처리하는 것으로 스위칭하고; 및/또는 예를 들어 하향 변환 모드로부터 상향 변환 모드로 스위칭할 때, 신호를 제 2 방향으로 처리하는 것으로부터 신호를 제 1 방향으로 처리하는 것으로 스위칭하는 하나 이상의 스위치를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서의 하나 이상의 스위치는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 드레인 채널 및 소스 채널을 포함할 수 있는 파라미터화 셀(Parameterize Cell)(PCell)을 갖는 하나 이상의 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)를 포함할 수 있다.
일부 예증적인 양태에서, MOSFET의 드레인 채널 및 소스 채널은 대칭적일 수 있다. 예를 들어, 드레인 채널 및 소스 채널의 역할은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 드레인 채널이 소스 채널로서 사용될 수 있고 및/또는 소스 채널이 드레인 채널로서 사용될 수 있도록, 스위칭될 수 있다.
일부 예증적인 양태에서, 양방향 믹서는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 길버트 셀 믹서(Gilbert cell mixer), 반수동 길버트 셀 믹서를 포함할 수 있다.
일부 예증적인 양태에서, 길버트 셀 믹서는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 예를 들어 IF 신호를 LO 신호와 혼합함으로써, IF 신호를 RF 신호로 상향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 길버트 셀 믹서는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 하향 변환 모드에서, RF 신호를 LO 신호와 혼합함으로써, RF 신호를 IF 신호로 하향 변환하도록 구성될 수 있다.
이제 일부 예증적인 양태에 따른 송수신기(406100)의 블록도를 개략적으로 도시하는 도 406이 참조된다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, 반이중 송수신기로서 구성될 수 있다.
일부 예증적인 양태에서, 반이중 송수신기, 예를 들면 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 신호를 송신하는 Tx 모드와, 예를 들어 Rx 신호를 수신하는 Rx 모드 사이에서 스위칭될 수 있다.
일부 실증적인 양태에서, 송수신기(406100)는 예를 들어, 적어도 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성될 수 있는 60 GHz 송수신기를 포함할 수 있다.
일부 실증적인 양태에서, 송수신기(406100)는 5G 셀룰러 송수신기를 포함할 수 있다.
다른 양태에서, 송수신기(406100)는 임의의 다른 유형의 송수신기를 포함할 수 있고 및/또는 임의의 다른 추가의 또는 대안의 주파수 대역을 통해 Tx 신호 및/또는 Rx 신호를 통신하도록 구성될 수 있다.
일부 실증적인 양태에서, 송수신기(406100)는 하나 이상의 안테나(406150)를 포함할 수 있고 및/또는 하나 이상의 안테나(376200)에 동작 가능하게 연결될 수 있다. 예를 들어, 안테나(406150)는 하나 이상의 RF 신호를 송신 및/또는 수신하도록 구성될 수 있다.
일부 예증적인 양태에서, 안테나(406150)는 하나 이상의 위상 배열 안테나, 칩 내장 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, 기저대역 신호, 예를 들면 Tx 기저대역 신호(406113) 및/또는 Rx 기저대역 신호(406117)를 생성 및/또는 처리하도록 구성될 수 있는 기저대역(406110)을 포함할 수 있다. 예를 들어, Tx 기저대역 신호(406113) 및/또는 Rx 기저대역 신호(406117)는 차동 기저대역 신호 및/또는 임의의 다른 유형의 기저대역 신호를 포함할 수 있다.
일부 예증적인 양태에서, 기저대역(406110)은 디지털 데이터를 처리하는 디지털 기저대역 및/또는 예를 들어, 디지털 데이터를 아날로그 신호로 변환하는 아날로그 기저대역을 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 양방향 믹서(406130)를 포함할 수 있으며, 양방향 믹서(4065130)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx 모드에서, IF 신호, 예를 들면 Tx IF 신호(406123)를 Tx RF 신호, 예를 들면 Tx RF 신호(406143)로 상향 변환하고; 예를 들어 Rx 모드에서, Rx RF 신호, 예를 들면 Rx RF 신호(406147)를 Rx IF 신호, 예를 들면 Rx IF 신호(406127)로 하향 변환할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)는 예를 들어 아래에서 설명되는 바와 같이, 차동 IF 신호를 차동 RF 신호로 상향 변환하고 및/또는 차동 RF 신호를 차동 IF 신호로 하향 변환하도록 구성될 수 있는 차동 양방향 믹서를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, Tx IF 신호(406123)를 입력하고, 예를 들어 하향 변환 모드에서 Rx IF 신호(406127)를 출력하는 IF 단자(406133)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)는 예를 들어 상향 변환 모드에서, Tx RF 신호(406143)를 출력하고, 예를 들어 하향 변환 모드에서, Rx RF 신호(406147)를 입력하는 RF 단자(406139)를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, LO 신호(406137)를 생성하는 LO(406135)에 동작 가능하게 연결될 수 있다. 예를 들어, LO 신호(406137)는 60 GHz의 주파수 및/또는 양방향 믹서(406130)에 인가될 수 있는 임의의 다른 필요한 신호를 가질 수 있다. 예를 들어, LO 신호(406137)는 예를 들어 아래에서 설명되는 바와 같이, 상향 변환 모드에서, 하나 이상의 IF 신호를 상향 변환하고, 및/또는 하향 변환 모드에서, 하나 이상의 RF 신호를 하향 변환하도록 사용될 수 있다. 예를 들어, LO 신호(406137)는 상이한 신호를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, Tx 기저대역 신호(406113), Tx RF 신호(406143), Rx 신호(406155) 및/또는 Rx IF 신호(406127)를 증폭하는 하나 이상의 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, Tx 기저대역 신호(406113), Rx IF 신호(406127), Tx RF 신호(406143) 및/또는 Rx 신호(406155)를 증폭하는 하나 이상의 양방향 증폭기를 포함할 수 있다. 다른 양태에서, 양방향 증폭기 중 적어도 하나는 복수의 단일 방향 증폭기로 대체될 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 Rx 모드에서 기저대역(406110)으로부터의 Rx 신호를 증폭하고 및/또는 Tx 모드에서 양방향 믹서(406130)로부터의 Tx 신호를 증폭하도록 구성될 수 있는 양방향 IF 증폭기를 포함할 수 있다. 예를 들어, 양방향 IF 증폭기(406120)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, Rx 모드에서 Rx IF 신호(406127)를 증폭하고 및/또는 Tx 모드에서 Tx 기저대역 신호(406113)를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 IF 증폭기(406120)는 예를 들어 Tx 모드에서, Tx 기저대역 신호(406113)를 Tx IF 신호(406123)로 증폭하고 및/또는 예를 들어 Rx 모드에서, 예를 들어 양방향 믹서(406130)의 IF 단자(406133)로부터 Rx IF 신호(406127)를 Rx 기저대역 신호(406117)로 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 IF 증폭기(406120)는 예를 들어 아래에서 설명되는 바와 같이, Tx 모드에서 신호를 증폭하는 제 1 IF 증폭기(도 406에 도시되지 않음) 및 Rx 모드에서 신호를 증폭하는 제 2 IF 증폭기(도 406에 도시되지 않음)를 포함할 수 있다.
하나의 예에서, 양방향 IF 증폭기(406120)는 Tx 모드에서, 기저대역(406110)으로부터의 Tx 기저대역 신호(406113)를 Tx IF 신호(406123)로 증폭하도록 구성될 수 있는 Tx IF 증폭기; 및 Rx 모드에서, Rx IF 신호(406127)를 기저대역(406110)에 제공될 Rx 기저대역 신호(406117)로 증폭하도록 구성될 수 있는 Rx IF 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 IF 증폭기(406120)는 예를 들어 차동 양방향 IF 증폭기를 포함할 수 있다. 예를 들어, 차동 양방향 IF 증폭기는 차동 IF 신호를 증폭할 수 있다. 예를 들어, Rx IF 신호(406127) 및/또는 Tx 기저대역 신호(406113)는 차동 IF 신호를 포함할 수 있다.
일부 예증적인 양태에서, 송수신기(46100)는 Rx 모드에서, 안테나(406150)로부터의 Rx 신호를 증폭하고 및/또는 Tx 모드에서, 양방향 믹서(406130)로부터의 Tx 신호를 증폭하도록 구성될 수 있는 양방향 RF 증폭기(406140)를 포함할 수 있다. 예를 들어, 양방향 RF 증폭기(406140)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx 모드에서, 안테나(406150)로부터의 Rx 신호(406155)를 증폭하고 및/또는 예를 들어 Tx 모드에서, Tx RF 신호(406143)를 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 RF 증폭기(406140)는 예를 들어 Tx 모드에서, Tx RF 신호(406143)를 Tx 신호(406153)로 증폭하고, 및/또는 예를 들어 Rx 모드에서, 예를 들어 하나 이상의 안테나(406150)로부터 Rx RF 신호(406155)를 Rx RF 신호(406147)로 증폭하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 RF 증폭기(406140)는 예를 들어 아래에서 설명되는 바와 같이, Tx 모드에서 신호를 증폭하는 제 1 RF 증폭기(도 406에 도시되지 않음) 및 Rx 모드에서 신호를 증폭하는 제 2 RF 증폭기(도 406에 도시되지 않음)를 포함할 수 있다.
하나의 예에서, 양방향 RF 증폭기(406140)는 Tx 모드에서, 양방향 믹서(406130)로부터의 Tx RF 신호(406143)를 Tx 신호(406153)로 증폭하도록 구성된 제 1 RF 증폭기(도 406에 도시되지 않음); 및 Rx 모드에서, Rx 신호(406155)를 양방향 믹서(406130)에 제공될 제 1 RF 신호, 예를 들면 Rx RF 신호(406147)로 증폭하도록 구성될 수 있는 제 2 RF 증폭기(도 406에 도시되지 않음), 예를 들면 저잡음 증폭기(LNA)를 포함할 수 있다.
하나의 예에서, 양방향 IF 증폭기(406140)는 예를 들어 아래에서 설명되는 바와 같이, 차동 RF 신호, 예를 들면 차동 RF 신호(406155) 및/또는 차동 Tx RF 신호, 예를 들면 차동 Tx RF 신호(406143)를 증폭하는 차동 양방향 RF 증폭기를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)는 예를 들어 아래에서 설명되는 바와 같이, 양방향 믹서(406130)에 하나 이상의 바이어스 전압을 인가하도록 구성될 수 있는 제 1 전압 단자(406131) 및 제 2 전압 단자(406132)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)는 예를 들어, 제 1 바이어스 전압이 제 1 전압 단자(406131)에 인가되고 제 2 바이어스 전압이 제 2 전압 단자(406132)에 인가될 때, 상향 변환 모드에서 동작하도록 구성될 수 있는 믹싱 회로(도 406에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 2 바이어스 전압이 제 1 전압 단자(406131)에 인가되고, 제 1 바이어스 전압이 제 2 전압 단자(406132)에 인가될 때, 하향 변환 모드에서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 바이어스 전압은 제 1 바이어스 전압보다 낮을 수 있다.
일부 예증적인 양태에서, 제 1 바이어스 전압은 양의 전압, 예를 들어 1-5 볼트 범위의 전압 또는 임의의 다른 전압일 수 있고 및/또는 제 2 바이어스 전압은 제로 전압 및/또는 제로에 가까운 전압일 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 하향 변환 모드에서, RF 단자(406139)에서의 제 1 RF 신호, 예를 들면 Rx RF 신호(406147)를 IF 단자(406133)에서의 제 1 IF 신호, 예를 들면 Rx IF 신호(406127)로 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 상향 변환 모드에서, IF 단자(406133)에서의 제 2 IF 신호, 예를 들면 Tx IF 신호(406123)를 RF 단자(406139)에서의 제 2 RF 신호, 예를 들면 Tx RF 신호(406143)로 상향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어, 복수의 트랜지스터를 포함할 수 있는 예를 들어 길버트-셀(Gilbert-cell)(도 406에 도시되지 않음)을 포함할 수 있으며, 복수의 트랜지스터는 예를 들어 상향 변환 모드에서, Tx IF 신호(406123)를 Tx RF 신호(406143)으로 상향 변환하고, 및/또는 예를 들어 하향 변환 모드에서, Rx RF 신호(406147)를 Rx IF 신호(406127)로 하향 변환할 수 있다.
일부 예증적인 양태에서, 예를 들어 길버트 셀의 복수의 트랜지스터는 하나 이상의 전계 효과 트랜지스터(FET)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 복수의 트랜지스터의 드레인을 RF 단자(406139) 및 전압 단자(406131)에 연결하도록 구성될 수 있는 제 1 변압기(도 406에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 복수의 트랜지스터의 소스를 IF 단자(406133) 및 전압 단자(406132)에 연결하도록 구성될 수 있는 제 2 변압기(도 406에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO(406135)로부터의 LO 신호(406137)를 길버트 셀의 복수의 트랜지스터의 게이트에 연결하도록 구성될 수 있는 LO 단자(406137)(도 406에 도시되지 않음)를 포함할 수 있다.
일부 예증적인 양태에서, 예를 들어 상향 변환 모드에서, 제 2 변압기는 Tx IF 신호(406123) 및 제 2 바이어스 전압을 길버트 셀의 복수의 트랜지스터의 소스에 제공할 수 있다. 예를 들어, 길버트 셀은 예를 들어 아래에서 설명되는 바와 같이, Tx IF 신호(406123)를 LO 신호(406137)와 혼합하여, 예를 들어, 혼합된 RF 신호를 길버트 셀의 복수의 트랜지스터의 드레인에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 상향 변환 모드에서, 제 1 변압기는 예를 들어 아래에서 설명되는 바와 같이, 복수의 트랜지스터의 드레인에서의 혼합된 RF 신호를 Tx RF 신호(406143)로 결합할 수 있다.
일부 예증적인 양태에서, 하향 변환 모드에서, 제 1 변압기는 Rx RF 신호(406147) 및 제 2 바이어스 전압을 복수의 트랜지스터의 드레인에 제공하도록 구성될 수 있다. 예를 들어, 길버트 셀은 예를 들어 아래에서 설명되는 바와 같이, Rx RF 신호(406147)를 LO 신호(406137)와 혼합하여, 예를 들어 혼합된 IF 신호를 복수의 트랜지스터의 소스에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어, 하향 변환 모드에서, 제 2 변압기는 예를 들어 아래에서 설명되는 바와 같이, 복수의 트랜지스터의 소스에서의 혼합된 IF 신호를 Rx IF 신호(406127)로 결합할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어, 제 1 스위치(도 406에 도시되지 않음)를 포함할 수 있으며, 제 1 스위치는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 제 1 바이어스 전압을 전압 단자(406131)에 연결, 예를 들면 제 1 바이어스 전압을 길버트 셀의 복수의 트랜지스터의 드레인에 연결하고; 예를 들어 하향 변환 모드에서, 제 2 바이어스 전압을 전압 단자(406131)에 연결, 예를 들면 제 2 바이어스 전압을 길버트 셀의 복수의 트랜지스터의 드레인에 연결하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(406130)의 믹싱 회로는 예를 들어, 제 2 스위치(도 406에 도시되지 않음)를 포함할 수 있으며, 제 2 스위치는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 제 2 바이어스 전압을 전압 단자(406132)에 연결, 예를 들면 제 2 바이어스 전압을 길버트 셀의 복수의 트랜지스터의 소스에 연결하고; 예를 들어 하향 변환 모드에서, 제 1 바이어스 전압을 전압 단자(406132)에 연결, 예를 들면 제 1 바이어스 전압을 길버트 셀의 복수의 트랜지스터의 소스에 연결하도록 구성될 수 있다.
일부 예증적인 양태에서, 송수신기(406100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 송수신기(406100)의 Tx 모드 및/또는 Rx 모드에 기초하여, 예를 들어 양방향 IF 증폭기(406120), 양방향 믹서(406130) 및/또는 양방향 RF 증폭기(406140)의 동작 방향을 스위칭하도록 구성될 수 있는 제어기, 예를 들면 제어기 회로(406160)를 포함할 수 있다.
일부 예증적인 양태에서, 제어기 회로(406160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 상향 변환 모드에서, 제 1 바이어스 전압을 전압 단자(406131)에 인가하고, 예를 들어 하향 변환 모드에서, 제 2 바이어스 전압을 전압 단자(406131)에 인가하도록 구성될 수 있는 제어 라인(406161)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 제어기 회로(406160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 제 2 바이어스 전압을 전압 단자(406132)에 인가하고, 하향 변환 모드에서, 예를 들어 제 1 바이어스 전압을 전압 단자(406132)에 인가하도록 구성될 수 있는 제어 라인(406162)에 동작 가능하게 연결될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제어기 회로(406160)는 예를 들어 제어 라인(406161)을 통해, 예를 들어, 제 1 바이어스 전압을 전압 단자(406131)에 인가하는 것과 제 2 바이어스 전압을 전압 단자(406131)에 인가하는 것 사이를 스위칭함으로써; 및 예를 들어, 제어 라인(406162)을 통해, 제 2 바이어스 전압을 전압 단자(406132)에 인가하는 것과 제 1 바이어스 전압을 전압 단자(406132)에 인가하는 것 사이를 스위칭함으로써 양방향 믹서(406130)의 방향을 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어기 회로(406160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 바이어스 전압을, 예를 들어 전압 단자(406131)를 통해, 양방향 믹서(406130)의 복수의 트랜지스터의 드레인에 인가함으로써, 및 제 2 바이어스 전압을, 예를 들어 전압 단자(406132)를 통해, 양방향 믹서(406130)의 복수의 트랜지스터의 소스에 인가함으로써, 양방향 믹서(406130)를 상향 변환 모드로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어기 회로(406160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 바이어스 전압을, 예를 들어 전압 단자(406132)를 통해, 양방향 믹서(406130)의 복수의 트랜지스터의 소스에 인가함으로써, 및 제 2 바이어스 전압을, 예를 들어 전압 단자(406131)를 통해, 양방향 믹서(406130)의 복수의 트랜지스터의 드레인에 인가함으로써, 양방향 믹서(406130)를 하향 변환 모드로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, Tx 모드에서, 기저대역(406100)은 기저대역 신호, 예를 들면 기저대역 신호(406113)를 양방향 IF 증폭기, 예를 들면 양방향 IF 증폭기(406120)에 제공할 수 있다. 예를 들어, 양방향 IF 증폭기(406120)는 기저대역 신호(406113)를 Tx IF 신호(406123)로 증폭할 수 있다.
일부 예증적인 양태에서, Tx 모드에서, 양방향 믹서(406130)는 IF 단자(406133)에서 Tx IF 신호(406123)를 수신할 수 있고, Tx IF 신호(406123)를 Tx RF 신호(406143)로 상향 변환할 수 있다.
일부 예증적인 양태에서, Tx 모드에서, 양방향 RF 증폭기(406140)는 양방향 믹서(406130)의 RF 단자(406139)로부터 Tx RF 신호(406143)를 수신할 수 있고, Tx RF 신호(406143)를 예를 들어, 하나 이상의 안테나(406150)에 의해 송신될 수 있는 Tx 신호(406153)로 증폭할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 양방향 RF 증폭기(406140)는 하나 이상의 안테나(406150)로부터 Rx 신호(406155)를 수신할 수 있고, 예를 들어 Rx 신호(406155)를 Rx RF 신호(406147)로 증폭할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 양방향 믹서(406130)는 Rx RF 신호(406147)를 IF 신호(406127)로 하향 변환할 수 있다.
일부 예증적인 양태에서, Rx 모드에서, 양방향 IF 증폭기(406120)는 IF 단자(406133)로부터 Rx IF 신호(406127)를 Rx 기저대역 신호(406117)로 증폭할 수 있다.
이제 일부 예증적인 양태에 따른 반이중 송수신기(407100)의 블록도를 개략적으로 도시하는 도 407이 참조된다.
일부 예증적인 양태에서, 반이중 송수신기는 예를 들어 아래에서 설명되는 바와 같이, Tx 모드 및/또는 Rx 모드에서 동작하도록 구성될 수 있다.
일부 실증적인 양태에서, 반이중 송수신기(407100)는 예를 들어, 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 60 GHz 송수신기를 포함할 수 있다. 다른 양태에서, 다른 주파수 대역이 사용될 수 있다.
일부 실증적인 양태에서, 반이중 송수신기(407100)는 5G 셀룰러 송수신기를 포함할 수 있다. 다른 양태에서, 송수신기(407100)는 임의의 다른 유형의 송수신기를 포함할 수 있고 및/또는 임의의 다른 주파수 대역을 통해 Tx 및/또는 Rx 신호를 통신하도록 구성될 수 있다.
일부 실증적인 양태에서, 반이중 송수신기(407100)는 하나 이상의 안테나(407150)를 포함할 수 있고 및/또는 하나 이상의 안테나(407150)에 동작 가능하게 연결될 수 있다. 예를 들어, 하나 이상의 안테나(407150)는 하나 이상의 RF 신호를 송신 및/또는 수신하도록 구성될 수 있다. 예를 들어, 안테나(407150)는 하나 이상의 위상 배열 안테나, 칩 내장 안테나 및/또는 임의의 다른 유형의 안테나를 포함할 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어 아래에서 설명되는 바와 같이, 기저대역 신호(407010 및 407015)를 생성 및/또는 처리하도록 구성될 수 있는 기저대역(407110)을 포함할 수 있다. 예를 들어, 기저대역(407110)은 디지털 데이터를 처리하는 디지털 기저대역 및/또는 예를 들어, 아날로그 신호를 처리하는 아날로그 기저대역을 포함할 수 있다. 예를 들어, 기저대역(407110)은 차동 기저대역 신호를 처리하도록 구성될 수 있는 차동 기저대역을 포함할 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어 Tx 기저대역 신호(407010)를 제 1 Tx IF 신호(407020) 및/또는 제 2 Tx IF(407025)로 증폭하도록 구성될 수 있는 Tx IF 증폭기(407120)를 포함할 수 있다.
일부 예증적인 양태에서, Tx IF 증폭기(407120)는 예를 들어, 차동 출력 및 차동 입력을 갖는 차동 IF 증폭기를 포함할 수 있다. 다른 양태에서, 임의의 다른 차동 증폭기 및/또는 비차동 IF 증폭기가 사용될 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어 제 1 Rx IF 신호(407030) 및/또는 제 2 Rx IF 신호(407035)를 Rx 기저대역 신호(407015)로 증폭하도록 구성될 수 있는 Rx IF 증폭기(407125)를 포함할 수 있다. 예를 들어, Rx IF 증폭기(407125)는 예를 들어, 차동 입력 및 차동 출력을 갖는 차동 IF 증폭기를 포함할 수 있다. 다른 양태에서, 임의의 다른 차동 증폭기 및/또는 비차동 IF 증폭기가 사용될 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어, Tx IF 증폭기(407120)로부터의 제 1 Tx IF 신호(407020) 및/또는 제 2 Tx IF 신호(407025)를 양방향 믹서(407130)에 분배하고, 예를 들어 양방향 믹서(407130)로부터의 제 1 Rx IF 신호(407030) 및/또는 제 2 Rx IF 신호(407035)를 Rx IF 증폭기(407125)에 분배하도록 구성될 수 있는 분할기(407127)를 포함할 수 있다. 예를 들어, 분할기(407127)의 사용은 임의적일 수 있으며, 다른 양태에서, 분할기(407127)는 포함되지 않을 수 있다.
일부 예증적인 양태에서, 양방향 믹서(407130)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 Tx IF 신호(407020) 및/또는 제 2 Tx IF 신호(407025)를 제 1 Tx RF 신호(407040) 및/또는 제 2 Tx RF 신호(407045)로 상향 변환하고, 및/또는 예를 들어, 제 1 Rx RF 신호(407050) 및/또는 제 2 Rx RF 신호(407055)를 제 1 Rx IF 신호(407030) 및/또는 제 2 Rx IF 신호(407035)로 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(407130)는 차동 양방향 믹서를 포함할 수 있다.
예증적인 양태에서, 양방향 믹서(407130)는 예를 들어, IF 신호를 입력 및 /또는 출력하는, 예를 들면 제 1 Tx IF 신호(407020) 및/또는 제 2 Tx IF 신호(407025)를 입력하고 및/또는 제 1 Rx IF 신호(407030) 및/또는 제 2 Rx IF 신호(407035)를 출력하는 IF 단자(407133)를 포함할 수 있다.
예증적인 양태에서, 양방향 믹서(407130)는 예를 들어, RF 신호를 입력 및 /또는 출력하는, 예를 들면 제 1 Tx RF 신호(407040) 및/또는 제 2 Tx RF 신호(407045)를 입력하고 및/또는 제 1 Rx RF 신호(407050) 및/또는 제 2 Rx RF 신호(407055)를 출력하는 IF 단자(407134)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(407130)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 제어 라인(407060)을 통해 제 1 바이어스 전압 및/또는 제 2 바이어스 전압을 수신하는 제 1 전압 단자(407131) 및 제 2 제어 라인(407065)을 통해 제 1 바이어스 전압 및/또는 제 2 바이어스 전압을 수신하는 제 2 제어 단자(407132)를 포함할 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 LO 신호(407070) 및/또는 제 2 LO 신호(407075)를 생성하는 LO(407135)를 포함할 수 있거나, 또는 LO(407135)에 동작 가능하게 연결될 수 있다. 예를 들어, 제 1 LO 신호(407070) 및/또는 제 2 LO 신호(407075)는 60 GHz의 주파수 및/또는 임의의 다른 주파수를 가질 수 있다.
일부 예증적인 양태에서, 제 1 LO 신호(407070) 및/또는 제 2 LO 신호(407075)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 Tx IF 신호(407020) 및 제 2 Tx IF 신호(407025)를 상향 변환하고, 예를 들어, 제 1 Rx RF 신호(407050) 및 제 2 Rx RF 신호(407055)를 하향 변환하는 양방향 믹서(407130)에 인가될 수 있다. 하나의 예에서, 제 1 LO 신호(407070) 및/또는 제 2 LO 신호(407075)는 차동 신호로서 구현될 수 있다.
일부 예증적인 양태에서, 송수신기(407100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 양방향 믹서(407130)의 동작 방향을 스위칭하도록 구성될 수 있는 제어기, 예를 들면 제어 회로(407160)를 포함할 수 있다.
일부 예증적인 양태에서, 제어 회로(407160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 예를 들어 제 1 제어 라인(407060)을 통해, 제 1 바이어스 전압을 전압 단자(407131)에 인가하고, 예를 들어 하향 변환 모드에서, 제 2 바이어스 전압을 전압 단자(407131)에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어기 회로(407160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 예를 들어 제 2 제어 라인(407065)을 통해, 제 2 바이어스 전압을 전압 단자(407132)에 인가하고, 예를 들어 하향 변환 모드에서, 제 1 바이어스 전압을 전압 단자(407132)에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 아래에서 설명되는 바와 같이, 제어기 회로(407160)는 예를 들어 제 1 제어 라인(407060)을 통해, 예를 들어 제 1 바이어스 전압을 전압 단자(406131)에 인가하는 것과 제 2 바이어스 전압을 전압 단자(407131)에 인가하는 것 사이를 스위칭함으로써; 및/또는 예를 들어, 제 2 제어 라인(407065)을 통해, 제 2 바이어스 전압을 전압 단자(406132)에 인가하는 것과 제 1 바이어스 전압을 전압 단자(406132)에 인가하는 것 사이를 스위칭함으로써, 양방향 믹서(406130)의 방향을 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(407130)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 제 1 Tx IF 신호(407020) 및 제 2 Tx IF 신호(407025)를 Tx RF 신호(407040) 및 제 2 Tx RF 신호(407045)로 상향 변환하고, 및/또는 예를 들어 하향 변환 모드에서, 제 1 Rx RF 신호(407055) 및 제 2 Rx RF 신호(407050)를 제 1 Rx IF 신호(407030) 및 제 2 Rx IF 신호(407035)로 하향 변환하도록 구성될 수 있는, 길버트 셀 배열체 내의 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 제어기 회로(407160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 바이어스 전압을, 예를 들어 전압 단자(407131)를 통해, 양방향 믹서(407130)의 복수의 트랜지스터의 드레인에 인가함으로써, 및 제 2 바이어스 전압을, 예를 들어 전압 단자(407132)를 통해, 양방향 믹서(407130)의 복수의 트랜지스터의 소스에 인가함으로써, 양방향 믹서(407130)를 상향 변환 모드로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 제어기 회로(407160)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 바이어스 전압을, 예를 들어 전압 단자(407132)를 통해, 양방향 믹서(407130)의 복수의 트랜지스터의 소스에 인가함으로써, 및/또는 제 2 바이어스 전압을, 예를 들어 전압 단자(407131)를 통해, 양방향 믹서(407130)의 복수의 트랜지스터의 드레인에 인가함으로써, 양방향 믹서(407130)를 하향 변환 모드로 스위칭하도록 구성될 수 있다.
일부 예증적인 양태에서, 반이중 송수신기(407100)는 예를 들어, Rx IF 증폭기(407145)로부터의 제 1 Rx RF 신호(407050) 및/또는 제 2 Rx RF 신호(407055)를 양방향 믹서(407130)에 분배하고, 예를 들어 양방향 믹서(407130)로부터의 제 1 Tx RF 신호(407040) 및/또는 제 2 Tx RF 신호(407045)를 Tx RF 증폭기(407140)에 분배하도록 구성될 수 있는 분할기(407137)를 포함할 수 있다. 예를 들어, 분할기(407130)의 사용은 임의적일 수 있으며, 다른 양태에서, 분할기(407130)는 포함되지 않을 수 있다.
일부 예증적인 양태에서, Tx RF 증폭기(407140)는 예를 들어, 제 1 Tx RF 신호(407040) 및/또는 제 2 Tx RF 신호(407045)를 RF 신호(407060)로 증폭하고, RF 신호(407060)를 하나 이상의 안테나(407150)에 제공하도록 구성될 수 있다. 예를 들어, Tx RF 증폭기(407140)는 예를 들어, 차동 입력 및 차동 출력을 갖는 차동 PA를 포함할 수 있다.
일부 예증적인 양태에서, Tx RF 증폭기(407140)는 예를 들어 도 390을 참조하여 위에서 설명한 바와 같은 아웃페이징 증폭기, 예를 들어 도 387을 참조하여 위에서 설명한 바와 같은 도허티 전력 증폭기, 예를 들어 도 380을 참조하여 위에서 설명한 바와 같은 디지털 전력 증폭기, 및/또는 임의의 다른 증폭기를 포함할 수 있다.
일부 양태에서, Rx RF 증폭기(407145)는 하나 이상의 안테나(407150)로부터의 RF 신호(407070)를 제 1 Rx RF 신호(407050) 및/또는 제 2 Rx RF 신호(407055)로 증폭하도록 구성될 수 있다. 예를 들어, Rx RF 증폭기(407145)는 예를 들어, 입력 및 차동 출력을 갖는 차동 LNA를 포함할 수 있다. 다른 양태에서, Rx RF 증폭기(407145)는 비차동 LNA, 광대역 LNA 및/또는 임의의 다른 유형의 LNA를 포함할 수 있다.
일부 예증적인 양태에 따라, 양방향 믹서(408000)를 개략적으로 도시하는 도 408이 참조된다. 예를 들어, 양방향 믹서(408000)의 하나 이상의 요소 및/또는 구성요소는 예를 들어, 도 406을 참조하여 위에서 설명한 바와 같은 양방향 믹서(406130)의 일부로서, 및/또는 도 407을 참조하여 위에서 설명한 바와 같이, 양방향 믹서(407130)의 일부로서 구현될 수 있다.
일부 예증적인 양태에서, 양방향 믹서(408000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Rx RF 증폭기, 예를 들면 Rx RF 증폭기(407145)(도 407)로부터 제 1 RF 신호(408106)를 수신하고, 및/또는 예를 들어 제 2 RF 신호(408103)를 Tx RF 증폭기, 예를 들면 Tx RF 증폭기(407140)(도 407)에 제공하도록 구성될 수 있는 RF 단자(408105)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(408000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 Tx IF 증폭기, 예를 들면 Tx IF 증폭기(407120)(도 407)로부터 제 1 IF 신호(408166)를 수신하고, 및/또는 예를 들어 제 2 IF 신호(408163)를 Rx IF 증폭기, 예를 들면 Rx IF 증폭기(407125)(도 407)에 제공하도록 구성될 수 있는 IF 단자(408160)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(408000)는 예를 들어 아래에서 설명되는 바와 같이, 양방향 믹서(408000)가 상향 변환 모드 또는 하향 변환 모드에서 동작될지에 기초하여, 예를 들어 제 1 바이어스 전압(408175), 예를 들면 VDD 및/또는 제 2 바이어스 전압(408185), 예를 들면 VSS를 인가하도록 구성될 수 있는 제 1 전압 단자(408170)를 포함할 수 있다.
일부 예증적인 양태에서, 양방향 믹서(408000)는 예를 들어 아래에서 설명되는 바와 같이, 양방향 믹서(408000)가 상향 변환 모드 또는 하향 변환 모드에서 동작될지에 기초하여, 예를 들어 제 1 바이어스 전압(408175), 예를 들면 VDD 및/또는 제 2 바이어스 전압(408185), 예를 들면 VSS를 인가하도록 구성될 수 있는 제 2 전압 단자(408180)를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 바이어스 전압(407175)은 양의 전압, 예를 들면 1-5 볼트 범위의 전압 또는 임의의 다른 전압일 수 있고 및/또는 제 2 바이어스 전압(408185)은 제로 전압 및/또는 제로에 가까운 전압일 수 있다.
일부 예증적인 양태에서, 양방향 믹서(408000)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어, 제 1 바이어스 전압(408175), 예를 들면 VDD가 제 1 전압 단자(408170)에 인가되고 제 2 바이어스 전압(408185), 예를 들면 VSS가 제 2 전압 단자(408180)에 인가될 때, 상향 변환 모드에서 동작하도록 구성될 수 있는 믹싱 회로(408100)를 포함할 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 바이어스 전압(408185), 예를 들면 VSS가 제 1 전압 단자(408170)에 인가될 수 있고 제 1 바이어스 전압(408175), 예를 들면 VDD가 제 2 전압 단자(408180)에 인가될 수 있을 때, 예를 들어 하향 변환 모드에서 동작하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 하향 변환 모드에서, RF 단자(408106)에서의 제 1 RF 신호(408106)를 예를 들어 IF 단자(408160)에서의 제 1 IF 신호(408163)로 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 예를 들어 IF 단자(408160)에서의 제 2 IF 신호(408166)를, 예를 들어 RF 단자(408105)에서의 제 2 RF 신호(408103)로 상향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 트랜지스터(408122, 408124, 408126 및/또는 408128)를 포함하는 복수의 트랜지스터를 포함하는 길버트 셀(408120)을 포함할 수 있다.
일부 예증적인 양태에서, 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)는 하나 이상의 FET를 포함할 수 있다.
일부 예증적인 양태에서, 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)는 예를 들어 상향 변환 모드에서, 예를 들어 제 2 IF 신호(408166)를 제 2 RF 신호(408103)로 상향 변환하고; 및/또는 예를 들어 하향 변환 모드에서, 제 1 RF 신호(408106)를 제 1 IF 신호(408163)로 하향 변환하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 길버트 셀(408120)의 복수의 트랜지스터의 드레인, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인을 RF 단자(408160) 및 제 1 전압 단자(408170)에 연결하도록 구성될 수 있는 제 1 변압기(408110)를 포함할 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 길버트 셀(408120)의 복수의 트랜지스터의 소스, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스를 IF 단자(408160) 및 제 2 전압 단자(408180)에 연결하도록 구성될 수 있는 제 2 변압기(408130)를 포함할 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 LO 신호(408136)를 길버트 셀(408120)의 복수의 트랜지스터의 게이트, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 게이트에 연결하도록 구성될 수 있는 LO 단자(408132)를 포함할 수 있다.
일부 예증적인 양태에서, LO 단자(408132)는 LO 신호(408136)의 양의 LO 신호(LO+) 성분 및/또는 음의 LO 신호(LO-) 성분을 길버트 셀(408120)에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 변압기(408110)는 예를 들어, 하향 변환 모드에서, 예를 들어 제 1 RF 신호(408106) 및 제 2 바이어스 전압(408185), 예를 들면 VSS를 길버트 셀(408120)의 복수의 트랜지스터의 드레인, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 길버트 셀(408120)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 하향 변환 모드에서, 제 1 RF 신호(408106)를 LO 신호(408136)와 혼합하여, 예를 들어 혼합된 RF 신호를 길버트 셀(408120)의 복수의 트랜지스터의 소스, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 변압기(408130)는 예를 들어 하향 변환 모드에서, 예를 들어 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스에서의 혼합된 RF 신호를 제 2 IF 신호(408163)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 변압기(408130)는 예를 들어, 상향 변환 모드에서, 예를 들어 제 2 IF 신호(408166) 및 제 2 바이어스 전압(408185), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408128, 408126 및/또는 408128)의 소스에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 예를 들어 길버트 셀(408120)은 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 상향 변환 모드에서, 제 2 IF 신호(408166)를 LO 신호(408136)와 혼합하여, 예를 들어 혼합된 RF 신호를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 제공하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 변압기(408110)는 예를 들어 상향 변환 모드에서, 예를 들어 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에서의 혼합된 IF 신호를 제 1 RF 신호(408103)로 결합하도록 구성될 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 제 1 전압 단자(408170)에 동작 가능하게 연결될 수 있는 제 1 스위치(408140)를 포함할 수 있다. 예를 들어, 상향 변환 모드에서, 제 1 스위치(408140)는 제 1 바이어스 전압(408175), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터의 드레인, 예를 들어 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 연결할 수 있다. 예를 들어, 하향 변환 모드에서, 제 1 스위치(408140)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 바이어스 전압(408185), 예를 들면, VSS를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 연결할 수 있다.
일부 예증적인 양태에서, 제어 신호(408190)는 제 1 스위치(408190)를 제어하여 제 1 바이어스 전압(408175), 예를 들면 VDD 또는 제 2 바이어스 전압(48185), 예를 들면 VSS를 제 1 변압기(408110)를 통해 길버트 셀(408120)에 선택적으로 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 1 스위치(408140)는 예를 들어, 상향 변환 모드에서, 예를 들어 제 1 전압 단자(408170)로부터의 제 1 바이어스 전압(408175), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터의 드레인, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 연결하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 스위치(408140)는 예를 들어, 하향 변환 모드에서, 예를 들어 제 1 전압 단자(408170)로부터의 제 2 바이어스 전압(408175), 예를 들면 VSS를 길버트 셀(408120)의 복수의 트랜지스터의 드레인, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 드레인에 제공하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 믹싱 회로(408100)는 예를 들어 제 2 전압 단자(408180)에 동작 가능하게 연결될 수 있는 제 2 스위치(408150)를 포함할 수 있다. 예를 들어, 상향 변환 모드에서, 제 2 스위치(408150)는 제 2 바이어스 전압(408185), 예를 들면 VSS를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스에 연결할 수 있다.
일부 예증적인 양태에서, 하향 변환 모드에서, 제 2 스위치(408150)는 제 1 바이어스 전압(408175), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408128, 408126 및/또는 408128)의 소스에 연결할 수 있다.
일부 예증적인 양태에서, 제어 신호(408195)는 제 2 스위치(408150)를 제어하여 예를 들어 제 1 바이어스 전압(408175), 예를 들면 VDD 또는 제 2 바이어스 전압(408185), 예를 들면 VSS를 제 2 변압기(408130)를 통해 길버트 셀(408120)에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 제 2 스위치(408150)는 예를 들어, 하향 변환 모드에서, 예를 들어 제 2 전압 단자(408180)로부터의 제 1 바이어스 전압(408175), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터의 소스, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스에 연결하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 제 2 스위치(408150)는 예를 들어, 상향 변환 모드에서, 예를 들어 제 2 전압 단자(408180)로부터의 제 2 바이어스 전압(408175), 예를 들면 VSS를 길버트 셀(408120)의 복수의 트랜지스터의 소스, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)의 소스에 연결하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
일부 예증적인 양태에서, 제 1 스위치(408140) 및/또는 제 2 스위치(480150)는 예를 들어 아래에서 설명되는 바와 같이, 예를 들어 길버트 셀(408120)의 복수의 트랜지스터에 인가될 제 1 바이어스 전압과 제 2 바이어스 전압 사이를 스위칭하도록 구성될 수 있는 복수의 트랜지스터를 포함할 수 있다.
예를 들어, 도 408에 도시된 바와 같이, 스위치(408150)의 복수의 트랜지스터는 트랜지스터(408156) 및 트랜지스터(408153)를 포함할 수 있다. 예를 들어, 트랜지스터(408156)는 예를 들어 아래에서 설명되는 바와 같이, 제 1 바이어스 전압(408175), 예를 들면 VDD를 예를 들어, 길버트 셀(408120)의 복수의 트랜지스터에 인가하도록 구성될 수 있다. 예를 들어, 트랜지스터(408153)는 예를 들어 아래에서 설명되는 바와 같이, 제 2 바이어스 전압(408175), 예를 들면 VSS를 예를 들어, 길버트 셀(408120)의 복수의 트랜지스터에 인가하도록 구성될 수 있다.
예를 들어, 트랜지스터(408126)는 트랜지스터(408156)의 게이트에서 제어 신호(408195)의 전압 레벨이 예를 들어, 1 내지 5 볼트의 범위에 있을 수 있을 때, 제 1 바이어스 전압(408175), 예를 들면 VDD를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)에 인가하도록 구성될 수 있다. 예를 들어, 트랜지스터(408153)는 트랜지스터(408153)의 게이트에서 제어 신호(408195)의 전압 레벨이 예를 들어, 1 내지 5 볼트의 범위에 있을 수 있을 때, 제 2 바이어스 전압(408185), 예를 들면 VSS를 길버트 셀(408120)의 복수의 트랜지스터, 예를 들면 트랜지스터(408122, 408124, 408126 및/또는 408128)에 인가하도록 구성될 수 있다.
일부 예증적인 양태에서, 트랜지스터(408153 및/또는 408156)는 FET를 포함할 수 있다. 다른 양태에서, 트랜지스터(375120 및/또는 408156)는 임의의 다른 유형의 트랜지스터를 포함할 수 있다.
mmWave RFEM에 대한 과제는 안테나 이득이 높은 완전한 또는 거의 완전한 방향성 커버리지를 제공하는 것이다. 보통 이것은 빔 조향을 제공하는 위상 배열 안테나에 의해 달성된다. 그러나, 위상 배열 안테나(예컨대 평면 패치 안테나 배열)의 사용은 그 자체로 제한된 각 커버리지(angular coverage)만을 제공한다. 빔 조향은 에너지를 의도된 수신기쪽으로 향하게 하는데 도움을 줄 수 있지만, 단순한 배열은 빔 조향의 조향 각도의 커버리지를 제한한다. 또한 이동 디바이스에 송신할 때 최소한 이동 디바이스의 위치 또는 방향이 보장될 수 없고, 최소한 이러한 이유로 인해, 송신된 신호의 편파가 이동 디바이스의 위치 또는 방향에 적합한 매칭이 아닐 수 있기 때문에 최적의 신호 수신보다 적은 신호 수신을 초래하기 때문에, RF 신호의 편파 또한 mmWave RFEM에 대한 과제이다.
이러한 도전은 본 명세서에서 설명된 양태에서 언급된다. 본 발명의 일부 양태에서, 패치 안테나가 사용된다. 패치 안테나는 인쇄 회로 보드(PCB)와 같은 절연성 유전체 기판에 접합된 금속 트레이스에 안테나 요소 패턴을 에칭함으로써 제조된 협대역, 광역 빔 안테나를 포함한다. 일부 사례에서, 안테나의 접지 평면은 접지 평면을 형성할 수 있는 기판의 대향면에 접합된 연속적인 또는 거의 연속적인 금속 층을 사용하여 형성될 수 있다. 다른 사례에서, 안테나의 접지 평면은 안테나 요소 패턴과 동일한 면에 접합된 연속적인 또는 거의 연속적인 금속 층을 사용하여 형성될 수 있다.
본 개시내용의 일부 양태에서, 접지될 수 있는 하나 이상의 집적 회로(IC) 실드 커버링(shield covering)이 안테나 접지 평면으로서 사용될 수 있다. 이와 같은 실드 커버링을 접지 평면으로 사용하는 것은 패치 안테나로 제한되지 않고, 모노폴 안테나, 다이폴 안테나 및 전술한 것 모두 또는 일부의 조합에도 적용될 수 있다.
패치 안테나를 계속 논의하면, 패치 안테나는 RF 송신을 위해 회로 기판 상에 인쇄된 금속 스트립일 수 있는 마이크로 스트립을 사용하여 구현될 수 있다. 일반적인 마이크로 스트립 안테나 형상은 정사각형, 직사각형, 원형 및 타원형이지만, 임의의 이어지는 형상이 가능하다. 본 개시내용의 일부 양태에서, 패치 안테나는 유전체 기판을 사용하지 않고 대신에 유전체 스페이서를 사용하여 접지 평면 위에 금속 패치를 장착하여 만들어진다. 금속 패치는 접지 평면으로서 기능하는 실드에(다른 시스템 요구 사항에 잘 맞추어) 가능한 한 가깝게 장착될 수 있다. 위에서 언급한 좁은 대역보다 더 넓은 대역폭을 제공하는 구조가 구현될 수 있다. 이러한 안테나는 매우 낮은 프로파일을 갖고, 기계적으로 견고하고 시스템 요구에 부합하도록 성형될 수 있으므로, 이동 무선 통신 디바이스에 통합될 수 있다.
본 개시내용의 일부 양태에서, 안테나는 엄격한 공간 제한을 받을 수 있다. 예를 들어, 안테나가 UE와 같은 이동 디바이스의 일부로서 사용될 때, 안테나는 전기 보드의 평면도 면적(floorplan real estate)에 관한 제한 및/또는 높이 제한으로 이어질 수 있는 이동 디바이스의 두께에 관한 제한과 같은 공간 제한을 받을 수 있다.
공간 제한을 해결하기 위해, 본 개시내용의 일부 양태에서, 안테나는 안테나의 일부 요건을 충족시키기 위해 접지 평면으로서 IC 실드를 사용할 수 있다. 예를 들어, 어떠한 경우에도 시스템 요건일 수 있는 IC 실드는 방사를 주로 이동 디바이스 외부로 향하게 하여 이동 디바이스로의 반사에 의해 손실되는 방사 전력이 낮게 또는 최소로 하는 방사 패턴을 제공하는데 사용될 수 있다. 이것은 안테나가 원하는 방사를 제공하는 동시에, 경우에 따라 범지구 위성항법 시스템(GLONASS)의 요건으로 인한 제한된 공간의 범위 내에서 작동할 수 있게 한다.
본 개시내용의 일부 양태에서, 안테나는 하나 이상의 SMD로서 통합될 수 있다. 많은 경우에, SMD 안테나는 얇은 두께를 가질 수 있으며, 이것은 이동 디바이스의 두께 요건을 준수하는데 도움이 된다. 본 특허의 맥락에서, SMD 자체는 SMD 상에 또는 내부에 안테나 또는 피드 라인을 인쇄하거나, 그렇지 않으면 부착하기에 적합한 재료를 포함할 수 있다. SMD는 무선 주파수 집적 회로(RFIC)를 호스팅하는 메인 패키지와 유사한 고주파수 재료일 수 있다. 특정 솔루션에 따라, 재료는 메인 패키지와 동일하게 또는 유전 상수(dielectric constant)가 상이하게 선택될 수 있으며; 예를 들어, 도 46의 적층 패치 솔루션을 최적화 또는 개선하기 위해, 유전 상수는 메인 패키지의 유전 상수보다 낮게 선택될 수 있다. 전형적으로, 양호한 안테나 성능을 위해, 낮은 유전 상수 및 낮은 손실 탄젠트가 요구된다. 본 개시내용의 일부 양태에서, 이동 디바이스의 두께보다 작은 높이를 갖는 SMD 안테나는 사용되지 않는 탑재 가능한 높이(on-board available height)를 이용할 수 있다. 예를 들어, 안테나는 그렇지 않았다면 안테나에 충분한 바닥 공간이 되지 않았을 수 있는 이동 디바이스 내의 SMD 상에 및/또는 SMD 내에 구현될 수 있다. 본 개시내용의 일부 양태에서, SMD 안테나는 인쇄 회로 보드(PCB)에 납땜될 수 있다.
또한, 본 개시내용의 일부 양태에서, 원하는 송신 또는 수신 신호의 급전의 위치는 전술한 목적 및 다른 목적을 위해 유리하게 사용될 수 있다. 예를 들어, 급전은 도 52b, 도 52c와 관련하여 아래에서 설명되는 바와 같이 임피던스 매칭을 위해 사용될 수 있다.
본 개시내용의 일부 양태에서, 안테나 유형은 단일 편파를 제공할 수 있다. 본 개시내용의 일부 양태에서, 안테나 유형은 이중 편파를 제공할 수 있다. 일반적으로 일부 편파 방향은 달성하기가 어려웠다. 이러한 문제는 아래에 개시된 안테나 구조 및 조합에 의해 해결되거나 적어도 개선될 수 있다.
본 개시내용의 일부 양태에서, 안테나는 단일, 이중 또는 다중 편파 능력을 제공할 수 있다. 본 개시내용의 일부 양태에서, 다수의 상이한 유형의 안테나가 각 커버리지 및 편파 커버리지를 제공하기 위해 사용된다. 본 개시내용의 일부 양태에서, 다수의 상이한 안테나 유형은 단독으로 또는 위상 배열 안테나의 조합과 같이 서로 연계하여 동작한다.
본 개시내용의 일부 양태에서, 다수의 상이한 안테나 유형이 서로 연계하여 동작하는 위상 안테나 배열에서 구현될 때, 다수의 상이한 안테나 유형은 제어기에 의해 및/또는 코드북에 의해 제어되어 제어된 배열이 이동 디바이스에서 수신된 편파된 신호의 강도에 따라, 필요에 따라 수직, 수평 및/또는 다양한 편파를 제공할 수 있다.
본 개시내용의 일부 양태에서, 이동 디바이스에서 수신된 편파된 신호의 강도는 안테나 또는 안테나 배열의 제어기에 피드백되어, 본 개시내용의 일부 양태에서 UE일 수 있는 수신기에서 적절한 편파를 제공하는 순서대로 안테나 배열을 파이어링 또는 급전시키며, 이에 따라 전체 각 커버리지를 개선한다. 따라서, 본 개시내용의 일부 양태에서, 다수의 상이한 안테나 유형이 하나 이상의 방향으로 편파 커버리지를 제공하도록 함께 동작될 수 있다. 이러한 장점은 이와 같이 작성된 설명에서 아래에서 더 명확해질 것이다.
저가의 평면 배열(planar array)을 사용하면 스캐닝 제한때문에 커버리지가 감소되고 서비스가 저하되는 결과를 가져올 수 있다. 커버리지는 추가 비용으로 추가의 안테나 배열을 추가함으로써 회복될 수 있다. 밀리미터 파 주파수에서 추가의 안테나 배열은 작은 추가 비용으로 커버리지를 확장할 수 있고 매우 높은 데이터 레이트 통신을 위한 저비용 시스템을 구현할 수 있다.
일부 양태에서, 본 명세서에서 설명된 안테나(또는 안테나 관련) 회로는 도 3a에 도시된 mmWave 통신 회로(300)의 안테나 배열(330)에 통합될 수 있지만, 안테나 회로는 이것으로 제한되지 않는다.
도 409a는 본 개시내용의 일부 양태에 따라, 안테나(40905)를 포함하는 위상 배열(40903)에 연결된 RFIC(40901) 내에 있을 수 있는 송수신기를 (40900)에서 도시한다. 본 개시내용의 일부 양태에서, 송수신기는 60 GHz 무선 장치에서 동작할 수 있는 RFIC(40901)를 포함한다. 위상 배열 배열체는 60 GHz에서 전파 손실을 극복하고 장거리에 걸쳐 멀티-Gb/s 통신을 할 수 있게 하는데 사용된다. RFIC(40901)는 기판(40907) 상에 배치된 패치 또는 다른 유형의 안테나일 수 있는 안테나(40905)를 포함하는 위상 배열(40903)에 결합될 수 있다. 그러나, 이러한 위상 배열 송수신기는 요구되는 시스템 사양을 초과하는 격자 로브 성능 저하 없이 빔(40909)이 스캐닝될 수 있는 제한된 각도의 커버리지를 겪을 수 있다.
도 409b는 본 개시내용의 일부 양태에 따라, 원래의 커버리지 각도를 갖는 안테나 배열을 도시한다. 원래의 커버리지 각도는 빔(A 및 B) 사이의 각도(40911)를 포함할 수 있다. 일반적으로, 안테나 배열의 커버리지 각도는 이상적인 180 도(반 공간(half space))보다 작다. 이러한 제한된 커버리지 각도는 안테나 배열을 사용하는 통신 시스템의 서비스를 저하시키는 경향이 있다.
본 개시내용의 일부 양태에서, 안테나 배열로부터의 통신 경로에 배치된 마이크로파 요소는 커버리지 각도를 개선할 수 있다. 도 409c는 본 개시내용의 일부 양태에 따라, 위상 배열 안테나와 관련하여 사용되는 마이크로파 요소를 도시한다. 마이크로 웨이브 요소(예를 들어, 렌즈(40913))는 빔을 편향하고 각도(40911)(빔(A)와 빔(B) 사이)에서 각도(40915)(편향된 빔(A1)과 빔(B1) 사이)로 안테나 배열의 커버리지 각도를 연장하도록 구성된다. 이것은 결과적으로 동일한 개수의 안테나 배열을 갖는 통신 시스템에 더 양호한 공간 커버리지를 가져다 줄 수 있다.
도 409c에서, 간단한 렌즈(40913)(예를 들어, 프리즘)가 안테나 배열의 상부에 배치된다. 렌즈(40913)의 €r(유전율)은 공기보다 높기 때문에, 빔(A)은 렌즈에 더 가까이 편향되어 계속 직진(A2)하기 보다는 빔(A1)이 된다. 유사하게, 빔(B)이 배열의 커버리지 각도의 다른 단부에서 있는 경우. 렌즈에 의한 커버리지 각도((A1)과 (B1) 사이의 각도(40915))가 본래의 커버리지 각도(도 409a 및 도 409c의 빔(A)와 (B) 사이의 각도인 (A2)와 (B2) 사이의 각도(40911))보다 크다고 보여준다. 이러한 증가된 각도는 무선 시스템의 증가된 커버리지 및 더 작은 송신정지(outage)의 가능성으로 해석된다.
본 개시내용의 일부 양태에서, 마이크로파 요소는 전자기 방사를 원하는 방향으로 포커싱할 수 있게 하는 임의의 렌즈 어셈블리 또는 렌즈 시스템을 포함할 수 있다. 렌즈는 저렴할 수 있다. 본 개시내용의 일부 양태에서, 렌즈의 이상(anomaly)은 대부분의 방향성 밀리미터파 시스템에 존재하는 빔포밍 트레이닝(beamforming training)에 의해 처리될 것이다. 이러한 사례에서 빔포밍 트레이닝은 원하는 방향으로 지향된 최적의 빔을 생성할 수 있게 하는 알고리즘 및/또는 절차를 의미할 수 있다. 예로서, 테스트 중인 디바이스(the device under test)(DUT)가 송신기(TX)로서 구성될 때 기준 수신기는 DUT의 빔을 교정하기 위해 사용될 수 있다. DUT TX 빔은 각 DUT 안테나 요소에서 TX 신호의 가중치(위상 및 가능한 진폭)를 조정함으로써 기준 수신기에서 신호가 최대화되거나 크게 개선되도록 조향될 수 있다. 이것은 안테나 및 렌즈에서뿐만 아니라 RFIC에서 TX 비이상성(non-ideality)을 보상할 것이다. 논의에서 평탄한 위상 배열이 고려되지만, 관련 기술분야에서 통상의 기술자라면 다른 유형의 위상 배열이 사용될 수 있음을 인식할 것이다.
본 개시내용의 일부 양태에서, 마이크로파 요소는 반사기를 포함할 수 있다. 도 409d는 본 개시내용의 일부 양태에 따라, 방사된 빔을 편향하고 커버리지 각도를 연장하기 위해 위상 배열과 관련하여 사용되는 볼록 반사기(convex reflector)(40923)를 도시한다. 도 409b 및 도 409c에서와 같이, 안테나 위상 배열은 빔(A와 B) 사이의 각도(40911)를 포함하는 원래의 감소된 커버리지 각도를 갖는다. 각도(40911)는 스캐닝에 의해 커버되는 제한된 커버리지 각도를 나타낸다. 빔(A와 B)은 결과적으로 볼록 반사기(40923)로부터 반사되어 원래의 커버리지 각도(빔(A와 B) 사이의 각도(40911))보다 넓은 커버리지 각도(예를 들어, 빔(A1과 B1) 사이의 각도(40915))를 형성한다.
본 개시내용의 일부 양태에서, 마이크로파 요소는 렌즈(40913)와 반사기(40923)의 조합을 포함할 수 있다. 비-반사기 배열 조합(예를 들어, 반사기가 없는 배열)로부터 생길 수 있는 상대적으로 작은 빔 조향은 반사기(40923)에 의한 반사 이후의 더 큰 커버리지 각도에 대응한다. 예를 들어, 도 409d의 양태에서 반사기(40923)로부터의 반사 이후의 결과인 각도(40915)는 반사기로부터의 반사 이전의 각도(40911)보다 더 크다. 따라서, 위상 배열이 대략 ±45 도의 조향으로 제한되면, 반사기는 본 개시내용의 일부 양태에서 이 각도 범위를 ±90도만큼 증가시킬 수 있다.
본 개시내용의 일부 양태에서, 볼록 반사기(40923)는 구면 반사기를 포함한다. 볼록 반사기(40923)는 시스템 요건을 준수하도록 설계될 수 있다. 경우에 따라, 볼록 반사기(40923)는 다양한 유형의 반사기 곡률을 사용할 수 있고, 시스템 요건을 충족시키기 위해 위상 배열로부터 다양한 거리에 배치될 수 있다.
본 개시내용의 일부 양태에서, 볼록 반사기(40923)는 빔 조향이 증가함에 따라 반사 이후의 커버리지 각도가 증가한다는 들어 맞지 않는 실험없이 비선형 빔 확장을 제공하도록 구성될 수 있다. 하나의 예로서, 비반사 사례와 비교하여 초기의 1.5 배 증가된 반사 커버리지가 달성되면, 위상 배열 빔 조향 범위의 한계치에 접근하는 빔 조향은 2 배 이상의 증가된 반사 커버리지를 달성할 수 있고, 이에 따라 빔 조향의 증가로 인한 증가된 반사 커버리지를 발휘할 수 있다. 이러한 개선된 커버리지는 더 작은 조향 각도에서 과도한 양의 조향 각도 분해능을 희생시키지 않으면서 이득이 된다. 이러한 비선형 빔 커버리지 확장은 상이한 유형의 반사기 곡률에 대해, 되풀이 하자면 반사기로부터의 위상 배열의 다양한 거리에서, 빔 조향의 양의 함수로서 짜여질 수 있다.
통신을 위해 60 GHz 또는 28 GHz와 같은 mmWave 주파수를 사용할 때, 상대적으로 높은 안테나 이득이 사용된다. 높은 안테나 이득은 단일 빔 접시 안테나에 의해 얻어질 수 있지만, 이러한 안테나는 비싸고 동작하는데 상당한 전력을 필요로 한다.
이러한 문제를 해결하기 위해, RFEM은 카세그레인(Cassegrain) 또는 하나의 양태에서, 인쇄된 반사기 안테나와 같은 다른 유형의 반사기 안테나의 초점에서 실질적으로 안테나(예를 들어, 16 개의 요소)의 위상 배열 또는 복수의 이러한 위상 배열을 사용하도록이러한 문제를 해결하기 위해, RFEM은 카세그레인(Cassegrain) 또는 하나의 양태에서, 인쇄된 반사기 안테나와 같은 다른 유형의 반사기 안테나의 초점에서 실질적으로 안테나(예를 들어, 16 개의 요소)의 위상 배열 또는 복수의 이러한 위상 배열을 사용하도록 구성될 수 있다. 하나의 효과는 초점 상에서, 송신된 신호가 반사기 자체를 사용하여 증폭되어 결과적으로 더 높은 이득을 갖는 더욱 포커싱된 빔을 형성한다는 것이다. 또한, 하나의 양태에서, 패치 안테나의 두 개 이상의 위상 배열이 반사기 안테나의 초점에 또는 초점 근처에 배치된다면, 아래에서 추가로 설명되는 바와 같이, 섹터화된 복수의 스캔 영역이 동일한 안테나 또는 반사기로부터 발생된다. 배치에 관해, 구현예가 mmWave 주파수 용도라면, 본 개시내용의 일부 양태에서, RFEM은 대물렌즈(objective)가 초점에 조사하는 현재 사용되는 더 큰 안테나의 것과 유사하지만 그 보다 훨씬 작은 아암 형상의 고정구(fixture)를 통해 장착되어, 다수의 RFEM의 위치를 중앙 피드에 있게 할 수 있다. 본 개시내용의 일부 양태에서 대안적인 배치는 카세그레인 접시(Cassegrain dish) 또는 인쇄된 반사기를 둘러싸는 적은 개수(아마도 2 개)의 작고 더 짧은 아암에 행해질 수 있다. PAF 배치 시 허용오차가 고려되어야 한다.
일부 양태에서, 허용오차는 원하는 성능을 얻기 위해 정확한 중심(또는 본 개시내용의 일부 양태에서의 바닥)으로부터의 거리의 약 5 % 내지 10 % 인 것으로 고려된다. 위치가 위에서 언급된 허용오차 내에 있지 않더라도, 시스템은 본 명세서에서 설명된 대로 동작하지만 선형적인 성능의 저하가 있을 수 있다. 카세그레인 안테나 또는 인쇄된 반사기 안테나가 사용될지는 상쇄 관계일 수 있다. 카세그레인 안테나는 인쇄된 반사기 안테나보다 더 높은 이득(및 범위)을 제공할 수 있지만, 카세그레인 안테나는 인쇄된 반사기보다 훨씬 부피가 크고 무겁고 비싸다. 이렇게 많은 것들이 시스템 요건에 따라 달라진다. 본 개시내용의 일부 양태에서, 중간 범위만이 요구될 수 있고, 이러한 양태의 경우, 인쇄된 반사기가 더 좋은 선택이 될 수 있다.
5G mmWave 및 WiGig 기술에서 구현되는 액세스 포인트 및 기지국과 같은 위상 배열 통신 시스템은 다중 섹터 및 다중 사용자 커버리지를 제공하는 목적을 갖는다. 본 명세서에서 논의되는 양태는 다중 섹터 및 다중 사용자 커버리지를 위해 mmWave 위상 배열 안테나 구현을 위한 저비용의 높은 등가 등방성 복사 전력(Equivalent Isotropic Radiated Power)(EIRP)을 가능하게 한다. 섹터는 mmWave 배열의 빔 스캐닝이 효과적인 방위 각도의 범위(전형적으로 플러스 또는 마이너스 60 도)를 포함한다. 또한, 개시된 구현예는 (섹터마다 배치된) 단일 배열에서 다중 주파수 역량을 제공하는 것을 목적으로 한다. 이것은 도 410 내지 도 415에 도시된 바와 같은 반사기 기반 안테나의 피드 영역에 세 개(또는 그 이상)의 별도의 mmWave 위상 안테나 배열을 물리적으로 장착함으로써 달성될 수 있다. 이러한 위상 배열은 이후 "위상 배열 피더(Phased-Array-Feeder)(PAF)"라고 지칭될 수 있다. 각각의 안테나 배열은 안테나 배열의 최적의 피드 위치에 비해 상이한 위치에 위치될 수 있기 때문에, 각각의 안테나 배열의 빔 스캐닝 패턴은 아래에서 논의되는 도 416의 섹터화에서 보여지는 것과 같이 상이하게 기울어 질 것이다.
그러나, 안테나 배열이 카세그레인 또는 반사기 배열의 중앙에 배치되면, mmWave 주파수에서, mmWave 안테나 배열 자체뿐만 아니라 이러한 mmWave 안테나를 유지해주는 메커니즘이 반사기의 방출을 감소시킬 것이기 때문에 문제가 발생된다. 이것은 예를 들어, 대략 5 GHz에서의 주파수를 갖는 더 낮은 주파수 배열에 반대되는 mmWave의 고주파수에서, 본질적으로 임의의 장애물, 심지어 목재 또는 플라스틱과 같은 비금속 물체가 실제로 통신을 방해하거나 또는 그렇지 않으면 간섭하기 때문에 발생된다. 예를 들어, 접시의 중앙에 정확하게 장착되는 작은 안테나 배열을 위해 상대적으로 큰 기계적 홀더를 고정시키는 것은 방출의 감소를 초래할 수 있다. 하나의 솔루션은 실질적으로 초점에 안테나 배열을 놓는 것이다. 이러한 문제를 개선하는 또 다른 방법은 빔이 반사기의 초점 또는 조사에 부딪히거나 또는 반사기의 초점에 놓이는 빔을 에뮬레이트하는 각도로 반사기의 하부 또는 측부 상에 위상 배열을 놓는 것이다.
도 410은 안테나 배열이 카세그레인 배열 또는 반사기 배열의 바닥에 배치될 때 위상 배열/반사기 조합의 동작을 도시하며, 여기서 도 410은 작은 위상 안테나 배열을 사용함으로써 빔이 본질적으로 반사기 또는 카세그레인 안테나의 초점에 도달할 수 있도록 지향될 수 있다는 것을 나타낸다.
본 개시내용의 일부 양태에서, 높은 안테나 이득을 갖는 다중 섹터 안테나 배열은 대규모 안테나 배열(Massive-Antenna-Array)을 이용하여 구현될 수 있다. 대규모 안테나 배열은 때때로 사용되는 8, 16, 32 또는 64 개 요소 배열보다 훨씬 큰 번호가 매겨진 안테나 요소를 갖는 하나의 배열의 코히어런트 조합을 포함하거나 다수의 배열을 포함하여, 두 경우에 모두 높은 이득 빔을 생성한다. 본 개시내용의 일부 양태에서, 이러한 요소의 개수는 수백 개의 범위일 수 있다. 그러면, 방향 양상 당 이러한 다중-배열(예를 들어, 물리적으로 서로 60 도에 위치되는 세 개의 다중-안테나 배열)을 할당하는 것은 도 410 내지 도 415에 도시된 세 개의 RAF의 배열체와 같이 구현될 수 있다.
반사기의 포커스에 또는 그 근처에 배치된 복수의 위상 배열 피더의 추가적인 이점은, 예를 들면:
a. 각 섹터의 안테나 치수를 키우지 않고 단지 추가 PAF를 추가함으로써 용이한 폼 팩터에 섹터를 추가하는 것
b. 처리량 또는 유효한 등방성 방사 전력(EIRP)의 저하가 없는 사용자를 추가하는 것(예를 들어, 상이한 섹터에서 두 개의 상이한 위상 배열 피더(PAF)가 활성화될 것이다. 대형 안테나 배열과 같은 다른 방식에서 각 사용자는 배열 요소의 절반을 얻을 것이다);
c. 반사체를 변경함으로써 더 높아지는 EIRP; 및
d. 더 많은 섹터를 만들기 위해 위상 배열 피더(PAF)를 추가하는 것은, 각각의 RAF가 "스탠드얼론"이기 때문에, 가열 문제를 유발하지 않는다.
안테나 배열이 반사기 기반 안테나의 피드에 위치되는 경우, 그 빔 스캐닝 능력의 일부는 여전히 보존된다. 본 개시내용의 일부 양태에서, 안테나 배열이 (반사기 배열의 피드에 장착되지 않고) 외기에서 사용된다면, 전형적인 플러스 또는 마이너스 3 dB의 스캔 범위는 약 ± 60 도이다. 일단 이러한 배열이 반사기 기반 안테나의 피드에 장착되면 스캐닝 범위는 ± 30도(대략)로 감소된다. 0도 기준점에 대한 스캔 범위는 반사기 안테나에 있는 배열의 물리적 위치에 따라 변한다.
배열이 반사기의 이상적인 초점에 더 가깝게 장착됨에 따라, 그 스캔 범위는 더 대칭적이 되고 (제로 아지므스 주위의) -30 도 내지 +30 도를 범위로 할 수 있다. 일단 안테나 배열이 이상적인 초점으로부터 멀리 위치되면, 그 스캔 범위는 (초점으로부터 안테나 배열의 거리에 비례하는) 상이한 각도에서 집중될 것이다. 각각의 위상 배열 피더는 하나의 주파수 또는 다중 주파수(예를 들어, 이들이 2의 배수인 점을 고려하면 60 GHz 및 28 GHz)에서 동작할 수 있다.
멀티-피드는 본 개시내용의 양태에 따라, 도 410, 도 412 및 도 414에 도시된 바와 같이 인쇄된 반사기 안테나 배열에 장착되거나 또는 도 411, 도 413 및 도 415에 도시된 바와 같은 포물선 형상을 갖는 카세그레인 안테나에 장착될 수 있다.
도 410은 본 개시내용의 일부 양태에 따라, 제 1 구성에서 인쇄된 반사기와 관련하여 사용되는 복수의 위상 배열을 도시한다. 도 410에서, 참조 번호 (41000)은 세 개의 위상 배열(41020, 41030, 41040)이 안테나(41010)의 하부에 위치되는 인쇄된 반사기(41010)를 도시한다. 본 명세서에서 설명되는 양태가 두 개의 노드 또는 엔드 포인트 사이의 통신과 같은 포인트 대 포인트 통신에서 사용될 수 있기 때문에, 위상 배열은 송신 모드 또는 수신 모드에서 사용될 수 있으며, 타이밍은 시스템 동작에 따라 제어된다. 위상 배열(41020, 41030 및 41040)은 송신 모드에서 반사기(41000)를 향해 송신할 수 있다. 수신 모드가 활성일 때 입력 빔(41050, 41060, 41070)이 측면도에 도시된다. 반사기(41010)는 (반사기를 들여다 보는) 정면도, (반사기의 측면으로부터 보는) 측면도 및 (반사기의 상부로부터 아래쪽으로 보는) 평면도에서 도시된다. 이러한 도면은 설명의 편의를 위해 엔지니어링 도면에서 전통적으로 정면도, 측면도 및 평면도로 명명된다. 그러나, 만약 이러한 조합이 이동 디바이스 내에 있다면, 도면은 상이하게 명명될 수 있는데, 예를 들어, 도 410에서 정면도로 명명된 것이 이동 디바이스를 아래로 들여다 보는 것에 대응하고, 도 410에서 평면도로 명명된 것이 반사기의 하부 영역이라 명명될 수 있는 것을 바라보는 것이다. 도 410에 도시된 뷰는 도 411 내지 도 415에 전형적이다.
도 411은 본 개시내용의 일부 양태에 따라, 제 1 구성(41100)의 카세그레인 안테나와 관련하여, 즉, 카세그레인 안테나의 하부에서 사용되는 복수의 위상 배열을 도시한다.
도 412는 본 개시내용의 일부 양태에 따라, 제 2 구성(41200)의 인쇄된 반사기와 관련하여, 즉, 중앙 영역에서 사용되는 복수의 위상 배열을 도시한다. 평면도는 반사기의 상부로부터 아래로 내려다 보는 도면을 도시한다. 배열, 섹터 1 및 배열, 섹터 3은 본질적으로 하나의 사이즈로 그려지는 반면, 배열 섹터 2는 배열, 섹터 2를 이 도면의 나머지 두 배열과 구별시키기 위해 더 작게 그려진다. 도 413, 도 414 및 도 415는 평면도에서 배열, 섹터 1, 배열, 섹터 2, 및 배열, 섹터 3에 대해 전형적이다(또는 유사하게 그려진다).
도 413은 본 개시내용의 일부 양태에 따라, 제 2 구성(41300)의 카세그레인 안테나와 관련하여, 즉, 중심 영역에서 사용되는 다수의 위상 배열을 도시한다.
도 414는 본 개시내용의 일부 양태에 따라, 제 3 구성(41400)의 인쇄된 반사기와 관련하여, 즉, 반사기 둘레에서 사용되는 복수의 위상 배열을 도시한다.
도 415는 본 개시내용의 일부 양태에 따라, 제 3 구성(41500)의 카세그레인 안테나와 관련하여, 즉 반사기 둘레에서 사용되는 다수의 위상 배열을 도시한다.
본 개시내용의 일부 양태에서, 세 개의 배열, 즉 배열, 섹터 1, 배열, 섹터 2 및 배열, 섹터 3은 RFEM을 포함하거나 RFEM의 일부가 될 것이다. 실제로, RFEM은 도 410 내지 도 415에 도시된 바와 같이 반사기의 하부에 위치되지 않는다. 그 대신에, 이들은 도면에 도시되지 않은 기계적 아암으로 반사기의 중간 또는 그 근처에 유지된다.
각각의 위상 배열 피더의 상이한 물리적 위치는 각각의 안테나 배열로부터 일정 각도만큼 변위된 섹터화된 스캔 패턴을 생성할 것이고, 높은 이득 섹터화된 배치를 생성한다. 이상적으로는, 배열의 각 스캔 패턴의 중심 사이의 이러한 바이어스는 도 416에 도시된 바와 같이 60 도 내지 90도 정도이어야 한다. 도 416은 본 개시내용의 일부 양태에 따라, 반사 안테나와 관련하여 사용되는 다수의 위상 배열로부터 생성된 섹터화(41600)의 평면도를 도시한다. mmWave 주파수에서, 안테나 배열로부터의 빔은 매우 좁으며 개념적으로 레이저 빔과 유사하고, 섹터를 가로 질러 스캔될 수 있다. 좁은 빔은 (41601)에서 표시되고 섹터를 가로 지르는 빔 스캐닝은 양끝 화살표에 의해서 표시된다.
도 417은 본 개시내용의 일부 양태에 따라, 섹터화된 스캔 영역의 각 섹터에서의 스캐닝을 도시한다. X 축은 반사기 안테나에 초점을 맞춘 안테나 배열로부터의 빔의 스캔 각도이다. Y 축은 빔의 진폭이다. 본 명세서에서 논의는 섹터 1에 관한 것이지만, 섹터 2 및 섹터 3(및 위상 배열 피더의 개수에 종속하는 추가 섹터)에 대한 동작은 비슷하다. 섹터 1의 경우, 스캔된 빔의 진폭의 변동이 있다. 이러한 논의에서 주어진 개수는 단지 예일뿐이며 실제 테스트된 수치를 나타내지는 않는다.
빔 진폭(41701)은 빔이 보어사이트와 비교하여, 예를 들어 마이너스 50 도로 튜닝될 때 발생한다. 빔 진폭(41703)은 보어사이트에 비교하여 빔이, 예를 들어 마이너스 20도 보어사이트에 더 근접하게 튜닝될 때 발생한다. 빔 진폭(41705)은 빔이 보어사이트와 비교하여, 예를 들어 마이너스 10 도로 튜닝될 때 발생한다. 빔 진폭(41707)은 빔이 섹터 1의 보어사이트에 튜닝될 때 발생한다. 빔 튜닝 각도 각각의 진폭 변동에 대한 이유는 빔이 스캐닝될 때 위상 배열 피더를 포함하는 패치의 다양한 물리적 특성 때문에 진폭 저하 경향이 있기 때문이다.
본 명세서에서 논의되는 PAF 설계는 또한 링크 장점을 제공한다. (예를 들어, 배열 내에 많은 안테나 요소를 갖는 단지 하나의 위상 배열 피더를 갖는 양태에서) 단지 하나의 섹터가 사용되는 예를 고려한다. PAF가 전체 플러스 또는 마이너스 90 도 범위에서 스캔되면 또는 플러스 마이너스 80 도의 단축 범위에서 스캔되면, 배열 빔의 특정 감쇠 량이 때로는 "측면에서"라고 지칭하는 큰 각도 (또는 "보어사이트로부터 매우 큰 각도")에서 발생한다. 보어사이트에서 높은 이득 안테나조차도 측면에서 매우 열악한 이득을 제공할 수 있다(또는 높은 저하를 보일 수 있다). 그러나, 본 명세서에서 설명된 PAF에 의하면, 측면에서의 저하는 마이너스 3 dB 정도일 수 있으며, 이것은 많은 목적에 대해 허용 가능한 저하이다. 따라서, 반사기 안테나로의 피더로서 사용되지 않는 위상 배열과 비교하여 설명된 PAF 접근법과 연동된 예산 장점도 있다.
다시 말해서, 위상 배열을 하부의 중앙에 배치하는 것이 때로는 유용하다. 그 다음에, 빔 급전에 의해, 빔은 초점이 맞을 것인데, 왜냐하면 60 GHz에서 전파는 레이저 빔과 매우 유사하고 잘 포커싱되어, 빔 급전을 사용하는 것이 위에서 설명한 현상이 발생하도록 빔의 각도를 변위시킬 것이기 때문이다. 또한, 아래에 설명되는 도 410 내지 도 415에서와 같이, 다수의 배열이 상이한 스캐닝 섹터를 생성하도록 사용될 수 있다.
요약하면, 위상 안테나 배열은 접시 또는 반사기 안테나의 초점에 놓일 수 있으며, 이것은 높은 이득을 생성할 것이다. 위상 배열이 초점에 놓일 수 있을 뿐만 아니라 반사기의 하부에 배치될 수 있어 접시 또는 반사기에 의해 생성되는 신호와 덜 간섭할 수 있다. 또한, 복수의 위상 배열이 접시의 중앙터에 배치될 수 있고, 빔이 초점을 겨냥할 때, 섹터화된 방출이 반사기 앞의 특정 영역에 있는 각각의 위상 배열 마다 생성되고, 이것은 다음 차례로 목표를 향한 섹터화된 방출을 생성할 것이다. 위상 배열이 위에서 설명된 바와 같이 반사기의 하부 또는 주변에 배치될 때, 동일한 현상이 발생한다.
본 개시내용의 일부 양태에서, PAF 설계는 다중 사용자를 지원할 수 있다. 시스템이 복수의 사용자를 지원하도록 설계되면, 각 사용자를 향한 송신을 낮추는 것(신호의 전반적인 품질이 낮아짐) 대신에, 시스템의 용량 및 한 위치에서 과도한 열 방출과 같은 문제에 직면하지 않고도 추가의 피드가 동일한 반사기에 추가되어 시스템이 지원할 수 있는 사용자 수를 증가시킬 수 있다. 도 410 내지 도 416에는 세 개의 PAF가 도시되어 있지만, 추가의 PAF는 이 PAF 통해 송신하는 송신기로부터 신호를 수신하기 위한 추가 사용자가 추가될 때 추가될 수 있다. 예를 들어, 세 개의 PAF가 도 415에서와 같이 초점과 같은 지점이 중심인 정삼각형 배열로 사용되는 경우, 네 개의 PAF가 이 지점을 중심으로 정사각형 배열로 사용될 수 있다. 다섯 개의 PAF가 유사하게 (이 지점을 중심으로 오각형 모양의 배열로) 배열될 수 있다.
이러한 유형의 반사기/위상 배열의 하나의 애플리케이션은 이러한 시스템이 액세스 포인트에서 구현되는 것일 것이다. 하나의 액세스 포인트는 각각의 섹터의 용량을 증가시키는 별도의 피더를 갖기 위해, 커버리지를 상이한 섹터로 또는 각 섹터 내에 나눔으로써 많은 사용자를 지원할 수 있다.
편파에 관해, 포인트-대-포인트 시스템에서, 반사기/위상 배열이 수직 편파 피더(V 피더) 및 수평 편파 피더(H 피더)를 갖는 것은 매우 일반적이다. 본 개시내용의 일부 양태에서, V 피드 라인 및 H 피드 라인을 갖는 위의 반사기는 수직 편파 및 수평 편파를 모두 커버할 수 있다. 시스템은 수직 편파 또는 수평 편파로, 또는 수직 편파 및 수직 편파와 직교하는 수평 편파 모두로 송신할 수 있다. 아래에서 더 상세히 논의되는 바와 같이, 위상 배열 내의 각각의 패치 마다 일반적으로 두 개의 피드가 존재하므로, 이들 중 하나는 수직 편파를 위한 것이고, 하나는 수평 편파를 위한 것일 수 있다. 기지국 또는 액세스 포인트를 통해 사용자에게 연결할 때 두 피드 중 어느 하나(수직 편파 또는 수평 편파)가 제어 프로그램에 의해 호출되어 스캐닝 또는 "섹터 스위핑(sector sweeping)"을 위해 사용될 수 있다. 예를 들어, 수직 편파만을 갖는 63 개의 섹터가 있다면, 수평 편파를 갖는 128 개의 섹터가 존재할 수 있다. 섹터는 실제로 위상 배열(들), 위상 변위기 및 편파의 조합으로, 편파 문제를 완화할 수 있다.
WiGig 구현예에서 본 개시내용의 일부 양태의 경우, 편파 기술은 단지 스캐닝을 포함한다. 한 세트의 위상 변위기 내 및 예를 들어 한 세트의 수직 편파 내의 테스트 송신 패킷이 수신기에 전송되고, 수신기는 수신된 신호 강도를 측정한다. 수평 편파를 갖는 다른 세트는 수신기로 보내지고 수신기는 수신된 신호 강도를 측정한다. 이어서, 수신기는 더 양호한 신호 강도로 편파를 송신하고 그 다음에 송신기는 이 편파로 송신한다.
본 개시내용의 일부 양태에서, 이러한 프로세스는 보다 양호한 편파를 연속적으로 송신하기 위해 계속 이어진다. 이것은 매우 낮은 전력 레이트를 갖는 제어 메시지를 사용하여 달성되므로, 매우 높은 프로세싱 레이트를 가지며 안테나의 이득을 필요로 하지 않는다. 위상 변위기의 다른 조합을 사용하는 대신에, 다른 편파의 피드가 사용된다. 피더에서 수직 편파와 수평 편파 사이에 격리가 존재하기 때문에 시스템 자체는 제한되지 않는다. 위상 배열의 패치 안테나 요소는 양호한 격리를 가지며, 반사기는 이를 크게 저하시키지 않는다. 본질적으로, 각각의 패치 안테나는 동일한 정보를 갖는 하나의 수직 편파 신호 및 수평 편파 신호를 이중 급전하고, 적절한 시간에 적절한 편파가 사용된다.
다른 양태에서, 이러한 프로세스는 어떤 기준이 충족될 때 수행될 수 있다.
비록 몇 개의 작은 배열이 사용되지만, 본 개시내용의 일부 양태에서 단지 단일 반사기가 사용되기 때문에, 본 명세서에서 개시된 양태는 비교적 저렴하다. 또한, 세 개의 반사기의 유용한 솔루션이 사용되는 것과 같이, 비교적 큰 면적이 사용되지 않는다. 설명된 솔루션은 단일 반사기가 사용되기 때문에 전력 효율적이다. 설명된 솔루션은 낮은 비용 및 낮은 볼륨으로 매우 간편한 솔루션을 제공한다.
수신기의 간단한 논의의 순서이다. 포인트-대-포인트 통신에서, 도 416에서, 송신은 세 개의 상이한 섹터에 있을 수 있다. 각 섹터는 일반적으로 수신기, 다른 반사기 또는 다른 다중 섹터 중 어느 하나, 다중 위상 배열 시스템에서 대응하는 섹터로 보일 것이다. 송신 섹터는 분리되어 있다. 하나의 양태에서, 섹터 1은 제 1 수신기에서 매칭 섹터 1로 송신하고, 섹터 2는 제 2 수신기에서 매칭 섹터 2로 송신하고, 섹터 3은 제 3 수신기에서 매칭 섹터 3으로 송신한다. 위에서 언급된 바와 같이, 빔은 아주 좁아지고 섹터는 실제로 잘 격리된다. 다시 말해서, 빔은 실제로 매우 좁고, 아마도 3 도이지만, 빔은 스캔되는데, 여기서 도 416의 각각의 삼각형은 하나의 스캔을 나타낸다. 각각의 섹터 스캔은 전형적으로 플러스 또는 마이너스 30 도이다.
카세그레인 반사기로부터 카세그레인 반사기로, 인쇄된 반사기로부터 카세그레인 반사기로, 인쇄된 반사기로부터 인쇄된 반사기로 또는 심지어 카세그레인 반사기 또는 인쇄된 반사기로부터 다중-안테나 배열로의 송신이 있을 수 있다. 수신기에서, 카세그레인 또는 인쇄된 반사기를 사용하는 대신에, 4 또는 8 개의 더 작은 배열이 서로 결합되어 다중-안테나 배열에서 높은 이득을 생성할 수 있다. 방출을 방사하는 모든 옵션이 유지된다. 포인트 대 포인트 통신(예를 들어, 기지국 대 기지국) 대신에, 수신기는 스마트 폰일 수 있다.
전술한 구성요소/디바이스는 스마트 폰과 같은 이동 디바이스 또는 기지국에 배치될 수 있다. 기지국에 배치될 때, 구성요소/디바이스는 높은 이득으로 구성될 수 있다. 구성요소/디바이스가 이동 디바이스에 배치될 때, 구성요소/디바이스는 기지국보다 더 낮은 이득으로 구성될 수 있다. 시스템은 매우 용이하게 업스케일링될 수 있다. 더 많은 섹터 또는 더 많은 사용자를 수용하기 위해서, 시스템은 피더가 추가되는 동일한 반사기를 사용할 수 있고, 그 결과 반사기가 없는 솔루션보다 더 낮은 열 소산을 성취할 수 있다. 또한, 각각의 피더는 다른 피더와 상이한 주파수에서 동작할 수 있다.
또한, 송신의 유형은 송신기와 수신기 사이에 시선이 있는지에 따라 다르다. 시선이 있으면, 송신은 스캐닝에 의해 수신기의 위치로 향한다. 수신기를 향한 시선이 없으면, 송신은 반사에 기초한다.
개시된 기술은 구성요소/디바이스가 실외에 배치될 때도 또한 유리할 수 있다. 예를 들어, 개시된 구성요소/디바이스는 예를 들어, 진동 또는 다른 환경적 인자에 영향을 받는 교통 신호등 상에 장착되는 기지국으로서 길거리 상에 배치될 수 있다. 이러한 애플리케이션에서, 시스템은 매우 양호한 트랙킹을 제공하여 기지국 자체의 진동 영향을 개선할 수 있고, 그 다음에 기지국은 스마트 폰을 들고 걷고 있는 사용자를 더욱 효과적으로 트랙킹할 수 있다. 트랙킹은 특히 많은 섹터가 반사기에 의해서 지원될 때, 섹터 사이에서 변위하는 것을 포함할 수 있다. 진동의 영향은 반사성 구성요소/디바이스가 위상 배열을 사용하고 위상 배열이 빔을 튜닝할 수 있다는 사실 때문에 간단히 개선될 수 있다. 그러므로 예로서, 진동이 상당히 크거나 또는 스마트 폰이 두 개의 섹터 사이에 있는 것으로 검출되면, 섹터 사이를 스위칭하는 것은 진동의 영향을 완화시키는데 도움이 될 수 있다. 또한, 심지어 진동을 개선하지 않고도, 스캔되는 빔은 스마트 폰을 들고 있는 걷고 있는 사람을 쫓을 수 있고, 신호 강도가 상이한 섹터로 스위칭 필요를 보이면, 시스템은 상이한 섹터로 스위칭될 수 있다.
도 418은 본 개시내용의 일부 양태에 따라, 사용자 디바이스 내의 안테나가 구현될 수 있는 패키지를 도시한다. 개개의 패키지(41800 및 41802)가 도시된다. 아이템(41801, 41801A)은 다이 패키지로부터 외측 표면 상 쪽으로 열 전도 메커니즘을 포함한다.
본 개시내용의 일부 양태에서, 아이템(41803, 41803A)은 둘레에 등각 차폐하는(conformal shielding) 노출된 다이를 갖는 플립-칩-스케일 패키지(flip-chip chip-scale package)(FC-CSP)를 포함한다. 다른 양태에서, 아이템(41803, 41803A)은 몰드 및 구리 열 스프레더를 갖는 다이를 포함한다.
아이템(41805, 41805A)은 다이로부터 다른 보드 쪽으로 신호를 받는 라미네이트 기판을 포함한다. 라미네이트 기판은 예를 들어, 플라스틱 핀 그리드 어레이(PPGA), 플라스틱 볼 그리드 어레이(PBGA), 및/또는 보드와 다이 사이에서 통신을 제공할 수 있는 임의의 다른 기판을 포함할 수 있다. 본 개시내용의 일부 양태에서, 다이가 노출되어 있는 모두에서는 아무런 오버몰드도 없을 수 있다. 아이템(41807)은 급전되거나 여기되는 패치 안테나를 포함할 수 있다. 아이템(41809, 41809A)은 임의의 측면 상에 인쇄된 안테나, 예를 들어 안테나(41811, 41811A 및 41813, 41813A)를 포함하는 SMD 요소이다. 본 개시내용의 일부 양태에서, 새시와의 전기적 연결은 없다. 신호는 다이로부터 기판(41805, 41805A)으로 반송될 수 있다. 보드(41806, 41806A)는 아래에서 더욱 상세히 논의되는 바와 같이 다양한 형태의 인쇄되거나 SMD-장착된 안테나를 갖는다. 대안적으로, 다양한 형태의 안테나는 SMD 내에 구성될 수 있다. 인쇄된 안테나 및 SMD 안테나는 새시 내의 (41815, 41815A)와 같은 디렉터(director)에 연결된다. 아이템(41817)은 본 개시내용의 일부 양태에서 접지 평면일 수 있다. 본 개시내용의 일부 양태에서, 기판 및 PCB 중 어느 하나 또는 둘 모두는 열 슬러그(heat slug) 또는 열 운반 요소를 포함할 수 있다.
도 419는 본 개시내용의 일부 양태에 따라, 도 418의 패키지(41800 또는 41802) 중 어느 하나에 구현된 1 x 4 다이폴 배열의 구현된 이득의 그래프를 도시한다. 실현된 이득 그래프(41901)는 디렉터로부터 멀리 다양한 높이에 있는 PCB의 다이폴을 제외하고, 제 위치에 고정된 새시 내 디렉터와 삼십(30)도에 있는 안테나의 구현된 이득을 도시하며, 높이는 그래프의 x-축 상에서 마이크론으로 주어지며, 여기서 "hdipole [um]"은 다이폴의 높이를 마이크론으로 나타낸다. 실현된 이득 그래프(41903)는 새시로부터 멀리 다양한 높이에 있는 PCB의 다이폴을 제외하고, 제 위치에 고정된 새시의 디렉터와 육십(60)도에 있는 안테나의 구현된 이득을 도시한다. 실현된 이득 그래프(41905)는 멀리 다양한 높이에 있는 PCB의 다이폴을 제외하고, 제 위치에 고정된 새시의 디렉터와 구십(90)도에 있는 안테나의 구현된 이득을 도시한다. 그래프는 이상적인 위치가 새시의 디렉터로부터 합리적으로 멀리 떨어지게 다이폴을 위치시키는 것임을 보여주며, 여기서 (41901, 41903, 41905)의 실현된 이득은 일반적으로 그래프의 좌측(즉, x-축을 따라서 더 큰 높이)에서 더 높고, 실현된 이득 그래프의 대부분(즉, (41901, 41903))은 위치가 그래프의 우측(즉, x-축을 따라서 더 작은 높이)으로 이동함에 따라 감소한다.
도 420은 본 개시내용의 일부 양태에 따라, 도 419의 그래프와 연관된 방사 패턴을 도시한다. 도 420에서 다이폴은 PCB(42003)에 구현되거나 또는 대안적으로 SMD 구성요소로서 구현된다. 디렉터(42001)는 새시에 또는 새시 상에 있고, 새시의 상이한 높이 및 깊이에서 구현될 수 있다. PCB(42003)에서 접지 및 급전 구조는 (42005)에서 도시된다. 안테나 패턴 차트(42007)는 (안테나 패턴 차트(42007)의 원주를 따라서) 방사 각도의 함수로서 및 디렉터의 위치의 함수로서 안테나 패턴을 (42009)에서 도시한다. 디렉터가 (42001)에서 도시된 바와 같이 구현되면, 방사 패턴은 회전되고 PCB(42003)의 방향과 관련하여 위쪽 방향으로 더 많이 방사할 수 있다.
수직 편파를 갖는 일부 WiGig 제품의 엔드파이어 이득은 이들의 매우 작은 폼 팩터 때문에 브로드사이드 방향에 비교하여 매우 낮다. 수직 편파를 갖는 엔드파이어 이득은 랩톱 유저 시나리오를 위한, 태블릿 유저 시나리오를 위한 그리고 스마트폰 유저 시나리오를 위한 커버리지에서 주된 중요성이 있다. 경우에 따라, 엔드파이어 방향으로 작은 이득 및 더 큰 폼 팩터를 갖는 슬롯 요소가 사용되었다. 양호한 폼 팩터이되 엔드파이어 방향으로 (브로드사이드보다 9 dB 더 낮은) 약한 이득을 갖는 모노폴라 패치가 또한 사용되었다.
본 명세서에서 개시된 양태는 양호한 폼 팩터를 유지하면서 엔드파이어 방사를 개선할 수 있다. 이러한 양태는 집적된 이산적인 회로를 차폐하기 위한 제품에 사용되는 IC 실드 구조를 이용한다. 실드는 안테나 배열의 일부로서, 모노폴라 적층 게이트 패치 방사 요소 및 기생 요소의 조합 안에 통합된다. 이렇게 함으로써, 안테나의 이득은 2 dB 내지 3 dB 만큼 엔드파이어 방향으로 개선된 것으로 도시된다. 요소의 사이즈는 또한 동일한 대역폭을 유지하면서 40 %까지 감소된다. 단일 기생 요소가 형성되지만, 관련 기술분야에서 통상의 기술자라면 본 개시내용의 일부 양태에서, 복수의 기생 요소를 사용하는 것이 적합할 수 있다는 것을 인식할 것이다.
이러한 조합체의 이득은 적어도 부분적으로는 수직 편파가 현대의 통신에서 널리 사용된다는 사실로부터 도출된다. 이것은 접지의 접지 평면을 따라 전파될 때 수직 편파를 갖는 전파가 수평 편파보다 더 적은 감쇠 손실을 겪기 때문이다. 개시된 조합을 사용하는 제품의 수신기 및 송신기는 엔드파이어 방향으로 송신될 때 수직 편파에 정렬될 수 있다. 이러한 모노폴 안테나는 간단한 구조를 가지면서 원하는 수직 편파를 제공하기 때문에 양호한 솔루션이다. 일부 모노폴 안테나는 무선 통신 제품에서 종종 발견되는 폼 팩터 시스템 제한에 수용되기 어려운 4분의 1 파장의 높은 프로파일을 사용한다. 종래의 모노폴 패치 안테나의 엔드파이어 이득은 IC 실드 구조와 결합된 설명된 모노폴 패치 안테나에 의해 부여되는 2 dB 내지 3 dB 엔드파이어에 비교할 때 작다.
도 421은 본 개시내용의 일부 양태에 따라, 적층 게이트 패치 안테나용 안테나 접지 평면 및 반사기로서 IC 실드의 사용을 도시한다. 도시된 양태에서, PCB 보드(42108)는 일부 또는 전부가 금속화 층일 수 있는 복수의 평행한 층을 포함하는 보드의 내부 구조를 도시하도록 투명한 것으로 도시된다.
금속화 적층 패치 안테나 요소(42104, 42106)를 포함하는 모노폴 안테나(42100)는 IC 실드 구조(42102)와 조합되며, 그럼으로써 반사기로서 그리고 접지 평면으로서 IC 실드를 사용한다. 조합체는 안테나 필요성과 무관하게 사용자 디바이스에 있는 IC 실드를 활용한다. 예를 들어, 도 5a 및 도 5b에 도시된 바와 같은 RFEM은 차페 목적을 위해 RFEM의 IC 및 이산적인 구성요소를 덮는 도 421의 (42102)와 같은 접지 실드를 포함한다. 이러한 실드의 존재는 방사 패턴 및 안테나 임피던스에 영향을 준다. RFEM의 이 영역을 회피하고 그래서 그렇지 않았더라면 안테나를 위해 사용될 수 있는 낭비되는 공간을 회피하는 대신에, 실드는 위에서 언급된 바와 같이 반사기 및 접지 평면으로서 사용되어 더 작고 정확하게 매칭되는 안테나를 만들고, 유리한 방향으로 방사 패턴을 지향시킬 수 있다.
구체적으로, 4분의 1 파장 모노폴 안테나는 실드에 근접되게 보드 상에 인쇄될 수 있다. 4분의 1 파장 모노폴이 설명되지만, 관련 기술분야에서 통상의 기술자라면 다른 파장 모노폴이 주어진 양태에 적합할 수 있는 것으로서 사용될 수 있다는 것을 인식할 것이다. 모노폴의 피드 포인트는 도 452b 및 452c와 관련하여 아래에서 논의되는 방법에 유사하게 임피던스를 튜닝하기 위해서 사용될 수 있다. 본 개시내용의 일부 양태에서, WiGig 4 채널 대역폭 요건과 같은 대역폭 요건을 충족시켜 광대역을 달성하기 위해서, 기생적으로 적층된 듀얼 패치(42104, 42106)가 사용된다. 하나의 양태에서, 바닥 패치(42106)는 피동 요소이고 아래에서 논의되는 도 424b 및 도 424c에 도시된 바와 아주 같은 피드 라인을 통해 여기된다. 본 개시내용의 일부 양태에서, 패치(42104)는 기생 요소이다. 치수는 솔루션에 의해 결정된다. 논의 중의 양태에서, 피동 요소(42106)와 기생 요소(42104) 사이의 도 422에서 Z-방향에 있는 공간은 186 um이다. 이러한 양태에서, 패치 안테나와 실드(42102) 사이의 치수는 도 422a에 도시된 바와 같이 165 um이다. 시뮬레이션은 패치 안테나와 실드(42102) 사이의 치수가 패치 안테나의 매칭 및 이득 특성에 영향을 준다는 것을 보였다. 도 422b는 논의 중의 양태에서 패치 안테나에 의해 사용되는 PCB의 길이가 1.25 mm인 것을 도시한다. 도 422c는 논의 중의 양태에서, 패치 요소(42104)가 60 um 만큼 패치 요소(42106)보다 약간 더 작은 것을 도시한다. 다른 양태에서, 안테나 요소(42104, 42016)는 동일한 사이즈이고, 패치 안테나와 실드 사이의 치수는 40 um이다. 접지 층으로서 그리고 또한 도 421 및 도 422에서 여기된 패치(42106)의 반사기로서 동작하는 실드(42102)는 엔드파이어 방향으로 패치 안테나에 대략 2 dB 이득을 부여한다. 결과적으로, 엔드파이어 방향으로 동작할 때 패치 안테나는 모노폴 안테나처럼 작동한다.
이동 디바이스에서 공간 면적의 근접성을 고려하면, 패치 안테나를 실드에 가깝게 위치시키는 것이 어려울 수 있지만, 이 목적은 엔드파이어 방향으로 이득을 증가시키기 위해 가능한 실드에 가깝게 패치 안테나를 배치하는 것이며, 실드와 패치 안테나 사이의 거리는 시뮬레이션에 의해 결정가능하다.
본 개시내용의 일부 양태에서, 엔드파이어 동작 시, 편파는 수직일 수 있다. 본 개시내용의 일부 양태에서, 브로드사이드 동작 시, 편파는 수평일 수 있다. 엔드파이어 동작에서 수직 편파가 안테나의 브로드사이드 동작에서 수평 편파보다 대략 7 dB 내지 8 dB 아래이기 때문에, 개시된 조합체에 의해 부여된 대략 2 dB 내지 3 dB 이득은 개선된 엔드파이어 이득의 중요한 양태일 수 있다. 실드의 패치와의 근접은 안테나의 매칭에 영향을 미치며, 패치를 대역폭보다 50 ohm 넘게 튜닝하고 안테나 사이즈를 감소시키는 데 기여하는 패치의 폭을 협소하게 한다.
도 422는 본 개시내용의 일부 양태에 따라, 비대칭 비아 급전 메커니즘을 도시하는 도 421에 도시된 모노폴 안테나의 측면도를 도시한다. 도 421의 구성요소와 동일한 도 422의 구성요소에는 명확성을 위해 도 421에서와 같은 동일한 참조 번호가 주어질 것이다.
IC 회로(42202)는 실드(42102) 아래에 포함되는 것으로 표시되며, 실드(42102)는 도 424a 내지 도 424e 및 아래에서 상세히 논의되는 IC 실드를 도시하는 다른 도면에서 도시된 실드와 유사하거나 동일하다. 도 422의 도면은 일정한 비례로 축척하여 작성되지 않으며 실드(42102)는 부분도로 작성된다. 그러나, 설명되는 양태에서 실드는 IC를 덮고 RF 간섭 및 전자기 간섭으로부터 차폐한다. RF 실드의 더욱 완전한 예시는 도 454a 및 도 461a에 도시된다. 피동 요소(42106)는 비아(42201) 및 피드 스트립(42203)을 포함하는 비아 급전에 의해 급전된다. PCB(42108)의 비아(42207)는 방사 요소(42106)에 매우 가깝다. 비아와 패치 사이의 거리는 아래에서 추가적으로 상세히 논의되는 바와 같이 엔드파이어 이득을 최대화하도록 최적화되거나 개선될 수 있다.
도 423은 본 개시내용의 일부 양태에 따라, 직선일 수 있는 이동 플랫폼을 갖는 안테나 배열 구성의 실드 패치 요소를 도시한다. 도 423은 일반적으로 (42300)에 표시되는 바와 같이, 실드의 측면을 따라 이러한 모노폴 패치 안테나의 배열 내의 모노폴 패치 안테나 및 실드의 관계를 더욱 명확하게 나타낸다. 배열의 단지 기생 패치 요소(42104)만이 도시된다.
모노폴 안테나를 갖는 다이폴 안테나로 전이되는 표면 실장 디바이스를 갖는 다이폴 안테나
도 424a는 본 개시내용의 일부 양태에 따라, 다이폴을 모노폴을 갖는 다이폴로 전이시키는 SMD 안테나를 갖는 다이폴 안테나를 도시한다. 일반적으로 및 도 421과 관련하여 언급된 바와 같이, 수직 및 수평 편파를 갖는 엔드파이어 안테나 방사 패턴을 생성하는 것은 어렵다고 밝혀졌다. 다이폴 안테나는 요구되는 엔드파이어 방사 패턴을 생성하지만, 편파는 둘 다 생성하지 못한다. 수직 편파는 벽 반사 특성에 그리고 도킹 스테이션의 장착된 베이스를 매칭하는데 선호되지만, 핸드헬드 디바이스의 물리적 방향은 보장될 수 없다. 따라서, 편파 둘 다를 제공하는 것이 바람직하다.
본 개시내용의 일부 양태에서, 편파를 둘 모두 제공하기 위해, 안테나가 SMD 상에 인쇄된다. 표면 실장 디바이스는 때로는 표면 실장 기술(SMT)이라고 지칭된다. SMD는 조립의 용이성을 위해 표준 구성요소 치수를 가질 수 있다. 본 명세서에서 결합되는 안테나는 수평 및 수직 편파 방사를 갖는 수정된 다이폴(42400)을 포함한다.
본 개시내용의 일부 양태에서, 다음의 몇몇 문단에서 알 수 있는 바와 같이, 안테나는 회로 보드 상에 인쇄된 양 수평 아암을 갖는 전체 다이폴에서 시작된다. 결과적으로, 안테나, 현 시점에서는 다이폴은 주로 수평 편파를 갖는다. 본 개시내용의 일부 양태에서, 수직 아암은 수평 편파를 크게 감소시키지 않고 수직 편파를 부가해주는 SMD에 의해 추가된다.
도 424a를 참조하면, 모노폴을 갖는 다이폴로 변환하도록 구성된 SMD를 갖는 다이폴은 일반적으로 (42400)에서 도시된다. 이것은 예를 들어, GLONASS를 위한 공간 요건을 충족해야 함으로써, 공간이 품귀한 이동 디바이스 내 또는 다른 디바이스 내에 구현될 수 있다. 이러한 제한된 공간은 적절하게 기능하는 안테나를 설계하는 것을 어렵게 만든다. PCB 보드 또는 다른 회로 보드는 회로 보드 내부의 구성요소를 도시하기 위해 투명하게 도시된 (42403)에서 도시된다.
본 개시내용의 일부 양태에서, 안테나는 다이폴(42405, 42407)을 포함한다. 도 424d 및 도 424e에 관련하여 아래에서 더욱 상세히 도시되는 SMD(42409)가 또한 도시된다. 금속 트레이스(42411)는 또한 아래에서 논의되는 모노폴의 부분을 포함한다. 공간 요건 때문에, SMD 사이즈 "0402"가 사용될 수 있다. 일반적으로, 설명된 SMD는 특정 양의 수직 편파를 제공한다.
도 424b는 본 개시내용의 일부 양태에 따라, 도 424a의 안테나의 다이폴 부분의 사시도이다. 도 424b는 아직 추가된 SMD 요소 없이, (42402)에서 도 424a의 비아(42413, 42413A)의 시작 부분(42413) 및 도 424a로부터의 다이폴 아암(42405 및 42407)을 도시한다. 비아(42413, 42413A)는 아래에 상세히 설명되는 도 424d 및 도 424e에서 더욱 명확하게 도시될 수 있다. 도 424c는 본 개시내용의 일부 양태에 따라, 결합된 다이폴 및 모노폴 안테나를 도시한다. 도 424c의 (42404)에서 일반적으로 도시된 안테나에서, 본 개시내용의 일부 양태에서, 예를 들어, 안테나가 사용되는 사용자 디바이스 내의 높이 제한으로 인해 비아(42413, 42413a)의 길이가 충분한 높이를 갖지 못한다면, SMD(42409)에는 상부 트레이스(42411)를 포함하는 모노폴이 추가되었다. 다시 말해서, 시뮬레이션을 통해 안테나 아암이 사용자 디바이스의 두께에 의해 수용될 수 없는 특정 높이에 있어야 한다고 제시하면, 본 개시내용의 일부 양태에서, 트레이스(42411)가 비아(42413, 42413a)에 추가되고, SMD(42409)의 상부에 "포개어(folded)"진다.
도 424d는 본 개시내용의 일부 양태에 따라, 도 424a의 안테나의 모노폴 부분의 사시도를 도시한다. 안테나는 일반적으로 (42406)에서 표시된다. 실드는 다시 (42401)에서 도시된다. 금속화 비아(42413, 42413A)는 안테나 아암으로서 도시되고, 금속 트레이스(42411)는 필요하면 아암(42413, 42413A)을 연장시키는 기능을 한다. 다이폴의 하나의 수평 아암(42405)이 도시된다. 회로 보드(42403) 내부에 있는 스트립 라인일 수 있는 급전 라인(42415)이 또한 도시된다. 원래 도 424a에서 (42401)로 도시된 실드는 스마트폰 또는 다른 사용자 디바이스의 부분으로서 사용되며, 어느 경우든, 집적 회로를 차폐하고, 안테나를 위한 반사기로서 그리고 또한 임피던스 매칭을 개선하는 방법으로서 실드가 사용된다. 하나의 양태에서, 전이 안테나는 실드의 에지로부터 모노폴을 형성하는 비아의 중심까지의 약 1.2 밀리미터 그리고 실드의 에지로부터 SMD의 에지까지의 약 0.38 밀리미터에 배치되었다. 실제로, 거리는 거리를 최대화하려고 시도하는 목적이 있는 사용자 디바이스용 보드에서 얼마나 많은 공간이 이용 가능한지에 의해 주어진다.
도 424e는 본 개시내용의 일부 양태에 따라, 도 424a 및 도 424d의 안테나의 측면도이다. 도 424e는 상세 사항을 추가한 전체 패키지를 도시하며, 도 424b와 동일한 구성요소를 도시한다. 예를 들어, 피드라인(42415)은 (마찬가지로 공간 제한 때문에) 부분도에서 도시된 실드(42401)에 의해 덮이는 RFIC(또한 공간 제한 때문에 도시되지 않음)에 부착될 수 있는 회로 보드(42403) 내부에 있는 것으로 보일 수 있다. 피드라인(42415)은 금속 트레이스(42411)를 포함하는 것으로 도시되는 SMD(42409)의 상부로 이어지는 수직 아암(42413, 42413A)에 급전한다. (42417)은 안테나의 접지 평면을 나타낸다.
시뮬레이션된 방사 패턴은 다이폴 요소 상에 SMD 구성요소를 배치할 때, 이 조합이 안테나의 수평 편파 성분의 성능에 어떠한 상당한 부정적 영향도 미치지 않고 수직 편파를 발생하는 것을 보여주었다. 도 425는 본 개시내용의 일부 양태에 따라, 도 424a의 안테나의 방사 패턴을 도시한다. x, y 및 z 좌표는 도 424a에 도시된 좌표에 대응한다. 도 426a는 본 개시내용의 일부 양태에 따라, 도 424a의 안테나의 방사 패턴의 엘리베이션 컷(elevation cut)(42600)을 도시한다. 방사 패턴(42601)은 수직 편파에서 이득을 도시하고, 방사 패턴(42603)은 수평 편파에서 이득을 도시한다. 방사 패턴(42605)은 전체 이득을 도시한다. 도 426b는 본 개시내용의 일부 양태에 따라, 도 424b의 안테나의 방사 패턴(42602)을 도시한다. 도 424b의 안테나는 모노폴의 SMD 부분이 없고, 방사 패턴은 본질적으로 도 426b의 (42605)에서 도시된 도 424b의 다이폴(42405, 42407)의 방사 패턴이다.
실드 반사기를 갖는 SMD L-형상 다이폴
백 실드(backed shield)를 갖는 L-형상 다이폴이 아래에 설명된다. 도427a는 본 개시내용의 일부 양태에 따라, 반사기로서 사용되는 IC 실드를 갖는 SMD L-형상 다이폴의 측면도를 도시한다. 다이폴은 일반적으로 (42700)에서 도시된다. IC 실드(42703)에 의해 차폐되는 IC 회로(도시되지 않음)로부터 단일 종단(single ended)의 피드 라인(42701)은 다이폴의 수직 섹션(42705)에 급전한다. 수직 섹션(42705)은 (SMD(42708) 내부에 있는) 수직 섹션(42705A)으로서 계속되고, 수직 섹션(42705, 42705A)은 솔더 조인트(42711)에 의해 연결되고, 수직 섹션(42707, 42705A) 및 솔더 조인트는 다이폴의 수직 아암을 형성한다. 도 427a의 접지(42704)는 다이폴(42707)의 제 2 아암으로서 동작되도록 연장되어, L-형상 다이폴(아래에서 더욱 상세히 논의되는 바와 같이 도 427b에 더욱 명확히 도시된다)을 형성한다. IC 실드는 이동 디바이스의 보드 상에 있는 IC 위의 등각 커버(conformal cover)이다. 실드는 통상 전자기 간섭으로부터 보호하는 실드로서 보드에 접지된다. 설명된 바와 같이 백 실드와 결합된 L-형상 다이폴은 표준 패치 요소보다 엔드파이어 방향(도 427b에서 대각방향으로 도시됨)에 더 큰 이득을 가지고 방사할 수 있다.
본 개시내용의 일부 양태에서, L-형상 요소(42705, 42705A, 42707)(여기서 (42505A)는 도 427a에서 절결된 측면도로서 SMD(42708) 내부에 있는 것으로 도시된다)는 실드 근처 영역 및 보드 높이를 이용한다. 통상의 다이폴은 두 개의 수평 아암을 갖는다. 그러나, 도 427b에 도시된 다이폴은 하나의 수평 아암(42707) 및 하나의 수직 아암(42705, 42705A)을 갖는다. 다이폴의 수직 아암(42705)의 부분은 보드(이곳에 여기가 위치함) 내에 있고 부분(42705)은 SMD(42708) 내에 있어 필요에 따라 보드 높이를 더 낮출 수 있다. SMD(42708) 내 금속화 비아(42705A)는 SMD(42708) 안으로 포개지는 제 2 다이폴 아암으로서 작용하여 폴디드 다이폴을 형성한다. 다시 말해서, 다이폴의 두 개의 아암은 각각 상이한 평면에 있다.
예를 들어, 다이폴의 두 개의 아암은 각각 직교 평면에 있다. 이것은 수직 아암으로부터 수직이고 수평 아암으로부터 수평인 두 개의 상이한 편파를 발생시킨다. 본 개시내용의 일부 양태에서, 수직 아암(42705, 42705A)은 전체적으로 SMD(42708)를 통과하여 SMD(42708)의 상부(42709)까지 연장할 수 있다. 일어날 수도 있는 것으로서, 주어진 양태에서, SMD(42708)의 높이가 아암(42705A)의 필요한 길이에 전기적으로 충분하지 못하다면, 구리와 같은 수평 금속 트레이스가 아암(42705A)의 수평 방향으로 포개진 연장부로서 (42705A)에 추가될 수 있다(이제는 SMD(42708)의 상부 층(42709)까지 연장함). 수평 방향으로 포개진 연장부 또는 트레이스는 도 424a의 (42411)에서 도시된 금속 트레이스와 아주 유사하게 SMD(42708)의 상부(42709)에서 비아로부터 연장한다. 이러한 금속 트레이스는 수직 아암(42705, 42705A)을 연장하기 위해 사용될 수 있다.
본 개시내용의 일부 양태에서, SMD는 도 427a에 도시된 랜드 그리드 어레이 패드(LGA 패드)를 사용하여 PCB 위에 장착될 수 있으며, PCB에서 SMD(42709)는 부착된 비아 솔더(42711)로서 도시된다. 다시 말해서, 다이폴은 두 개의 아암, 즉, PCB에 있는 수평 아암(42707)을 형성하는 구리와 같은 하나의 수평 트레이스 및 SMD(42709)에 있는 하나의 수직 아암(42705A)을 포함한다. 도시된 바와 같이, 다이폴의 하나의 금속화 수평 아암은 접지(42704)로부터 나오고, 하나의 금속화 수직 아암 또는 이 사례에서 금속화 비아는 SMD 내에 있다.
본 개시내용의 일부 양태에서, 금속 트레이스로서 기능할 수 있는 금속화 비아(42705)의 폭/직경은 다이폴의 수평 아암을 형성하는 수평 트레이스(42707)의 폭과 실질적으로 동일한 폭을 갖는다. 따라서, 수직 금속화 비아는 마치 다이폴의 나머지 아암인 것처럼 전기적으로 보인다. 요약하면, 실드는 도 427a 및 도 427b에서 (42703)으로서 도시된다. 실드는 다이폴용 반사기로서 기능하며, 다이폴은 도 427b에 도시된 접지로부터의 금속화 수평 아암(42707) 및 도 427a에서 비아(42705, 42705A)로서 도시된 금속화 수직(또는 접힌) 아암을 포함한다. 수직 아암은 도 427a의 급전 라인(42701)에 의해 급전된다. 다시 말해서, 수평 아암은 접지되고 수직 아암은 IC로부터 피드라인에 의해 신호가 급전된다.
PCB는 일반적으로 복수의 층을 포함한다. 본 개시내용의 일부 양태에서, 수평 아암(42707)은 수평 아암(42707)을 형성하는 금속을 제외하고, 다이폴 둘레의 영역의 모든 층으로부터 금속을 소거하고, 다이폴의 하나의 아암으로서 수평 아암(42707)을 남겨 놓음으로써 형성될 수 있으며, 수직 아암(42705, 42705A)은 다이폴의 제 2 아암을 형성한다. SMD(42709)는 솔더(42711)에 의해 PCB에 부착될 수 있다. 솔더(42711)는 수직 아암에서 불연속인 것으로 나타나지만, 솔더는 모든 실질적인 방법으로 의도된 동작을 방해하지 않는 것임을 알 수 있다. 도 427b는 SMD L-형상 다이폴(42702)의 사시도를 도시하고, 아암(42705, 42705A)이 부분적으로 SMD(42709) 내부에 있고 아암(42705, 42705A)이 수평 아암(42707)에 대해 위로 포개진 것을 도시한다.
SMD L-형상 다이폴 대칭 배열
본 개시내용의 일부 양태에서, L-형상 다이폴 배열은 편파 다이버시티로 엔드파이어 방향에 높은 이득을 제공하도록 구성된다. 도 428은 양태에 따라, 이러한 SMD L-형상 다이폴의 네 개의 배열의 사시도를 도시한다. 배열이 네 개의 L-형상 다이폴을 포함하는 것으로 설명되지만, 이러한 배열은 네 개의 L-형상 다이폴로 제한되지 않으며 임의의 적절한 개수의 L-형상 다이폴일 수 있다. 배열은 일반적으로 (42800)에서 도시된다. 각각의 개개 L-형상 다이폴 요소(42801, 42803, 42805 및 42807)는 도 427a 및 도 427b에 관련하여 위에서 논의된 유형의 것일 수 있다. 각각의 이러한 L-형상 다이폴은 수평 아암(42707) 및 도 427a 및 도 427b에 관련하여 논의된 바와 같이 SMD 내부의 수직 아암(42705, 42705A)을 갖는다. 각각은 또한 도 427a 및 도 427b에 관련하여 논의된 바와 같이, 실드 벽(42802)과 관련하여 위치된다.
배열의 X, Y, Z 좌표 시스템은 도 428에 도시된 바와 같다. 실드(42802)는 본 특허에서 나중에 논의되는 바와 같이 실드 연장부를 갖는다. 본 개시내용의 일부 양태에서, 배열의 각각의 다이폴 요소는 RF 체인 입력, 입력으로서 RF 체인 1을 갖는 다이폴(42801), 입력으로서 RF 체인 2를 갖는 다이폴(42803), 입력으로서 RF 체인 3을 갖는 다이폴(42805) 및 입력으로서 RF 체인 4를 갖는 다이폴(42807)을 갖는다. 본 명세서의 각종 도면에 도시된 다른 실드와 같은 실드(42802)는 도면의 공간 고려 때문에 단지 부분적으로 도시된다. 실제로, 실드는 연장하여 IC를 덮을 수 있으며, 이 경우에 IC는 RF 체인 1, RF 체인 2, RF 체인3 및 RF 체인 4를 제공한다. 네 개의 L-형상 다이폴 요소는 설명된 양태에서 선형 배열을 형성한다.
본 개시내용의 일부 양태에서, L-형상 다이폴의 수평 아암의 방향은 각각의 RF 체인의 주어진 입력 위상을 위한 요소 사이에서 특정 필드 소거/추가를 달성하기 위해 L-형상 다이폴 안테나 요소의 인접한 쌍에서 의도적으로 대향하도록 배열된다. 이러한 양태의 설명을 추종하는 도면에서, 논의 중의 다이폴 배열 요소는 도 428의 다이폴 요소(42801, 42803, 42805 and 42807)가 될 것이고, 이들 각각의 수평 아암은 도 429a 및 도 429b의 수평 아암 1, 2, 3 및 4 각각으로 지칭될 것이다.
도 429a는 본 개시내용의 일부 양태에 따라, 수평 편파된 필드가 상쇄되는 수직 편파에 관한 도 428의 배열을 도시한다. 수평 아암 1, 2, 3 및 4에 관한 도 429a에서, RF 체인 1, 2, 3 및 4 각각으로부터 발생되는 필드는 상쇄된다. 이것은 수평 아암 1, 2, 3 및 4의 경우, 이들이 화살표로 표시된 바와 같이, 각각 반대(좌/우) 방향으로 배열되어 있어, 전류가 반대 방향으로 유동하고, 이에 따라 생성된 방사된 필드가 서로 상쇄되기 때문이다.
도 429b는 본 개시내용의 일부 양태에 따라, 수직 편파된 필드의 합을 갖는 수직 편파에 관한 도 428의 배열을 도시한다. 도 429b에서, 다이폴 안테나 요소(42801, 42803, 42805 및 42807)의 수직 아암의 경우, 이들이 동일한 방향(수직 화살표에 의해 표시되는 바와 같이 위쪽 방향)으로 배열되기 때문에, 전류가 동일한 방향으로 유동하고, 이에 따라서 생성된 방사 필드가 합해진다. 결과적으로 수직 편파가 달성된다. 환원 하면, 수직 편파를 얻기 위해, 수직 모드(0°, 0°, 0°, 0°)가 사용되며, 이 모드에서 수평 아암에 의해 방사되는 필드는 서로 상쇄되고 수직 아암에 의해 방사되는 필드는 합해진다.
도 430a는 본 개시내용의 일부 양태에 따라, 수평 편파된 필드의 합을 갖는 수평 편파에 관한 도 428의 배열을 도시한다. 수평 아암의 경우, 비록 이들이 반대 방향(좌/우)으로 배열된다 하더라도, 각각의 RF 체인 1, 2, 3 및 4로부터의 신호의 반대 위상은 전류가 동일한 방향으로 유동되게 만들고, 이에 따라 방사된 필드는 합해진다.
도 430b는 본 개시내용의 일부 양태에 따라, 수평 편파된 필드가 상쇄되는 수평 편파에 관한 도 428의 배열을 도시한다. 다이폴 안테나 요소(42801, 42803, 42805 및 42807)의 수직 아암의 경우, 이들이 동일 방향(수직 화살표에 의해 표시된 바와 같이 위)으로 배열된다 하더라도, 각각의 RF 체인 1, 2, 3 및 4로부터의 신호의 반대 위상은 전류가 반대 방향으로 유동되게 만들고, 이에 따라 수직 편파된 방사된 필드는 상쇄된다. 결과적으로 수평 편파가 달성된다. 환원하면, 수평 편파를 위해, 수평 모드(0°, 180°, 0°, 180°)가 사용되며, 이 모드에서 수직 아암으로부터 방사되는 필드는 소거되고 수평 아암으로부터의 방사된 필드는 합해진다.
도 431은 본 개시내용의 일부 양태에 따라, 수직(세타) 편파에 대한 3차원 방사 패턴을 도시한다. 수직 편파 모드(위상이 0°, 0°, 0° 및 0°)에 있을 때 에너지의 도시된 3차원 방사 패턴은 L-형상 다이폴 배열에 의해 방사된다. 전기장(E-세타)의 수직 성분에 대한 실현된 이득이 시뮬레이션되며, 최대 7.43 dB이다.
도 432는 본 개시내용의 일부 양태에 따라, 수평(파이) 편파에 관한 방사 패턴을 도시한다. 수평 편파 모드(위상이 0°, 180°, 0° 및 180°)에 있을 때 에너지의 도시된 3차원 방사 패턴은 L-형상 다이폴 배열에 의해 방사된다. 전기장(E-파이)의 수평 구성요소에 대한 실현된 이득이 시뮬레이션되며, 최대 7.14 dB이다.
도 428에서 개시내용의 양태는 이동 디바이스 내 제한된 공간을 이용할 뿐만 아니라, 이용 가능한 RF 체인의 사용을 확장한다. 예를 들어, (도시된 바와 같이) 이용 가능한 RF 체인이 단지 네 개이고 이상적으로 시스템이 여덟 개의 이용 가능한 RF 체인을 사용하고 그래서 시스템이 네 개의 수직 편파 RF 체인 및 네 개의 수평 RF 체인을 송신하면, 분해능이 바람직하다. L-형상 다이폴을 사용함으로써, 하나의 RF 체인이 두 개의 RF 체인으로 효과적으로 변환된다. 따라서, 제한된 공간이 네 개의 안테나 배열만을 허용하고 또한 회로로부터 이용 가능한 체인이 네 개의 RF 체인뿐이면, 네 개의 L-형상 다이폴 안테나 요소의 배열은 네 개의 수직 편파된 방사 요소 및 네 개의 수평 편파된 방사 요소를 제공하며, 이에 따라 원하는 여덟 개의 요소를 생성한다. 네 개의 안테나 배열은 예로 사용되며, 관련 기술분야에서 통상의 기술자라면 주어진 양태에 적합할 수 있다면 추가적인 개수의 안테나 요소가 안테나 배열에서 사용될 수 있다는 것을 인식할 것이다.
또한, 네 개의 RF 체인 중 다수가 IC 실드에 의해 덮인 회로로부터 이용가능하다면, 전체 유효 RF 체인의 개수의 두배가 달성될 수 있다. 예를 들어, 네 개의 RF 체인 중 다수가 직사각형 또는 정사각형 서브시스템에서 이용가능하면, 각각의 안테나에 개개의 RF 체인의 급전을 위해, 다수의 L-형상 다이폴 배열은 회로 서브시스템 둘레에, 서브 시스템의 상부에, 그리고 바람직하다면 서브시스템의 하부에 배치될 수 있다. 따라서, 복수의 네 개의 방사 요소의 두 배가 달성될 수 있다.
본 개시내용의 일부 양태에서, SMD 모노폴은 안테나로서 단독으로 사용될 수 있으며, 이에 따라 단일 요소로 수직(θ) 편파를 완전히 (또는 실질적으로 완전히) 달성할 수 있다. SMD 모노폴 안테나가 완전하게 수직 편파를 달성할 수 있게 하는 일부 조건은 모노폴이 수직 편파를 갖는다는 것인데, 이것은 모노폴이 RFEM (또는 RFEM과 동등한 특징)을 갖는 사용자 디바이스에서 사용될 때 RFEM의 표면에 대해 직교하는 위치에 있기 때문이다. 또한, 반사기로서 작용하는 IC 실드에 대해 모노폴의 피드의 배치가 중요하다. 실드의 기능은 방사된 에너지를 원하는 방향으로, 이 경우에는 엔드파이어로 반사하는 것이다. 실드는 방사된 필드의 편파에 영향을 주려고 의도된 것이 아니다.
도 433은 본 개시내용의 일부 양태에 따라, 단일의 SMD 모노폴 안테나(43303) 및 IC 실드(43301)를 도시한다. IC 자체는 공간의 고려 때문에 도시되지 않지만, 부분도에서 도시된 IC 실드(43301)의 좌측에 있고 IC 실드에 의해 덮일 것이다. SMD 모노폴(43300)은 두 개의 부분: (1) RFEM 패키지(43305)의 에지에 만들어진 비아 요소(43307) 및 (2) SMD 구성요소(43303) 내부에 구성된 구리 비아일 수 있는 비아 요소(43307a)를 포함할 수 있다. 비아(43307)는 모노폴의 하부 부분을 실현하고 비아 요소(43307A)는 모노폴의 상부 부분을 실현한다. SMD는 두 개의 패드: 신호를 위해 비아(43307)의 위치에 있는 하나의 패드 및 기계적 안정성을 위한 더미 패드(도시되지 않음)인 하나의 패드를 사용하여 RFEM 패키지 상에 납땜될 수 있다. 구리 (또는 다른 금속) 트레이스(43309)는 필요에 따라 모노폴의 전체 길이를 연장하도록 SMD의 상부 층 상에 인쇄될 수 있다. 두 개의 패드를 사용하는 전술한 설명 및 언급된 다른 상세 사항은 단지 예로서 사용될 뿐이며, 관련 기술분야에서 통상의 기술자라면 이러한 상세 사항은 특정 양태에 적절하다면 변경될 수 있다는 것을 인식할 것이다.
예를 들어, 트레이스(43309)는 그 중에서도 모노폴이 위치하는 사용자 디바이스 내 높이 제한 때문에 비아(43307, 43307A)가 충분히 길지 못하면 튜닝 목적을 위해 사용될 수 있다. 환원하면, 비아(43307, 43307A)의 높이가 안테나를 원하는 송신 주파수로 튜닝하는 요건을 만족시킬 만큼 충분하지 않으면, 비록 SMD(43303)의 상부에 수평 방향으로 포개져 있지만, 트레이스(43309)는 비아(43307, 43307A)에 요구되는 높이를 추가하기에 적합한 길이의 것일 것이다. 비아(43307, 43307A)가 충분한 높이를 갖는 본 개시내용의 일부 양태에서, 트레이스(43309)는 필요하지 않을 수 있다. 본 개시내용의 일부 양태에서, SMD 모노폴(43300)은 RFEM 패키지로부터 스트립라인 또는 다른 전송 선로(43311)으로 급전될 수 있다.
도 434는 본 개시내용의 일부 양태에 따른 3차원 방사 패턴을 도시한다. 도 434는 60 GHz에서 단일 모노폴의 방사 패턴을 도시한다. 도 435는 본 개시내용의 일부 양태에 따른, 단일 모노폴의 임피던스 도표 구성을 도시한다. 임피던스 도표 구성은 스미스 차트(Smith Chart) 상에 표현되고, 60 GHz에서 도표 구성(43501)은 중심점 근처에 있는데, 이것은 안테나가 잘 매칭된다는 것을 의미한다.
관심의 주파수에서 유전체 재료의 파장에 기초하여 모노폴의 길이를 계산하고, 유사하게 스트립라인 또는 다른 전송 선로 피드라인의 치수를 계산한 후에; 제조 제약뿐만 아니라 제한된 이용 가능한 공간 및 실드의 거리를 고려하여 반복적 3D 시뮬레이션이 수행되어 안테나 임피던스 매칭을 달성한다.
도 436은 본 개시내용의 일부 양태에 따라, 주파수에 걸쳐 단일 모노폴의 반사 손실을 도시한다. 도표 구성은 안테나가 60 GHz에서 잘 매칭된다는 것과 안테나가 56.56 GHz 내지 66 GHz의 임피던스 대역폭을 갖는 것을 도시한다. 도 437은 본 개시내용의 일부 양태에 따라, 단일 모노폴로부터 X-Z 평면에서 실현된 수직 편파 이득(θ)을 도시한다.
도 437은 60 GHz에서 이차원 도표 구성이고 전기장(E-세타)의 수직 성분이 d압도적인 것을 도시한다. 엔드파이어 방향에서 실현된 이득은 3.33 dB이다. 트레이스는 E-필드의 상이한 편파에 대해 X-Y 평면 상에 실현된 이득을 나타낸다. 엔드파이어 방향은 이러한 도표 구성에서 구십(90 도이다(이 방향은 도 433의 좌표계의 양의 x 축을 나타낸다).
도 438은 본 개시내용의 일부 양태에 따라, 단일 모노폴로부터 15° 초과 엔드파이어에서, 주파수에 걸쳐 실현된 수직 편파(θ) 이득을 도시한다. 전기장의 E-세타 성분에 대한 실현된 이득은 (43803)에서 도시된다.
송신 시스템과 수신 디바이스의 안테나 편파가 실질적으로 연결이 양호한 경우에 매칭될 수 있는 것을 고려하면, 듀얼 편파를 갖는 것의 목적은 송신기로부터 다른 디바이스(예를 들어, 도크(dock), 주변기기 또는 스마트폰 등)로의 송신을 최대화할 수 있는 것이다.
위에서 설명된 것과 유사한 RFEM을 갖는 스마트폰과 같은 사용자 디바이스는 송신기와 관련하여 사용자 디바이스의 방향을 이동하고 변경시킬 수 있다. 따라서, 어느 하나의 편파를 위한 옵션은 송신기 및 수신기의 상대적 위치와 관계없이 양호한 연결을 제공하려는 노력으로 사용된다.
본 개시내용의 일부 양태에 있어서, 송신 극성 및 이에 따라 주어진 시간에 어떤 유형의 안테나가 파이어링하는지는 가장 큰 강도로 수신된 신호의 극성의 표시에 기초하여 알고리즘적으로 제어될 수 있다. 이러한 표시는 사용자 디바이스로부터 송신기로 계속적으로 피드백되고 있을 수 있다. 이러한 동작은 수신기에서 편파와 매칭되는 송신된 편파를 달성하기 위해 구현된다.
본 개시내용의 일부 양태에서, 상이한 배열 조합은 사용자 디바이스에서 이용 가능한 영역에 종속하여 구현될 수 있다. 본 개시내용의 일부 양태에서, 편파 다이버시티는 예컨대, 위에서 논의된 도 428에서 도시된 바와 같은 네 개의 RF 체인으로부터 총 4 개의 피드 라인으로, 수직 편파(θ)를 위한 두 개의 모노폴 배열 및 수평 편파(Φ)를 위한 두 개의 다이폴 배열을 사용하여 엔드파이어 방향에서 달성될 수 있다. 각각의 배열은 주어진 시간에 동작하도록 구성될 수 있다. 수직 편파를 위한 두 개의 모노폴, 수평 편파를 위한 두 개의 다이폴과 같이 아래에서 도 439에 설명된 파라미터는 단지 예로서 주어질 뿐이며, 관련 기술분야에서 통상의 기술자라면 특정 구현에 적합하다면 상이한 개수 또는 복수의 이러한 안테나가 사용될 수 있다는 것을 인식할 것이다.
도 439는 본 개시내용의 일부 양태에 따라, 두 개 요소 모노폴 및 두 개 요소 다이폴 배열을 도시한다. 도 439는 두 개의 배열의 평면도(43900)를 도시한다. 위에서 논의된 바와 같이, 상부 부분이 평면도에 도시된 IC 실드(43901)는 원하는 방향으로 추가의 이득을 제공하는 반사기로서 사용된다. IC 자체는 실드에 의해 덮일 수도 있고, 실드를 넘어 도 439의 도면의 상부를 향해 위치될 수도 있으며, 실드에 의해 덮일 수도 있지만, 도면에서 공간 고려 때문에 도시되지 않는다. 제 1 배열은 모노폴(43903) 및 모노폴(43905)을 포함한다. 모노폴(43903 및 43905)은 도 433과 관련하여 논의된 동일한 유형의 모노폴일 수 있다.
배열이 평면도로 도시되기 때문에, 도 433의 모노폴 수직 아암(43307, 43307A)을 위한 신호 연결부는 도 439의 (43903A)에서 도시될 수 있고 도 433과 관련하여 위에서 논의된 더미 패드는 도 439의 (43903B)에서 지지 목적을 위해 도시된다. 관련 기술분야에서 통상의 기술자라면 지지부가 도시된 바와 같이 배치된 더미 패드에 의해 제공되는 것과 달리 제공될 수 있다는 것을 인식할 것이다. 두 개의 모노폴이 급전 라인(43907) 및 급전 라인(43909)에 의해 각각 급전된다.
본 개시내용의 일부 양태에서, 다이폴(43911 및 43913)은 RFEM 패키지 층 상에 인쇄된다. 다이폴 아암의 코너는 아암의 길이를 늘이기 위한 것이지만, 안테나 배열에 필요한 공간을 매우 제한되게 하는 동축 커넥터(43915)를 비롯한 아암 주위의 다른 금속과의 간섭을 피하기 위해 본 개시내용의 일부 양태에서 포개진다. 네 개의 위로 포개진 다이폴 아암 중 단지 하나만 (43911A)로서 열거되지만, 위로 포개짐은 논의 중의 양태에서 네 개의 다이폴 아암 모두에 전형적이다. 하나의 양태에서, 배열은 도 439 상에서 도시된 치수를 갖는다. 접지 평면(GND)은 기판(43902)의 층 중 하나의 층 상에 있다. 기판(43902)은 부분도에 도시되지만 실제로 도 439에 도시된 (43902)의 경계를 넘어 연장될 수 있다. 모노폴은 실드로부터 특정 거리에 있고, 다이폴은 개선된 동작을 위해 GND 평면으로부터 특정 거리에 있어야 한다. 또한, 배열의 요소 사이(다이폴 대 다이폴 및 모노폴 대 모노폴)의 거리는 이용 가능한 제한된 영역을 고려하여 개선된 성능을 위해 설계된다. 위에서 논의된 치수는 시뮬레이션 애플리케이션을 사용하여 사용자 디바이스에서 이용 가능한 치수를 애플리케이션에 입력하고, 시뮬레이션 결과로부터 적절한 치수를 판단함으로써 결정되어, 원하는 방사 지향성 및 다른 파라미터일 수 있는 바람직한 결과를 얻을 수 있다.
도 440은 본 개시내용의 일부 양태에 따라, 60 GHz에서 두 개 다이폴 배열의 3차원 방사 패턴을 도시한다. 이러한 양태에서 전체 실현된 이득은 대략 4.16 dB의 최대 이득으로 측정된다. 패턴에 대한 방향(+Z)은 도 439에 도시된 바와 같이 보드의 하부를 향한 방향이다.
도 441은 본 개시내용의 일부 양태에 따라, 도 439의 두 개 다이폴 배열로부터 엔드파이어 방향으로 주파수에 걸쳐 실현된 수평 극성(φ) 이득을 도시한다. 전기장의 E-파이 성분에 대해 실현된 이득은 (44101)에서 도시된다.
도 442는 본 개시내용의 일부 양태에 따라, 60 GHz에서 도 439의 두 개 모노폴 배열의 3차원 방사 패턴을 도시한다. 도 440에서와 같이, +Z 방향은 보드의 하부를 향한 방향이다. 도 443는 본 개시내용의 일부 양태에 따라, 구현된 수직 극성(θ)을 도시한다. 전기장의 E-세타 성분에 대해 실현된 이득은 (44301)에서 도시된다.
다수의 SMD 안테나 양태
몇몇 일반적인 정보가 더 상세히 아래에서 논의되는 도 444 내지 도 447에 적용된다. 논의되는 패치 안테나의 길이는 전형적으로 λ이며, 여기서 λ는 유전체에서 파장이다. 우리가 사용하고 있는 (예를 들어, 대략 (~) 3의 유전 상수를 갖는) 유전체 재료 상의 60 GHz 안테나의 경우, 길이는 대략 1.2 mm이다. 패치 안테나의 폭은 길이보다 약간 더 크다; 그러나 이중 피드/이중 편파된 안테나의 경우, 폭 및 길이는 모두 동일(-1.2 mm)해야 한다.
패치 안테나의 다른 중요한 치수는 패치와 기준 접지 사이의 유전체의 두께 및 메인 패치와 기생 패치(기생 패치가 존재한다면) 사이의 유전체의 두께이다. 다음은 관련된 인자이다. (재료 특성과 짝지어서) 유전체의 두께는 안테나의 임피던스 대역폭에 직접적으로 관련된다. 예를 들어, WiGig(60 GHz)에 대한 기준 포인트로서, 대역폭(~8 GHz)이 바람직하다. 단일 패치(예를 들어, 메인 패치)를 갖는 솔루션의 경우, 유전체의 두께는 ~λ이어야 한다. WIGig에서와 같이, 광대역이 바람직하면, 두께는 ~300 um이어야 한다. 기생 패치를 갖는 솔루션의 경우(단일 + 기생의 경우), 전체 두께는 ~ λ이어야 한다(다시 말해서, 접지와 메인 패치 사이의 유전체, 플러스 메인 패치와 기생 패치 사이의 유전체의 두께를 추가해야 한다). 그 중 더 두꺼운 것은 각각의 유전체 재료의 유전 상수에 종속한다. 이 개념은 메인 패치가 접지에 좀 더 견고하게 연결되는 것이다. 추가로 아래에서 일반적으로 논의되는 도 445의 사례에서, 실드는 접지 기준으로서 동작하기 때문에, 접지와 메인 패치 사이의 유전체는 공기일뿐이다.
다음은 또한 아래에서 추가로 상세히 일반적으로 논의되는 도 444 내지 도 447의 SMD로부터 실드의 거리에 대한 관련된 인자이다. 도 444 및 도 447에서 개시내용의 양태에 대해, SMD로부터 실드의 거리는 제조가 허용하는 만큼 가까울 수 있다. 도 445의 양태의 경우, SMD로부터 실드의 거리는 접지 기준과 메인 패치 사이의 유전체의 두께에 관련하여 위에서 설명된 규칙을 따라야 한다. 도 446의 양태의 경우, 이 거리는 의도된 방사의 방향에 종속한다. 거리는 엔드파이어 방사를 위해 가능한 가까워야 하고 브로드사이드 방사를 위해 가능한 멀어야 한다. 일반적으로, 거리는 이 두 극단 사이 어느 곳일 것이다. 도 448의 양태의 경우, 거리는 이용 가능한 공간을 고려하면(이용 가능한 공간은 본 명세서에서 설명되는 본질적으로 모든 양태에 대한 제한 인자임), 가능한 멀어야 한다. 이것은 단일 및 이중 편파 둘 모두에 대해 적용된다.
도 444는 본 개시내용의 일부 양태에 따른 단일 패치, 이중 피드, 이중 편파 수직 SMD 패치 안테나를 도시한다. 도 444에서, PCB는 (44401)에서 도시된다. RFIC(44403)를 덮는 RFIC 실드(44405)는 패치 안테나(44409)용 반사기로서 동작하도록 구성될 수 있다.
본 개시내용의 일부 양태에 있어서, 패치 안테나(44409)는 도시된 바와 같이 디렉터(44417)에 인접한 SMD(44407)의 페이스 상에 에칭되거나 또는 다른 방식으로 구성된다. 패치 안테나(44409)는 포개지거나 또는 포개지지 않을 수 있다. 다시 말해서, 위의 양태에 대해 설명된 바와 같이, (44409)와 같은 패치 안테나는 필요하다면, 여분의 길이가 제공된다면, DMC의 측면으로부터 하부까지 둘레를 감쌀 수 있다. SMD 상에 에칭되거나 다른 방식으로 위치되는 접지는 (44411)에서 도시된다. 다시 말해서, 본 개시내용의 일부 양태의 접지(44411)는 도시된 바와 같이 측면 상에 있을 수 있고, 필요에 따라, 도시된 바와 같이 둘레를 감쌀 수 있다.
본 개시내용의 일부 양태에서, 패치 안테나(44409)는 적절한 피드를 보드로부터 적절한 SMD 층으로 연결하는 보드 상의 피드라인(44413) 및 SMD 다층 구성요소 내 피드라인(44415)에 의해 이중으로 급전된다. 마이크로비아(44416)는 SMD(44407)의 하부에서부터 또는 그 근처로부터 SMD 구성요소 내 중간 높이까지 연장되고, 패치 안테나의 위치에 급전하고 패치 안테나 위치에 연결되며 (하부 라인(44415)과 짝지어) 안테나가 이중 편파된 안테나로서 기능하게 만드는 디바이스 내의 라인(즉, 상부 라인(44415))까지 이어진다.
본 개시내용의 일부 양태에 있어서, 디렉터(44417)는 사용자 디바이스(예를 들어, 폰, 랩톱 등)의 새시 상에 에칭되거나 형성되어 방사를 수신기를 향한 방향(44419)으로 지향시킨다. 위에서 논의된 도 418은 디렉터가 배치된 새시를 도시한다. 안테나가 이중 및 직교적으로 급전되기 때문에, 두 개의 직교 방향으로 이중 편파를 제공하며, 그 방향은 어느 이중 피드가 선택되는지에 따른다.
본 개시내용의 일부 양태에서, 주어진 시간에 어느 피드를 사용할지의 선택은 수신기에서 수신된 편파된 신호의 강도에 따라, 제어기에 의해 제어되어 안테나가 필요에 따라 하나 또는 다른 편파를 제공할 수 있도록 한다. 본 개시내용의 일부 양태에 있어서, 사용자 디바이스에서 수신된 편파된 신호의 강도는 제어기에 의한 피드 선택을 위해 피드백된다. 이것은 제어기가 더 강한 수신된 신호를 제공할 수 있는 편파를 제공하는 피드를 선택하여, 전체적 성능을 개선할 수 있게 한다.
도 445는 본 개시내용의 일부 양태에 따른 적층 패치, 단일 피드, 단일 편파 수직 SMD 패치 안테나를 도시한다. 도 445에서, PCB는 (44501)에서 도시된다. RFIC(44503)를 덮는 RFIC 실드(44505)는 패치 안테나(44509)용 반사기 및 접지 기준으로서 동작한다. 패치 안테나(44509)는 SMD(44507)의 도시된 면 상에 에칭되거나 다른 방식으로 구성된다. 패치 안테나는 포개지거나 또는 포개지지 않을 수 있다. (44511)에서 도시된 패치 안테나는 기생 요소이다. 추가의 기생 요소는 다른 양태에 적절하다면, 도 445에 대해 사용될 수 있고, 아래에서 설명되는 추가의 SMD 예시 도면에 대해 사용될 수 있다. 패치 안테나(44509)는 보드 상의 단일 피드 라인(44513)에 의해 급전된다. 디렉터(44515)는 사용자 디바이스의 새시 상에 에칭되거나 형성되어 방향(44517)으로 방사를 지향시킨다. 단지 하나의 피드가 있기 때문에, 단일 편파만 있다.
도 446은 본 개시내용의 일부 양태에 따라 수평 SMD 패치 안테나를 도시한다. 도 446에서, PCB는 (44601)에서 도시된다. RFIC(44603)를 덮는 RFIC 실드(44605)는 피동 용량성 패치 안테나(44609) 및 기생 패치 안테나(44615)를 포함하는 패치 안테나용 반사기로서 동작한다. 또한 주요 용량성 패치(44609)의 접지 기준으로서 작용하는 PCB 내 접지 층(44611)이 있다. 접지(44611)는 일정 비례로 축척하여 그려지지지 않는다. 접지는 패치 자체보다 매우 크다. 본 개시내용의 일부 양태에서, 접지는 PCB의 전체 영역일 수 있다.
본 개시내용의 일부 양태에 있어서, 용량성 패치 안테나(44609)는 SMD(44607)의 도시된 면 상에 에칭되거나 다른 방식으로 구성된다. 패치 안테나는 포개지거나 또는 포개지지 않을 수 있다. 패치 안테나(44609)는 보드 상의 이중 피드 라인(44613)에 의해 급전된다. 이중 피드가 있기 때문에, 이중 편파, 즉 위에서 논의된 바와 같이 알고리즘적으로 제어될 수 있는 수직 및 수평 편파 두 가지가 있을 수 있다.
도 447은 본 개시내용의 일부 양태에 따라, 크로스-해치(cross-hatch) 패턴을 사용하는 수직 SMD 패치 안테나(44708)를 도시한다. 도 447에서, PCB는 (44701)에서 도시된다. RFIC(44703)를 덮는 RFIC 실드(44705)는 패치 안테나(44708) 용 반사기로서 동작한다. 본 개시내용의 일부 양태에 있어서, 접지 기준은 SMD(44707)의 반대 측면 상의 크로스-해치 패턴(44710)이고, PCB의 하부 층까지 아래로 연장된다. 패치 안테나(44708)는 고밀도 크로스-해치 구리 트레이스 및 마이크로비아를 사용하여 만들어지는 용량성 패치일 수 있다. 이러한 패턴은 SMD(44707) 구성요소의 본체 내부 및 메인 호스트 PCB(44701) 내부에 구현될 수 있다. 크로스-해치 SMD 구성요소는 다수의 솔더 포인트(44709A 및 44709B)를 사용하여 연결될 수 있다. 패치 안테나(44708)는 포개지거나 또는 포개지지 않을 수 있다. 패치 안테나(44708)는 보드 상의 이중 피드 라인(44713)에 의해 급전되며, 이 피드 라인은 이중 편파를 위해 두 개의 직교 피드이어야 한다. 디렉터(44711)는 새시 상에 에칭되거나 형성되어 방향(44719)으로 방사를 지향시킨다.
도 448은 본 개시내용의 일부 양태에 따라, 원형 편파를 갖는 SMD 나선형 안테나를 도시한다. 도 448에서, RFIC(44803)는 PCB(44801)에 연결된다. RFIC(44803)를 덮는 RFIC 실드(44805)는 나선형 안테나(44809) 용 반사기 및 접지 기준으로서 동작한다. 나선형 안테나(44809)는 SMD(44807)의 상부 층 및 하부 층 상의 비아 및 트레이스를 사용하여 만들어질 수 있다. SMD(44807)가 다층이라면, 나선형 안테나는 SMD(44807) 내부 층에 있는 비아 및 트레이스를 사용하여 구현될 수 있다. 나선형 안테나(44809)는 보드 상의 단일 피드 라인(44813)에 의해 급전된다. 디렉터(44813)는 새시 상에 에칭되거나 형성되어 방향(44815)으로 방사를 지향시킨다.
도 449는 본 개시내용의 일부 양태에 따라, SMD 내의 나선형 안테나의 구현예를 도시한다. RFIC는 (44903)에서 도시되며, 또는 본 개시내용의 일부 양태에서, (44903)은 RFIC를 유지하는 PCB를 표시할 수 있다. 트레이스(44907)는 SMD(44905)의 상부 및 하부에 인쇄될 수 있다. 또한, 비아(44909)는 도시된 바와 같이 SMD의 상부와 하부 사이에 배치되어 트레이스를 연결할 수 있다. 원형이 아닌 나선형으로 종종 도시되지만, 도시된 트레이스-비아 조합은 나선의 하나의 원형 또는 타원형 루프로서 동작할 수 있다. 복수의 이러한 루프는 원형 루프와 같은 기능을 하도록 서로 연결될 수 있다. 하부 트레이스(44907)는 좌측이 개방되고 (비아(44910)에 연결되지 않고) 제 2 루프에 연결될 수 있으며, 제 2 루프는 유사하게 제 3 루프에 연결되는 등등으로 나선을 형성한다. 예를 들어, SMD 구성요소가 다층이면, 트레이스 루프 및 연결 비아는 나선의 더 많은 턴(turn)을 가능하게 하면서 내부 층 상에 구성될 수 있다. 비아(44911)는 RFIC(44903) 내부의 단일 스트립 라인 피드(44915)에 연결된다. 비아(44909)는 스트립 라인 피드(44915)를 기준으로 하는 GND 층을 스티치(stitch)하는 접지 비아이다.
도 450은 본 개시내용의 일부 양태에 따라, RFIC로부터 새시 상의 복수의 디렉터로의 커플링 방사(coupling radiation)를 도시한다. RFIC(45003)가 부착된 PCB(45001)가 도시된다. 네 개의 SMD 구성요소(45005) 각각은 도 444 내지 도 447에 도시된 바와 같은 안테나 요소를 포함하고, 이득 대 사이즈를 위해 서로 적절한 거리에 이격되고, RFIC(45003)로부터 피드 메커니즘(45007)에 의해 급전된다. 피드 메커니즘(45007)은 각각 위에서 논의된 바와 같은, 단일 피드, 단일 편파 피드 메커니즘, 또는 이중 피드, 이중 편파 피드 메커니즘일 수 있다. 또한 본 특허의 위에서 논의된 바와 같이, 거리 및 다른 파라미터는 내부에서 안테나가 사용되는 디바이스 내에서 이용 가능한 공간의 함수이다. 거리 및 다른 파라미터는 많은 경우에, 이용 가능한 거리 또는 거리 범위, 각도 및 다른 파라미터를 시뮬레이션 소프트웨어에 입력하고, 어느 세트의 거리, 각도, 이득, 방사 패턴 및 다른 파라미터가 바람직한 결과를 제공하는지를 결정하는 시뮬레이션에 의해 결정될 수 있고, 디바이스 새시(45009) 상의 디렉터와 같은 네 개의 타겟 특징부(45011)가 또한 도시된다. SMD 구성요소(45005)는 28 GHz 안테나 배열을 나타낼 수 있으며, 각각의 안테나 요소는 동일한 편파의 RF 신호에 의해 급전된다. SMD 구성요소와 타겟 특징부 사이의 방사의 표시는 (45013)에서 파형 라인에 의해 도시된다. SMD 구성요소와 새시 특징부 사이의 간격은 28 GHz에서 0.5 mm 내지 1.0 mm 정도일 것이다. 이 양태에서, 안테나 요소는 SMD 구성요소이지만, 이들은 또한 PCB 상에 실현될 수 있다.
위에서 논의된 바와 같이, RFEM, RFIC 등과 같은 RF 서브시스템은 무선 주파수 간섭(radio frequency interference)(RFI) 및 전자기 간섭(electromagnetic interference)(EMI)으로부터 보호하기 위해 차폐부를 사용한다. 차폐부는 금속화되고 일반적으로 박스를 형성하여 그 내부에 배치된 활성 다이를 덮는다. 금속화된 트레이스를 통해서 또는 다른 적합한 유형의 커플링 메커니즘을 통해서 차페부 내부 RFIC에 연결될 수 있는 활성의 금속 라인 안테나로서 또는 슬롯 라인으로서 안테나 구조를 생성하는 차폐부로부터의 절개부(cutout)가 아래에서 다양한 폼과 패턴으로 설명된다.
도 451a는 본 개시내용의 일부 양태에 따라, 안테나를 형성하는 IC 실드 벽 절개부의 사시도이다. 도시되지 않은 실드 커버를 갖는 IC 실드(45100)가 사시도로 도시된다. IC 실드가 고정된 PCB의 상부는 (45113)에서 표시된다. 도 451a에서, 아이템(45113)은 PCB의 상부로부터 멀게 보여진다. 그러나, 이것은 단지 도면 공간의 부족 때문이다. 아이템(45113)은 RFIC 다이가 위치되는 PCB의 상부이다. 실드는 솔더에 의해 PCB에 고정될 수 있다. 포인트(45115)는 갭 또는 개구를 도시한다. 본 개시내용의 일부 양태에서, 이들은 PCB의 상부의 네 코너 둘레에 있는 것이 전형적이다. RFIC 다이(45101)는 PCB(45113)에 또한 고정되며, 본 개시내용의 일부 양태에서, RFIC 다이(45101)의 바닥에 있는 솔더 볼에 의해 표시되는 바와 같은 솔더일 수 있다. 실드 벽 중 두 개는 가시적으로, 각각 "실드 벽"으로 표기되며, 제3 실드 벽은 파선으로 가시적이다. 따라서, IC 실드의 내부는 가시적이다. 실드 벽(45103)에서 절개부는 (45105)에서 가시적이고 PCB에서 실드 벽의 바닥에 연속되고 안테나로서 기능한다. 이 양태에서 이러한 절개부는 평면 역 F형 안테나(planar inverted F antenna)(PIFA)인 안테나로서 벽 요소(45107)를 형성한다. 아래에 표시되는 바와 같이, PIFA는 실드로부터 절개될 수 있는 안테나의 하나의 예일 뿐이고 양태는 PIFA를 사용하는 것으로 제한되지 않는다. 논의 중의 양태에서, PIFA 안테나는 도 451a에서 크로스 해치된 섹션(45107)이다. 이것은 금속화된다. PIFA(45107) 둘레의 절개부는 크로스-해치되지 않은 두 개의 섹션에 있는 (45105)이다. 그래서 PIFA(45107)를 형성하는 금속화는 도면에서 대각으로 선을 그은 구간에 도시된다.
도 451b에서, 도시의 명확성을 위해, GND 평면 에지 라인 위의 PIFA 안테나(45107) 둘레에는 도시된 주변 금속이 없다. 그러나, 도 451a에서, PIFA(45107)는 절개부(45105) 내 실드의 측면 내에서 나타나는 바와 같이 도시된다. 본 개시내용의 일부 양태에서, 벽 요소(45107)는 절개부(45105)에 의해 형성되는 안테나에 피드하고 RFIC 다이(45101)의 적절한 송수신기 회로에 연결되는 피드 전송 선로(45111)에 있는 PCB에서 종단될 수 있다. 도시된 동평면 도파관 또는 마이크로 스트립 등과 같은 다양한 유형의 피드 메커니즘이 사용될 수 있다. 전송 선로(45111)는 금속을 제거하여 접지(GND)로부터 전송 선로(45111)를 격리하는 세그멘트(45112)를 노출시킴으로써, PCB의 바닥(45113) 상에 형성될 수 있다. 도 451a에 도시된 바와 같이, 피드 라인(45111)은 PIFA(45107)로 이어지는 실드 벽(45103) 금속화 상에 부분적으로 그리고 PCB 상에 부분적으로 존재한다.
도 451a에서, 본 개시내용의 일부 양태에서, 대부분의 전송 선로(45111)는 RFIC 다이가 위치되는 PCB 상에 있다. 크로스-해치 라인이 채워진 영역(45111)은 PCB 상의 금속화 전송 선로인 반면, 전송 선로의 단지 작은 섹션만이 실드 벽 상에 위치된다. 다시 말해서, 크로스-해치 라인이 채워진 영역(45107) 및 실드 벽(45103)((45105) 이외)의 나머지는 실드 금속 상의 금속화부이다. PIFA 안테나(45107)는 이 예에서 실드 벽의 금속화 없는 절개부(45105) 내에 형성된다. 전송 선로(45111)의 어느 일 측 상에서, 영역(45112)은 PCB 금속화가 피드 라인(45111)의 어느 일 측(45112) 상에서 제거되어(박리되어) (45111)을 PIFA에 급전하는 송신 라인을 만드는 영역이다. 박리는 보통 PCB 상에서 에칭함으로써 행해진다. 에칭 대신 스크렙핑이 가능하지만 정확한 것으로 여겨지지 않는다. 박리는 또한 실드 금속 상의 기계가공 또는 다른 기계적 절개 메커니즘에 의해 달성될 수 있다. 피드 라인은 (예를 들어, PCB 상에서) 다수의 기술을 사용하여 구현될 수 있고 하나의 기술로 제한되지 않는다.
절개부(45105)에 인접한 벽의 요소(45109)는 PCB의 접지(GND)에 연결되고 절개부 안테나(45107)의 접지와의 단락 라인으로서 기능한다. 본 개시내용의 일부 양태에서, GND는 절개에 의해 형성되는 안테나의 접지 평면으로서 기능한다. 도 451b는 본 개시내용의 일부 양태에 따라, 도 451a에 도시된 안테나를 포함하는 벽 절개부의 측면도이다. 도 451b는 평면 역 F형 안테나(PIFA)를 도시하며, 요소(45105) 및 벽 요소(45107, 45109) 및 피드 전송 선로(45111)는 도 451a에서 같은 번호가 부여된 요소와 동일하다. PIFA가 안테나를 벽 요소(45109)를 통해 접지(GND)에 연결하는 비교적 간단한 방법을 제공하기 때문에, 그리고 또한 사용자 디바이스에서 필요한 요구되는 공간을 감소시키는 4분의 1 파장에서 알려진 공진 때문에, 그리고 또한 PIFA가 양호한 신호 흡수율 특성을 갖기 때문에, PIFA는 주로 이러한 양태에서 사용된다. 동작 시, 피드 전송 선로(45111)는 실드 벽(45103)으로부터 외측으로 RF 에너지를 방사하는 안테나로서 기능하는 절개부(45105)에 존재하는 안테나 요소에 급전하도록 구성된다. 본 개시내용의 일부 양태에서, (45107)에서 도시된 PIFA와 같이, 방사는 실질적으로 전방향성이다. 절개부 및 안테나 요소는 다른 구성, 예를 들어, 노치 또는 슬롯 또는 적합한 그라운딩을 갖는 패치의 형태일 수 있다.
도 451c는 본 개시내용의 일부 양태에 따라, 안테나 배열의 안테나 요소를 포함하는 상부 절개부 및 벽 절개부를 갖는 IC 실드의 사시도이다. 도 451c에서, 벽은 전형적으로 (45103)에서 도시되고 상부는 (45106)에서 도시된다. 결과적으로, 도 451c의 사시도는 IC 실드의 커버(45106) 아래에 있는 것으로 숨겨진 뷰로 도시된 RFIC(45101)를 덮는 IC 실드를 도시한다. 상부(45106) 상의 절개부는 (45105A)에서 PCB를 통한 접지로의 경로를 제공하는 벽 요소(45109A)와 함께 도시된다. 도 451c의 절개부(45105A)는 안테나로서 기능하고, 도 451a의 절개부(45105)와 실질적으로 동일한 유형의 도 451c에 숨겨진 뷰로 도시된 안테나이다. 숨겨진 라인으로 도시된 피드 전송 선로(45111A)는 RFIC(45101)로부터 안테나(45109)에 급전하고, 숨겨진 뷰에서 피드 전송 선로(45111)와 동일하거나 또는 더욱 간단하다.
본 개시내용의 일부 양태에서, 두 개 이상의 안테나는 다른 것에 수직으로 배향될 수 있다. 예를 들어, 실질적으로 물리적으로 서로 직교하는 두 개의 안테나(45105 및 45105A)는 두 개의 상이한 편파 및/또는 공간적 커버리지를 지원한다. 각각의 안테나는 새로운 벡터 합을 생성하도록 동일한 신호로 또는 동작의 다중 입력 다중 출력(MIMO) 모드를 가능하게 하도록 두 개의 상이한 신호 또는 공간적 스트림으로 급전될 수 있다. 상이한 시간에 급전될 때, 위에서 논의된 바와 같이, 제어 구성에 따라, 상이한 시간에 두 개의 상이한 편파에서 방사가 일어날 수 있다.
본 개시내용의 일부 양태에 있어서, 주어진 시간에 어느 피드를 사용할지의 선택은 신호가 송신되는 수신기에서 수신된 편파된 신호의 강도에 따라, 제어기에 의해 제어될 수 있어 안테나가 필요에 따라 하나 또는 다른 편파를 제공할 수 있게 한다. 본 개시내용의 일부 양태에 있어서, 수신기에서 수신된 편파된 신호의 강도는 주어진 시간에 더 강한 수신된 신호를 제공하는, 수직 또는 수평 편파를 제공하는 피드를 선택하도록 제어기에 의한 피드 선택을 위해 피드백된다. 피드백은 계속적으로 제공되어, 적합한 편파를 계속적으로 제공하고 전체적 성능을 개선한다. 본 개시내용의 일부 양태에서, 피드 둘 모두는 공간적인 직교성을 갖는 MIMO 신호를 해독하기 위해 사용된다.
도 451d는 본 개시내용의 일부 양태에 따라, 안테나 배열의 안테나 요소를 포함하는 제 1 벽 절개부 및 제 2 벽 절개부를 갖는 IC 실드의 사시도이다. 도 451d에서 IC 실드(45106)는 도 451a의 (45100)에 도시된 것과 동일하다. 그러나, 실드는 피드 전송 선로(45111) 및 절개부(45105)와 동일한 방식으로 다이와 관련하여 위치되는 피드 전송 선로(45111A)를 포함하는 제 2 절개부(45105A)을 갖는다. 실드가 직사각형이기 때문에, 두 개의 절개부(45105 및 45105A)는 서로 직교하고 도 451c에 관련하여 논의된 바와 같은 방식으로 동작한다. 설명된 바와 유사한 피드 메커니즘을 갖는 실드의 상부 상에 두 개의 직교 절개부 안테나와 같은 다른 구현예 및 다른 구현예가 가능하다.
RF 시스템에서, 안테나는 송신/수신(T/R) 스위치에 연결되고, 다음으로 TX 및 RX 체인의 파워 증폭기(PA) 및 저소음 증폭기(LNA)에 각각 연결된다. mmWave 주파수에서, 이러한 T/R 스위치와 연관된 손실은 RF 성능의 관점에서 보아 크고 고통스럽다. (단일 및 이중 편파 둘 모두를 위한) RF 라인업 및 안테나 급전 네트워크는 도 452Aa 및 도 452b에서 정사각형 패치 안테나 용도로 도시된다. 그러나, 이것은 다른 유형 및 형상의 안테나 구현에 적용될 수 있다.
도 452a는 본 개시내용의 일부 양태에 따라, 단일 편파 설계를 위한 송신/수신 (TR) 스위치를 포함하는 패치 안테나 및 RF 피드 라인 연결부를 도시한다. 도 452a에서, 패치 안테나(45201)는 아래에서 추가적으로 상세히 논의되는 매치 포인트(45205)에서 연결되는 피드 라인(45203)을 갖는다. 안테나(45207)는 패치 안테나(45201)와 동일하고, 송수신기의 피드 라인(45203)은 T/R 스위치(45209)에 부착된다. PA(45211) 및 LNA(45213)는 각각 도시된 바와 같이 T/R 스위치(45209)에 연결되고, T/R 스위치는 송신 모드 및 수신 모드를 위해 스위칭된다.
도 452b는 본 개시내용의 일부 양태에 따라, 이중 편파 설계를 위한 송신/수신 (TR) 스위치를 포함하는 패치 안테나 및 RF 피드 라인 연결부를 도시한다. 도 452b에서, 패치 안테나(45215)는 매치 포인트(45219)에서 연결되는 수평 편파 피드 라인(45217)을 갖는다. 수직 편파 피드 라인(45224)은 매치 포인트(45223)에서 연결된다. 안테나(45225)는 패치 안테나(45215)와 동일하다. 수평 편파를 위해, 송수신기의 수평 편파 피드 라인(45217)은 T/R 스위치(45227)에 부착된다. PA(45229) 및 LNA(45231)는 각각 도시된 바와 같이 T/R 스위치(45227)에 연결되고, T/R 스위치는 수평 편파된 신호를 위한 송신 모드 및 수신 모드를 위해 스위칭된다. 수직 편파를 위해, 송수신기의 수직 편파 피드 라인(45224)이 T/R 스위치(45235)에 부착된다. PA(45237) 및 LNA(45239)는 각각 도시된 바와 같이 T/R 스위치(45235)에 연결되고, T/R 스위치는 수직 편파된 신호를 위한 송신 모드 및 수신 모드를 위해 스위칭된다.
그러나, T/R 스위치는 패치 안테나의 피드 라인 특성 때문에 본 개시내용의 일부 양태에서 제거될 수 있다.
제 2 안테나 피드 라인 매칭 포인트에 비교할 때 일 측으로 약간 오프셋된 하나의 안테나 피드 라인 매칭 포인트가 있을 수 있다. 이것은 도 452c에서 도시된다. 도 452c는 본 개시내용의 일부 양태에 따라, 단일 편파 설계의 패치 안테나(45204)를 도시하며, Rx 피드 라인 매칭 포인트를 위한 안테나 피드 라인이 Tx 피드 라인 매칭 포인트와 비교하여 일 측으로 약간 오프셋된다. 다시 말해서, 도 452c에서, Rx 매칭 피드 포인트는 Tx 피드 포인트가 있는 곳보다 안테나의 에지에 더 가깝다. 이에 대한 이유는 피드 라인의 연결 포인트의 임피던스가 연결부가 만들어지는 패치 안테나 상의 포인트에 의해 결정되기 때문인데, 이때 더 낮은 연결 임피던스는 패치 안테나의 센터에 더 가깝고 더 높은 연결 임피던스가 패치 안테나의 에지에 더 가깝다. 송신 및 수신 동작을 위해, TX 피드 라인 및 RX 피드 라인은 둘 모두 패치 안테나에 부착된다.
본 개시내용의 일부 양태에 있어서, PA는 TX 피드 라인의 송신기 측에 부착된다. PA는 매우 낮은 임피던스에서 동작하고 그래서 TX 피드 라인 매칭 포인트는 도 452c에서 도시된 바와 같이 상대적으로 패치 안테나의 중심에 가까워 PA의 낮은 임피던스 매칭 요건을 충족시킬 것이다. LNA는 RX 피드 라인의 RX 측에 부착된다. LNA는 높은 임피던스에서 동작하고 그래서 TX 피드 라인 매칭 포인트는 또한 도 452c에서 도시된 바와 같이 패치 안테나의 에지에 상대적으로 가까울 것이다. 이들 두 개의 매칭 포인트, 즉, 패치 안테나의 중심에 가까운 하나 및 패치 안테나의 에지에 가까운 하나는 결과적으로 두 개의 매칭 포인트 사이에서 오프셋된다.
매칭 포인트에서 이러한 오프셋은 또한 도 452d에서 도시된 바와 같은 이중 편파 디자인에 대해서도 보여진다. 도 452d는 본 개시내용의 일부 양태에 따라, 둘 모두의 편파를 위한 이중 편파 설계의 패치 안테나(45206)를 도시하며, RX 피드 라인 매칭 포인트를 위한 안테나 피드 라인이 TX 피드 라인 매칭 포인트와 비교하여 일 측으로 약간 오프셋된다. 다시 말해서, 도 452d에서 두 세트의 오프셋 매칭 포인트, 즉, 수평 편파 동작을 위한 하나, 수직 편파 동작을 위한 하나가 있다.
패치 안테나에 대한 위의 피드 라인 매칭 포인트 특성은 TX 체인이 패치 안테나의 TX 피드 라인 매칭 포인트에 직접적으로 연결되게 하고, RX 체인이 패치 안테나의 RX 피드 라인 매칭 포인트에 직접적으로 연결되게 할 수 있다. 따라서, T/R 스위치에 대한 이득 및 RF 라인업에 포함될 관련된 삽입 손실이 감소된다. 이것은 차례로 TX 출력 전력/효율 및 RX 잡음 지수의 관점으로부터 RF 성능을 상당히 개선시킬 수 있다. 전술한 내용은 도 453a 및 도 453b에 도시될 수 있다. 반대 방향으로부터 오는 피드 라인과 연관된 TX와 RX 사이의 180 도 위상 역전은 시스템 레벨에서 극복될 수 있다.
도 453a는 본 개시내용의 일부 양태에 따라, 패치 안테나 피드 라인 매칭 포인트에 직접적으로 연결되는 RX 피드 라인 및 TX 피드 라인의 단일 편파 구현예를 도시한다. 도 453a에서, 패치 안테나(45301)는 RX 피드 라인 매칭 포인트(45309)에 연결되는 RX 피드 라인(45307) 및 TX 피드 라인 매칭 포인트(45305)에 직접적으로 연결되는 TX 피드 라인(45303)을 갖는다. 패치 안테나(45301)와 동일하거나 유사한 패치 안테나(45311)는 T/R 스위치가 필요없이, TX 피드 라인(45303)을 통해 PA(45313)에 직접적으로 그리고 RX 피드 라인(45307)을 통해 LNA(45315)에 직접적으로 연결된다.
도 453b는 본 개시내용의 일부 양태에 따라, T/R 스위치 없이 패치 안테나 피드 라인 매칭 포인트에 직접적으로 연결되는 수평 편파 TX 피드 라인 및 수평 RX 피드 라인, 및 수직 편파 TX 피드 라인 및 수직 RX 피드 라인을 경유하는 이중 편파 구현예를 도시한다. 도 453b는 각각의 피드 라인 매칭 포인트(45329, 45333 및 45321, 45325)에 연결되는 둘 모두의 수평 편파 TX 피드 라인(45327)과 수평 편파 RX 피드 라인(45331), 및 수직 편파 TX 피드 라인(45319)과 수직 편파 RX 피드 라인(45323)이 있다는 것을 제외하고 도 453a와 유사하다. 이러한 양태에서, 패치 안테나(45335)는 T/R 스위치 없이, 수평 편파 TX 피드 라인(45327)을 경유하여 PA(45337)에 직접적으로 연결되고, 수평 편파 RX 피드 라인(45331)을 경유하여 LNA(45339)에 직접적으로 연결된다. 유사하게, 패치 안테나(45335)는 T/R 스위치 없이, 수직 편파 TX 피드 라인(45319)을 경유하여 PA(45343)에 직접적으로 연결되고, 수직 편파 RX 피드 라인(45323)을 경유하여 LNA(45345)에 직접적으로 연결될 수 있다.
도 453a 및 도 453b에 도시된 직접 연결부는 T/R 스위치 없이, 본 명세서에서 TX 및 RX가 상이한 시간에 동작하는 반이중(half-duplex) 모드의 동작을 가능하게 한다.
도 454a는 본 개시내용의 일부 양태에 따른 IC 실드를 도시한다. IC 실드(45400)는 두 개의 금속 부분, 즉, PCB에 납땜되거나 다른 방식으로 고정되고, 집적 및 이산 회로가 내부에, 예를 들어 펜스(45401)의 도시된 절개부 내에 위치되는 소위 "펜스"(45401); 및 본 개시내용의 일부 양태에서, 펜스(45401)의 상부에 누름으로써 펜스에 부착되는 리드(45403)를 포함한다. 두 조각의 IC 실드 기술은 이 옵션이 아래에서 논의되는 바와 같이 실드에 인접하게 위치된 안테나의 배열, 또는 안테나용 반사기로서 기능함으로써 안테나 이득을 개선할 수 있게 한다.
본 개시내용의 일부 양태에 있어서, 이득은 리드(45403) 내 공간을 통해 펜스(45401)의 부분이 돌출되거나 또는 연장될 수 있게 함으로써 더욱 개선될 수 있다. 도 454b는 본 개시내용의 일부 양태에 따라, 안테나 이득 및 지향성을 개선시키도록 리드(45403) 내 도시된 공간을 통해 (45405)에서 펜스의 돌출부 또는 연장부를 갖는 IC 실드를 도시한다. 본 개시내용의 일부 양태에서, 납땜되지 않은 리드가 사용된다면, 예를 들어 바닥에 떨어져 부딪히는 양태에 의해 또는 손에 들고 있을 때, 이 리드가 변형되더라도 리드 자체는 연장부로 만들어질 수 있다.
도 454b의 논의로 돌아가면, 돌출부는 포개질 수 있거나 펼쳐질 수 있다. 돌출부 또는 연장부의 폴드는 주로 기계적 안정성을 제공하는 것이다. 도 454b는 위에서 논의된 바와 같은 안테나 배열을 위한 제한된 공간의 많은 부분을 차지하는, 원래 도 439에 도시된 동축 커넥터(43915)를 포함하는 사용자 디바이스의 바닥 평면의 일 부분을 도시한다. 적층 패치 안테나(45407A 및 45409A) 및 다이폴 안테나 요소(45407B 및 45409B)를 포함하고 디렉터(45407C, 45409C 및 45407D, 45409D)를 포함할 수 있는 안테나 배열이 도 454b의 실드에 인접하고 매우 가까이 있다.
본 개시내용의 일부 양태에서, 다이폴(45407B)과 디렉터(45407C) 사이의 거리는 340 마이크론이다. 다이폴(45409B)로부터 구리 층(45410)의 에지까지의 거리는 780 마이크론일 수 있다. 다이폴로부터 리드(45403)까지의 거리는 2 밀리미터일 수 있다. 본 개시내용의 일부 양태에서, 디렉터(45407C와 45407D) 사이의 거리는 유사하게 340 마이크론이다. 배열은 패치 및 다이폴 양태에 대해 위에서 논의된 바와 같이 급전될 수 있다. 돌출부에 기인한 엔드파이어 방향(디렉터에 수직)으로 이득은 대략 1 dBi로 측정되었다.
도 454c는 본 개시내용의 일부 양태에 따라, IC 실드 커버(45403)를 통해 펜스의 접힌 연장부(45405)를 사용하여 다이폴 안테나 요소(45411, 45413, 45415, 45417)의 배열의 이득을 개선하는 것을 도시한다. 도시된 배열은 위에서 논의된 바와 같은 PCB 내부에 구성된 1 x 4 다이폴 배열이다. 본 개시내용의 일부 양태에서, PCB는 비스말레이미드-트리아진(Bismaleimide-Triazine)(BT) 에폭시로 만들어질 수 있다. 도 454c의 양태에서, 돌출부로 인한 (다이폴 아암에 수직인) 엔드파이어 방향에서 이득이 대략 0.5 dBi로 측정되었다.
도 454d는 본 개시내용의 일부 양태에 따라, 돌출부 때문에 실드 구조에 형성된 홀(45419)을 도시한다. 리드(45403) 내부의 펜스(45421)의 일부가 또한 도시된다. 본 개시내용의 일부 양태에서, 돌출부는 밀봉적으로 폐쇄되지 않는다. 따라서, 예컨대 (45419)에서 홀이 구조(45406) 내에 형성될 수 있고 따라서 RF 누출이 있을 수 있다. 결과적으로, 이러한 누출을 최소화하기 위해 돌출부(45405)를 구현할 때 가능한 작은 홀(45419)을 만드는 방법으로 금속을 포개거나 또는 다른 방식으로 위치시키도록 주의가 기울여질 수 있다.
도 454e는 본 개시내용의 일부 양태에 따라, 도 454d의 돌출부 및 홀의 근접 사시도이다. 리드(45403) 및 펜스(45421)의 조합(45408)은 돌출부(5405)와 홀(45419)을 좀 더 명확하게 도시한다.
도 455는 본 개시내용의 일부 양태에 따라, 실드 반사기와 결합된 패치 안테나 및 다이폴 안테나 배열의 평면도이다. (다이폴 아암에 수직인) 엔드파이어 방향으로 이중 편파 다이버시티를 지원하는 다이폴 안테나(45509 및 45511) 및 패치 안테나(45503, 45505, 45507)를 포함하는 배열이 (45500)에서 도시된다.
본 개시내용의 일부 양태에서, 패치 안테나(45503, 45505, 45507)는 도 456과 관련하여 아래에서 논의된 바와 같은 이중 패치일 수 있다. IC 실드 리드(45501) 및 IC 실드 펜스 돌출부(45501A)는 안테나 배열용 반사기 및 접지를 제공한다. 도 455의 (45513)에서 도시된 복수의 홀은 패치 사이에 배치되고, 배열의 두 다이폴 안테나 모두의 각각의 측면 상에서 있는 것이 전형적이다.
본 개시내용의 일부 양태에 있어서, 접지가 다이폴에 매우 가깝다면 임피던스 매칭은 저하되고 다이폴에 부정적으로 영향을 줄 것이라는 것을 고려하면, 홀은 실드(45501)에 의해 제공되는 접지와 다이폴(45509, 45511) 사이의 커플링을 없앤다. 금속이 안테나에 근접해 있으면, 다이폴 방사 효율은 저하되고 방사를 적절하게 반사할 수 없다. 실질적으로 안테나 성능 저하 없이 반사를 달성하기 위해, 금속은 본 개시내용의 일부 양태에서 대략 4분의 1 파장 길이만큼 방사체로부터 멀리 있어야 한다. 패치 모드는 패치와 패치 아래에 있는 접지 사이에 있고, 효과적이기 위해 큰 접지를 필요로 하지 않을 수 있다. 접지는 유한하기 때문에, 회절이 있지만, 손실은 작다. 다이폴 대 접지(45513)의 접지 간극은 홀이 다이폴을 패치에 더 가까워지게 하여, 구조가 좀 더 소형화되도록 한다. 엔드파이어 방향으로 보았을 때, 다이폴은 수평 편파를 갖고, 패치 안테나는 수직 편파를 가지며, 각각의 패치 안테나는 모노폴라 요소로서 기능한다.
도 456은 본 개시내용의 일부 양태에 따라, 도 455의 안테나 배열의 측면도이다. 배열의 좌표계는 실드(45501)에 인접하게 도시되며, Y 좌표는 실제로 페이지 밖으로 나아간다. 패치 및 다이폴은 표시된 바와 같이 PCB 내에 있다. 세 개의 패치 안테나(45503, 45503A) 중 하나가 다른 것에도 전형적인 측면도로 도시되고, 이중 패치 안테나를 포함하며, 패치(45503A)는 기생 안테나이고 패치(45503B)는 하나의 양태에서, 비아 홀의 사용에 의해 피드 라인(45601)을 통해 에너지를 받는 피동 패치이다. 다이폴 안테나(45509)는 측면도로 도시되며, 접지 층일 수 있고 또한 다이폴의 부분일 수 있는 피드 라인(45603)에 의해 급전된다. 다이폴은 두 개의 층으로부터 구성되고, 하나의 아암은 접지(45603)의 부분이고, 제 2 아암은 층(45509)로부터 여기되는 층(45509)으로부터 나온다. 관련 기술분야에서 통상의 기술자라면 각각의 유형의 안테나의 개수가 단지 예를 들어 설명되었으며, 다른 개수 또는 복수의 이러한 안테나가 추가의 양태에 적절할 수 있다는 것을 인식할 것이다. 유사하게, 시뮬레이션 또는 다른 방법에 의해 보여질 수 있는 바와 같이, 설명된 치수 이외의 다른 치수가 안테나 배열이 사용되는 디바이스의 이용 가능한 공간에 따라 다른 양태에서 사용될 수 있다.
도 457은 본 개시내용의 일부 양태에 따라, 사용자 디바이스에서 큰 장애물을 바이패스하도록 패치 배열과 함께 사용되는 인터포저(interposer)의 사시도이다. 인터포저를 포함하는 재료는 PCB 라미네이트이거나 또는 다른 절연 재료일 수 있다. 패치가 이미 RF 서브시스템에 접지를 갖는 것을 고려하면, 인터포저 재료는 안테나에 큰 영향을 주지 않는다. 인터포저는 LGA 패드와 같은 패드를 이용하여 솔더에 의해 PCB에 고정될 수 있다. 본 개시내용의 일부 양태에 있어서, IF는 마더 보드로부터 프로세싱 및 송신을 위한 패치 안테나 배열로의 궁극적 급전을 위한 RF 서브시스템으로 라우팅될 수 있다.
도 457에서, 사용자 디바이스의 부분 평면도(54700)는 본 개시내용의 일부 양태에서 저온 동시 소성 세라믹(low temperature co-fired ceramic)(LTCC)일 수 있는 PCB 마더 보드를 포함할 수 있다. 아이템(45703)은 본 개시내용의 일부 양태에서 랩톱 또는 다른 디바이스 새시의 부분이고 마그네슘으로 만들어질 수 있다. USB 커넥터는 (45705)에서 도시되고, 효과적인 안테나 동작을 방해한다.
방해를 바이패스하기 위해, 인터포저(45707)와 인터포저의 상부에 위치되는 패치 안테나 배열(45709)과 반사기 실드(45710)가 사용될 수 있다. 반사기 실드는 본 특허에서 위에서 설명되는 바와 같은 IC 실드의 부분일 수 있다. 전체 IC 실드 및 IC 자체는 공간 고려 때문에 도시되지 않고, 위에서 논의된 바와 같이 또는 도 461a에 관련하여 아래에서 논의되는 바와 같이 위치될 수 있다. 인터포저(45707)는 높이를 제공하고 전체 RFEM을 올려서 인터포저가 필요에 따라, 접지와의 연결부 및 안테나 배열에 급전을 위한 GND 비아 및 또한 IF 신호 비아를 수용하도록 의도된다.
도 458a는 본 개시내용의 일부 양태에 따라, IC 실드 리드(45801)를 도시하는 인터포저의 사시도이다. 도 458a에서, 다이폴 안테나(45809)의 배열 및 반사기(45810)는 도 457에서 패치 안테나 배열(45709) 및 반사기(45710)와 유사하게 인터포저 상에 위치된다. 아이템(45809A)은 본 개시내용의 일부 양태에서, 반사기(45812)를 갖는 패치 안테나 배열일 수 있다. 배열 및 반사기의 엔드파이어 방향이 도시된다.
도 458b는 본 개시내용의 일부 양태에 따라, 도 458a의 다이폴 안테나 배열의 방사 패턴의 수직도이고, 엔드파이어 방향이 마이너스 구십(-90) 도에서 도시된다. 브로드사이드 방향은 제로(0) 도에 표시된다. 도시된 바와 같이, 커버리지는 도 458b에서 브로드사이드 방향으로 강하다. 그러나, 인터포저는 도 458b에 도시된 엔드파이어 방향에서 특정 양의 다이폴 배열 방사를 가능하게 했다. 도 458b에 도시된 몇가지 패턴이 있는데, 각각의 패턴은 인터포저의 상이한 높이에 관한 것이다. 도 458b로부터 알 수 있는 바와 같이, 다이폴 배열이 인터포저 상에 배치되면, 엔드파이어에 대한 방사는 저하되고, 예시된 모든 인터포저 높이에는 낮은 이득 및 작은 빔폭이 있다. 이러한 이유 때문에, 인터포저 상에 패치 배열을 배치하는 것이 바람직할 것이다.
도 459는 본 개시내용의 일부 양태에 따라, 다양한 방향으로, 인터포저의 높이의 함수로서 도 458a의 패치 안테나 배열의 실현된 이득을 도시한다. 세 개의 곡선(45901, 45903 및 45905)은 각각 엔드파이어 방향, 엔드파이어 5 도 위, 엔드파이어 10 도 위에서 인터포저의 높이의 함수로서 실현된 이득을 도시한다.
도 460a는 본 개시내용의 일부 양태에 따라, 이중 대역, 이중 편파 동작을 위한 결합된 패치 및 슬롯 안테나를 도시한다. 도 460a에서, 안테나(46000)는 제 1 안테나를 형성하는 이중 패치 안테나(46001, 46002) 및 제 2 안테나를 형성하는 직사각형 슬롯 안테나(46003)를 도시한다. 각각의 안테나는 이중 편파를 위해 각각 다른 것에 직교하는 두 개의 피드 메커니즘에 의해 급전된다.
예를 들어, 슬롯 안테나(46003)는 각각이 다른 것에 직교하는 피드 라인(46005 및 46007)에 의해 급전된다. 아이템(46005A 및 46005B)은 기준 피드 라인(46005)을 기준으로 하는 접지 비아이며, 유사하게 피드 라인(46007)을 기준으로 하는 접지 비아가 있다. 패치 안테나(46001, 46002)는 기생 안테나 요소(46001) 및 피동 안테나 요소(46002)를 포함한다. 피동 안테나(46002)는 도시된 양태에서, 도 460b의 (46013, 46015)에서와 같은 비아에 의해 급전된다. 비아(46013)는 도 460b에서 또한 도시된 (46011)과 같은 피드 라인에 연결될 수 있다. 라인(46011)은 사용자 디바이스의 집적회로(IC)(IC는 도시되지 않음)에 의해 급전될 수 있다. 비아(46015)는 피드 라인(46011)에 직교하고 마찬가지로 IC에 의해 급전될 수 있는 피드 라인에 유사하게 연결될 수 있다. 슬롯 안테나(46003)는 도시된 양태에서, 근접 커플링(proximity coupling)에 의해 또는 임의의 적절한 피드 메커니즘에 의해, 예컨대 마이크로 스트립 라인에 의해 급전될 수 있다.
근접 커플링은 아래에서 설명되고 도 460b에 도시된다. 도 460b는 본 개시내용의 일부 양태에 따라, 도 460a의 결합된 패치 안테나 및 슬롯 안테나의 측면도이다. 측면도로부터, 도 460b는 슬롯 안테나(46003) 및 본 개시내용의 일부 양태에서, 마이크로 스트립 피드 라인, 금속 트레이스, 또는 다른 유형의 전송 선로일 수 있는 피드 라인(46007)과 같은 슬롯 안테나(46003)의 두 개의 피드 메커니즘 중 하나를 도시한다. 마이크로 스트립 피드 라인(46007)은 PCB 내부에서 슬롯 안테나(46003)의 하부로부터 특정 거리에 있는 것으로 도시되고, 근접 커플링에 의해 슬롯 안테나(46003)를 구동하여 피드 라인(46005, 46007)으로부터 슬롯 안테나(46003)로 에너지가 연결될 수 있게 한다. 라인(46005, 46007)은 사용자 디바이스(도시되지 않음)의 집적 회로로의 피드 신호 목적을 위해 슬롯 안테나(46003)에 연결될 수 있다. 마이크로 스트립 피드 라인(46005)은 피드 라인(46007)에 직교하여 위치된다. 피드 라인이 마이크로 스트립으로서 설명되었지만, 피드 라인은 스트립라인, 트레이스 등과 같은 임의의 적합한 전송 선로일 수 있다.
본 개시내용의 일부 양태에서, 피드 라인은 30 GHz를 포함하는 대역에서 피드 라인(46005) 및 60 GHz를 포함하는 대역에서 피드 라인(46007)과 같은 이중 대역 피드 라인을 포함한다. 피드 라인은 39 GHz 대역에서 또는 73 GHz 대역 또는 다른 적합한 대역에서도 있을 수 있으며, 피드 라인(46005)은 대역 내 주파수에서 있으며, 피드라인(46007)은 이 주파수의 두배에 있다.
본 개시내용의 일부 양태에서, 패치 안테나(46001, 46002)는 하나의 주파수에서 동작되고 슬롯 안테나(46003)는 제 2 주파수에서 동작되며, 각각의 안테나의 주파수는 안테나의 사이즈에 종속한다. 다시 말해서, 패치 안테나 및 슬롯 안테나는 원하는 주파수에서 동작되도록 안테나 치수를 설계함으로써, 상이한 주파수에서 동작하도록 만들어 질 수 있다. 본 개시내용의 일부 양태에서, 각각의 안테나는 상이한 시간에 동작되어, 어느 편파가 주어진 시간에 더 양호한 편파인지를 표시하는 수신 디바이스로부터의 피드백에 따라 적절한 편파의 신호가 적절한 시간에 송신될 수 있다.
위에서 언급한 바와 같이, 본 개시내용의 일부 양태에서, 패치 안테나(46001)는 기생 안테나 요소이고 (46002)는 피동 안테나 요소이다. 도 460b에서 도시된 바와 같이, 피동 안테나(46002)의 접지는 비아 홀(46013 및 46015)(비아(46015)만이 도 460a에서 가시적임)을 통해 급전된다는 점에서, "부동(floating)"이며, 각각의 비아는 위에서 논의된 주어진 대역에 있고, 각각은 피동 요소(46002)에 급전하기 위한 근접 커플링을 위한 피드 라인(46007 및 46005) 각각과 연관된다. 이러한 피드 라인은 피동 요소에 연결되는 비아를 포함할 수 있다. 슬롯 요소의 내부 부분은 슬롯 요소가 그 목적에 맞게 충분히 크게 만들어질 수 있고 안테나가 상이한 시간에 동작하는 것을 고려하면, 패치 요소를 위한 접지(GND)로서 작용하는 직사각형 금속이다.
직교하는 패치 안테나 및 슬롯 안테나의 피드는 공간 다이버시티로 편파를 지원한다. 예를 들어, X 방향으로 방사를 위해, 여기는 제 1 피드 라인에 의한 것일 수 있고, Y 방향으로 방사를 위해, 여기는 제 1 피드 라인에 직교하는 제 2 피드 라인에 의한 것일 수 있다. 브로드사이드 방사를 위해, 각각의 안테나는 브로드사이드(도 460b의 Z) 방향으로 이중 편파로 동작할 수 있다. 각각의 안테나는 주어진 시간에 그리고 주어진 편파에서 동작하도록 알고리즘적으로 제어될 수 있고, 편파는 그 주어진 시간에 어느 피드 라인이 활성화되는지에 종속하며, 활성화는 그 주어진 시간에 어느 편파가 더 양호한 수신을 제공하는지를 지정하는 송신기 정보에 피드백하는 수신 디바이스의 방향에 종속한다. 엔드 파이어 방사를 위해, 각각의 안테나는 하나의 편파만으로 동작할 수 있으며, 편파는 여기가 일반적으로 브로드사이드 방사보다 이득이 더 낮은 x-방향(도 460b의 (46013)) 또는 Y 방향(도 460b의 (46015))으로부터 오는 것인지에 종속한다. 또한, 각각의 안테나 요소가 두 개의 직교 피드로 여기되지만 단일 편파 동작의 이득보다 훨씬 낮은 이득으로 여기된다면, 엔드파이어 방사를 위해 각각의 안테나는 또한 이중 편파로 동작할 수 있다. 단일 기생 요소가 설명되었지만, 관련 기술분야에서 통상의 기술자라면 복수의 이러한 기생 요소가 또는 본 개시내용의 일부 양태에서, 하나이상의 디렉터가 주어진 양태에 적합하다면 사용될 수 있다는 것을 인식할 것이다. 마찬가지로, 정사각형 슬롯 안테나가 설명되었지만, 다양한 양태에서 다른 구성의 슬롯 안테나가 사용될 수 있다.
안테나 또는 안테나 배열은 본 개시내용의 일부 양태에서 실리콘 회로 또는 칩에서, 극후 금속(ultra-thick metal)(UTM)인 회로 보드의 층에서 얻을 수 있다. UTM은 회로 보드 물질에 대해 가장 낮은 손실 중 하나를 갖는 것으로 알려져 있다. 도 461a는 본 개시내용의 일부 양태에 따라 안테나-온-칩(antennal-on-chip)(AOC)의 분해도이다. AOC(46100)는 또한 BT 라미네이트 보드일 수 있는 PCB(46111) 및 무선 주파수(RF) 신호를 제공하기 위한 송수신기를 포함할 수 있는 실리콘 회로(46103)를 포함한다. AOC는 본 개시내용의 일부 양태에서 2 x 2 패치 안테나 배열(패치 안테나 중 하나는 (46105)로서 지정되지만, 다른 세 개는 도시된 바와 같이 전형적이다)을 포함할 수 있는 안테나(46105)를 포함하고, IC 금속 실드(46101)를 포함할 수 있다. 논의 중의 양태는 네 개의 패치 안테나 요소를 포함하는 배열을 포함하지만, 양태는 패치 안테나로 제한되지 않는다. 관련 기술분야에서 통상의 기술자라면 다른 안테나 요소, 예컨대 슬롯 안테나 또는 노치 안테나, 안테나 배열의 동작의 주파수가 mmWave 대역에 있을 수 있다는 것과 WiGig 주파수 대역의 일부 또는 전부를 지원하는 주파수 범위에 있을 수 있다는 것을 인식할 것이다. PCB 보드(46111)는 안테나 배열 아래에서 금속 간극(46113)을 갖는다. 간극(46113)은 안테나 배열의 단락을 방지한다. 안테나 방사는 회로 보드를 통과하거나 통하기 때문에, 간극(46113)은 또한 안테나 배열 방사가 보드 외부로 송신될 수 있게 하는 기능을 한다.
도 461b는 본 개시내용의 일부 양태에 따라, 도 461a의 AOC를 포함하는 안테나(46105)의 저면도이다. 변환기(46107)는 공액 매칭(conjugate matching) 및 다른 전자 기능과 같이 실리콘 회로에서 사용되는 변환기를 포함한다. 트레이스는 (46109)에서 배치될 수 있고 패치(46105)를 위한 피드를 포함하는 패치(46105) 사이에 및 패치 중에 라우팅하기 위해 사용될 수 있고, 피드는 실리콘 회로 내의 송수신기에 연결될 수 있고, 전력 증폭기(PA) 및 저잡음 증폭기(LNA) 스위치에 연결된 작은 마이크로스트립 라인을 포함할 수 있다.
도 461c는 도 461a의 AOC의 측면도이고, IC 실드(46101), 실리콘 회로(46103), 및 PCB 보드(46111)를 도시한다. 패치는 실리콘(46103)의 하부에서 구현될 수 있고, IC 실드(46101)는 접지로서 사용될 수 있다. 도 462는 네 개의 패치 안테나 중 하나가 도 462의 (46105)로서 윤곽이 그려지는 네 개의 패치 안테나를 포함하는 패치 배열의 치수를 도시한다. 패치 자체는 1 제곱밀리미터일 수 있다. 도 463은 본 개시내용의 일부 양태에 따라, 도 461a 내지 도 461c 및 도 462의 AOC에 대해 시뮬레이션된 방사 패턴이다. 패턴(46301)은 E-평면을 도시하고, 패턴(46303)은 H-평면을 나타낸다.
도 464a는 본 개시내용의 일부 양태에 따라, 패키지-온-패키지 구현예에서 매립된 다이를 위한 AOC의 다른 측면도이다. PCB(46401)는 실리콘(46405) 및 접지(46403)를 포함한다. 접지(46403)가 제공될 때, 접지로서 사용될 도 461c의 (46103)과 같은 IC 실드가 필요하지 않다. 실리콘(46405) 내의 안테나와 접지(46403) 사이의 연결은 때때로 범프라고 지칭되는 50 옴 연결부(46407)에 의해 이루어진다. 연결부(46407)는 실리콘으로부터 GND로 가는 비아를 포함할 수 있고, 비아는 본 명세서에서 피드 메커니즘의 부분으로서 사용되고 있다. 실제로, IC를 GND에 연결하는 많은 비아가 있을 수 있다.
도 464b는 본 개시내용의 일부 양태에 따라, 패치의 높이에 의해 나누어지는 실리콘의 높이의 함수로서 방사 효율의 예시이다. 환원하면, IC의 높이는 실리콘 두께이고, 패치 높이는 GND로부터 방사된 패치까지의 안테나 사이즈 두께이다. 접지가 도 464a의 다이 위에서 60 마이크론인 양태에 대해, 실현된 이득은 0.46 dBi 이었다. 도 464c는 본 개시내용의 일부 양태에 따라, 패치의 높이에 의해 나누어지는 실리콘의 높이의 함수로서 dBi로 실현된 이득의 예시이다.
도 465는 본 개시내용의 일부 양태에 따라, 칩 개요를 상징적으로 도시하고 칩상의 안테나와 회로의 관계를 포함하는 AOC의 다른 예시이다. 칩 개요(46500)는 네 개의 AOC 요소를 갖는 실리콘 칩을 도시하며, 그 중 하나는 (46501)로 표시된다. 온 칩 회로는 일련의 삼각형으로 표시되며, 그 중 하나는 (46503)에서 표시된다. 이 회로는 이것으로 제한되는 것은 아니지만 전력 증폭기 및 저잡음 증폭기를 구비하는 송수신기를 포함하는 통상적인 무선 회로를 포함하는 RFEM(또는 RFIC)을 포함할 수 있다. 온-칩 커넥터는 (46505)와 같은 직선으로 도시되며 통상의 회로 연결부 및 AOC와의 연결부를 포함할 수 있다.
구현을 위해 간단한 보드가 사용될 수 있기 때문에 AOC는 상당한 비용 절감을 제공한다. 하나의 양태에서, AOC를 포함하는 제품은 보드없이, AOC를 포함하는 실드된 실리콘 회로로서 판매될 수 있으며, 제품을 구매하는 OEM은 제품을 직접 마더 보드에 납땜할 수 있다. 대안적으로, AOC는 플랫폼의 어느 곳에서나 배치될 수 있도록 IF 또는 RF 케이블과 함께 자체 PCB와 함께 설치될 수 있다. 이 경우, AOC의 장점은 보드 상의 표준적인 안테나와 비교하여 PCB를 간소화해줄 것이라는 것이다. 대안적으로, AOC 제품이 마더 보드에 이미 설치되어 판매될 수 있다. 이것은 AOC의 위치가 마더 보드 영역으로 제한된다는 점을 고려하면 패키지가 필요 없기 때문에 비용 효율적이다. 다시 말해서, 패키지가 없을 것이고, 이것은 상당한 절감이 될 것이다.
AOC는 보드 라우팅(board routing) 및 솔더 볼 전이 저하(solder ball transition degradation)라는 요건이 없기 때문에 개선된 전도 전력 및 잡음 지수를 제공한다. 일반적으로 패치 사이즈는 보드 패치와 비교하여 오십 퍼센트(50 %)만큼 줄어들 수 있다. AOC는 네 개 이상의 채널을 지원할 수 있는 아마도 304 GHz 대역폭만큼의 광대역 매칭을 지원한다. 설명된 AOC는 임베디드 다이/패키지-온-패키지(POP) 솔루션으로 구현될 수 있다. POP는 두 개의 PCB를 결합한 기술이다. 메인 PCB는 다이(때로는 "단순 PCB"라고 지칭함) 및 실드로서 거동하고 또한 신호 및 실드의 상부에 안테나 배열이 놓일 수 있게 하는 금속으로 채워진 캐버티가 있는 다른 하나의 PCB를 포함한다. 또한, AOC는 외부 안테나로의 트레이스를 필요로 하지 않기 때문에, 안테나는 그러한 트레이스로 인한 손실을 전혀 또는 거의 갖지 않을 것이다.
도 466은 본 개시내용의 일부 양태에 따라, 본 명세서에서 논의된 임의의 하나 이상의 기술 또는 방법론이 수행될 수 있는 예시적인 머신(46600)의 블록도를 도시한다. 다른 양태에서, 머신(46600)은 스탠드얼론 디바이스로서 동작할 수 있거나 또는 다른 머신에 연결(예를 들어, 네트워크화)될 수 있다. 네트워크 배치에서, 머신(46600)은 서버-클라이언트 네트워크 환경에서 서버 머신, 클라이언트 머신, 또는 둘 모두의 자격으로 동작할 수 있다. 예로, 머신(46600)은 피어-투-피어(peer-to-peer)(P2P)(또는 다른 분산형) 네트워크 환경에서 피어 머신으로서 동작할 수 있다. 머신(46600)은 UE, eNodeB, AP, STA, 퍼스널 컴퓨터(personal computer)(PC), 태블릿 PC, 셋톱 박스(set-top box)(STB)), 개인 휴대 정보 단말기(personal digital assistant)(PDA), 이동 전화, 스마트 폰, 웹 어플라이언스, 네트워크 라우터, 스위치 또는 브릿지, 또는 해당 머신에 의해 취해질 조치를 명시하는 (순차적 또는 다른 방식의) 명령어를 실행할 수 있는 임의의 머신일 수 있다. 또한, 하나의 머신만이 도시되어 있지만, "머신"이라는 용어는 클라우드 컴퓨팅, 서비스형 소프트웨어(Software as a Service)(SaaS), 다른 컴퓨터 클러스터 구성 등과 같이, 본 명세서에서 논의된 임의의 하나 이상의 방법론을 수행하는 한 세트의(또는 다수 세트의) 명령어를 개별적으로 또는 공동으로 실행하는 머신의 임의의 집합을 포함하는 것으로 취급될 것이다.
본 명세서에서 설명된 예는 로직 또는 다수의 구성요소, 서브시스템 또는 메커니즘을 포함할 수 있거나 로직 또는 다수의 구성요소, 서브시스템 또는 메커니즘 상에서 동작할 수 있다. 서브시스템은 명시된 동작을 수행할 수 있는 유형의 엔티티(예를 들어, 하드웨어)이며 특정 방식으로 구성 또는 배열될 수 있다. 예로, 회로는 서브시스템으로서 명시된 방식으로(예를 들어, 내부적으로 또는 다른 회로와 같은 외부 엔티티와 관련하여) 배열될 수 있다. 예를 들어, 하나 이상의 컴퓨터 시스템(예를 들어, 스탠드얼론, 클라이언트 또는 서버 컴퓨터 시스템) 또는 하나 이상의 하드웨어 프로세서의 전체 또는 일부는 펌웨어 또는 소프트웨어(예를 들어, 명령어, 애플리케이션 부분 또는 애플리케이션)에 의해, 명시된 동작을 수행하도록 동작하는 서브시스템으로서 구성될 수 있다. 예를 들어, 소프트웨어는 머신 판독 가능한 매체 상에 상주할 수 있다. 예를 들어, 소프트웨어는 서브시스템의 기본 하드웨어에 의해 실행될 때, 하드웨어로 하여금 명시된 동작을 수행하게 한다.
따라서, "서브시스템"이라는 용어는 명시된 방식으로 동작하거나 또는 본 명세서에서 설명된 동작의 일부 또는 전부를 수행하도록 물리적으로 구축되고, 구체적으로 구성되고(예를 들어, 고정 배선되고) 또는 일시적으로(예를 들어, 과도적으로) 구성되는(예를 들어, 프로그램되는) 엔티티와 같은 유형의 엔티티를 망라하는 것으로 이해된다. 서브시스템이 일시적으로 구성되는 예를 고려하면, 각각의 서브시스템은 어느 한 순간에 인스턴스화될 필요는 없다. 예를 들어, 서브시스템이 소프트웨어를 사용하여 구성된 범용 하드웨어 프로세서를 포함하는 경우, 범용 하드웨어 프로세서는 상이한 시간에 각각의 상이한 서브시스템으로서 구성될 수 있다. 따라서, 소프트웨어는 예를 들어, 하드웨어 프로세서를 구성하여 하나의 시간 인스턴스에서 특정 서브시스템을 구성하고 상이한 시간 인스턴스에서 상이한 서브시스템을 구성할 수 있다.
머신(예를 들어, 컴퓨터 시스템)은 하드웨어 프로세서(46602)(예를 들어, 중앙 처리 유닛(central processing unit)(CPU), 그래픽 프로세싱 유닛(graphics processing unit)(GPU), 하드웨어 프로세서 코어 또는 이들의 임의의 조합, 메인 메모리(46604) 및 정적 메모리(46606)를 포함하며, 이들 중 일부 또는 전부는 인터링크(예를 들어, 버스)(46608)를 통해 서로 통신할 수 있다. 머신(46600)은 디스플레이 유닛(46610), 영숫자 입력 디바이스(46612)(예를 들어, 키보드) 및 사용자 인터페이스(user interface)(UI) 네비게이션 디바이스(46614)(예를 들어, 마우스)를 더 포함할 수 있다. 예를 들어, 디스플레이 유닛(46610), 입력 디바이스(46612) 및 UI 네비게이션 디바이스(46614)는 터치 스크린 디스플레이일 수 있다. 머신(46616)은 또한 저장 디바이스(예를 들어, 드라이브 유닛)(46616), 신호 생성 디바이스(46618)(예를 들어, 스피커), 네트워크 인터페이스 디바이스(46620) 및 위성 위치 확인 시스템(global positioning system)(GPS) 센서, 나침반, 가속도계 또는 다른 센서와 같은 하나 이상의 센서를 포함할 수 있다. 머신(46600)은 직렬(예를 들어, 범용 직렬 버스(universal serial bus)(USB), 병렬 또는 다른 유선 또는 무선(예를 들어, 적외선(infared)(IR), 근접장 통신(near field communication)(NFC) 등) 연결과 같이 하나 이상의 주변 디바이스(예를 들어, 프린터, 카드 판독기 등)와 통신하는 출력 제어기(46628)를 포함할 수 있다.
저장 디바이스(46616)는 본 명세서에서 설명된 임의의 하나 이상의 기술 또는 기능에 의해 구현되거나 이용되는 하나 이상의 데이터 구조 또는 명령어(46164)(예를 들어, 소프트웨어) 세트가 저장되는 머신 판독 가능한 매체(46622)를 포함할 수 있다. 명령어(46624)는 또한 머신에 의해 실행되는 동안 메인 메모리(46604) 내에서, 정적 메모리(46606) 내에서, 또는 하드웨어 프로세서(46602) 내에서 전체적으로 또는 적어도 부분적으로 상주할 수 있다. 예로서, 하드웨어 프로세서(46602), 메인 메모리(46604), 정적 메모리(46606) 또는 저장 디바이스(46616) 중 하나 또는 임의의 조합은 머신 판독 가능한 매체를 구성할 수 있다.
머신 판독 가능한 매체(46622)가 단일 매체로 도시되지만, "머신 판독 가능한 매체"라는 용어는 하나 이상의 명령어(46624)를 저장하도록 구성된 단일 매체 또는 다중 매체(예를 들어, 중앙 집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시 및 서버)를 포함할 수 있다.
"머신 판독 가능한 매체"라는 용어는 머신에 의해 실행하기 위한 명령어를 저장, 인코딩 또는 반송할 수 있고 머신으로 하여금 본 개시내용의 임의의 하나 이상의 기술을 수행하게 하거나, 또는 그러한 명령어에 의해 사용되는 또는 그러한 명령어와 연관되는 데이터 구조를 저장, 인코딩 또는 반송할 수 있는 임의의 매체를 포함할 수 있다. 비제한적인 머신 판독 가능한 매체는 고체 상태 메모리 및 광학 및 자기 매체를 포함할 수 있다. 머신 판독 가능한 매체의 특정 예는: 반도체 메모리 디바이스(예를 들어, 전기적으로 프로그램 가능한 판독 전용 메모리(Electrically Programmable Read-Only Memory)(EPROM), 전기적으로 소거 가능한 프로그램 가능 프로그램 판독 전용 메모리(Electrically Erasable Programmable Read-Only Memory)(EEPROM)) 및 플래시 메모리 디바이스와 같은 비휘발성 메모리; 내부 하드 디스크 및 착탈 가능한 디스크와 같은 자기 디스크; 광 자기 디스크; 랜덤 액세스 메모리(Random Access Memory)(RAM); 및 CD-ROM 및 DVD-ROM 디스크를 포함할 수 있다. 일부 예에서, 머신 판독 가능한 매체는 비일시적 머신 판독 가능한 매체를 포함할 수 있다. 일부 예에서, 머신 판독 가능한 매체는 일시적으로 전파하는 신호가 아닌 머신 판독 가능한 매체를 포함할 수 있다.
명령어(46624)는 또한 다수의 전송 프로토콜(예를 들어, 프레임 릴레이, 인터넷 프로토콜(internet protocol)(IP), 전송 제어 프로토콜(transmission control protocol)(TCP), 사용자 데이터그램 프로토콜(user datagram protocol)(UDP), 하이퍼텍스트 전송 프로토콜(hypertext transfer protocol)(HTTP) 등)을 포함할 수 있다. 예시적인 통신 네트워크는 다른 것 중에서도, 근거리 통신 네트워크(local area network)(LAN), 광역 네트워크(wide area network)(WAN), 패킷 데이터 네트워크(예를 들어, 인터넷), 이동 전화 네트워크(예를 들어, 셀룰러 네트워크), 기존 전화(Plain Old Telephone)(POTS) 네트워크 및 무선 데이터 네트워크(예를 들어, Wi-Fi®로 알려진 Institute of Electrical and Electronics Engineers(IEEE) 802.11 표준 패밀리, WiMax®로 알려진 IEEE 802.16 표준 패밀리), IEEE 802.15.4 표준 패밀리, 롱 텀 에볼루션(Long Term Evolution)(LTE) 표준 패밀리, 피어-투-피어(P2P) 네트워크를 포함할 수 있다. 예로서, 네트워크 인터페이스 디바이스(46620)는 통신 네트워크(46626)에 연결하는 하나 이상의 물리적 잭(예를 들어, 이더넷, 동축 또는 폰 잭) 또는 하나 이상의 안테나를 포함할 수 있다. 예로서, 네트워크 인터페이스 디바이스(46620)는 단일-입력 다중-출력(ingle-input multiple-output)(SIMO), 다중-입력 다중-출력(multiple-input multiple-output)(MIMO), 또는 다중-입력 단일-출력(multiple-input multiple-output)(MISO) 기술 중 적어도 하나를 사용하여 무선 통신하는 복수의 안테나를 포함할 수 있다. 일부 예에서, 네트워크 인터페이스 디바이스(46620)는 다중 사용자 MIMO 기술을 사용하여 무선으로 통신할 수 있다. "전송 매체"라는 용어는 머신에 의한 실행을 위한 명령어를 저장, 인코딩 또는 반송할 수 있는 임의의 무형의 매체를 포함하는 것으로, 그리고 그러한 소프트웨어의 통신을 용이하게 하는 디지털 또는 아날로그 통신 신호 또는 다른 무형의 매체를 포함하는 것으로 간주될 것이다.
도 467은 본 개시내용의 일부 양태에 따라, 무선 통신 디바이스에서 구현될 수 있는 프로토콜 기능을 도시한다. 일부 양태에서, 프로토콜 계층은 예시되지 않은 다른 상위 계층 이외에, 물리 계층(physical layer)(PHY)(46710), 매체 액세스 제어 계층(media access control layer)(MAC)(46720), 무선 링크 제어 계층(radio link control layer)(RLC)(46730), 패킷 데이터 수렴 프로토콜 계층(packet data convergence protocol layer)(PDCP)(46740), 서비스 데이터 적응 프로토콜(service data adaptation protocol)(SDAP) 계층(46747), 무선 자원 제어 계층(radio resource control layer)(RRC)(46755), 및 비액세스(non-access stratum)(NAS) 계층(46757) 중 하나 이상을 포함할 수 있다.
일부 양태에 따르면, 프로토콜 계층은 둘 이상의 프로토콜 계층 간의 통신을 제공할 수 있는 하나 이상의 서비스 액세스 포인트를 포함할 수 있다.
일부 양태에 따르면, PHY(46710)는 하나 이상의 다른 통신 디바이스에 의해 각각 수신되거나 송신될 수 있는 물리 계층 신호(46705)를 송신 및 수신할 수 있다. 일부 양태에 따르면, 물리 계층 신호(46705)는 하나 이상의 물리 채널을 포함할 수 있다.
일부 양태에 따르면, PHY(46710)의 인스턴스는 하나 이상의 물리 계층 서비스 액세스 포인트(physical layer service access point)(PHY-SAP)(46715)를 통해 MAC(46720)의 인스턴스로부터의 요청을 처리하고 표시를 MAC(46720)의 인스턴스에 제공할 수 있다. 일부 양태에 따르면, PHY-SAP(46715)를 통해 전달되는 요청 및 표시는 하나 이상의 전송 채널을 포함할 수 있다.
일부 양태에 따르면, MAC(46710)의 인스턴스는 하나 이상의 매체 액세스 제어 서비스 액세스 포인트(medium access control service access point)(MAC-SAP)(46725)를 통해 RLC(46730)의 인스턴스로부터 요청을 처리하고 RLC(46730)의 인스턴스에 표시를 제공할 수 있다. 일부 양태에 따르면, MAC-SAP(46725)를 통해 전달되는 요청 및 표시는 하나 이상의 논리 채널을 포함할 수 있다.
일부 양태에 따르면, RLC(46730)의 인스턴스는 하나 이상의 무선 링크 제어 서비스 액세스 포인트(radio link control service access point)(PHY-SAP)(46735)를 통해 PDCP(46740)의 인스턴스로부터의 요청을 처리하고 PDCP(46740)에 표시를 제공할 수 있다. 일부 양태에 따르면, RLC-SAP(46735)를 통해 전달되는 요청 및 표시는 하나 이상의 RLC 채널을 포함할 수 있다.
일부 양태에 따르면, PDCP(46740)의 인스턴스는 하나 이상의 패킷 데이터 수렴 프로토콜 서비스 액세스 포인트(packet data convergence protocol service access point)(PDCP-SAP)(46745)를 통해 RRC(46755)의 하나 이상의 인스턴스 및 SDCP(46747)의 하나 이상의 인스턴스로부터의 요청을 처리하고 RRC(46755)의 하나 이상의 인스턴스 및 SDCP(46747)의 하나 이상의 인스턴스에 표시를 제공할 수 있다. 일부 양태에 따르면, PDCP-SAP(46745)를 통해 전달되는 요청 및 표시는 하나 이상의 무선 베어러를 포함할 수 있다.
일부 양태에 따르면, SDCP(46747)의 인스턴스는 하나 이상의 서비스 데이터 적응 프로토콜 서비스 액세스 포인트(service data adaptation protocol service access point)(SDAP-SAP)(46749)를 통해 하나 이상의 상위 계층 프로토콜 엔티티로부터의 요청을 처리하고 하나 이상의 상위 계층 프로토콜 엔티티에 표시를 제공할 수 있다. 일부 양태에 따르면, MAC-SAP(46749)를 통해 전달되는 요청 및 표시는 하나 이상의 서비스 품질(quality of service)(QoS) 플로우를 포함할 수 있다.
일부 양태에 따르면, RRC 엔티티(46755)는 하나 이상의 관리 서비스 액세스 포인트(management service access point)(M-SAP)를 통해, PHY(46710), MAC(46720), RLC(46730), PDCP(46740) 및 SDAP(46747)의 하나 이상의 인스턴스를 포함할 수 있는 하나 이상의 프로토콜 계층의 양상을 구성할 수 있다. 일부 양태에 따르면, RRC(46755)의 인스턴스는 하나 이상의 RRC 서비스 액세스 포인트(RRC service access point)(SDAP-SAP)(46756)를 통해 NAS 엔티티로부터의 요청을 처리하고 NAS 엔티티에 표시를 제공할 수 있다.
도 468은 본 개시내용의 일부 양태에 따라, 무선 통신 디바이스 또는 무선 통신 시스템과 함께 구현될 수 있는 다양한 프로토콜 엔티티를 도시한다. 보다 구체적으로, 도 468은 일부 양태에 따라, 사용자 장비(UE)(46860), 진화된 노드 B(eNB) 또는 새로운 무선 노드 B(new radio node B)(gNB)(46880)로 지칭될 수 있는 기지국, 및 이동성 관리 엔티티(mobility management entity)(MME) 또는 액세스 및 이동성 관리 기능(access and mobility management function)(AMF)(46894)이라고 지칭될 수 있는 네트워크 기능을 포함하는 무선 통신 디바이스에서 구현될 수 있는 프로토콜 엔티티의 예시이다.
일부 양태에 따르면, gNB(46880)는 매크로 셀, 펨토 셀 또는 다른 적합한 디바이스와 같은 하나 이상의 전용의 물리적 디바이스로서 구현될 수 있거나, 또는 대안적인 양태로서, 클라우드 무선 액세스 네트워크(cloud radio access network)(CRAN)라고 지칭되는 가상 네트워크의 일부로서 서버 컴퓨터 상에서 실행되는 하나 이상의 소프트웨어 엔티티로서 구현될 수 있다.
일부 양태에 따르면, UE(46860), gNB(46880) 및 AMF(46894) 중 하나 이상에서 구현될 수 있는 하나 이상의 프로토콜 엔티티는 계층이 가장 낮은 순서부터 가장 높은 순서로 PHY, MAC, RLC, PDCP, RRC 및 NAS 순으로 정렬되는 것으로 고려되는 프로토콜 스택의 전부 또는 일부의 구현으로서 설명될 수 있다. 일부 양태에 따르면, UE(46860), gNB(46880) 및 AMF(46894) 중 하나 이상에서 구현될 수 있는 하나 이상의 프로토콜 엔티티는 그러한 통신을 수행하는 각각의 하위 계층 프로토콜 엔티티의 서비스를 사용하여, 다른 디바이스에서 구현될 수 있는 각각의 피어 프로토콜 엔티티와 통신할 수 있다.
일부 양태에 따르면, UE PHY(46872) 및 피어 엔티티 gNB PHY(46890)는 무선 매체를 통해 송신 및 수신되는 신호를 사용하여 통신할 수 있다. 일부 양태에 따르면, UE MAC(46870) 및 피어 엔티티 gNB MAC(46888)는 UE PHY(46872) 및 gNB PHY(46890)에 의해 각기 제공되는 서비스를 사용하여 통신할 수 있다. 일부 양태에 따르면, UE RLC(46868) 및 피어 엔티티 gNB RLC(46886)는 UE MAC(46870) 및 gNB MAC(46888)에 의해 각기 제공되는 서비스를 사용하여 통신할 수 있다. 일부 양태에 따르면, UE PDCP(46866) 및 피어 엔티티 gNB PDCP(46884)는 UE RLC(46868) 및 5 GNB RLC(46886)에 의해 각기 제공되는 서비스를 사용하여 통신할 수 있다. 일부 양태에 따르면, UE RRC(46864) 및 피어 엔티티 gNB RRC(46882)는 UE PDCP(46866) 및 gNB PDCP(46884)에 의해 각기 제공되는 서비스를 사용하여 통신할 수 있다. 일부 양태에 따르면, UE NAS(46862) 및 피어 엔티티 gNB NAS(46892)는 UE RRC(46864) 및 gNB RRC(46882)에 의해 각기 제공되는 서비스를 사용하여 통신할 수 있다.
양태에 따라 매체 액세스 제어 계층 기능을 구현하는데 사용될 수 있는 MAC 엔티티(46900)가 도 469에 도시된다.
일부 양태에 따르면, MAC 엔티티(46900)는 제어기(46905), 논리 채널 우선순위화 유닛(46910), 채널 멀티플렉서 및 디멀티플렉서(46915), PDU 필터 유닛(46915), 랜덤 액세스 프로토콜 엔티티(46920), 데이터 하이브리드 자동 반복 요청 프로토콜(hybrid automatic repeat request protocol)(HARQ) 엔티티(46925) 및 브로드캐스트 HARQ 엔티티(46930) 중 하나 이상을 포함할 수 있다.
일부 양태에 따르면, 상위 계층은 관리 서비스 액세스 포인트(46940)를 통해 제어기(46905)와 제어 및 상태 메시지(46935)를 교환할 수 있다. 일부 양태에 따르면, 하나 이상의 논리 채널(46945, 46955, 46965 및 46975)에 대응하는 MAC 서비스 데이터 유닛(service data unit)(SDU)은 하나 이상의 서비스 액세스 포인트(service access point)(SAP)(46950, 46960, 46970 및 46980)를 통해 MAC 엔티티(46900)와 교환될 수 있다. 일부 양태에 따르면, 하나 이상의 전송 채널(46985, 46995, 469105 및 469115)에 대응하는 PHY 서비스 데이터 유닛(SDU)은 하나 이상의 서비스 액세스 포인트(SAP)(46990, 469100, 469110 및 469120)를 통해 물리 계층 엔티티와 교환될 수 있다.
일부 양태에 따르면, 논리 채널 우선순위화 유닛(46910)은 하나 이상의 논리 채널(46945 및 46955) 사이에서 우선순위화를 수행할 수 있으며, 하나 이상의 논리 채널(46945 및 46955)은 논리 채널이 설정될 때 초기화될 수 있는 하나 이상의 논리 채널의 각각에 대응하는 파라미터 및 상태 정보를 저장하는 것을 포함할 수 있다. 일부 양태에 따르면, 논리 채널 우선순위화 유닛(46910)은 하나 이상의 논리 채널(46945 및 46955) 각각에 대해 한 세트의 파라미터로 구성될 수 있으며, 각 세트는 우선순위화된 비트 레이트(prioritized bit rate)(PBR) 및 레이트 버킷 사이즈 지속기간(bucket size duration)(BSD) 중 하나 이상을 포함할 수 있는 파라미터를 포함한다.
일부 양태에 따르면, 멀티플렉서 및 디멀티플렉서(46915)는 하나 이상의 논리 채널에 대응하는 하나 이상의 MAC-SDU 또는 부분 MAC-SDU, 하나 이상의 MAC 서브헤더, 하나 이상의 MAC 제어 요소 및 패딩 데이터를 포함할 수 있는 MAC PDU를 생성할 수 있다. 일부 양태에 따르면, 멀티플렉서 및 디멀티플렉서(46915)는 하나 이상의 논리 채널(46945 및 46955)에 대응하는, 수신된 MAC PDU에 포함된 하나 이상의 MAC-SDU 또는 부분 MAC-SDU를 분리할 수 있고, 하나 이상의 MAC-SDU 또는 부분 MAC-SDU를 하나 이상의 서비스 액세스 포인트(46950 및 46960)를 통해 상위 계층에게 표시할 수 있다.
일부 양태에 따르면, HARQ 엔티티(46925) 및 브로드캐스트 HARQ 엔티티(46930)는 하나 이상의 병렬 HARQ 프로세스를 포함할 수 있으며, 병렬 HARQ 프로세스는 각각 HARQ 식별자와 연관될 수 있고, 수신 또는 송신 HARQ 프로세스 중 하나 일 수 있다.
일부 양태에 따르면, 송신 HARQ 프로세스는 송신을 위한 MAC-PDU를 선택함으로써, 지정된 리던던시 버전(redundancy version)(RV)에 따라 PHY에 의해 인코딩될 전송 블록(transport block)(TB)을 생성할 수 있다. 일부 양태에 따르면, 브로드캐스트 HARQ 엔티티(46930)에 포함되는 송신 HARQ 프로세스는 동일한 TB를 연속적인 송신 간격에서 미리 결정된 횟수만큼 재전송할 수 있다. 일부 양태에 따르면, HARQ 엔티티(46925)에 포함된 송신 HARQ 프로세스는 이전의 송신에 대해 긍정 응답 또는 부정 응답이 수신되었는지에 기초하여 송신 시간에서 이전에 송신된 TB를 재전송할지 또는 새로운 TB를 전송할지를 결정할 수 있다.
일부 양태에 따르면, 수신 HARQ 프로세스는 하나 이상의 수신된 TB에 대응하고 새로운 데이터 표시(data indication)(DI) 및 리던던시 버전(RV) 중 하나 이상과 연관될 수 있는 인코딩된 데이터를 제공받을 수 있으며, 수신 HARQ 프로세스는 이러한 수신된 인코딩된 데이터 블록 각각이 이전에 수신된 TB 또는 이전에 수신되지 않은 TB의 재전송에 대응하는지를 결정할 수 있다. 일부 양태에 따르면, 수신 HARQ 프로세스는 메모리 또는 다른 적합한 저장 디바이스로서 구현될 수 있고, TB에 대해 이전에 수신된 데이터에 기초한 데이터를 저장하는데 사용될 수 있는 버퍼를 포함할 수 있다. 일부 양태에 따르면, 수신 HARQ 프로세스는 TB를 디코딩하려고 시도할 수 있으며, 디코딩은 TB에 대해 수신된 데이터에 기초하며, TB에 대해 이전에 수신된 데이터에 기초하여 저장된 데이터에도 또한 기초할 수 있다.
일부 양태에 따라 MAC 엔티티(46900)에 의해 인코딩되고 디코딩될 수 있는PDU의 포맷이 도 470a에 도시된다.
일부 양태에 따르면, MAC PDU(47000)는 MAC 헤더(47005) 및 MAC 페이로드(47010)로 구성될 수 있으며, MAC 페이로드는 0 개 이상의 MAC 제어 요소(47030), 0 개 이상의 MAC SDU 부분(47035) 및 0 또는 1 개의 패딩 부분(47040)으로 이루어진다. 일부 양태에 따르면, MAC 헤더(47005)는 하나 이상의 MAC 서브헤더로 이루어질 수 있으며, 각각의 MAC 서브헤더는 MAC 페이로드 부분에 대응할 수 있고 대응하는 순서로 출현한다. 일부 양태에 따르면, MAC 페이로드(47010)에 포함된 0 개 이상의 MAC 제어 요소(47030)의 각각은 MAC 헤더(47005)에 포함된 고정 길이 서브헤더(47015)에 대응할 수 있다. 일부 양태에 따르면, MAC 페이로드(47010)에 포함된 0 개 이상의 MAC SDU 부분(47035)의 각각은 MAC 헤더(47005)에 포함된 가변 길이 서브헤더(47020)에 대응할 수 있다. 일부 양태에 따르면, MAC 페이로드(47010)에 포함된 패딩 부분(47040)은 MAC 헤더(47005)에 포함된 패딩 서브헤더(47025)에 대응할 수 있다.
일부 대안의 양태에 따라 MAC 엔티티(469100)에 의해 인코딩되고 디코딩될 수 있는PDU의 포맷이 도 470b에 도시된다.
일부 양태에 따르면, MAC PDU(47000)는 패딩(47040)이 뒤이어 나올 수 있는 하나 이상의 연쇄된 MAC 서브-DU(47045)로 이루어질 수 있다. 일부 양태에 따르면, 각각의 MAC 서브-PDU(47045)는 서브 헤더 및 고정 길이 제어 요소, 가변 길이 제어 요소 및 MAC SDU 중 하나를 포함할 수 있다. 일부 양태에 따르면, 고정 길이 제어 요소를 포함하는 MAC 서브-PDU(47045)는 또한 고정 길이 서브-헤더(47015)를 포함할 수 있다. 일부 양태에 따르면, 가변 길이 제어 요소를 포함하는 MAC 서브-PDU(47045)는 또한 가변 길이 서브-헤더(47020)를 포함할 수 있다. 일부 양태에 따르면, MAC SDU를 포함하는 MAC 서브-PDU(47045)는 또한 가변 길이 서브-헤더(47020)를 포함할 수 있다.
MAC 헤더(47005)에 포함될 수 있는 고정 길이 MAC 서브-헤더(47015)의 양태가 도 470c에 도시된다.
MAC 헤더(47005)에 포함될 수 있는 가변 길이 MAC 서브-헤더(47020)의 양태가 도 470d에 도시된다.
MAC 헤더(47005)에 포함될 수 있는 패딩 서브-헤더(47025)의 양태가 도 470e에 도시된다.
일부 양태에 따르면, 고정 길이 서브-헤더(47015)는 하나 이상의 예약 비트(47065), 확장 비트(47070) 및 논리 채널 식별자(logical channel identifier)(LCID) 필드(47075)를 포함할 수 있다.
일부 양태에 따르면, 가변 길이 서브-헤더(47020)는 예약 비트(47065), 확장 비트(47070), LCID 필드(47075), 포맷 필드(47085) 및 길이 필드(47090) 중 하나 이상을 포함할 수 있다.
일부 양태에 따르면, 패딩 서브-헤더(47025)는 예약 비트(47065), 확장 비트(47070) 및 논리 채널 식별자(LCID) 필드(47075) 중 하나 이상을 포함할 수 있다.
일부 양태에 따르면, 예약 비트(47065)는 0으로 설정될 수 있다. 일부 양태에 따르면, 확장 비트(47070)는 MAC 서브 헤더 다음에 하나 이상의 추가 MAC 서브-헤더가 뒤이어 오는지를 표시하는 값으로 설정될 수 있다. 일부 양태에 따르면, LCID(47075)는 대응하는 MAC 제어 요소(47030)의 타입, 대응하는 MAC SDU 부분(47035)의 논리 채널 식별자, 또는 패딩 타입 중 하나를 표시하는 값을 포함할 수 있다. 일부 양태에 따르면, 포맷 필드(47085)는 길이 필드(47090)의 비트 수를 표시할 수 있다. 일부 양태에 따르면, 길이 필드(47090)는 대응하는 MAC SDU 부분(47035)의 길이를 표시하는 값을 포함할 수 있다.
무선 링크 제어(RLC) 계층 엔티티(47100) 내에 포함된 기능의 양태가 도 471에 도시된다.
일부 양태에 따르면, RLC 계층 엔티티(47100)는 투명 모드(transparent mode)(TM) 송신 엔티티(47110), TM 수신 엔티티(47115), 비확인 모드(unacknowledged mode)(UM) 송신 엔티티(47120), UM 수신 엔티티(AM) 및 확인 모드(acknowledged mode)(AM) 엔티티(47130) 각각 중의 하나 이상을 포함할 수 있다.
일부 양태에 따르면, 상위 계층 엔티티는 하나 이상의 서비스 액세스 포인트(47140, 47142, 47144, 47146, 47148 및 47150)를 통해 제어, 상태 및 데이터 메시지(47162, 47164, 47168, 47172 및 47174)를 RLC 계층 엔티티(47100)와 교환할 수 있다. 일부 양태에 따르면, RLC 계층 엔티티(47100)는 서비스 액세스 포인트(47152, 47154, 47156, 47158 및 47160)를 통해 제어, 상태 및 데이터 메시지(47178, 47180, 47182, 47184 및 47186)를 하위 계층 프로토콜 엔티티와 교환할 수 있다.
투명 모드
일부 양태에 따르면, 각각의 TM 송신 엔티티(47110) 및 TM 수신 엔티티(47115) 중 0 개 이상은 각각 구별되는 논리 채널 식별자(LCID)에 대응할 수 있고, 무선 자원 제어(RRC) 엔티티일 수 있는 상위 계층 제어 엔티티로부터의 요청에 따라, 동적으로 생성, 구성 및 배치될 수 있다.
일부 양태에 따르면, TM 송신 엔티티(47110)는 SDU를 분할 또는 연쇄시키지 않고 또는 임의의 헤더 데이터를 포함시키지 않고, TM SAP(47140)를 통해 수신된 RLC SDU로부터 투명 모드 데이터(transparent mode data)(TMD) PDU를 생성할 수 있고, TMD PDU를 SAP(47152)를 통해 하위 계층으로 넘겨줄 수 있다.
일부 양태에 따르면, TM 수신 엔티티는 SAP(47154)를 통해 하위 계층으로부터 TMD PDU를 받을 수 있으며, 아무런 수정 없이, TMD PDU를 SAP(47142)를 통해 RLC SDU로서 상위 계층으로 전달할 수 있다.
비확인 모드
일부 양태에 따르면, 각각의 UM 송신 엔티티(47120) 및 UM 수신 엔티티(47125) 중 0 개 이상은 각각 구별되는 논리 채널 식별자(LCID)에 대응할 수 있고, 무선 자원 제어(RRC) 엔티티일 수 있는 상위 계층 제어 엔티티로부터의 요청에 따라, 동적으로 생성, 구성 및 배치될 수 있다.
일부 양태에 따르면, UM 송신 엔티티(47120)는 RLC 헤더를 각 RLC SDU에 추가함으로써 RLC SDU로부터 비확인 모드 데이터(unacknowledged mode data)(UMD) PDU를 생성할 수 있고, RLC SDU를 세그멘트로 나누고 각 세그멘트에 RLC 헤더를 추가함으로써 UMD PDU 세그멘트를 생성할 수 있다. 일부 양태에 따르면, UM 송신 엔티티(47120)는 UMD PDU 및 UMD PDU 세그멘트를 SAP(47156)를 통해 하위 계층으로 넘겨줄 수 있다.
일부 양태에 따르면, UM 수신 엔티티(47125)는 SAP(47158)를 통해 수신된 UMD PDU를 처리할 수 있다. 일부 양태에 따르면, UM 수신 엔티티(47125)에 의해 수신된 UMD PDU의 처리는 다음의 단계: 복제시 수신된 UMD PDU를 검출하여 폐기하는 단계, 수신된 UMD PDU 및 UMD PDU 세그멘트를 UMD PDU 및 UMD PDU 세그멘트에 포함된 일련 번호에 따라 재정렬하는 단계, 수신된 UMD PDU 세그멘트로부터 RLC SDU를 재조립하는 단계, 및 RLC SDU를 오름차순 순서로 SAP(47146)를 통해 상위 계층에 전달하는 단계 중 하나 이상을 포함할 수 있다.
확인 모드
일부 양태에 따르면, 각각의 AM 송신 엔티티(47130) 중 0 개 이상은 각각 구별되는 논리 채널 식별자(LCID)에 대응할 수 있고, 무선 자원 제어(RRC) 엔티티일 수 있는 상위 계층 제어 엔티티로부터의 요청에 따라, 동적으로 생성, 구성 및 배치될 수 있다.
일부 양태에 따르면, AM 엔티티(47130)는 RLC 헤더를 각 RLC SDU에 추가함으로써 RLC SDU로부터 확인 모드 데이터(acknowledged mode data)(AMD) PDU를 생성할 수 있고, RLC SDU를 세그멘트로 나누고 각 세그멘트에 RLC 헤더를 추가함으로써 AMD PDU 세그멘트를 생성할 수 있다. 일부 양태에 따르면, AM 엔티티(47130)는 AMD PDU 및 AMD PDU 세그멘트를 SAP(47160)를 통해 하위 계층으로 넘겨줄 수 있다.
일부 양태에 따르면, AM 엔티티(47130)는 AMD PDU의 헤더에다, 그 PDU를 수신하는 피어 AM 엔티티가 AM STATUS PDU로 응답하도록 요청된 것을 표시하는 폴링 비트를 포함시킬 수 있으며, AM STATUS PDU는 AMD PDU 및 AMD PDU 세그멘트가 올바르게 수신되었다는 것에 관한 정보를 포함할 수 있다.
일부 양태에 따르면, AM 엔티티(47130)는 하나 이상의 송신된 AMD PDU 및 AMD PDU 세그멘트를 재송신 버퍼에 저장할 수 있으며, 만일 이들이 피어 수신 AM 엔티티에 의해 올바르게 수신되지 않았다고 결정되면, 하나 이상의 그러한 PDU를 재송신할 수 있다.
일부 양태에 따르면, AMD PDU 또는 AMD PDU 세그멘트의 재송신시, AM 엔티티는 시간 간격 내에 송신에 이용 가능한 용량의 바이트의 개수가 전체 PDU를 재송신하기에 불충분하다고 결정되면, PDU를 두 개 이상의 더 작은 세그멘트로 재 세그멘트화될 수 있다.
일부 양태에 따라 TM 송신 엔티티(47110)에 의해 송신되고 TM 수신 엔티티(47115)에 의해 수신될 수 있는 TMD PDU(47200)가 도 472a에 도시된다.
일부 양태에 따르면, TMD PDU(47200)는 하나 이상의 옥텟의 데이터 필드(47205)를 포함할 수 있다.
일부 양태에 따라 UM 송신 엔티티(47120)에 의해 송신되고 UM 수신 엔티티(47125)에 의해 수신될 수 있는UMD PDU(47220)가 도 472b에 도시된다.
일부 양태에 따르면, UMD PDU(47220)는 UMD PDU 헤더 및 데이터 필드(47205)로 이루어질 수 있다.
일부 양태에 따르면, UMD PDU(47220)는 예약 1(reserved 1)(R1) 비트(47225), 세그멘테이션 플래그(segmentation flag)(SF) 비트(47230), 최종 세그멘트 플래그(last segment flag)(LSF) 비트(47235), 시퀀스 번호(sequence number)(SN) 필드(47240) 및 하나 이상의 옥텟의 데이터(47205)의 각각 중 하나 이상을 포함할 수 있다.
일부 양태에 따라 UM 송신 엔티티(47120)에 의해 송신되고 UM 수신 엔티티(47125)에 의해 수신될 수 있는TMD PDU(47250)가 도 472c에 도시된다.
일부 양태에 따르면, UMD PDU 세그멘트(47250)는 예약된 1(R1) 비트(47225), 세그멘테이션 플래그(SF) 비트(47230), 최종 세그멘트 플래그(LSF) 비트(47235), 시퀀스 번호(SN) 필드(47240), 세그멘트 오프셋(segment offset)(SO) 필드(47245) 및 하나 이상의 옥텟의 데이터(47205)의 각각 중 하나 이상을 포함할 수 있다.
일부 양태에 따라 AM 엔티티(47130)에 의해 송신되고 수신될 수 있는 AMD PDU(47260)가 도 472d에 도시된다.
일부 양태에 따르면, AMD PDU(47260)는 AMD PDU 헤더 및 데이터 필드(47205)로 이루어질 수 있다.
일부 양태에 따르면, AMD PDU(47260)는 데이터/제어(data/control)(D/C) 비트(47265), 세그멘테이션 플래그(SF) 비트(47230), 패리티(parity)(P) 비트(47270), 예약 1(R1) 비트(47225), 최종 세그멘트 플래그(LSF) 비트(47235), 시퀀스 번호(SN) 필드(47240) 및 하나 이상의 옥텟의 데이터(47205)의 각각 중 하나 이상을 포함할 수 있다.
일부 양태에 따라 AM 엔티티(47130)에 의해 송신되고 수신될 수 있는 AMD PDU(47280)가 도 472e에 도시된다.
일부 양태에 따르면, AMD PDU 세그멘트(47280)는 데이터/제어(D/C) 비트(47265), 세그멘테이션 플래그(SF) 비트(47230), 폴링(polling)(P) 비트(47270), 예약 1(R1) 비트(47225), 최종 세그멘트 플래그(LSF) 비트(47235), 시퀀스 번호(SN) 필드(47240), 세그멘트 오프셋(SO) 필드(47245) 및 하나 이상의 옥텟의 데이터(47205)의 각각 중 하나 이상을 포함할 수 있다.
일부 양태에 따르면, UMD PDU(47220), UMD PDU 세그멘트(47250), AMD PDU(47260) 또는 AMD PDU 세그멘트(47280)에 포함된 SF 비트(47230)의 값은 PDU가 UMD PDU 또는 AMD PDU 중 하나인지, 또는 UMD PDU 세그멘트 또는 AMD PDU 세그멘트 중 하나인지를 표시할 수 있으며, 여기서 0이라는 값은 PDU가 UMD PDU 또는 AMD PDU 중 하나임을 표시할 수 있고 0이라는 값은 PDU가 UMD PDU 세그멘트 또는 AMD PDU 세그멘트 중 하나임을 표시할 수 있다.
일부 양태에 따르면, AMD PDU(47260) 또는 AMD PDU 세그멘트(47280)에 포함된 P 비트는 송신 AMD PDU 엔티티(47230)가 피어 수신 AMD PDU 엔티티(47230)가 STATUS PDU(47290)를 송신함으로써 응답하여야 한다고 요청하는지를 표시하는 값으로 설정될 수 있다.
일부 양태에 따르면, UMD PDU 세그멘트(47250) 또는 AMD PDU 세그멘트(47280)에 포함된 LSF 비트(47235)의 값은 UMD PDU 세그멘트 또는 AMD PDU 세그멘트가 각각 UMD PDU 또는 AMD PDU의 최종 세그멘트를 포함하는지를 표시하도록 설정될 수 있다.
일부 양태에 따르면, UMD PDU(47220) 또는 AMD PDU(47260)에 포함된 SN 필드(47240)의 값은 PDU의 시퀀스 번호를 표시할 수 있다. 일부 양태에 따르면, UMD PDU 세그멘트(47250) 또는 AMD PDU 세그멘트(47280)에 포함된 SN 필드의 값은 UMD PDU 세그멘트 또는 AMD PDU 세그멘트가 세그멘트인 UMD PDU의 시퀀스 번호를 표시할 수 있다.
일부 양태에 따라 AM 엔티티(47130)에 의해 송신되고 수신될 수 있는 STATUS PDU(47290)가 도 472f에 도시된다.
일부 양태에 따르면, STATUS PDU(47290)는 D/C 비트(47265) 및 제어 프로토콜 타입(control protocol type)(CPT) 필드 각각 중 하나를 포함할 수 있다. 일부 양태에 따르면, STATUS PDU(47290)에 포함된 CPT 필드는 PDU가 STATUS PDU인 것을 표시하는 값으로 설정될 수 있다.
일부 양태에 따르면, STATUS PDU(47290)는 확인응답 필드 그룹(acknowledgement field group) 및 0 개 이상의 부정 확인응답 필드 그룹(negative acknowledgement field group)을 포함할 수 있다.
일부 양태에 따르면, 확인응답 필드 그룹은 18 비트 길이일 수 있는 확인응답 시퀀스 번호(acknowledgement sequence number)(ACK_SN) 필드 및 확인응답 필드 그룹 다음에 하나 이상의 부정 확인응답 필드 그룹이 뒤이어 오는지를 표시하는 값으로 설정될 수 있는 확장 1(extension 1)(E1) 비트를 포함할 수 있다.
일부 양태에 따르면, 부정 확인응답 필드 그룹은 18 비트 길이일 수 있는 부정 확인응답 시퀀스 번호(NACK_SN) 필드, 그 뒤를 이은 E1 비트, 확장 2(E2) 비트, 확장 3(E3) 비트 및 0개, 한 개 또는 두 개의 임의적 필드를 포함할 수 있으며, E1 비트는 부정 확인응답 필드 그룹 다음에 추가의 부정 확인응답 필드 그룹이 뒤이어 오는지를 표시하는 값으로 설정되고, E2 비트는 임의적 필드가 세그멘트 오프셋 시작(segment offset start)(SOstart) 필드를 포함하는지를 표시하는 값으로 설정되며, E3 비트는 임의적 필드가 세그멘트 오프셋 종료(segment offset end)(SOend) 필드를 포함하는지를 표시하는 값으로 설정된다.
패킷 데이터 수렴 프로토콜(PDCP) 계층 엔티티(47300) 내에 포함될 수 있는 기능의 양태가 도 473에 도시된다.
일부 양태에 따르면, PDCP 계층 엔티티(47300)는 시퀀스 넘버링, 중복 검출 및 재정렬 회로(47325), 헤더 압축 및 압축 해제 회로(47330), 무결성 보호 및 검증 회로(47335), 암호화 및 암호해독 회로(47340) 및 캡슐화 및 캡슐해제 회로(47345)를 포함한다.
일부 양태에 따르면, 상위 계층 엔티티는 PDCP 서비스 데이터 유닛(SDU)(47305)을 SAP(47310)를 통해 PDCP 계층 엔티티(47300)와 교환할 수 있다. 일부 양태에 따르면, UPDCP 계층 엔티티(47300)는 PDCP 프로토콜 데이터 유닛(PDU)(47315)을 SAP(47320)를 통해 하위 계층 프로토콜 엔티티와 교환할 수 있다.
일부 양태에 따르면, PDCP 계층 엔티티(47300)는 제어 유닛(47350)을 포함할 수 있으며, 제어 유닛(47350)은 시퀀스 넘버링, 중복 검출 및 재정렬 회로(47325), 헤더 압축 및 압축 해제 회로(47330), 무결성 보호 및 검증 회로(47335), 암호화 및 암호해독 회로(47340) 및 캡슐화 및 캡슐해제 회로(47345) 중 하나 이상으로 구성 및 제어 입력을 제공하고, 그 중 하나 이상으로부터 상태 정보를 수신할 수 있다. 일부 양태에 따르면, PDCP 계층 엔티티(47300)는 하나 이상의 구성 파라미터 및 상태 정보 중 하나 이상을 저장하는데 사용될 수 있는 메모리(47355)를 포함할 수 있다.
일부 양태에 따르면, 상위 계층 엔티티는 제어 및 상태 메시지(47360)를 관리 서비스 액세스 포인트(47365)를 통해 제어기(46905)와 교환할 수 있다.
일부 양태에 따라 PDCP 엔티티(47300)에 의해 송신되고 수신될 수 있는 PDCP PDU(47400)가 도 474에 도시된다.
일부 양태에 따르면, PDCP PDU(47400)는 예약(R) 비트(47405), PDCP 시퀀스 번호(SN) 필드(47410), 하나 이상의 옥텟의 데이터(47420) 및 네 개 옥텟의 데이터 무결성의 메시지 인증 코드(message authentication code for data integrity)(MAC-I) 필드(47420)의 각각 중 하나 이상을 포함할 수 있다.
무선 자원 제어(RRC) 계층(47500)의 인스턴스 간의 통신 양태가 도 475에 도시된다. 양태에 따르면, 사용자 장비(UE)(47505)에 포함된 RRC(47500)의 인스턴스는 진화된 노드 B(eNodeB), gNodeB 또는 다른 기지국 인스턴스일 수 있는 기지국(47510)에 포함된 피어 RRC 인스턴스(47500)에 송신되고 피어 RRC 인스턴스(47500)로부터 수신되는 메시지를 각기 인코딩하고 디코딩할 수 있다.
양태에 따르면, RRC(47500) 인스턴스는 시스템 정보, 셀 선택 및 재선택 파라미터, 인접 셀 정보, 공통 채널 구성 파라미터 및 다른 브로드캐스트 관리 정보 중 하나 이상을 포함할 수 있는 브로드캐스트 메시지를 인코딩 또는 디코딩할 수 있다.
양태에 따르면, RRC(47500) 인스턴스는 페이징 정보, RRC 연결을 설정, 수정, 중지, 재개 또는 해제(release)하는 메시지, 셀 무선 네트워크 임시 식별자(cell radio network temporary identifier)(C-RNTI)를 포함할 수 있는 UE 식별을 할당 또는 수정하는 메시지, 시그널링 무선 베어러(signaling radio bearer)(SRB), 데이터 무선 베어러(data radio bearer)(DRB) 또는 QoS 플로우를 설정, 수정 또는 해제하는 메시지, 무결성 보호 및 암호화 정보를 포함하는 보안 연관(security associations)을 설정, 수정 또는 해제하는 메시지, 주파수 간(inter-frequency), 주파수 내(intra-frequency) 및 무선 액세스 기술간(inter-radio access technology)(RAT) 핸드 오버를 제어하는 메시지, 무선 링크 장애로부터 복구하는 메시지, 측정 정보를 구성 및 보고하는 메시지, 및 다른 관리 제어 및 정보 기능 중 하나 이상을 포함할 수 있는 RRC 연결 제어 메시지를 인코딩 또는 디코딩할 수 있다.
일부 양태에서 사용자 장비(UE)에서 구현될 수 있는 RRC(47500)의 상태가 도 476에 도시된다.
일부 양태에 따르면, RRC 엔티티(47500)는 5G 뉴 라디오(new radio)(NR) 네트워크에 속하는 셀에 연결되거나 캠프 온(camp on)되었을 때 NR RRC Connected(47605), NR RRC Inactive(47628) 또는 NR RRC Idle(47625) 상태 중 한 상태에 있을 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 롱 텀 에볼루션(LTE) 네트워크에 속하는 셀에 연결되거나 캠프 온되었을 때 E-UTRA RRC Connected(47610) 상태 또는 E-UTRA RRC Idle(47630) 상태 중 한 상태에 있을 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 범용 이동 통신 시스템(universal mobile telecommunication system)(UMTS)에 속하는 셀에 연결되거나 캠프 온되었을 때 CELL_DCH(47615), CELL_FACH(47645), CELL_PCH/URA_PCH(47645) 또는 UTRA_Idle(47635) 상태 중 한 상태에 있을 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 전세계 이동 통신 시스템(global system for mobile telecommunication)(GSM) 네트워크에 속하는 셀에 연결되거나 캠프 온되었을 때 GSM_Connected/GPRS_Packet_Transfer_Mode(47620) 상태 또는 GSM_Idle/GPRS_Packet_Idle(47640) 상태 중 한 상태에 있을 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 연결 상태(connected state)라고 지칭될 수 있는 NR RRC Connected(47605), E-UTRA RRC Connected(47610), CELL_DCH(47615), CELL_FACH(47645) 및 GSM_Connected/GPRS_Packet_Transfer_Mode(47640)로 이루어지는 세트 내의 상태 중 한 상태로부터 핸드 오버 전이(47660)를 통해 동일한 세트 내의 다른 상태로 전이할 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 유휴 상태(idle state)라고 지칭될 수 있는 NR RRC Idle(47625), E-UTRA RRC Idle(47630), UTRA_Idle(47635) 및 GSM_Idle/GPRS_Packet_ldle(47640)로 이루어진 세트 내의 상태 중 한 상태로부터 셀 재선택 전이(47680)를 통해 동일한 세트 내의 다른 상태로 전이할 수 있다.
일부 양태에 따르면, RRC 엔티티(47500)는 RRC 연결/단절 전이(47670)를 통해 NR RRC Connected(47605)와 NR RRC Idle(47625)의 상태 사이에서 전이할 수 있다. 일부 양태에 따르면, RRC 엔티티(47500)는 RRC 연결/단절 전이(47670)를 통해 E-UTRA RRC Connected(47610)와 E-UTRA RRC Idle(47630)의 상태 사이에서 전이할 수 있다. 일부 양태에 따르면, RRC 엔티티(47500)는 RRC 연결/단절 전이(47670)를 통해 CELL_PCH/URA_PCH(47645)와 UTRA_Idle(47635)의 상태 사이에서 전이할 수 있다. 일부 양태에 따르면, RRC 엔티티(47500)는RRC 연결/단절 전이(47670)를 통해 GSM_Connected/GPRS_Packet_Transfer_Mode(47620)와 GSM)Idle/GPRS_Packet_Idle(47640)의 상태 사이에서 전이할 수 있다.
위의 상세한 설명은 상세한 설명의 일부를 형성하는 첨부 도면에 대한 참조 문헌을 포함한다. 도면은 예시로서, 본 개시내용의 양태가 실시될 수 있는 특정 양태를 도시한다. 이러한 양태는 본 명세서에서 "예(example)"라고도 지칭된다. 본 문서와 이렇게 참조 문헌으로 포함되는 문서 간에 모순되는 사용이 있는 경우, 포함된 참조 문헌(들)의 사용은 본 문서의 사용에 보충적인 것으로 간주되어야 하고; 양립할 수 없는 모순이 있으면, 본 문서의 사용이 우선이 된다.
본 문서에서, "한" 또는 "하나"라는 용어는 특허 문헌에서 일반적으로 사용되는 바와 같이, "적어도 하나" 또는 "하나 이상"이라는 임의의 다른 인스턴스 또는 사용과 관계 없이, 하나 또는 하나 초과를 포함하는 것으로 사용된다. 본 명세서에서, 달리 시사하지 않는 한, "또는"이라는 용어는 배타적이지 않은 것을 지칭하는데 사용하거나, 또는 "A 또는 B"는 "A 이지만 B가 아님", "B이지만 A가 아님" 및 "A 및 B"를 포함하도록 지칭하는데 사용될 수 있다. 첨부된 청구 범위에서, "포함하는" 및 "~인"이라는 용어는 "포함하는" 및 "여기서"라는 각각의 용어의 평이한 영어에 상당하는 것으로서 사용된다. 또한, 다음의 청구 범위에서, "구비하는" 및 "포함하는"이라는 용어는 청구항에서 그러한 용어 뒤에 열거된 것 이외의 요소를 포함하는 시스템, 디바이스 또는 프로세스가 여전히 해당 청구항의 범위 내에 속하는 것으로 간주되는 개방형 용어이다. 더욱이, 아래의 청구 범위에서, "제 1", "제 2" 및 "제 3" 등의 용어는 단지 표시로서 사용되며, 이들의 객체에 수치적인 요건을 부여하려는 것은 아니다.
위의 설명은 예시적인 것이지, 제한적인 것은 아니다. 예를 들어, 위에서 설명한 예(또는 그 예의 하나 이상의 양태)는 서로 조합되어 사용될 수 있다. 위의 설명을 검토해 보면 예컨대 관련 기술분야의 통상의 기술자에 의해 다른 양태가 사용될 수 있다. 또한, 위의 상세한 설명에서, 다양한 특징이 함께 그룹화되어 본 개시내용을 간소화할 수 있다. 이것은 청구되지 않고 개시된 특징이 모든 청구항에 필수적이라는 것을 의미하는 것으로 해석되지 않아야 한다. 오히려, 본 발명의 청구 대상은 특정 개시된 양태의 모든 특징보다 적을 수 있다. 따라서, 다음의 청구 범위는 상세한 설명에 통합되며, 각 청구항은 별개의 양태로서 그 자체로 성립한다.
본 개시내용의 다양한 양태의 범위는 첨부된 청구 범위를 참조하여, 그러한 청구 범위의 자격을 부여 받은 균등물의 전체 범위와 함께 결정될 수 있다. 초록은 독자가 기술적 개시내용의 본질 및 요지를 확인할 수 있게 하는 초록을 요구하는 37 C.F.R. 섹션 1.72(b)를 준수하기 위해 제공된다. 이것은 청구 범위의 범위 또는 의미를 제한하거나 해석하는데 사용되지 않을 것이라는 이해 하에 제출된다. 다음의 청구 범위는 이로써 상세한 설명에 통합되며, 각 청구항은 별개의 양태로서 그 자체로 성립한다.
예 1은 인쇄 회로 보드(printed circuit board)(PCB) 기판; 반도체 다이 - 반도체 다이는 다이의 표면에 부착되고 다이를 PCB 기판에 연결하는 복수의 금속성 필러를 포함하고, 복수의 금속성 필러 각각은 복수의 중첩된 금속성 층을 포함함 -; 및 유도성 구조 - 유도성 구조는 복수의 금속성 필러 중 적어도 두 개 사이의 금속성 상호연결부를 포함하고, 금속성 상호연결부는 복수의 금속성 층 중 하나임 - 를 포함하는 장치이다.
예 2에서, 예 1의 청구 대상은 선택적으로 금속성 필러가 구리 필러이고, 복수의 중첩된 금속성 층은 복수의 중첩된 구리 층을 포함하는 것을 포함한다.
예 3에서, 예 1-2 중 어느 하나 이상의 예의 청구 대상은 복수의 금속성 필러와 반도체 다이 사이에 배치된 제 1 복수의 금속 패드 콘택; 및 PCB 기판과 복수의 금속성 필러 사이에 배치된 제 2 복수의 금속 패드를 포함한다.
예 4에서, 예 1-3 중 어느 하나 이상의 예의 청구 대상은 선택적으로 유도성 구조가 고유의 자기-인덕턴스 및 온-다이 유도성 성분을 포함하여 원하는 인덕턴스를 달성하는 것을 포함하는 것을 포함한다.
본 명세서에서 사용된 바와 같이, 회로의 "고유의 자기-인덕턴스"라는 용어는 자기 유도에 의해 회로에서 생성된 기전력과 기전력을 생성하는 전류의 변화율의 비로 나타낼 수 있다. 자기-인덕턴스는 헨리(Henry)(H) 단위로 나타낼 수 있다.
예 5에서, 예 1-4 중 어느 하나 이상의 예의 청구 대상은 선택적으로 유도성 구조가 고품질(Q) 인자를 포함하고, Q 인자가 유도성 구조의 인덕턴스 대 유도성 구조의 비율 저항의 비인 것을 포함하는 것을 포함한다.
예 6에서, 예 1-5 중 어느 하나 이상의 예의 청구 대상은 선택적으로 금속성 상호연결부가 복수의 금속성 층의 상부 층의 일부이고, 상부 층이 PCB 기판과 접촉되어 있는 것을 포함하는 것을 포함한다.
예 7에서, 예 1-6 중 어느 하나 이상의 예의 청구 대상은 선택적으로 유도성 구조가 PCB 기판과 독립적인 것을 포함하는 것을 포함한다.
예 8에서, 예 1-7 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 유도성 구조를 선택적으로 포함하고, 제 2 유도성 구조는 복수의 금속성 필러 중 적어도 다른 두 개 사이에 제 2 금속성 상호연결부에 의해 형성되며, 제 2 금속성 상호연결부는 복수의 금속성 층 중 제 2 금속성 층의 일부이고 금속성 상호연결부로부터 갈바니 전기적으로 분리된다.
예 9에서, 예 8의 청구 대상은 선택적으로 제 2 금속성 상호연결부가 금속성 상호연결부와 적어도 부분적으로 중첩되어, 제 2 금속성 상호연결부와 금속성 상호연결부 사이에 커플링 구역을 형성하는 것을 포함하는 것을 포함한다.
예 10에서, 예 9의 청구 대상은 선택적으로 제 2 금속성 상호연결부 및 금속성 상호연결부가 크로스-오버 구성으로 배열되는 것을 포함하는 것을 포함한다.
예 11에서, 예 9-10 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 금속성 상호연결부 및 금속성 상호연결부가 평행한 구성으로 배열되는 것을 포함하는 것을 포함한다.
예 12에서, 예 8-11 중 어느 하나 이상의 예의 청구 대상은 선택적으로 유도성 구조 및 제 2 유도성 구조가 변압기를 형성하는 것을 포함하는 것을 포함한다.
예 13에서, 예 12의 청구 대상은 선택적으로 유도성 구조가 변압기의 1차 권선을 형성하고, 제 2 유도성 구조가 변압기의 2차 권선을 형성하는 것을 포함한다.
예 14에서, 예 1-13 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 금속성 층이 대응하는 복수의 에칭 프로세스와 연관되는 것을 포함한다.
예 15는 무선 송수신기; PCB 기판; 반도체 다이 - 반도체 다이는 복수의 금속성 필러를 통해 PCB 기판에 연결되고, 복수의 금속성 필러 각각은 복수의 중첩된 금속성 층을 포함함 -; 및 복수의 금속성 필러 중 적어도 두 개 사이에 형성된 금속성 상호연결부 - 금속성 상호연결부는 복수의 금속성 층 중 하나의 금속성 층의 일부이고 고유의 자기-인덕턴스를 포함함 - 를 포함하는 무선 통신 디바이스이다.
예 16에서, 예 15의 청구 대상은 선택적으로 금속성 상호연결부를 사용하여 국부 발진기 신호를 생성하도록 구성된 PCB 기판상에 형성된 전압 제어 발진기(VCO) - VCO는 무선 송수신기에 결합됨 - 를 포함한다.
예 17에서, 예 16의 청구 대상은 선택적으로 금속성 상호연결부가 전압 제어 발진기의 구성요소인 것을 포함한다.
예 18은 버스를 통해 통신되는 데이터를 무선으로 송신 또는 수신하도록 배열된 무선 송수신기; 및 무선 송수신기와 연관된 반도체 패키지 - 반도체 패키지는 PCB 기판; PCB 기판에 형성된 전압 제어 발진기(VCO); 반도체 다이 - 반도체 다이는 복수의 금속성 필러를 통해 PCB 기판에 연결되고, 복수의 금속성 필러 각각은 동일한 복수의 중첩된 금속성 층을 포함함 -; 및 복수의 금속성 필러 중 적어도 두 개 사이에 형성된 금속성 상호연결부 - 금속성 상호연결부는 적어도 두 개의 필러와 연관된 복수의 금속성 층 중 하나의 일부이고 고유의 자기-인덕턴스를 포함함 - 를 포함하는 무선 통신 디바이스이다.
예 19에서, 예 18의 청구 대상은 선택적으로 금속성 상호연결부가 VCO의 구성요소인 것을 포함한다.
예 20에서, 예 18-19 중 어느 하나 이상의 예의 청구 대상은 선택적으로 반도체 패키지가 복수의 금속성 필러 중 적어도 두 개 사이의 제 2 금속성 상호연결부를 더 포함하고, 제 2 금속성 상호연결부는 복수의 금속성 층 중 제 2 금속성 층의 일부이고 금속성 상호연결부로부터 전기적으로 분리되는 것을 포함한다.
예 21은 복수의 평행한 층을 포함하는 라미네이트 회로 기판; 라미네이트 회로 기판 내의 캐버티; 캐버티 내에 배치된 무선 주파수 집적 회로(radio frequency integrated circuit)(RFIC); RFIC용 실드(shield) - 실드는 캐버티의 적어도 하나의 금속화된 층을 포함함 -; 및 캐버티 외부의 회로 기판의 적어도 하나의 층에 배열되고 RFIC에 연결되어 RFIC로부터의 RF 신호를 방사하는 복수의 안테나 요소를 포함하는 안테나 구조이다.
예 22에서, 예 21의 청구 대상은 선택적으로 캐버티가 RFIC가 배치되는 플로어(floor)를 포함하고, 실드가 라미네이트 회로 기판의 금속화 층 및 플로어를 금속화 층의 적어도 일부에 연결하는 비아를 포함하는 것을 포함한다.
예 23에서, 예 21-22 중 어느 하나 이상의 예의 청구 대상은 선택적으로 실드가 패러데이 케이지를 포함하는 것을 포함한다.
예 24에서, 예 21-23 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 제 1 그룹이 실드 외부의 회로 기판의 제 1 층에 배치되고, 복수의 안테나 요소 중 제 2 그룹은 실드 외부의 회로 기판의 제 2 층에 배치되는 것을 포함한다.
예 25에서, 예 23-24 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 그룹의 적어도 일부 및 제 2 그룹의 적어도 일부가 서로 실질적으로 180 도 각도로 RF 신호를 방사하는 것을 포함한다.
예 26에서, 예 23-25 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 제 3 그룹이 에지-파이어 동작(edge-fire operation) 또는 엔드-파이어 동작(end-fire operation)을 위해 실드 외부의 회로 기판의 에지에 배치되는 것을 포함한다.
예 27에서, 예 24-26 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소의 제 1 그룹 중 적어도 일부, 안테나 요소의 제 2 그룹 중 적어도 일부, 및 안테나 요소의 제 3 그룹 중 적어도 일부가 위상 배열(phased array)을 포함하는 것을 포함한다.
예 28에서, 예 21-27 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 실드 내의 RFIC로부터 회로 기판의 하나 이상의 층을 통해 측 방향으로 어이지는 피드 라인에 의해 급전되는 것을 포함한다.
예 29에서, 예 21-28 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소 중 적어도 일부가 실드 내의 RFIC로부터 측 방향으로 또는 측 방향에 수직으로 이어지는 피드 라인에 의해 급전되고, 이후 접지 층 또는 실드 내의 개구부를 통해 전이하여 안테나 요소 중 적어도 하나에 도달하는 것을 포함한다.
예 30에서, 예 21-29 중 어느 하나 이상의 예의 청구 대상은 캐버티의 플로어 위의 라미네이트 회로 기판의 층이 캐버티 플로어 아래의 층보다 크기 및 면적이 작고, 받침부(pedestal)가 캐버티에 대해 생성되는 것을 포함한다.
예 31에서, 예 28-30 중 어느 하나 이상의 예의 청구 대상은 선택적으로 받침부가 전기 디바이스의 소켓에 연결하는 전기 콘택을 갖는 표면을 포함하고, 전기 콘택이 라미네이트 회로 기판으로부터 전기 디바이스로 열을 전달하는 열적 전도성 경로를 더 포함하는 것을 포함한다.
예 32는 복수의 평행 층을 포함하는 라미네이트 회로 기판; 라미네이트 회로 기판 내의 캐버티; 캐버티 내에 배열된 RFIC; RFIC용 실드 - 실드는 캐버티의 적어도 하나의 금속화 층을 포함함 -; 및 캐버티 외부의 회로 기판의 적어도 하나의 층에 배열되고 RFIC에 연결되어 RFIC로부터 RF 신호를 방사하는 복수의 안테나 요소를 포함하는 모바일 디바이스이다.
예 33에서, 예 32의 청구 대상은 선택적으로 캐버티가 RFIC가 배열되는 플로어를 포함하고, 실드가 라미네이트 회로 기판의 적어도 하나의 금속화 층 및 플로어를 적어도 하나의 금속화된 층에 연결하는 비아를 포함하는 것을 포함한다.
예 34에서, 예 32-33 중 어느 하나 이상의 예의 청구 대상은 선택적으로 실드가 패러데이 케이지를 포함하는 것을 포함한다.
예 35에서, 예 32-34 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소의 제 1 그룹이 실드 외부의 회로 기판의 제 1 층에 배치되고, 복수의 안테나 요소의 제 2 그룹이 실드 외부의 회로 기판의 제 2 층에 배치되는 것을 포함한다.
예 36에서, 예 33-35 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 그룹의 적어도 일부 및 제 2 그룹의 적어도 일부가 서로 실질적으로 180 도 각도로 반대 방향으로 RF 신호를 방사하는 것을 포함한다.
예 37에서, 예 33-36 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 제 3 그룹이 에지-파이어 동작 또는 단부-파이어 동작을 위한 실드의 외부의 회로 기판의 에지에 배열되는 것을 포함한다.
예 38에서, 예 35-37 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소의 제 1 그룹 중 적어도 일부, 안테나 요소의 제 2 그룹 중 적어도 일부, 및 안테나 요소의 제 3 그룹 중 적어도 일부가 안테나 요소의 위상 배열을 포함하는 것을 포함한다.
예 39에서, 예 32-38 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 실드 내의 RFIC로부터 회로 기판의 하나 이상의 층을 통해 측 방향으로 이어지는 피드 라인에 의해 급전되는 것을 포함한다.
예 40에서, 예 32-39 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소 중 적어도 일부가 실드 내의 RFIC로부터 측 방향으로 또는 측 방향에 대해 수직으로 이어지는 피드 라인에 의해 공급되고, 이후, 실드 내의 개구부를 통해 안테나 요소의 적어도 일부에 도달하는 것을 포함한다.
예 41에서, 예 32-40 중 어느 하나 이상의 예의 청구 대상은 선택적으로 캐버티의 플로어 위의 라미네이트 회로 기판의 층이 캐버티 플로어 아래의 층보다 사이즈 및 면적이 작고, 받침부가 생성되는 것을 포함한다.
예 42에서, 예 41의 청구 대상은 선택적으로 받침부가 전기 디바이스의 소켓에 연결되는 전기 콘택을 갖는 표면을 포함하고, 전기 콘택이 라미네이트 회로 기판으로부터 전기 디바이스에 열을 전달하는 열 전도 경로를 더 포함하는 것을 포함한다.
예 43에서, 본 청구 대상은 예 21 내지 예 42 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 21 내지 예 42 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 21 내지 예 42 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 44는 복수의 안테나를 포함하는 위상 안테나 배열; 위상 안테나 배열을 통해 수신된 RF 신호를 처리하도록 배열된 RF 수신기 서브시스템; 및 중간 주파수(IF) 신호를 사용하여 RF 신호를 생성하도록 배열된 RF 수신기 서브시스템을 포함하는 RF 프론트-엔드-모듈(RF front-end module)(RFEM)이고, 생성된 RF 신호는 위상 안테나 배열을 통해 송신하기 위한 신호이고, 복수의 안테나 중 제 1 안테나 서브세트는 PCB 기판의 인쇄 측면에 배치되고 복수의 안테나 중 제 2 안테나 서브세트는 PCB 기판의 구성요소 측면에 배치되며, 제 1 안테나 서브세트는 PCB의 인쇄 측면에 근접장 통신(near-field communication)(NFC) 안테나와 동일 위치에 배치된다.
예 45에서, 예 44의 청구 대상은 선택적으로 RF 수신기 서브시스템 및 RF 송신기 서브시스템이 PCB 기판의 구성요소 측면에 제 2 안테나 서브세트와 동일 위치에 배치되는 것을 포함한다. 다른 예에서, 제 2 안테나 서브세트는 PCB 기판의 구성요소 측면에 NFC 안테나와 동일 위치에 배치된다.
예 46에서, 예 44-45 중 어느 하나 이상의 예의 청구 대상은 선택적으로 위상 안테나 배열이 하나 이상의 mm 파장 대역에서 신호를 수신하고 송신하도록 배열되는 것을 포함한다.
예 47에서, 예 44-46 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나가 패치 안테나를 포함하는 것을 포함한다.
예 48에서, 예 44-47 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나가 다이폴 안테나를 포함하는 것을 포함한다.
예 49에서, 예 44-48 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 안테나 서브세트의 복수의 안테나가 PCB 기판의 둘레 주위에 배치되는 것을 포함한다.
예 50에서, 예 44-49 중 어느 하나 이상의 예의 청구 대상은 선택적으로 위상 배열 내의 복수의 안테나가 수직 편파 및 수평 편파를 위해 배열되는 것을 포함한다.
예 51에서, 예 44-50 중 어느 하나 이상의 예의 청구 대상은 선택적으로 NFC 안테나가 제 1 안테나 서브세트 주위에 배치된 인덕터를 포함하는 것을 포함한다.
예 52에서, 예 44-51 중 어느 하나 이상의 예의 청구 대상은 선택적으로 NFC 안테나가 다층 인덕터를 포함하고, 다층 인덕터의 각각의 층이 제 1 안테나 서브세트 주위에 배치되는 것을 포함한다.
예 53에서, 예 44-52 중 어느 하나 이상의 예의 청구 대상은 선택적으로 위상 배열 안테나의 접지 층을 포함하고, NFC 안테나가 접지 층의 일부인 것을 포함한다.
예 54에서, 예 44-53 중 어느 하나 이상의 예의 청구 대상은 선택적으로 차폐 구조를 포함하고, NFC 안테나가 차폐 구조의 일부인 것을 포함한다.
예 55에서, 예 44-54 중 어느 하나 이상의 예의 청구 대상은 선택적으로 NFC 안테나가 NFC 안테나를 통해 수신된 NFC 신호를 처리하도록 배열된 NFC 처리 서브시스템에 연결되는 것을 포함한다.
예 56에서, 예 53-55 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신된 RF 신호를 하향 변환하거나 또는 IF 신호를 상향 변환하기 위한 RF LO 신호; 및 NFC 프로세싱 서브시스템을 위한 NFC LO 신호를 생성하도록 배열된 국부 발진기(LO) 생성기를 포함하고, NFC LO 신호는 NFC 안테나를 통해 NFC 프로세싱 서브시스템에 의해 수신된 신호를 하향 변환하거나, NFC 안테나를 통해 NFC 프로세싱 서브시스템에 의해 생성된 신호를 상향 변환하기 위한 신호이다.
예 57은 메모리; 통신 버스를 통해 메모리에 연결된 프로세서; 버스를 통해 전달되는 RF 데이터를 무선으로 송신 또는 수신하도록 배열된 RF 프론트-엔드 모듈(RFEM); 버스를 통해 전달되는 NFC 데이터를 무선으로 송신 또는 수신하도록 배열되고 NFC 안테나를 사용하는 근접장 통신(NFC) 서브시스템(NFCS); 및 RFEM 및 NFCS와 연관된 반도체 패키지를 포함하는 무선 통신 디바이스이고, 반도체 패키지는: PCB 기판; 기판에 연결된 반도체 다이; RFEM에 연결되고 RF 데이터를 무선으로 송신 또는 수신하도록 배열된 온-기판, 오프-다이 위상 안테나 배열(on-substrate, off-die phased antenna array); 및 NFC 서브시스템에 연결된 NFC 안테나 - NFC 안테나는 위상 안테나 배열 주위에 형성된 인덕터를 포함함 - 를 포함한다.
예 58에서, 예 57의 청구 대상은 선택적으로 RF 데이터를 하향 변환하기 위한 RF LO 신호를 생성하도록 배열된 국부 발진기(LO) 생성기를 포함하고, LO 생성기가 NFCS와 RFEM 사이에서 공유되는 것을 포함한다.
예 59에서, 예 58의 청구 대상은 선택적으로 LO 생성기가 또한 NFCS를 위한 NFC LO 신호를 생성하도록 배열되고, NFC LO 신호가 NFC 데이터를 하향 변환 또는 상향 변환하기 위한 것인 포함한다.
예 60에서, 예 57-59 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 NFCS와 RFEM 사이에 공유되는 하나 이상의 제어 신호를 생성하도록 배열되고, 제어 신호가 RF 데이터 또는 NFC 데이터의 무선 송신 또는 수신을 제어하기 위한 신호인 것을 포함한다.
예 61에서, 예 57-60 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 관리 유닛(power management unit)(PMU)을 포함하고, PMU가 NFCS 및 RFEM 사이에서 공유되는 것을 포함한다.
예 62에서, 예 57-61 중 어느 하나 이상의 예의 청구 대상은 위상 배열 안테나 어레이가 PCB 기판상에 NFC 안테나와 동일 위치에 배치된 복수의 밀리미터파(mmWave) 패치 안테나를 포함하는 것을 포함한다.
예 63은 위상 안테나 배열; RF 프론트-엔드 모듈(RFEM) - RFEM은 위상 안테나 배열을 통해 수신된 RF 신호를 처리하도록 배열된 RF 수신기 서브시스템; 및 중간 주파수(IF) 신호를 사용하여 RF 신호를 생성하도록 배열된 RF 송신기 서브시스템 - 생성된 RF 신호는 위상 안테나 배열을 통해 송신하기 위한 것임- 을 포함함 -; 기저대역 서브시스템(baseband sub-system)(BBS) - BBS는 수신된 RF 신호를 이용하여 IF 신호 또는 기저대역 신호를 생성하도록 배열됨 - ; 및 버스를 통해 전달되고 NFC 안테나를 사용하여 무선으로 NFC 데이터를 송신 또는 수신하도록 배열된 근접장 통신(NFC) 서브시스템(NFC) - NFC 안테나 및 위상 안테나 배열의 서브세트를 포함하는 복수의 안테나는 PCB 기판의 동일 표면에 동일 위치에 배치됨 - 을 포함하는 무선 통신 디바이스이다.
예 64는 위상 안테나 배열을 포함하는 PCB 기판; 및 PCB 기판에 연결된 반도체 다이 - 반도체 다이는 송수신기 배열에 배열된 복수의 동일한 송수신기 셀을 포함하며, 복수의 송수신기 셀의 송수신기 셀은 위상 안테나 배열을 통해 무선 신호를 수신하도록 배열된 수신기 회로를 포함함 - ; 국부 발진기(LO) 신호를 생성하도록 배열된 국부 발진기 회로; LO 신호를 사용하여 기저대역 신호를 상향 변환하고 상향 변환된 신호를 위상 안테나 배열을 통해 무선으로 송신하도록 배열된 송신기 회로; 및 위상 조정 신호를 사용하여 수신된 무선 신호 또는 상향 변환된 신호의 위상을 조정하도록 배열된 위상 조정 회로 - 위상 조정 신호는 위상 안테나 배열의 원하는 안테나 이득과 연관됨 - 를 포함하는 통신 시스템 디바이스이다.
예 65에서, 예 64의 청구 대상은 선택적으로 송수신기 배열이 반도체 다이의 단일의 절단되지 않은 부분을 포함하는 것을 포함한다.
예 66에서, 예 64-65 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송수신기 배열이 복수의 송수신기 셀을 연결하는 통신 버스 - 통신 버스는 디지털 버스와 병렬인 적어도 하나의 아날로그 버스를 포함함 - 를 더 포함하는 것을 포함한다.
예 67에서, 예 66의 청구 대상은 선택적으로 송수신기 셀이 LO 신호를 생성하기 위해 수정 발진기 신호를 버퍼링하도록 배열된 버퍼링 회로를 포함하고, 수정 발진기 신호가 복수의 송수신기 셀에 공급되고 통신 버스를 통해 수신되는 것을 포함한다.
예 68에서, 예 64-67 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송수신기 셀이 입력 디지털 신호를 사용하여 기저대역 신호를 생성하고 수신된 무선 신호의 기저대역 처리를 수행하여 출력 디지털 신호를 생성하도록 배열되는 디지털 회로를 더 포함하는 것을 포함한다.
예 69에서, 예 64-68 중 어느 하나 이상의 예의 청구 대상은 선택적으로 위상 안테나 배열 내의 복수의 안테나의 피치가 송수신기 배열 내의 복수의 송수신기 셀의 피치와 동일한 것을 포함한다.
예 70에서, 예 64-69 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 송수신기 셀의 송수신기 셀이 프로세서를 더 포함하고, 프로세서는 수신기 회로 또는 송신기 회로 중 하나 또는 모두를 활성화하는 전력 ON 신호를 수신하고, 복수의 송수신기 셀 중 하나 이상의 다른 송수신기 셀을 검출하도록 배열되고, 하나 이상의 다른 송수신기 셀은 송수신기 배열 내 내의 송수신기 셀의 북쪽 에지, 남쪽 에지, 서쪽 에지 및 동쪽 에지 중 하나 이상을 따라 있는 인접한 셀인 것을 포함한다.
예 71에서, 예 70의 청구 대상은 선택적으로 프로세서가 송수신기 셀의 북쪽 에지, 남쪽 에지, 서쪽 에지 및 동쪽 에지에 관련하여 하나 이상의 다른 송수신기 셀의 위치에 기초한 송수신기 셀에 셀 식별 번호를 할당하도록 배열되는 것을 포함한다.
예 72에서, 예 71의 청구 대상은 선택적으로 프로세서가 할당된 셀 식별 번호에 기초하여 제어 신호를 수신하도록 배열되고, 제어 신호는 수신기 회로 또는 송신기 회로를 파이어(fire)하는 것을 포함한다.
예 73에서, 예 71-72 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 인접한 송수신기 셀이 송수신 셀의 북쪽 에지 및 서쪽 에지를 따라 검출되지 않을 때 송수신기 셀에 초기 셀 식별 번호를 할당하고, 동쪽 에지를 따라 인접한 송수신기 셀 내의 셀 식별 번호를 할당하기 위해 송수신기의 동쪽 에지를 따라 인접 송수신기 셀에 초기 셀 식별 번호를 전달하도록 배열되는 것을 포함한다.
예 74에서, 예 70-73 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 송수신기 셀의 서쪽 에지 및 동쪽 에지를 따라 있는 하나 이상의 다른 송수신기 셀을 검출하고; 송수신기의 서쪽 에지를 따라 인접한 송수신기 셀인 하나 이상의 송수신기 셀 중 하나의 송수신기 셀로부터 셀 식별 번호를 수신하도록 배열되는 것을 포함한다.
예 75에서, 예 74의 청구 대상은 선택적으로 프로세서가 서쪽 에지를 따라 인접한 송수신기 셀의 셀 식별 번호를 증가시키고; 증가된 셀 식별 번호를 송수신기 셀에 셀 식별 번호로서 할당하도록 배열된 것을 포함한다.
예 76에서, 예 75의 청구 대상은 선택적으로 프로세서가, 동쪽 에지를 따라 인접한 송수신기 셀 내에 셀 식별 번호를 할당하기 위해, 송수신기의 동쪽 에지를 따라 인접한 송수신기 셀인 하나 이상의 송수신기 셀의 송수신기 셀에 할당된 셀 식별 번호를 전달하도록 배열되는 것을 포함한다.
예 77은 단일 반도체 다이에 타일링된 구성으로 배열된 복수의 송수신기 셀 - 각각의 송수신기 셀은 위상 안테나 배열을 통해 무선 신호를 수신하도록 배열된 수신기 회로를 포함함 - ; 국부 발진기(LO) 신호를 생성하도록 배열된 국부 발진기 회로; 위상 조정 회로; 및 수신된 무선 신호의 기저대역 처리를 수행하여 출력 디지털 신호를 생성하도록 배열된 디지털 회로; 복수의 송수신기 셀을 연결하는 통신 버스; 및 복수의 송수신기 셀의 각각에 대해 위상 조정 신호를 생성하도록 배열된 제어 회로 - LO 빔포밍 동작 모드 동안, 복수의 송수신기 셀 내의 위상 조정 회로는 위상 조정 신호를 사용하여 LO 신호의 위상을 조정하고, 복수의 송수신기 셀의 수신기 회로는 복수의 송수신기 셀에 대응하는 복수의 하향 변환된 신호를 생성하기 위해 위상 조정된 LO 신호를 사용하여 수신된 무선 신호를 하향 변환함 - 을 포함하는 위상 배열 무선 송수신기 장치이다.
예 78에서, 예 77의 청구 대상은 선택적으로 통신 버스가 복수의 하향 변환된 신호를 결합된 하향 변환된 신호로 결합하기 위해 복수의 송수신기 셀 사이에서 인터페이스하는 아날로그 버스 라인을 포함하고, 복수의 하향 변환된 신호의 각각이 인접한 송수신기 셀 사이에서 전달되는 것을 포함한다.
예 79에서, 예 78의 청구 대상은 선택적으로 아날로그 버스가 샘플 및 홀드 벡터 파이프라인된 버스 라인을 포함하는 것을 포함한다.
예 80에서, 예 78-79 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 버스가 인접한 송수신기 셀 사이에서 전달되는 복수의 하향 변환된 신호의 하향 변환된 신호를 합산하도록 배열된 스위치드 캐패시터 아날로그 적분기(switched capacitor analog integrator)를 포함하는 것을 포함한다.
예 81에서, 예 78-80 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 송수신기 셀 중 하나가 결합된 하향 변환된 신호를 수신하고, 결합된 하향 변환된 신호를 디지털 신호로 변환하도록 배열된 것을 포함한다.
예 82에서, 예 77-81 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 송수신기 셀이 대응하는 복수의 디지털 신호를 생성하도록 배열된 송수신기 셀의 복수의 중첩되지 않는 서브세트를 포함하는 것을 포함한다.
예 83에서, 예 82의 청구 대상은 선택적으로 송수신기 셀의 송수신기 셀의 서브세트에 대응하는 복수의 중첩되지 않는 서브세트 중 하나의 서브세트가 복수의 하향 변환된 신호의 일부에 기초하여 결합된 하향 변환된 신호를 생성하도록 배열되는 것을 포함한다.
예 84에서, 예 83의 청구 대상은 선택적으로 서브세트 내의 단일 송수신기 셀이 결합된 하향 변환된 신호를 복수의 디지털 신호 중 제 1 디지털 신호로 변환하도록 배열되는 것을 포함한다.
예 85에서, 예 82-86 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하이브리드 빔포밍 동작 모드 동안, 제어 회로가 또한 복수의 디지털 신호의 각각에 대해 복수의 빔포밍 가중치를 생성 - 복수의 가중된 신호는 수신된 무선 신호에 대응하는 원하는 출력 신호 빔과 연관됨 - 하고; 복수의 빔포밍 값을 복수의 디지털 신호의 각각에 적용하여 복수의 가중된 신호를 생성하도록 배열되는 것을 포함한다.
예 86에서, 예 85의 청구 대상은 선택적으로 복수의 가중 신호를 가산하여 원하는 출력 신호 빔을 생성하도록 배열된 가산기를 포함하는 것을 포함한다.
예 87에서, 예 82-86 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송수신기 셀의 복수의 중첩되지 않는 서브세트 중 각각의 서브세트가 타일링된 구성의 행(row)에 배열되는 것을 포함한다.
예 88은 단일 반도체 다이의 타일링된 구성으로 배열된 복수의 송수신기 셀 - 각각의 송수신기 셀은 위상 안테나 배열을 통해 무선 신호를 수신하도록 배열된 수신기 회로를 포함함 -; 국부 발진기(LO) 신호를 생성하도록 배열된 국부 발진기 회로; 및 위상 조정 회로; 복수의 송수신기 셀을 연결하는 통신 버스; 및 복수의 송수신기 셀의 각각에 대해 위상 조정 신호를 생성하도록 배열된 제어 회로 - 아날로그 빔포밍 동작 모드 동안, 복수의 송수신기 셀의 위상 조정 회로는 위상 조정 신호를 사용하여 수신된 무선 신호의 위상을 조정하고, 복수의 송수신기 셀의 수신기 회로는 위상 조정된 수신된 무선 신호를 하향 변환하여 복수의 송수신기 셀에 대응하는 복수의 하향 변환된 신호를 생성함 - 를 포함하는 위상 배열 무선 송수신기 장치이다.
예 89에서, 예 88의 청구 대상은 선택적으로 통신 버스가 복수의 하향 변환된 신호를 결합된 하향 변환된 신호로 결합하기 위해 복수의 송수신기 셀 사이에서 인터페이스하는 아날로그 버스 라인을 포함하고, 복수의 하향 변환된 신호 각각이 인접한 송수신기 셀 사이에서 전달되는 것을 포함한다.
예 90에서, 예 89의 청구 대상은 선택적으로 복수의 송수신기 셀 중 하나가 결합된 하향 변환된 신호를 수신하고, 결합된 하향 변환된 신호를 아날로그-디지털 변환기를 사용하여 디지털 신호로 변환하도록 배열된 것을 포함한다.
예 91은 타일링된 구성으로 배열된 복수의 동일한 송수신기 셀 - 각각의 셀은: 위상 안테나 배열을 통해 무선 신호를 수신하도록 배열된 수신기 회로를 포함함 -; 위상 안테나 배열을 통해 제 2 무선 신호를 송신하도록 배열된 송신기 회로; 및 수신기 회로 및 송신기 회로를 연결하는 통신 버스 - 각각의 송수신기 셀은 통신 버스를 통해 복수의 인접한 송수신기 셀에 연결되어 타일링된 구성을 형성함 - 를 포함하는 반도체 웨이퍼이다.
예 92에서, 예 91의 청구 대상은 선택적으로 송수신기 셀의 타일링된 구성의 사이즈가 미리 결정된 패턴에 따라 웨이퍼를 절단함으로써 선택 가능한 것을 포함한다.
본 문헌에 언급된 간행물, 특허 및 특허 문헌은 개별적으로 참고 문헌으로 포함되는 것처럼 그 전체가 본 명세서에서 참조 문헌으로 포함된다. 본 문서와 이렇게 참조 문헌으로 포함되는 문서 간에 모순되는 사용이 있는 경우, 포함된 참조 문헌(들)의 사용은 본 문서의 사용에 보충적인 것으로 간주되어야 하고; 양립할 수 없는 모순이 있으면, 본 문서의 사용이 우선이 된다.
예 93은 복수의 평행한 층을 포함하는 제 1 기판, 제 1 기판의 제 1 면을 포함하는 제 1 층, 및 제 1 기판의 제 2 면을 포함하는 제 2 층을 포함하는 제 1 전자 패키지 기판; 제 1 기판의 제 1 면에 배열된 제 1 복수의 안테나; 제 1 전자 패키지에 물리적으로 적층되고 물리적으로 연결된 제 2 전자 패키지 - 제 2 전자 패키지는 복수의 층을 포함하는 제 2 기판, 제 2 기판의 제 1 면을 포함하는 제 1 층, 및 제 2 기판의 제 2 면을 포함하는 제 2 층을 포함함 - ; 제 2 기판의 제 1 면에 배열된 제 2 복수의 안테나; 제 1 기판의 제 2 면 또는 제 2 기판의 제 2 면에 배열되고 제 1 복수의 안테나 및 제 2 복수의 안테나에 연결되는 적어도 하나의 반도체 다이; 및 제 1 기판의 제 2 면 및 제 2 기판의 제 2 면과 전기적으로 접촉하는 복수의 조밀하게 패킹된 콘택 - 복수의 조밀하게 패킹된 콘택은 적어도 하나의 반도체 다이를 위한 무선 주파수 간섭 및 전자기 간섭(RFI/EMI) 실드로서 기능하도록 배열됨 - 을 포함하는 멀티-패킹 안테나 배열이다.
예 94에서, 예 93의 청구 대상은 선택적으로 적어도 하나의 반도체 다이가 제 1 주파수 대역에서 동작하도록 배열된 송수신기를 포함하는 것을 포함한다.
예 95에서, 예 93-94 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 반도체 다이가 제 1 주파수 대역에서 동작하도록 배열된 제 1 송수신기 및 제 2 주파수 대역에서 동작하도록 배열된 제 2 송수신기를 포함하는 것을 포함한다.
예 96에서, 예 93-95 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전자 패키지가 복수의 제 1 안테나로부터 측 방향으로 오프셋된 복수의 이산적 구성요소를 포함하는 것을 포함한다.
예 97에서, 예 96의 청구 대상은 선택적으로 이산적 구성요소가 몰드(mold)에 의해 제 1 전자 패키지에 고정되는 것을 포함한다.
예 98에서, 예 93-97 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전자 패키지가 적어도 하나의 실리콘 다이로부터 측 방향으로 오프셋된 복수의 이산적 구성요소를 포함하는 것을 포함한다.
예 99에서, 예 6의 청구 대상은 이산적 구성요소가 몰드에 의해 제 1 전자 패키지에 고정되는 것을 포함한다.
예 100에서, 예 93-99 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 복수의 안테나가 제 1 배열에 배열되고 제 2 복수의 안테나가 제 2 배열에 배열되며, 배열은 상이한 방향으로 방사되는 것을 포함한다.
예 101에서, 어느 하나 이상의 예 93-100의 청구 대상은 선택적으로 제 1 복수의 안테나가 제 1 기판의 에지에 배열되어 제 1 전자 패키지 및 제 2 전자 패키지에 대해 에지-파이어 방사에서 동작하는 안테나를 더 포함하는 것을 포함한다.
예 102는 제 1 안테나 배열을 포함하는 제 1 전자 패키지; 제 2 안테나 배열을 포함하는 제 2 전자 패키지 - 제 2 전자 패키지는 제 1 전자 패키지에 적층되어 물리적 접촉되고 제 1 전자 패키지에 연결됨 - ; 및 제 1 전자 패키지 및 제 2 전자 패키지에 물리적으로 연관되고 연결된 적어도 하나의 반도체 다이 - 적어도 하나의 반도체 다이는 제 1 안테나 배열 및 제 2 안테나 배열에 연결되고, 적어도 하나의 반도체 다이는 제 1 주파수 대역 및 제 2 주파수 대역에서 동작하도록 배열된 적어도 하나의 무선 송수신기를 포함함 - 를 포함하는 다중 패키지 안테나 배열이다.
예 103에서, 예 102의 청구 대상은 선택적으로 제 1 전자 패키지가 제 1 안테나 배열이 배치된 제 1 기판 및 제 2 안테나 배열이 배치된 제 2 기판을 포함하고, 적어도 하나 반도체 다이는 물리적으로 제 1 기판 및 제 2 기판과 연관되는 것을 포함한다.
예 104에서, 예 102-103 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판과 제 2 기판 사이에서 전기적으로 접촉되는 복수의 조밀하게 패킹된 콘택을 포함하고, 복수의 조밀하게 패킹된 콘택은 적어도 하나의 반도체 다이에 대한 RFI/EMI 실드로서 기능을 하도록 배열된 것을 포함한다.
예 105에서, 예 102-104 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 반도체 다이가 WiGig 주파수에서 동작하도록 배열된 송수신기를 포함하는 것을 포함한다.
예 106에서, 예 102-105 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 주파수 대역이 WiGig 주파수 대역이고, 제 2 주파수 대역이 mmWave 주파수 대역인 것을 포함한다.
예 107에서, 예 102-106 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 배열 및 제 2 배열이 상이한 방향으로 방사되도록 제어되는 것을 포함한다.
예 108에서, 예 107의 청구 대상은 선택적으로 상이한 방향이 제 1 전자 패키지에 수직이고 제 2 전자 패키지에 수직인 반대 방향인 것을 포함한다.
예 109에서, 예 102-108 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 안테나 배열 및 제 2 안테나 배열이 제 1 전자 패키지 및 제 2 전자 패키지에 평행한 동일한 방향으로 방사하도록 제어되는 것을 포함한다.
예 110에서, 예 102-109 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전자 패키지가 복수의 이산적 요소를 더 포함하고, 적어도 하나의 다이 및 상기 복수의 이산적 구성요소가 캡슐화에 의해 고정되는 것을 포함한다.
예 111에서, 예 102-110 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 안테나 배열이 제 2 안테나 배열로부터 측 방향으로 오프셋되는 것을 포함한다.
예 112에서, 예 102-111 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전자 패키지가 제 1 기판의 에지에 배열되어 제 1 전자 패키지 및 제 2 전자 패키지에 대한 에지-파이어 방사로 동작하는 복수의 안테나를 더 포함하는 것을 포함한다.
예 113에서, 예 102-112 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 반도체 다이에 전기적 연결부를 제공하는 연성 케이블을 포함하고, 연성 케이블은 캡슐화에 의해 제 2 전자 패키지에 고정되는 것을 포함한다.
예 114에서, 예 113의 청구 대상은 선택적으로 연성 케이블이 제 2 전자 패키지에 납땜되는 것을 포함한다.
예 115에서, 예 102-114 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 반도체 다이에 전기적 연결부를 제공하는 연성 케이블을 포함하고, 연성 케이블이 캡슐화에 의해 제 1 전자 패키지에 고정되는 것을 포함한다.
예 116에서, 예 115의 청구 대상은 선택적으로 연성 케이블이 제 1 전자 패키지에 납땜되는 것을 포함한다.
예 117에서, 예 102-116 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 안테나 배열 및 제 4 안테나 배열을 포함하는 제 3 전자 패키지 - 제 3 전자 패키지는 제 1 전자 패키지 또는 제 2 전자 패키지에 적층되어 물리적 접촉되고 또는 제 1 전자 패키지 및 제 2 전자 패키지 둘 모두에 연결됨 - ; 및 제 3 전자 패키지와 물리적으로 연관되고 제 3 전자 패키지에 물리적으로 연결된 적어도 하나의 제 2 반도체 다이 - 적어도 하나의 제 2 반도체 다이는 제 3 안테나 배열 및 제 4 안테나 배열에 연결되며, 적어도 하나의 제 2 반도체 다이는 제 1 주파수 대역에서 및 제 2 주파수 대역에서 동작하도록 배열된 적어도 하나의 무선 송수신기를 포함함 - 을 포함하는 것을 포함한다.
예 118에서, 예 117의 다중 패키지 안테나 배열은 제 1 안테나 배열, 제 2 안테나 배열, 제 3 안테나 및 제 4 안테나 배열이 상이한 방향으로 또는 동일한 방향으로 방사하도록 제어된다.
예 119에서, 예 117의 청구 대상은 선택적으로 제 3 안테나 배열 및 제 4 안테나 배열을 포함하는 제 3 전자 패키지를 포함하고, 제 3 전자 패키지는 제 1 전자 패키지 또는 제 2 전자 패키지와 적층되어 물리적으로 접촉되고, 제 1 전자 패키지 또는 제 2 전자 패키지 둘 모두에 연결되며, 제 3 안테나 배열 및 제 4 안테나 배열은 적어도 하나의 반도체 다이에 전기적으로 연결되는 것을 포함한다.
예 120에서, 예 119의 청구 대상은 선택적으로 제 1 안테나 배열, 제 2 안테나 배열, 제 3 안테나 배열 및 제 4 안테나 배열이 상이한 방향 또는 동일한 방향으로 또는 다른 방향으로 그리고 동일한 방향으로 방사되도록 제어되는 것을 포함한다.
예 121에서, 예 102의 청구 대상은 선택적으로 몰드가 적어도 하나의 반도체 다이를 덮이고, 안테나 배열이 적어도 하나의 반도체 다이에 연결된 스루-몰드 비아(through- mold via)에 의해 급전되는 것을 포함한다.
예 122에서, 본 청구 대상은 선택적으로 예 93 내지 예 121 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 93 내지 예 121 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 93 내지 예 121 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 123은 내부 부분을 갖는 플러그-인 카드 - 내부 부분의 제 1 부분은 비금속이고, 내부 부분의 제 2 부분은 금속화된 전기적 연결부를 포함함 -; 내부 부분에 고정된 기판상의 집적 회로; 및 제 1 부분에 배열되고 RF 파의 방사를 위해 집적 회로에 연결된 적어도 하나의 안테나를 포함하는 안테나 카드이다.
예 124에서, 예 123의 청구 대상은 선택적으로 적어도 하나의 안테나가 안테나 배열을 포함하는 것을 포함한다.
예 125에서, 예 123-124 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 엔드-파이어 동작을 위해 배열되는 것을 포함한다.
예 126에서, 예 124-125 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 복수의 수직 편파 모노폴 안테나 요소를 포함하는 것을 포함한다.
예 127에서, 예 124-126 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 복수의 폴디드-백 다이폴 안테나(folded-back dipole antenna)를 포함하는 것을 포함한다.
예 128에서, 예 123-127 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 적어도 두 개의 안테나를 포함하고, 적어도 두 개의 안테나 중 하나는 제 1 RF 스트림의 정보를 송신 및 수신하도록 배열되고, 적어도 두 개의 안테나 중 다른 하나는 제 1 RF 스트림 정보와 독립적인 제 2 RF 스트림 정보를 송신 및 수신하여, 다중-입력 다중-출력 (multiple-in multiple-out)(MIMO) 동작을 제공하는 것을 포함한다.
예 129에서, 예 123-128 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 적어도 두 개의 안테나를 포함하고, 적어도 두 개의 안테나 중 하나는 제 1 RF 스트림 정보를 제 1 편파로 송신 및 수신하도록 배열되고, 적어도 두 개의 안테나 중 다른 하나는 제 2 RF 스트림 정보를 제 2 편파로 송신 및 수신하도록 배열되는 것을 포함한다.
예 130에서, 예 123-129 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 부분 및 제 2 부분이 서로 마주하여 위치되는 것을 포함한다.
예 131은 마더보드 상에 배열된 제 1 플러그-인 카드 및 제 1 플러그-인 카드로부터 이격된 마더보드에 배열된 적어도 하나의 제 2 플러그-인 카드 - 플러그-인 카드 중 적어도 하나는 내부 부분을 갖는 플러그-인 카드를 포함하고, 내부 부분의 제 1 부분은 비금속화되고 내부 부분의 제 2 부분은 금속화된 전기적 연결부를 포함함 -; 내부 부분에 고정된 기판상의 집적 회로; 및 제 1 부분에 배열되고 RF 파의 방사를 위해 집적 회로에 연결된 적어도 하나의 안테나를 포함하는 안테나 배열이다.
예 132에서, 예 131의 청구 대상은 선택적으로 제 1 플러그-인 카드 및 적어도 하나의 제 2 플러그-인 카드에 실질적으로 정규적으로 배열된 적어도 하나의 제 3 플러그-인 카드를 포함하는 것을 포함한다.
예 133은 내부 부분을 갖는 적어도 하나의 플러그-인 카드 - 내부 부분의 제 1 부분은 비금속이고 내부 부분의 제 2 부분은 금속화된 전기적 연결부를 포함함 -; 내부 부분에 고정된 기판상의 집적 회로; 및 제 1 부분에 배열되고 RF 파의 방사를 위해 집적 회로에 연결된 적어도 하나의 안테나를 포함하는 이동 디바이스이다.
예 134에서, 예 133의 청구 대상은 선택적으로 적어도 하나의 안테나가 안테나 배열을 포함하는 것을 포함한다.
예 135에서, 예 133-134 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 엔드-파이어 동작을 위해 배열되는 것을 포함한다.
예 136에서, 예 134-135 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 복수의 수직 편파 모노폴 안테나 요소를 포함하는 것을 포함한다.
예 137에서, 예 134-136 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 복수의 폴디드-백 다이폴 안테나를 포함하는 것을 포함한다.
예 138에서, 예 133-137 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 적어도 두 개의 안테나를 포함하고, 적어도 두 개의 안테나 중 하나는 제 1 RF 스트림 정보를 송신 및 수신하도록 배열되고, 적어도 두 개의 안테나 중 다른 하나는 제 1 RF 스트림 정보와 독립적인 제 2 RF 스트림 정보를 송신 및 수신하여, 다중-입력 다중-출력(MIMO) 동작을 제공하는 것을 포함한다.
예 139에서, 예 133-138 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 적어도 두 개의 안테나를 포함하고, 적어도 두 개의 안테나 중 하나는 제 1 RF 스트림 정보를 제 1 편파로 송신 및 수신하도록 배열되고, 두 개의 안테나 중 다른 하나는 제 2 RF 스트림 정보를 제 2 편파로 송신 및 수신하도록 배열되는 것을 포함한다.
예 140에서, 예 133-139 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 부분 및 제 2 부분이 서로 반대로 위치되는 것을 포함한다.
예 141에서, 예 133-140 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 플러그-인 카드가 마더 보드에 배열된 제 1 플러그-인 카드 및 제 1 플러그-인 카드로부터 이격된 마더 보드에 배열된 적어도 하나의 제 2 플러그-인 카드를 포함하는 것을 포함한다.
예 142에서, 예 131-141 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 플러그-인 카드 및 적어도 하나의 제 2 플러그-인 카드에 실질적으로 정규적으로 배열된 적어도 하나의 제 3 플러그-인 카드를 포함하는 것을 포함한다.
예 143에서, 본 청구 대상은 선택적으로 예 123 내지 예 142 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 123 내지 예 142 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 123 내지 예 142 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 144는 제 1 면 및 제 2 면을 포함하는 PCB - 제 2 면은 콘택 없이 그리드의 특별하게 생성된 영역을 갖는 콘택의 그리드를 포함함 - ; PCB의 제 1 면에 부착된 차폐된 무선 주파수 집적 회로(RFIC); 콘택 없이 그리드의 영역에서 제 2 면상에 배치되고 RF 파의 방사를 위해 RFIC에 연결된 적어도 하나의 제 1 안테나 요소; 및 콘택의 그리드의 개개의 콘택을 통해 PCB에 연결되고 콘택 없이 그리드의 영역 위에 실질적으로 절개부를 갖는 마더보드 - 적어도 하나의 제 1 안테나는 절개부를 통해 외부로 방사 가능함 - 를 포함하는 안테나 구조이다.
예 145에서, 예 144의 청구 대상은 선택적으로 제 1 면에 배열되고 RF 파의 방사를 위해 RFIC에 연결되는 적어도 하나의 제 2 안테나 요소를 포함하는 것을 포함한다.
예 146에서, 예 145의 청구 대상은 선택적으로 제 1 면에 배열된 적어도 하나의 제 1 안테나 요소 및 제 2 면에 배열된 적어도 하나의 제 2 안테나 요소 각각이 RF 신호를 서로 다른 방향으로 방사하는 것을 포함한다.
예 147에서, 예 146의 청구 대상은 선택적으로 상이한 방향이 서로 실질적으로 180 도 각도에 있는 것을 포함한다.
예 148에서, 예 144-147 중 어느 하나 이상의 예의 청구 대상은 선택적으로 절개부가 U-형상 절개부인 것을 포함한다.
예 149에서, 예 144-148 중 어느 하나 이상의 예의 청구 대상은 선택적으로 마더보드 및 인쇄 회로 보드이 코너 구성으로 연결되는 것을 포함한다.
예 150에서, 예 145-149 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나 요소 또는 제 2 안테나 요소 중 적어도 하나가 브로드사이드 동작(broadside operation)을 위해 배열되는 것을 포함한다.
예 151에서, 예 145-150 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나 요소 또는 제 2 안테나 요소의 적어도 하나가 엔드-파이어 또는 에지-파이어 동작을 위해 배열되는 것을 포함한다.
예 152에서, 예 145-151의 청구 대상은 선택적으로 선택적으로 PCB가 볼 그리드 어레이(ball grid array)(BGA) 또는 랜드 그리드 배열(land grid array)(LGA)를 포함하고, 콘택의 그리드가 솔더 볼의 그리드 또는 LGA 패드의 그리드를 포함하는 것을 포함한다.
예 153은 제 1 면 및 제 2 면을 포함하는 PCB - 제 2 면은 콘택 없이 그리드의 특별히 생성된 영역을 갖는 콘택의 그리드를 포함함 -; PCB의 제 1 면에 부착된 차폐된 무선 주파수 집적 회로(RFIC); 콘택 없이 그리드의 영역에서 제 2 면에 배열되고 RF 파의 방사를 위해 RFIC에 연결되는 적어도 하나의 안테나 요소; 및 콘택의 그리드의 개개의 콘택을 통해 PCB에 연결되고, 콘택 없이 그리드의 영역 위를 실질적으로 덮는 절개부를 갖는 마더보드 - 적어도 하나의 안테나는 절개부를 통해 방사될 수 있고, PCB, 차폐된 RFIC, 적어도 하나의 안테나 요소 및 마더보드는 안테나 서브시스템을 포함함 - 를 포함하는 기지국이다.
예 154에서, 예 153의 청구 대상은 선택적으로 적어도 하나의 안테나 요소가 브로드사이드 동작을 위해 배열된 복수의 안테나 요소를 포함하는 것을 포함한다.
예 155에서, 예 154의 청구 대상은 선택적으로 기지국이 실질적으로 모든 방향으로 방사를 위해 폴(pole) 주위에 원형으로 배열된 복수의 안테나 서브시스템을 포함하는 것을 포함한다.
예 156은, 제 1 면 및 제 2 면을 포함하는 PCB - 제 2 면은 콘택 없이 그리드의 특별히 생성된 영역을 갖는 접촉의 그리드를 포함함 - ; PCB의 제 1 면에 부착된 차폐된 무선 주파수 집적 회로(RFIC); 콘택 없이 그리드의 영역에서 제 2 면에 배열되고 RF 파의 방사를 위해 RFIC에 연결된 적어도 하나의 제 1 안테나 요소; 및 콘택의 그리드의 개개의 콘택을 통해 PCB에 연결되고 콘택 없이 그리드의 영역 위에 실질적으로 절개부를 갖는 마더보드 - 적어도 하나의 제 1 안테나는 절개부를 통해 외부로 방사 가능함 - 를 포함하는 이동 디바이스이다.
예 157에서, 예 156의 청구 대상은 선택적으로 제 1 면에 배열되고 RF 파의 방사를 위해 RFIC에 연결된 적어도 하나의 제 2 안테나 요소를 포함하는 것을 포함한다.
예 158에서, 예 157의 청구 대상은 선택적으로 제 1 면에 배열된 적어도 하나의 제 1 안테나 요소 및 제 2 면에 배열된 적어도 하나의 제 2 안테나 요소 각각이 서로 다른 방향으로 RF 신호를 방사하는 것을 포함한다.
예 159에서, 예 158의 청구 대상은 선택적으로 상이한 방향이 서로 실질적으로 180 도 각도에 있는 것을 포함한다.
예 160에서, 예 156-159 중 어느 하나 이상의 예의 청구 대상은 선택적으로 절개부가 U 형상 절개부인 것을 포함한다.
예 161에서, 예 156-160 중 어느 하나 이상의 예의 청구 대상은 선택적으로 마더보드 및 인쇄 회로 보드이 코너 구성으로 연결되는 것을 포함한다.
예 162에서, 예 157-161 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나 요소 또는 제 2 안테나 요소의 적어도 하나가 브로드사이드 동작을 위해 배열되는 것을 포함한다.
예 163에서, 예 156-162 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나 요소 또는 제 2 안테나 요소의 적어도 하나가 엔드-파이어 또는 에지-파이어 동작을 위해 배열되는 것을 포함한다.
예 164에서, 예 157-162의 청구 대상은 선택적으로 PCB가 랜드 그리드 배열(LGA)의 볼 그리드 어레이(BGA)를 포함하고, 콘택의 그리드가 각각 솔더 볼 그리드 또는 LGA 패드 그리드를 포함하는 것을 포함한다.
예 165는 제 1 면 및 제 2 면을 포함하는 PCB - 콘택 없이 그리드의 특별히 생성된 영역을 갖는 콘택의 그리드를 포함함 - ; 콘택의 그리드의 개개의 콘택을 통해 PCB에 연결되고 콘택 없이 실질적으로 그리드의 영역 위에 절개부를 갖는 마더보드; 및 콘택 없이 그리고 절개부 내에서 그리드의 영역에 부착된 무선 주파수 집적 회로를 포함하는 안테나 구조이다.
예 166에서, 예 165의 청구 대상은 선택적으로 적어도 하나의 이산적 전자 구성요소가 콘택 없이 그리고 절개부 내에서 그리드의 영역에 부착되는 것을 포함한다.
예 167에서, 본 청구 대상은 선택적으로 예 144 내지 예 166 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 144 내지 예 166 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 144 내지 예 166 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 168은 테스트 베드 - 테스트 베드는 테스트 중인 시스템을 장착하도록 배열되고, 시스템은 송신기, 수신기, 송신기에 연결되도록 배열된 복수의 송신(Tx) 안테나, 및 수신기에 연결되도록 배열된 복수의 수신(Rx) 안테나를 포함하는 복수의 전자 구성요소를 포함함 - ; Tx 안테나를 통해 송신기로부터 RF 신호를 수신하고 테스터에 장착되고 Rx 신호를 Rx 안테나를 통해 수신기로 반사하도록 배열된 반사기; 및 컴퓨터에 의해 실행될 때, 미리 결정된 테스트에 따라 테스트 중인 시스템을 테스트하는 컴퓨터 명령어를 저장 - 테스트는 테스트 중인 시스템의 루프백 테스트를 포함하고, 루프백 테스트는 송신기 및 Tx 안테나를 포함하는 Tx 요소로부터 수신기 및 Rx 안테나를 포함하는 Rx 요소에 RF 신호의 송신을 포함하고, RF 신호는 반사기에 의해 반사를 통해 수신됨 - 하고, 루프백 테스트의 결과로부터 테스트 중인 시스템의 특성을 결정하는 컴퓨터 판독 가능한 하드웨어 저장소를 포함하는 자체 테스트 시스템이다.
예 169에서, 예 1의 청구 대상은 선택적으로 Tx 요소 및 Rx 요소가 하나씩 파이어링되고, RF 신호가 각각의 Tx 요소를 통해 송신되고 각각의 Rx 요소에 의해 수신되는 것을 포함한다.
예 170에서, 예 169의 청구 대상은 선택적으로 RF 신호가 밀리미터파(mmwave) 신호인 것을 포함한다.
예 171에서, 예 169-170 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특성이 수신된 RF 신호를 하나씩 측정하고 하나의 측정치가 각각의 다른 측정치보다 낮다는 것을 검출함으로써 결정되는 결함 있는 TX 경로인 것을 포함한다.
예 172에서, 예 169-171 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특성이 수신된 RF 신호의 수신된 RF 신호의 기대 값에 대비한 비교에 의해 결정되는 테스트 중인 시스템의 수용 가능성(acceptability)인 것을 포함한다.
예 173에서, 예 169-172 중 어느 하나 이상의 예의 청구 대상은 선택적으로 Tx 요소가 Tx 위상 변위기를 더 포함하고, Rx 요소가 Rx 위상 변위기를 더 포함하고, 특성이 Tx 위상 변위기에 의한 Tx 신호의 위상의 변동 및 RF 신호의 수신된 위상의 측정치에 의해 결정되는 Tx 위상 변위기의 기능성인 것을 포함한다.
예 174에서, 예 169-173 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나씩 파이어링되는 Tx 요소 각각이 TX 경로를 포함하고, 특성이 하나의 TX 경로의 진폭 또는 위상 대 하나의 TX 경로 이외의 각각의 TX 경로의 진폭 또는 위상의 차이의 검출에 의해 결정되는 각각의 TX 경로 사이의 진폭 및 위상 미스매치인 것을 포함한다.
예 175에서, 예 173-174 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신된 RF 신호가 기저대역 신호이고, 특성이 제 1 수신된 기저대역 신호의 사용에 의해 다른 수신된 기저대역 신호가 비교되는 기준으로서 결정되는 기저대역 신호의 진폭 및 위상인 것을 포함한다.
예 176에서, 예 168-175 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특성이 동시에 모든 Tx 요소를 파이어링함으로써 결정된 추정된 안테나 배열 이득 및 수신된 기저대역 신호의 측정치인 것을 포함한다.
예 177에서, 예 167-176의 어느 하나 이상의 예의 청구 대상은 선택적으로 테스터가 테스터로부터 분리된 반사기를 갖고, 컴퓨터 명령어가 컴퓨터에 의해 실행될 때 테스터로 하여금 복수의 전자 구성 요소 중 하나에 대해 루프백 테스트를 수행하도록 하는 명령어를 포함 - 루프백 테스트는 제 1 결과를 얻음 - 하는 것을 포함한다.
예 178에서, 예 176-177 중 어느 하나 이상의 예의 청구 대상은 선택적으로 테스터가 테스터에 재장착된 반사기를 갖고, 컴퓨터 명령어가 컴퓨터에 의해 실행될 때 테스터로 하여금 복수의 전자 구성요소 중 하나에 대한 루프백 테스트를 수행하도록 하는 명령어를 포함 - 루프백 테스트는 제 2 결과를 얻음 - 하고, 특성이 제 1 결과의 제 2 결과로부터의 차감에 의해 결정되는 크로스토크인 것을 포함한다.
예 179는 테스트 중인 시스템을 전자 테스트에 장착하는 단계 -테스트 중인 시스템은 송신기, 수신기, 송신기에 연결된 복수의 송신(Tx) 안테나, 및 수신기에 연결된 복수의 수신(Rx) 안테나를 포함함 - ; 테스터에 반사기를 장착하는 단계 - 반사기는 송신기로부터 Tx 안테나를 통해 RF 신호를 수신하고 Rx 안테나를 통해 수신기로 RF 신호를 반사하도록 배열됨 - ; 테스트 중인 시스템의 루프백 테스트를 수행하는 단계 - 루프백 테스트는 송신기 및 Tx 안테나를 포함하는 송신 요소로부터 반사기에 RF 신호를 송신하고, 수신기 및 Rx 안테나를 포함하는 Rx 요소에 의해 반사기로부터의 반사를 통해 RF 신호를 수신하는 것을 포함함 -; 및 루프백 테스트의 결과로부터 테스트 중인 시스템의 특성을 결정하는 단계를 포함하는 테스트 중인 시스템을 테스트하는 방법이다.
예 180에서, 예 179의 청구 대상은 선택적으로 Tx 요소 및 Rx 요소가 하나씩 파이러링되고, RF 신호가 각각의 Tx 요소를 통해 송신되고 각각의 Rx 요소에 의해 수신되는 것을 포함한다.
예 181에서, 예 180의 청구 대상은 선택적으로 RF 신호가 밀리미터파 신호인 것을 포함한다.
예 182에서, 예 180-181 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특성이 수신된 RF 신호를 하나씩 측정하고 하나의 측정치가 각각의 다른 측정치보다 낮은 것을 검출함으로써 결정되는 결함 있는 TX 경로인 것을 포함한다.
예 183에서, 예 180-182 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특성이 수신된 RF 신호의 수신된 RF 신호의 기대 값에 대비한 비교에 의해 결정되는 테스트 중인 시스템의 수용 가능성인 것을 포함한다.
예 184에서, 예 180-183 중 어느 하나 이상의 예의 청구 대상은 선택적으로 Tx 요소가 Tx 위상 변위기를 더 포함하고, Rx 요소가 Rx 위상 변위기를 더 포함하고, 특성이 Tx 위상 변위기에 의한 Tx 신호의 위상의 변동 및 RF 신호의 수신된 위상의 측정치에 의해 결정되는 Tx 위상 변위기의 기능성인 것을 포함한다.
예 185에서, 예 180-184 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나씩 파이어링되는 Tx 요소 각각이 TX 경로를 포함하고, 특성이 하나의 TX 경로의 진폭 또는 위상 대 하나의 TX 경로 이외의 각각의 TX 경로의 진폭 또는 위상의 차이의 검출에 의해 결정되는 각각의 TX 경로의 사이의 진폭 및 위상 미스매치인 것을 포함한다.
예 186에서, 예 185의 청구 대상은 선택적으로 송신된 RF 신호가 기저대역 신호이고, 특성이 제 1 수신된 기저대역 신호를 제 1 기저대역 신호 이외의 수신된 기저대역 신호가 비교되는 기준으로서 사용함으로써 결정되는 각각 수신된 기저대역 신호의 진폭 및 위상인 것을 포함한다.
예 187에서, 예 178-186 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모든 Tx 요소가 동시에 파이어링되고, 특성이 수신된 신호의 측정에 의해 결정된 배열 이득의 추정인 것을 포함한다.
예 188에서, 예 178-186의 어느 하나 이상의 예의 청구 대상은 선택적으로 테스터가 테스터로부터 분리된 반사기를 갖고, 컴퓨터 명령어는 컴퓨터에 의해 실행될 때 테스터로 하여금 복수의 전자 구성 요소 중 하나에 대해 루프백 테스트를 수행하도록 하는 명령어 - 루프백 테스트는 제 1 결과를 얻음- 을 포함하는 것을 포함한다.
예 189에서, 예 188의 청구 대상은 선택적으로 테스터가 테스터에 재장착된 반사기를 갖고, 컴퓨터 명령어는 컴퓨터에 의해 실행될 때 테스터로 하여금 복수의 전자 구성요소 중 하나에 대한 루프백 테스트를 수행하도록 하는 명령어 - 루프백 테스트는 제 2 결과를 얻음- 을 포함하고, 특성이 제 2 결과로부터 제 1 결과의 차감에 의해 결정되는 크로스토크인 것을 포함한다.
예 190에서, 본 청구 대상은 선택적으로 예 168 내지 예 189 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 168 내지 예 189 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 168 내지 예 189 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 191은 기저대역 서브시스템(baseband sub-system)(BBS) - BBS는 제 1 주파수에서 제 1 데이터 신호를 생성하고 제 1 주파수와 중첩되지 않는 제 2 주파수에서 제 2 데이터 신호를 생성하도록 배열된 제 1 송수신기 회로; 및 제 3 주파수에서 LO 신호를 생성하도록 배열된 국부 발진기(LO) 생성기 - 제 1, 제 2 및 제 3 주파수는 중첩되지 않는 주파수임 -; 및 단일 동축 케이블을 통해 BBS와 연결된 RF 프론트-엔드 모듈(RFEM) - RFEM은 복수의 안테나를 포함하는 위상 배열 안테나 배열; 및 LO 신호에 기초하여 제 1 데이터 신호 및 제 2 데이터 신호를 원하는 주파수로 변환하고, 위상 안테나 배열을 통해 변환된 제 1 및 제 2 데이터 신호를 송신하도록 배열된 제 2 송수신기 회로를 포함하고, 변환된 제 1 데이터 신호는 제 1 유형의 안테나 편파를 사용하여 위상 배열 안테나 배열의 제 1 서브 배열을 통해 송신되고, 제 2 변환된 데이터 신호는 제 2 유형의 안테나 편파를 사용하여 위상 배열 안테나 배열의 제 2 서브 배열을 통해 송신됨 - 을 포함하는 무선 통신 디바이스이다.
예 192에서, 예 191의 청구 대상은 선택적으로 안테나 편파가 수직 안테나 편파 또는 수평 안테나 편파 중 하나인 것을 포함한다.
예 193에서, 예 191-192 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 주파수가 제 1 주파수 및 제 2 주파수의 차이인 것을 포함한다.
예 194에서, 예 191-193 중 어느 하나 이상의 예의 청구 대상은 선택적으로 LO 발생기가 제 2 LO 신호 및 제 3 LO 신호를 생성하도록 배열되고, 제 2 및 제 3 LO 신호는 비-LO 신호와 중첩되지 않는 신호인 것을 포함한다.
예 195에서, 예 194의 청구 대상은 선택적으로 제 1 송수신기 회로가 제 2 LO 신호에 기초하여 제 1 데이터 신호를 생성하고, 제 3 LO 신호에 기초하여 제 2 데이터 신호를 생성하도록 배열되는 것을 포함한다.
예 196에서, 예 195의 청구 대상은 선택적으로 제 1 LO 신호, 제 2 LO 신호 및 제 3 LO 신호가 동일 주파수 소스로부터 생성되고 상관된 위상 잡음을 갖는 것을 포함한다.
예 197에서, 예 191-966 중 어느 하나 이상의 예의 청구 대상은 선택적으로 BBS 내의 제 1 트리플렉서 - 제 1 트리플렉서는 제 1 데이터 신호, 제 2 데이터 신호 및 제 3 LO 신호를 단일 동축 케이블에 멀티플렉싱하도록 배열됨 -; 및 RFEM 내의 제 2 트리플렉서 - 제 2 트리플렉서는 단일 동축 케이블을 통해 제 1 데이터 신호, 제 2 데이터 신호 및 제 3 LO 신호를 수신하도록 배열됨 - 을 포함하는 것을 포함한다.
예 198에서, 예 191-197 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 송수신기 회로가 위상 안테나 배열의 제 1 서브 배열에 연결된 수직 편파 프로세싱 체인 - 제 1 서브 배열은 수직 편파를 이용함 -; 및 위상 안테나 배열의 제 2 서브 배열에 연결된 수평 편파 프로세싱 체인 - 제 2 서브 배열은 수평 편파를 사용함 - 을 포함하는 것을 포함한다.
예 199에서, 예 198의 청구 대상은 선택적으로 RFEM 내에 제 2 LO 발생기를 포함하고, 제 2 LO 발생기는 단일 동축 케이블을 통해 LO 신호를 수신하고 LO 신호에 대해 하나 이상의 주파수 조작을 수행하여 제 1 데이터 신호 및 제 2 데이터 신호를 원하는 주파수로 변환하기 위한 적어도 제 2 LO 신호를 생성하도록 배열되는 것을 포함한다.
예 200에서, 예 199의 청구 대상은 선택적으로 제 2 LO 생성기가 하나 이상의 주파수 조작을 수행하기 위한 주파수 분배기 및 주파수 체배기를 더 포함하는 것을 포함한다.
예 201은 기저대역 서브시스템(BBS) - BBS는: 제 1 로컬 발진기(LO) 신호를 사용하여 제 1 주파수에서 제 1 데이터 신호를 생성하도록 배열된 제 1 송수신기 회로 - 제 1 주파수는 원하는 송신 주파수를 포함함 -; 제 2 LO 신호를 사용하여 제 2 주파수에서 제 2 데이터 신호를 생성하도록 배열된 제 2 송수신기 회로; 및 제 1 LO 신호, 제 2 LO 신호 및 제 3 LO 신호를 생성하도록 배열된 LO 생성기를 포함함 -; 및 단일 동축 케이블을 통해 BBS와 연결된 RF 프론트-엔드 모듈(RFEM) - RFEM은: 복수의 안테나를 포함하는 위상 배열 안테나 배열, 복수의 안테나의 수직 편파 안테나 서브세트를 통해 원하는 송신 주파수에서 제 1 데이터 신호를 송신하도록 배열된 제 3 송수신기 회로; 및 제 2 데이터 신호를 원하는 송신 주파수로 변환하고 변환된 제 2 데이터 신호를 복수의 안테나의 수평 편파 안테나 서브세트를 통해 송신하도록 배열된 제 4 송수신기 회로를 포함함 - 을 포함하는 무선 통신 디바이스이다.
예 202에서, 예 201의 청구 대상은 선택적으로 제 3 LO 신호가 원하는 송신 주파수와 제 2 주파수 사이의 차이인 주파수를 포함하는 것을 포함한다.
예 203에서, 예 201-202 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 LO 신호가 제 1 및 제 2 주파수와 중첩되지 않는 주파수를 포함하는 것을 포함한다.
예 204에서, 예 201-203 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 송수신기 회로가 복수의 안테나의 수평 편파된 안테나 서브세트를 통해 원하는 송신 주파수에서 제 1 데이터 신호를 송신하도록 배열되고, 제 4 송수신기 회로는 변환된 제 2 데이터 신호를 복수의 안테나의 수직 편파된 안테나 서브세트를 통해 송신하도록 배열되는 것을 포함한다.
예 205에서, 예 201-204 중 어느 하나 이상의 예의 청구 대상은 선택적으로 BBS 내의 제 1 트리플렉서 - 제 1 트리플렉서는 제 1 데이터 신호, 제 2 데이터 신호 및 제 3 LO 신호를 단일 동축 케이블에 멀티플렉싱하도록 배열됨 -; 및 RFEM 내의 제 2 트리플렉서 - 제 2 트리플렉서는 단일 동축 케이블을 통해 제 1 데이터 신호, 제 2 데이터 신호 및 제 3 LO 신호를 수신하도록 배열됨 - 을 포함하는 것을 포함한다.
예 206에서, 어느 하나 이상의 예 201-205의 청구 대상은 선택적으로 제 4 송수신기 회로가 제 3 LO 신호를 사용하여 제 2 데이터 신호를 원하는 송신 주파수로 상향 변환하는 상향 변환 믹서를 더 포함하는 것을 포함한다.
제 207 예에서, 예 201-206 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 송수신기 회로가 복수의 안테나의 수직 편파된 안테나 서브세트를 통해 제 3 데이터 신호를 수신하도록 배열되고; 제 4 송수신기 회로가 복수의 안테나의 수평 편파된 안테나 서브세트를 통해 제 4 데이터 신호를 수신하도록 배열되며; 제 3 및 제 4 데이터 신호가 원하는 수신 주파수에 있는 것을 포함한다.
예 208에서, 예 207의 청구 대상은 선택적으로 RFEM이 제 4 데이터 신호를 중간 주파수(IF) 신호로 하향 변환하기 위한 제 4 LO 신호를 생성하도록 배열된 제 2 LO 생성기를 더 포함하는 것을 포함한다.
예 209에서, 예 208의 청구 대상은 선택적으로 RFEM이 단일 동축 케이블을 통해 원하는 수신 주파수의 제 3 데이터 신호, 중간 주파수의 제 4 데이터 신호 및 제 4 LO 신호를 BBS로 전달하도록 배열되는 것을 포함한다.
예 210은 기저대역 서브시스템(BBS) - BBS는: 제 1 무선 주파수에서 제 1 데이터 신호를 생성하도록 배열된 제 1 송수신기 회로; 제 2 무선 주파수에서 제 2 데이터 신호를 생성하도록 배열된 제 2 송수신기 회로 - 제 1 무선 주파수는 제 2 무선 주파수와 상이함 - ; 및 단일 동축 케이블을 통해 BBS와 연결된 RF 프론트-엔드 모듈(RFEM) - RFEM은: 복수의 안테나를 포함하는 위상 배열 안테나 배열; 및 원하는 송신 주파수에 기초하여 제 1 LO 신호 및 제 2 LO 신호를 생성하도록 배열된 국부 발진기(LO) 생성기를 포함함 - ; 제 1 LO 신호를 사용하여 제 1 데이터 신호를 원하는 송신 주파수로 변환하고 변환된 제 1 데이터 신호를 복수의 안테나의 수직 편파된 안테나 서브세트를 통해 송신하도록 배열된 제 3 송수신기 회로; 및 제 2 LO 신호를 사용하여 제 2 데이터 신호를 원하는 송신 주파수로 변환하고 변환된 제 2 데이터 신호를 복수의 안테나의 수직 편파된 안테나 서브세트를 통해 송신하도록 배열된 제 4 송수신기 회로를 포함하는 무선 통신 디바이스이다.
예 211에서, 예 210의 청구 대상은 선택적으로 BBS 내의 제 1 트리플렉서 - 제 1 트리플렉서는 제 1 데이터 신호 및 제 2 데이터 신호를 RFEM에 통신하기 위해 단일 동축 케이블에 멀티플렉싱하도록 배열됨 -; 및 RFEM 내의 제 2 트리플렉서 - 제 2 트리플렉서는 단일 동축 케이블을 통해 제 1 데이터 신호 및 제 2 데이터 신호를 수신하도록 배열됨 - 을 포함하는 것을 포함한다.
예 212에서, 예 210-211 중 어느 하나 이상의 예의 청구 대상은 선택적으로 LO 생성기가 제 1 LO 신호 및 제 2 LO 신호를 합성하는 신시사이저를 포함하는 것을 선택적으로 포함된다.
예 213은 복수의 안테나를 포함하는 위상 안테나 배열; 단일 RF 신호를 생성하기 위해 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하도록 배열된 RF 수신기 서브시스템; 단일 동축(coax) 케이블을 통해 RF 수신기 서브시스템에 연결된 기저대역 서브시스템(BBS) - BBS는: 단일 RF 신호에 기초하여 하향 변환된 신호를 생성하고, 하향 변환된 신호를 무선 모뎀에 의한 처리를 위해 디지털 데이터 신호로 변환하고, BBS는 동축 케이블을 통해 RF 수신기 서브시스템으로부터 RF 신호를 수신하고, RF 수신기 서브시스템은 BBS로부터 동축 케이블을 통해 DC 전력 신호를 수신함 - 을 포함하는 무선 통신 디바이스이다.
예 214에서, 예 213의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 수신된 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기를 포함하는 것을 포함한다.
예 215에서, 예 214의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; 복수의 위상 변위된 신호를 가산하여 결합된 RF 신호를 생성하도록 배열된 가산기; 및 결합된 RF 신호를 증폭하여 단일 RF 신호를 생성하도록 배열된 증폭기를 포함하는 것을 포함한다.
예 216에서, 예 215의 청구 대상은 선택적으로 RF 수신기 서브시스템이 단일 동축 케이블을 통해 BBS로부터 제어 신호를 수신하도록 배열되고, 제어 신호가 복수의 위상 변위기에 의해 수행되는 위상 조정을 위해 신호 위상을 지정하는 것을 포함한다.
예 217에서, 예 213-216 중 어느 하나 이상의 예의 청구 대상은 선택적으로 BBS가 단일 동축 케이블을 통해 RF 수신기 서브시스템으로부터 수신된 RF 신호를 증폭하여 증폭된 RF 신호를 생성하도록 배열된 증폭기; 증폭된 RF 신호를 하향 변환하여 하향 변환된 신호를 생성하는 적어도 하나의 하향 변환 믹서; 및 무선 모뎀에 의한 처리를 위해 하향 변환된 신호를 디지털 데이터 신호로 변환하기 위한 적어도 하나의 아날로그-디지털 변환기(analog-to-digital converter)(ADC)를 포함하는 것을 포함한다.
예 218에서, 예 213-217 중 어느 하나 이상의 예의 청구 대상은 선택적으로 단일 RF 출력 신호에 기초하여 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템 - 생성된 복수의 RF 출력 신호는 위상 안테나 배열을 통한 송신을 위한 것임 - 을 포함한다.
예 219에서, 예 218의 청구 대상은 선택적으로 RF 송신기 서브시스템이 단일 RF 출력 신호를 증폭하여 증폭된 출력 신호를 생성하도록 배열된 증폭기; 단일 RF 출력 신호의 복수의 신호 복제(replica)을 생성하도록 배열된 가산기; 신호 복제와 연관된 위상을 변위하여 복수의 위상 변위된 출력 신호를 생성하도록 배열된 복수의 위상 변위기; 및 복수의 위상 변위된 출력 신호를 증폭하여 위상 안테나 배열을 통해 송신하기 위한 복수의 RF 출력 신호를 생성하는 복수의 증폭기를 포함하는 것을 포함한다.
예 220에서, 예 219의 청구 대상은 선택적으로 RF 수신기 서브시스템이 BBS로부터 단일 동축 케이블을 통해 제어 신호를 수신하도록 배열되고, 제어 신호가 복수의 위상 변위기에 의해 수행되는 위상 조정을 위한 신호 위상을 지정하는 것을 포함한다.
예 221에서, 예 218-220 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 송신기 서브시스템이 단일 동축 케이블을 통해 BBS로부터 단일 RF 출력 신호를 수신하는 것을 포함한다.
예 222에서, 예 221의 청구 대상은 선택적으로 BBS가 무선 모뎀을 포함하고, 무선 모뎀이 출력 데이터 신호를 생성하도록 배열된 것을 포함한다.
예 223에서, 예 222의 청구 대상은 선택적으로 BBS가 데이터 신호를 디지털 출력 신호로 변환하기 위한 디지털-아날로그 변환기(digital-to-analog converter)(DAC); 디지털 출력 신호를 필터링하여 필터링된 출력 신호를 생성하는 필터; 및 필터링된 출력 신호를 단일 RF 출력 신호로 상향 변환하기 위한 상향 변환 믹서를 더 포함하는 것을 포함한다.
예 224에서, 예 213-223 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 수신기 서브시스템이 제 1 적응형 임피던스 매칭 회로를 포함하고, BBS가 제 2 임피던스 매칭 회로를 포함하고, 제 1 임피던스 매칭 회로 및 제 2 임피던스 매칭 회로가 단일 동축 케이블과 연관된 임피던스의 매칭을 위한 것임을 포함하는 것을 포함한다.
예 225는 제 1 PCB 기판 - 제 1 PCB 기판은 복수의 안테나의 위상 안테나 배열; 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하여 RF 입력 신호를 생성하도록 배열된 RF 수신기 서브시스템; 및 RF 출력 신호에 기초하여, 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템 - 생성된 복수의 RF 출력 신호는 위상 안테나 배열을 통해 송신하기 위한 것임 - 포함함 -; 및 단일 동축 케이블을 통해 제 1 PCB 기판에 연결된 제 2 PCB 기판 - 제 2 PCB 기판은: 기저대역 서브시스템(BBS)을 포함하고, BBS는: RF 입력 신호를 사용하여 디지털 데이터 신호를 생성 - 디지털 데이터 신호는 무선 모뎀에 의해 처리하기 위한 신호임 - 하고, 무선 모뎀에 의해 생성된 적어도 하나의 다른 디지털 데이터 신호에 기초하여 RF 출력 신호를 생성하도록 배열되고, BBS는 단일 동축 케이블을 통해 RF 수신기 서브시스템으로부터 RF 입력 신호를 수신함 - 을 포함하는 무선 통신 디바이스이다.
예 226에서, 예 225의 청구 대상은 선택적으로 RF 수신기 서브시스템 및 RF 송신기 서브시스템이 단일 동축 케이블을 통해 BBS로부터 DC 전력 신호를 수신하도록 배열되는 것을 포함한다.
예 227에서, 예 225-226 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 수신기 서브시스템 및 RF 송신기 서브시스템이 BBS로부터 DC 전력 신호를 단일 동축 케이블을 통해 수신하도록 배열되는 것을 포함한다.
예 228에서, 예 225-227 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 수신된 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기; 위상 조정을 수행하고 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; 복수의 위상 변위된 신호를 가산하여 결합된 RF 신호를 생성하도록 배열된 가산기; 및 결합된 RF 신호를 증폭하여 BBS로 송신하기 위한 단일 RF 입력 신호를 생성하도록 배열된 증폭기를 포함하는 것을 포함한다.
예 229에서, 예 228의 청구 대상은 선택적으로 RF 수신기 서브시스템이 BBS로부터 단일 동축 케이블을 통해 제어 신호를 수신하도록 배열되고, 제어 신호는 복수의 위상 변위기에 의해 수행되는 위상 조정을 위해 신호 위상을 지정하는 것을 포함한다.
예 230에서, 예 225-229 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 입력 신호 및 RF 출력 신호가 밀리미터파 대역 주파수 내의 신호를 포함하는 것을 포함한다.
예 231에서, 예 225-230 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 무선 사양(G wireless specification)을 포함하는 것을 포함한다.
예 232는 제 1 무선 주파수 프론트-엔드-모듈(RFEM) 및 제 2 RFEM - 제 1 및 제 2 RFEM 각각은 복수의 안테나의 위상 안테나 배열; RF 입력 신호를 생성하기 위해 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하도록 배열된 RF 수신기 서브시스템; 및 RF 출력 신호에 기초하여 위상 안테나 배열을 통한 송신을 위해 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템을 포함함 - ; 및 기저대역 서브시스템(BBS) - BBS는: 무선 모뎀에 의한 처리를 위해 제 1 및 2 RFEM의 각각으로부터 RF 입력 신호를 사용하여 디지털 데이터 신호를 생성하고, 국부 발진기 신호 및 무선 모뎀에 의해 생성된 적어도 하나의 다른 디지털 데이터 신호에 기초하여 RF 출력 신호를 생성하도록 배열되고, BBS는 제 1 동축 케이블 및 제 2 동축 케이블을 통해 제 1 RFEM 및 제 2 RFEM으로부터 RF 입력 신호를 각각 수신함 - 을 포함하는 무선 통신 디바이스이다.
예 233에서, 예 232의 청구 대상은 선택적으로 BBS가 국부 발진기 신호를 생성하도록 배열된 국부 발진기 생성기를 포함하고, 국부 발진기 생성기가 제 1 RFEM 및 제 2 RFEM 간에 공유되는 것을 포함한다.
예 234는 사용자 디바이스 내의 마더보드에 부착된 적어도 하나의 무선 주파수 집적 회로(RFIC) - 사용자 디바이스는 힌지식 리드(hinged lid)를 가짐 - ; 및 적어도 하나의 도파관 또는 광섬유를 포함하는 전송 선로 - 전송 선로는 적어도 하나의 RFIC에 연결된 제 1 단부, 리드 내의 길이 및 리드 내의 하나 이상의 안테나에 연결된 제 2 단부를 가짐 - 를 포함하는 전송 선로 회로이다.
예 235에서, 예 234의 청구 대상은 선택적으로 하나 이상의 안테나가 수신 디바이스로부터의 피드백 정보에 기초하여 중앙 처리 유닛에 의해 실행되는 컴퓨터 명령어 세트에 의해 제어되도록 배열된 복수의 안테나를 포함하는 것을 포함한다.
예 236에서, 예 234-235 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 선로가 리드 내에 중공 전도성 튜브를 포함하는 적어도 하나의 도파관을 포함하고, 전도성 튜브가 RF 신호를 증폭하는 리드 내에 배열된 각각의 라디오 프론트-엔드-모듈(RFEM)을 통해 하나 이상의 안테나에 RF 신호를 송신하도록 배열된 것을 포함한다.
예 237에서, 예 236의 청구 대상은 선택적으로 적어도 하나의 RFIC가 복수의 주파수 대역에서 RF 신호를 생성하도록 배열되고, 적어도 하나의 도파관이 각각의 주파수 대역 마다 별개의 도파관을 포함하고, 각각 도파관이 각각의 RFEM에 연결되는 것을 포함한다.
예 238에서, 예 236-237 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나의 RFIC가 단일 주파수 대역에서 RF 신호를 생성하도록 배열되고, 적어도 하나의 도파관이 RFIC로부터 수신 디바이스로부터의 피드백 정보에 기초하여 중앙 처리 유닛에 의해 실행되는 컴퓨터 명령어 세트에 의해 제어되도록 배열된 복수의 안테나 각각까지의 별개의 도파관을 포함하고, 각각의 도파관이 각각의 RFEM에 연결되는 것을 포함한다.
예 239에서, 예 236-238 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나 이상의 도파관의 제 1 단부가 힌지를 통과하거나 힌지의 일부이고, 적어도 하나의 도파관의 제 2 단부가 각각의 RFEM을 통해 하나 이상의 안테나에 연결되는 것을 포함한다.
예 240에서, 예 234-239 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 선로가 하나 이상의 안테나에 RF 신호를 송신하도록 배열된 반도체 집적된 도파관(semiconductor integrated waveguide)(SIW)을 포함하는 것을 포함한다.
예 241에서, 예 240의 청구 대상은 선택적으로 SIW가 스트립 라인 또는 동평면 전송 선로에 의해 급전되고, SIW가 경성 PCB 또는 연성 PCB 내에 구현되는 것을 포함한다.
예 242에서, 예 240-241 중 어느 하나 이상의 예의 청구 대상은 선택적으로 사용자 디바이스가 랩톱 컴퓨터이고, SIW가 랩톱 컴퓨터의 키보드와 연관된 전자 회로를 포함하는 PCB의 일부를 포함하는 것을 포함한다.
예 243에서, 예 240-241 중 어느 하나 이상의 예의 청구 대상은 선택적으로 사용자 디바이스가 랩톱 컴퓨터이고, SIW가 SIW의 구현을 위해 전용된 PCB의 일부를 포함하는 것을 포함한다.
예 244에서, 예 243의 청구 대상은 선택적으로 전용 PCB가 리드 섀시에 접착되는 것을 포함한다.
예 245에서, 예 243-244 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전용 PCB가 리드 새시에 구현된 트렌치에 접착되는 것을 포함한다.
예 246에서, 예 243-245 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SIW가 랩톱 컴퓨터의 섀시 내의 스크린의 측면에 부착되는 것을 포함한다.
예 247에서, 예 234-246 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 선로가 광섬유를 포함하는 것을 포함한다.
예 248에서, 예 247의 청구 대상은 선택적으로 광섬유의 제 1 단부가 RF 신호 대 광학 신호 변환기(RF signal to optical signal converter)를 통해 RFIC에 연결된 것을 포함한다.
예 249에서, 예 248의 청구 대상은 선택적으로 RF 신호 대 광 신호 변환기가 핀 다이오드, 애벌런치 핀 다이오드 또는 RF 오버 광섬유 인터페이스(RF over fiber interface)를 포함하는 것을 포함한다.
예 250에서, 예 249의 청구 대상은 선택적으로 핀 다이오드 또는 애벌런치 핀 다이오드가 하나 이상의 안테나에 의한 방사를 위해 디지털 비트로서 RF 신호를 RFEM으로 송신하도록 배열되는 것을 포함한다.
예 251에서, 예 249-250의 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 오버 광섬유 변환기가 광 신호를 RFIC의 RF 신호로 변조하도록 배열되는 것을 포함한다.
예 252에서, 예 251의 청구 대상은 선택적으로 변환 디바이스가 변조된 광학 신호를 하나 이상의 안테나에 의한 방사를 위해 RFEM으로 송신되는 RF 신호로 변환하도록 배열되는 것을 포함한다.
예 253에서, 예 251-252의 어느 하나 이상의 예의 청구 대상은 선택적으로 RFIC가 복수의 주파수 대역에서 RF 신호를 생성하도록 배열되고, 광섬유가 각각의 주파수 대역에서 RF 신호로 변조된 광학 신호를 송신하도록 배열되는 것을 포함한다.
예 254에서, 예 251-253 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RFIC가 단일 주파수 대역에서 RF 신호를 생성하도록 배열되고, 광 섬유가 각각의 RFEM을 통해 RFIC로부터 복수의 안테나 각각에 연결되며, 안테나는 수신 디바이스로부터의 피드백 정보에 기초하여 중앙 처리 유닛에 의해 실행되는 컴퓨터 명령어 세트에 의해 제어되도록 배열되는 것을 포함한다.
예 255에서, 본 청구 대상은 선택적으로 예 234 내지 예 254 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 234 내지 예 254 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 234 내지 예 254 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 256은 베이스 서브시스템(BBS) - BBS는 LO 신호를 생성하도록 배열된 국부 발진(LO) 생성기; LO 신호를 이용하여 제어 신호를 변조하여 변조된 신호를 생성하는 클록 확산 회로를 포함함 - ; 및 변조된 신호 및 데이터 신호를 수신하기 위해 단일 연결부를 통해 BBS와 연결된 RF 프론트-엔드-모듈(RFEM) - RFEM은: 변조된 신호를 복조하여 LO 신호 및 제어 신호를 복원하도록 배열된 클록 역확산 회로; 복수의 안테나를 포함하는 위상 배열 안테나 배열; 및 복원된 LO 신호에 기초하여 데이터 신호를 원하는 RF로 상향 변환하여 RF 신호를 생성하고, 제어 신호에 기초하여 송신 모드를 파이어링하여 위상 안테나 배열을 통해 RF 신호를 송신하도록 배열된 송수신기 회로를 포함함 - 를 포함하는 무선 통신 디바이스이다.
예 257에서, 예 256의 청구 대상은 선택적으로 클록 확산 회로가 제어 신호를 수신하고 대역 제한된 제어 신호를 생성하도록 배열된 펄스 성형기를 포함하는 것을 포함한다.
예 258에서, 예 257의 청구 대상은 선택적으로 클록 확산 회로가 대역 제한된 제어 신호 및 LO 신호를 수신하여 변조된 신호를 생성하도록 배열된 변조기 회로를 포함하는 것을 포함한다.
예 259에서, 예 258의 청구 대상은 선택적으로 변조기 회로가 이진 위상 변위 변조(binary phase-shift keying)(BPSK) 변조기; 차동 위상 변위 변조(differential phase-shift keying)(DPSK) 변조기; 직교 위상 변위 변조(quadrature phase-shift keying(QPSK) 변조기; 및 가우스 주파수 변위 변조(Gaussian Frequency Shift Keying)(GFSK) 변조기 중 하나인 것을 포함한다.
예 260에서, 예 256-259 중 어느 하나 이상의 예의 청구 대상은 선택적으로 클록 역확산 회로가 체배기 회로 및 분주기 회로를 포함하는 클록 복원 회로를 포함하고, 클록 복원 회로가 변조된 신호를 수신하고 변조된 신호에 기초하여 LO 신호를 복원하도록 배열된 것을 포함한다.
예 261에서, 예 260의 청구 대상은 선택적으로 클록 역확산 회로가 변조된 신호 및 LO 신호를 수신하고, 변조된 신호 및 LO 신호에 기초하여 제어 신호를 복원하도록 배열된 복조 회로를 포함하는 것을 포함한다.
예 262에서, 예 256-261 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송수신기 회로가 복수의 수신된 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기를 포함하는 것을 포함한다.
예 263에서, 예 262의 청구 대상은 선택적으로 송수신기 회로가 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; 및 복수의 위상 변위된 신호를 결합하여 결합된 RF 신호를 생성하도록 배열된 결합기를 포함하는 것을 포함한다.
예 264에서, 예 263의 청구 대상은 선택적으로 제어 신호가 복수의 위상 변위기에 의해 수행되는 위상 조정을 위한 신호 위상을 지정하거나, 복수의 증폭기에 대해 상이한 이득 조정을 설정하는 것을 포함한다.
예 265에서, 예 263-264 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RFEM이 기준 클록 신호로서 LO 신호를 수신하고, 결합된 RF 신호의 하향 변환을 위한 제 2 LO 신호를 생성하도록 배열된 주파수 소스를 포함하는 것을 포함한다.
예 266에서, 예 265의 청구 대상은 선택적으로 RFEM이 결합된 RF 신호를 하향 변환하여 제 2 LO 신호에 기초한 중간 주파수(IF) 신호를 생성하기 위한 하향 변환 믹서; 및 IF 신호를 증폭하여 증폭된 IF 신호를 생성하도록 배열된 증폭기를 포함하는 것을 포함한다.
예 267에서, 예 266의 청구 대상은 선택적으로 BBS가 LO 신호에 기초하여 증폭된 IF 신호를 하향 변환하여 하향 변환된 신호를 생성하는 하향 변환 믹서; 및 무선 모뎀에 의한 처리를 위해 하향 변환된 신호를 디지털 데이터 신호로 변환하는 아날로그-디지털 변환기(ADC)를 포함하는 것을 포함한다.
예 268은 제 1 PCB 기판 - 제 1 PCB 기판은 기저대역 서브시스템(BBS)을 포함하고, BBS는: 국부 발진기(LO) 기준 신호에 대해 프론트-엔드 제어 신호를 변조하여 변조된 신호를 생성하도록 배열됨 - ; 및 변조된 신호 및 중간 주파수(IF) 데이터 신호를 수신하기 위해 단일 연결부를 통해 제 1 PCB와 연결된 RF 프론트-엔드 모듈(RFEM)을 포함하는 제 2 PCB 기판 - RFEM은: 변조된 신호를 복조하여 LO 기준 신호 및 프론트-엔드 제어 신호를 복원하도록 배열된 클록 역확산 회로; 복수의 안테나를 포함하는 위상 안테나 배열; 및 복원된 LO 기준 신호에 기초하여 IF 데이터 신호를 원하는 RF로 상향 변환하여 RF 신호를 생성하고, 프론트-엔드 제어 신호에 기초하여 송신 모드를 파이어링하여 RF 신호를 위상 안테나 배열을 통해 송신하도록 배열된 송수신기 회로를 포함함 - 을 포함하는 무선 통신 디바이스이다.
예 269에서, 예 268의 청구 대상은 선택적으로 BBS가 LO 기준 신호를 생성하도록 배열된 LO 발생기; 및 LO 기준 신호를 사용하여 프론트-엔드 제어 신호를 변조하여 변조된 신호를 생성하도록 배열된 클록 확산 회로를 포함하는 것을 포함한다.
예 270에서, 예 269의 청구 대상은 선택적으로 클록 확산 회로가 프론트-엔드 제어 신호를 수신하고 대역 제한된 제어 신호를 생성하도록 배열된 펄스 성형기; 및 대역 제한된 제어 신호 및 LO 기준 신호를 수신하여 변조된 신호를 생성하도록 배열된 변조기 회로를 포함하는 것을 포함한다.
예 271에서, 예 269-270 중 어느 하나 이상의 예의 청구 대상은 선택적으로 클록 확산 회로가: 의사 랜덤 시퀀스를 사용하여 LO 기준 신호를 확산하여 변조된 신호를 획득하도록 배열되는 것을 포함한다.
예 272에서, 예 270-271 중 어느 하나 이상의 예의 청구 대상은 선택적으로 변조기 회로가 이진 위상 변위 변조(BPSK) 변조기; 차동 위상 변위 변조DPSK) 변조기; 직교 위상 변위 변도(QPSK) 변조기; 및 가우스 주파수 변위 변조GFSK) 변조기 중 하나인 것을 포함한다.
예 273에서, 예 269-272 중 어느 하나 이상의 예의 청구 대상은 선택적으로 클록 역확산 회로가 체배기 회로 및 분주기 회로를 포함하는 클록 복원 회로를 포함하고, 클록 복원 회로가 변조된 신호를 수신하고 변조된 신호에 기초하여 LO 기준 신호를 복원하도록 배열된 것을 포함한다.
예 274에서, 예 273의 청구 대상은 선택적으로 클록 역확산 회로가 변조된 신호 및 복원된 LO 기준 신호를 수신하고, 변조된 신호 및 LO 기준 신호에 기초하여 프론트-엔드 제어 신호를 복원하도록 배열된 복조 회로를 포함하는 것을 포함한다.
예 275에서, 예 269-274 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RFEM 내의 송수신기 회로가 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기; 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; 및 복수의 위상 변위된 신호를 결합하여 결합된 RF 신호를 생성하도록 배열된 결합기를 포함하는 것을 포함한다.
예 276에서, 예 275의 청구 대상은 선택적으로 제어 신호가 복수의 위상 변위기에 의해 수행되는 위상 조정을 위한 신호 위상을 지정하거나, 복수의 증폭기에 대해 상이한 이득 조정을 설정하는 것을 포함한다.
예 277에서, 예 275-276 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RFEM이 프론트-엔드 제어 신호에 기초하여 송수신기 회로의 수신 모드를 파이어링하도록 배열되고, 수신 모드가 복수의 RF 신호를 수신하기 위한 모드인 것을 포함한다.
예 278은 복수의 안테나를 포함하는 위상 안테나 배열; 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하여 단일 RF 신호를 생성하도록 배열된 RF 수신기 서브시스템; 제 1 연결부를 통해 RF 수신기 서브시스템에 연결된 보조 중간 주파수 서브시스템(SIFS) - SIFS는 단일 RF 신호에 기초하여 IF 신호를 생성하도록 배열됨 -; 및 제 2 연결부를 통해 SIFS에 연결된 기저대역 서브시스템(BBS) - BBS는: IF 신호에 기초하여 하향 변환된 신호를 생성하고 하향 변환된 신호를 무선 모뎀에 의해 처리하기 위한 디지털 데이터 신호로 변환하며, SIFS는 제 1 연결부를 통해 RF 수신기 서브시스템으로부터 단일 RF 신호를 수신하고, SIFS는 제 2 연결부를 통해 단일 RF 신호를 BBS에 전달함 - 을 포함하는 무선 통신 디바이스이다.
예 279에서, 예 278의 청구 대상은 선택적으로 제 1 연결부가 동축 케이블이고, 제 2 연결부가 PCB 트레이스 연결부인 것을 포함한다.
예 280에서, 예 278-279 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SIFS 및 BBS가 멀티 칩 모듈(multi-chip module)(MCM) 또는 시스템-인-패키지 모듈(system-in-Package Module)(SiPM) 내에 함께 패키징되고, 제 2 연결부는 MCM 또는 SiPM의 패키지 기판의 비아 신호인 것을 포함한다.
예 281에서, 예 278-280 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 수신된 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기를 포함하는 것을 포함한다.
예 282에서, 예 281의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; 및 복수의 위상 변위된 신호를 결합하여 결합된 RF 신호를 생성하기 위해 도록 배열된 결합기를 포함하는 것을 포함한다.
예 283에서, 예 282의 청구 대상은 선택적으로 RF 수신기 서브시스템이 제 1 연결부 및 제 2 연결부를 통해 무선 모뎀으로부터 제어 신호를 수신하도록 배열되고, 제어 신호는 복수의 위상 변위기에 의해 수행되는 위상 조정을 위해 신호 위상을 지정하거나, 복수의 증폭기에 대해 상이한 이득 조정을 설정하는 것을 포함한다.
예 284에서, 예 278-283 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SIFS가 BBS로부터 기준 클록 신호를 수신하고, 단일 RF 신호의 하향 변환을 위한 LO 신호를 생성하도록 배열된 국부 발진기(LO) 신호 생성기를 포함하는 것을 포함한다.
예 285에서, 예 284의 청구 대상은 선택적으로 SIFS가 단일 RF 신호를 하향 변환하여 LO 신호에 기초한 IF 신호를 생성하기 위한 하향 변환 믹서; 및 RF 신호를 증폭하여 증폭된 RF 신호를 생성하도록 배열된 증폭기를 포함하는 것을 포함한다.
예 286에서, 예 285의 청구 대상은 선택적으로 BBS가 BBS LO 신호를 생성하는 LO 생성기; 증폭된 RF 신호를 BBS LO 신호를 사용하여 하향 변환하여 하향 변환된 신호를 생성하는 하향 변환 믹서; 및 무선 모뎀에 의한 처리를 위해 하향 변환된 신호를 디지털 데이터 신호로 변환하기 위한 아날로그-디지털 변환기(ADC)를 포함하는 것을 포함한다.
예 287에서, 예 278-286 중 어느 하나 이상의 예의 청구 대상은 선택적으로 단일 RF 출력 신호에 기초하여 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템을 포함하고, 생성된 복수의 RF 출력 신호는 위상 안테나 배열을 통한 송신을 위한 것임을 포함하는 것을 포함한다.
예 288에서, 예 287의 청구 대상은 선택적으로 RF 송신기 서브시스템이 증폭된 출력 신호를 생성하기 위해 단일 RF 출력 신호를 증폭하도록 배열된 증폭기; 단일 RF 출력 신호의 복수의 신호 복제를 생성하도록 배열된 분할기; 신호 복제와 연관된 위상을 변위하여 복수의 위상 변위된 출력 신호를 생성하도록 배열된 복수의 위상 변위기; 및 복수의 위상 변위된 출력 신호를 증폭하여 위상 안테나 배열을 통해 송신을 위한 복수의 RF 출력 신호를 생성하는 복수의 증폭기를 더 포함하는 것을 포함한다.
예 289에서, 예 288의 청구 대상은 선택적으로 RF 송신기 서브시스템이 BBS로부터 SIFS를 통해 제어 신호를 수신하도록 배열되고, 제어 신호가 복수의 위상 변위기에 의해 수행되는 위상 조정을 위해 신호 위상을 지정하는 것을 포함한다.
예 290에서, 예 287-289 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 송신기 서브시스템이 제 1 연결부를 통해 SIFS로부터 단일 RF 출력 신호를 수신하는 것을 포함한다.
예 291에서, 예 290의 청구 대상은 선택적으로 BBS가 무선 모뎀을 포함하고, 무선 모뎀이 출력 데이터 신호를 생성하도록 배열되는 것을 포함한다.
예 292에서, 예 291의 청구 대상은 선택적으로 BBS가 데이터 신호를 출력 신호로 변환하기 위한 디지털-아날로그 변환기(DAC); 출력 신호를 필터링하여 필터링된 출력 신호를 생성하기 위한 필터; 및 필터링된 출력 신호를 중간 주파수(IF) 출력 신호로 상향 변환하기 위한 상향 변환 믹서를 더 포함하는 것을 포함한다.
예 293에서, 예 292의 청구 대상은 선택적으로 SIFS가 IF 출력 신호를 상향 변환하여 단일 RF 출력 신호를 생성하는 상향 변환 믹서를 더 포함하는 것을 포함한다.
예 294에서, 어느 하나 이상의 예 287-293의 청구 대상은 선택적으로 RF 수신기 서브시스템 및 RF 송신기 서브시스템이 제 1 적응형 임피던스 매칭 회로를 포함하고, SIFS가 제 2 임피던스 매칭 회로를 포함하며, 제 1 임피던스 매칭 회로 및 제 2 임피던스 매칭 회로는 제 1 연결부와 연관된 임피던스 매칭을 위한 것을 포함한다.
제 295 예는 제 1 PCB 기판 - 제 1 PCB 기판은 복수의 안테나의 위상 안테나 배열; 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하여 RF 입력 신호를 생성하도록 배열된 RF 수신기 서브시스템; 및 RF 출력 신호에 기초하여 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템 - 생성된 복수의 RF 출력 신호는 위상 안테나 배열을 통해 송신하기 위한 것임 - ; 제 1 연결부를 통해 제 1 PCB 기판에 연결된 제 2 PCB 기판 - 제 2 PCB 기판은 보조 중간 주파수 모듈(SIFS)을 포함하고, SIFS는 IF 출력 신호를 이용하여 RF 출력 신호를 생성하고 RF 입력 신호를 이용하여 IF 입력 신호를 생성함 -; 및 제 2 연결부를 통해 제 2 PCB 기판에 연결된 제 3 PCB 기판 - 제 3 PCB 기판은 기저대역 서브시스템(BBS)을 포함하고, BBS는: IF 입력 신호를 사용하여 디지털 데이터 신호를 생성 - 디지털 데이터는 무선 모뎀에 의해 처리하기 위한 것임 - 하고; 무선 모뎀에 의해 생성된 적어도 하나의 다른 디지털 데이터 신호에 기초하여 IF 출력 신호를 생성 - SIFS는 제 1 연결을 통해 RF 수신기 서브시스템으로부터 RF 입력 신호를 수신함 - 하도록 배열됨 - 을 포함하는 무선 통신 디바이스이다.
예 296에서, 예 295의 청구 대상은 선택적으로 SIFS가 제 2 연결부를 통해 BBS로부터 IF 출력 신호를 수신하도록 배열되는 것을 포함한다.
예 297에서, 예 295-296 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 연결이 단일 동축 케이블이고, 제 2 연결이 PCB 트레이스 연결부인 것을 포함한다.
예 298에서, 예 295-297 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SIFS가 BBS로부터 기준 클록 신호를 수신하고, RF 입력 신호의 하향 변환 및 IF 출력 신호의 상향 변환을 위한 LO 신호를 생성하도록 배열된 국부 발진기(LO) 신호 발생기를 포함하는 것을 포함한다.
예 299에서, 예 298의 청구 대상은 선택적으로 SIFS가 RF 입력 신호를 하향 변환하여 LO 신호에 기초한 IF 입력 신호를 생성하기 위한 하향 변환 믹서; 및 BBS로부터 IF 출력 신호를 상향 변환하여 LO 신호에 기초하여 RF 출력 신호를 생성하기 위한 상향 변환 믹서를 포함하는 것을 포함한다.
예 300에서, 예 295-299 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 수신기 서브시스템이 복수의 수신된 RF 신호를 증폭하여 복수의 증폭된 신호를 생성하는 복수의 증폭기; 위상 조정을 수행하고 복수의 증폭된 신호와 연관된 위상을 변위하여 복수의 위상 변위된 신호를 생성하는 복수의 위상 변위기; SIFS 로의 송신을 위한 RF 입력 신호를 생성하기 위해 복수의 위상 변위된 신호를 결합하도록 배열된 결합기를 포함하는 것을 포함한다.
예 301에서, 예 300의 청구 대상은 선택적으로 RF 수신기 서브시스템이 BBS로부터 SIFS 및 제 1 연결부를 통해 제어 신호를 수신하도록 배열되고, 제어 신호는 복수의 위상 변위기에 의해 수행되는 위상 조정을 위한 신호 위상을 지정하거나, 복수의 증폭기에 대해 상이한 이득 조정을 설정하는 것을 포함한다.
예 302에서, 예 18-24 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 무선 사양을 포함하는 것을 포함한다.
예 303은 적어도 제 1 무선 주파수 프론트-엔드-모듈(RFEM) 및 적어도 제 2 RFEM - 적어도 제 1 및 제 2 RFEM의 각각은: 복수의 안테나의 위상 안테나 배열; 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하여 RF 입력 신호를 생성하도록 배열된 RF 수신기 서브시스템; 및 RF 출력 신호에 기초하여 복수의 RF 출력 신호를 생성하도록 배열된 RF 송신기 서브시스템을 포함하며, 생성된 복수의 RF 출력 신호는 위상 안테나 배열을 통한 송신을 위한 것임 - ; 및 보조 중간 주파수 서브시스템(supplemental intermediate frequency sub-system)(SIFS) - SIFS는: IF 출력 신호를 사용하여 RF 출력 신호를 생성하고; IF 출력 신호를 사용하여 IF 입력 신호를 생성하도록 배열됨 -; 및 기저대역 서브시스템(BBS) - BBS는: IF 입력 신호를 사용하여 디지털 데이터 신호를 생성 - 디지털 데이터 신호는 무선 모뎀에 의해 처리하기 위한 것임 - 하고; 무선 모뎀에 의해 생성된 적어도 하나의 다른 디지털 데이터 신호 및 국부 발진기(LO) 신호에 기초하여 IF 출력 신호를 생성하도록 배열됨 - 을 포함하는 무선 통신 디바이스이다.
예 304에서, 예 303의 청구 대상은 선택적으로 BBS가 LO 신호를 생성하도록 배열된 주파수 소스를 포함하고, 국부 발진기 생성기가 BBS와 SIFS 사이에서 공유되는 것을 포함한다.
예 305에서, 예 304의 청구 대상은 선택적으로 SIFS가 RF 출력 신호 및 IF 입력 신호를 생성하기 위한 제 2 LO 신호를 생성하도록 배열된 제 2 주파수 소스를 포함하는 것을 포함한다.
예 306에서, 예 305의 청구 대상은 선택적으로 제 2 주파수 소스가 BBS 내의 LO 생성기로부터 LO 기준 신호를 수신하도록 배열되는 것을 포함한다.
예 307에서, 예 303-306 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 제 1 RFEM 및 적어도 제 2 RFEM이 상이한 주파수 대역에서 동작하는 것을 포함한다.
예 308에서, 예 307의 청구 대상은 선택적으로 SIFS가 적어도 제 1 및 제 2 RFEM의 상이한 주파수 대역에 대응하는 상이한 상향 변환 및 하향 변환 주파수를 사용하여 상이한 RF 신호를 생성하도록 배열되는 것을 포함한다.
예 309는 반도체 다이 - 반도체 다이는 대응하는 복수의 신호 라인을 통해 복수의 신호를 수신하고, 수신된 신호에 기초하여 복수의 증폭된 신호를 생성하도록 배열되는 복수의 전력 증폭기를 포함함 - ; 및 반도체 다이에 연결된 PCB 기판 - PCB 기판은 복수의 전력 증폭기에 연결되고 복수의 증폭된 신호를 결합하여 송신을 위한 단일의 결합된 신호를 생성하도록 배열된 RF 전력 결합기를 포함함 - 을 포함하는 장치이다.
예 310에서, 예 309의 청구 대상은 선택적으로 송수신기 회로; 및 PCB 기판 내의 안테나를 포함하고, 송수신기 회로가 안테나를 통해 단일의 결합된 신호를 송신하도록 배열되는 것을 포함한다.
예 311에서, 예 309-310 중 어느 하나 이상의 예의 청구 대상은 선택적으로 반도체 다이가 복수의 전력 증폭기를 전력 결합기의 대응하는 복수의 신호 입력에 결합하는 복수의 솔더 볼을 포함하는 것을 포함한다.
예 312에서, 예 309-311 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 결합기가 윌킨슨 양방향 전력 결합기(Wilkinson two-way power combiner)인 것을 포함한다.
예 313에서, 예 309-312 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 결합기를 포함하는 것을 포함한다.
예 314에서, 예 309-313 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 결합기가 복수의 증폭된 신호를 수신하도록 배열된 복수의 전송 선로를 포함하는 것을 포함한다.
예 315에서, 예 314의 청구 대상은 선택적으로 복수의 전송 선로의 각각이 1/4 파장 전송 선로를 포함하는 것을 포함한다.
예 316은 무선 송수신기; 무선 송수신과 연관된 복수의 전력 증폭기를 포함하는 반도체 다이 - 복수의 전력 증폭기는 대응하는 복수의 신호 라인을 통해 복수의 출력 신호를 수신하고, 복수의 출력 신호를 증폭하여 복수의 증폭 신호를 생성하도록 배열됨 - ; 반도체 다이에 연결된 PCB 기판 - PCB 기판은 복수의 전력 증폭기에 연결되고 복수의 증폭된 신호를 결합하여 단일의 결합된 신호를 생성하도록 배열된 RF 전력 결합기를 포함함 -; 및 안테나 - 무선 송수신기는 안테나 배열을 통해 단일의 결합된 신호를 무선으로 송신하도록 배열됨 - 를 포함하는 무선 통신 디바이스이다.
예 317에서, 예 316의 청구 대상은 선택적으로 하나 이상의 복수의 전력 증폭기가 무선 송수신기의 구성요소인 것을 포함한다.
예 318에서, 예 316-317 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나가 PCB 기판에 배열된 위상 안테나 배열인 것을 포함한다.
예 319에서, 예 316-318 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 전력 증폭기 중 하나 이상의 임피던스와 무선 송수신기의 종단점을 매칭하도록 배열된 임피던스 변환 디바이스를 포함하는 것을 포함한다.
예 320에서, 예 319의 청구 대상은 선택적으로 종단점이 안테나인 것을 포함한다.
예 321에서, 예 319-320 중 어느 하나 이상의 예의 청구 대상은 선택적으로 종단점이 무선 송수신기의 하나 이상의 신호 특성을 측정하는 테스트 장비를 연결하기 위한 PCB 기판상의 단자인 것을 포함한다.
예 322에서, 예 319-320 중 어느 하나 이상의 예의 청구 대상은 선택적으로 임피던스 변환 디바이스가 PCB 기판에 배열되는 것을 포함한다.
예 323에서, 예 322의 청구 대상은 선택적으로 임피던스 변환 디바이스가 복수의 솔더 볼 중 적어도 하나를 통해 복수의 전력 증폭기의 하나 이상에 연결되고, 복수의 솔더 볼이 반도체 다이를 PCB 기판과 연결하여 반도체 패키지를 형성하는 것을 포함한다.
예 324에서, 예 319-323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 임피던스 변환 디바이스가 RF 전력 결합기의 구성요소인 것을 포함한다.
예 325에서, 예 316-324 중 어느 하나 이상의 예의 청구 대상은 선택적으로 5G 통신 프로토콜을 포함하는 것을 포함한다.
예 326은 반도체 다이 - 반도체 다이는 제 1 전력 증폭기 및 제 2 전력 증폭기를 포함하고, 제 1 및 제 2 증폭기는 입력 신호를 수신하고 제 1 증폭 신호 및 제 2 증폭 신호를 생성하도록 배열됨 - ; 및 반도체 다이에 연결된 PCB 기판 - PCB 기판은 복수의 전력 증폭기에 연결되고, 제 1 증폭된 신호 및 제 2 증폭된 신호를 결합하여 송신을 위한 단일의 결합된 신호를 생성하도록 배열된 RF 결합기 네트워크를 포함하고, 반도체 다이는 제 1 전력 증폭기 및 제 2 전력 증폭기를 제 1 전송 선로 및 제 2 전송 선로에 각각 연결하여 단일의 결합된 신호를 생성하는 복수의 솔더 볼을 포함함 - 을 포함하는 장치이다.
예 327에서, 예 326의 청구 대상은 선택적으로 제 1 전력 증폭기, 제 2 전력 증폭기 및 RF 결합기 네트워크가 도허티 증폭기(Doherty amplifier)를 포함하는 것을 포함한다.
예 328에서, 예 326-327 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전력 증폭기가 캐리어 전력 증폭기이고, 제 2 전력 증폭기가 피킹 전력 증폭기인 것을 포함한다.
예 329에서, 예 328의 청구 대상은 선택적으로 RF 결합기 네트워크가 캐리어 전력 증폭기에 연결된 제 1 오프셋 전송 선로; 피킹 전력 증폭기에 연결된 제 2 오프셋 전송 선로; 및 PCB 상의 안테나에 연결된 적어도 하나의 4분의 1 파장 전송 선로를 포함하고, 적어도 하나의 4분의 1 파장 전송 선로가 안테나에 의해 송신하기 위한 단일의 결합된 신호를 수신하도록 배열되는 것을 포함한다.
예 330은 미리 결정된 개수의 안테나의 동작을 위해 주로 전자 신호만을 발생하도록 동작 가능한 전자 회로를 포함하도록 배열된 적어도 하나의 실리콘 다이; 복수의 평행한 층을 포함하는 층류 기판(laminar substrate) - 적어도 하나의 실리콘 다이는 층류 기판 내에 매립됨 -; 층류 기판의 제 1 층 상에 또는 제 1 층 내에 배열되거나, 또는 층류 기판의 제 1 층 및 제 2 층 둘 모두에 또는 둘 모두 내에 배열되는 전자 신호와 함께 단독으로 동작하도록 배열된 미리 결정된 개수의 안테나; 및 적어도 하나의 실리콘 다이와 미리 결정된 개수의 안테나 사이에 연결되고, 전자 신호를 미리 결정된 개수의 안테나에 급전하도록 배열된 전도성 신호 피드 구조를 포함하는 저손실 무선 서브시스템이다.
예 331에서, 예 330의 청구 대상은 선택적으로 적어도 하나의 임베디드 실리콘 다이가 복수의 임베디드 실리콘 다이를 포함하고, 미리 결정된 개수의 안테나가 복수의 각각의 미리 결정된 개수의 안테나를 포함하고, 전도성 신호 피드 구조는 복수의 임베디드 실리콘 다이 중 각각의 실리콘 다이 및 복수의 각각의 미리 결정된 개수의 안테나 중 각각의 안테나에 연결된 복수의 신호 피드 트레이스를 포함하는 것을 포함한다.
예 332에서, 예 330-331 중 어느 하나 이상의 예의 청구 대상은 선택적으로 층류 구조가 적어도 하나의 임베디드 실리콘 다이를 각각 둘러싸는 복수의 조밀하게 패킹된 콘택을 포함하고, 적어도 하나의 임베디드 실리콘 다이에 대해 무선 주파수 간섭(RFI) 및 전자기 간섭(EMI) 실드를 제공하도록 배열된 것을 포함한다.
예 333에서, 예 332의 청구 대상은 선택적으로 적어도 하나의 임베디드 실리콘 다이가 복수의 임베디드 실리콘 다이를 포함하고, 층류 구조가 복수의 임베디드 실리콘 다이의 각각의 실리콘 다이를 각각 둘러싸는 복수의 조밀하게 패킹된 콘택을 포함하고, 복수의 임베디드 실리콘 다이의 각각의 실리콘 다이에 대해 각각의 RFI 및 EMI 실드를 제공하도록 배열되는 것을 포함한다.
예 334에서, 예 331-333 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 임베디드 실리콘 다이가 서로 연결되고, 중앙 처리 유닛에 의해 실행되는 복수의 소프트웨어 명령어에 의해 제어되도록 배열되는 것을 포함한다.
예 335에서, 예 331-334 중 어느 하나 이상의 예의 청구 대상은 선택적으로 층류 기판이 제 2 복수의 제 2 각각의 미리 결정된 개수의 제 2 안테나를 포함하는 제 2 층류 기판 상에 적층되어 물리적 연결되고, 제 2 층류 기판이 제 2 복수의 제 2 각각의 미리 결정된 개수의 안테나의 동작을 위한 주로 전자 신호만을 발생하도록 동작 가능한 전자 회로를 포함하도록 각각 배열된 제 2 복수의 임베디드 실리콘 다이와, 제 2 복수의 제 2 각각의 미리 결정된 개수의 제 2 안테나의 안테나에 연결된 복수의 피드 트레이스를 포함하는 것을 포함한다.
예 336에서, 예 335의 청구 대상은 선택적으로 층류 기판이 제 2 층류 기판에 평행하거나 제 2 층류 기판에 수직인 것을 포함한다.
예 337에서, 예 335-336 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 복수의 임베디드 다이가 제 1 주파수 범위에서 신호를 생성하고, 제 2 복수의 임베디드 다이가 제 2 주파수 범위에서 신호를 생성하는 것을 포함한다.
예 338은, 복수의 평행한 층을 포함하는 층류 기판; 층류 기판 내에 임베디드 실리콘 다이; 제 1 패치 및 제 2 패치를 포함하는 이중 패치 안테나 - 제 1 패치는 층류 기판의 복수의 층 중 제 1 층 상에 또는 제 1 층 내에 또는, 제 1 층에 연결된 표면 실장 디바이스(surface mounted device)(SMD)에 또는 SMD 내에 배열되고, 제 2 패치는 층류 기판의 복수의 층 중 제 2 층 상에 또는 제 2 층 내에 배열되고 실리콘 다이에 연결됨 - ; 및 복수의 평행한 층 중 제 3 층에 배열된 접지 평면 - 제 1 패치와 제 2 패치 사이의 거리 및 제 2 패치와 접지 평면 사이의 거리는 원하는 대역폭을 제공하도록 선택됨 - 을 포함하는 몰딩되지 않은 무선 서브시스템이다.
예 339에서, 예 338의 청구 대상은 선택적으로 층류 기판이 제 2 복수의 평행한 층을 포함하는 제 2 층류 기판 상에 적층되어 물리적 연결되고, 제 2 층류 기판이 하나 이상의 비아에 의해 임베디드 실리콘 다이에 연결된 복수의 안테나를 포함하고, 제 2 복수의 안테나가 안테나 배열로서 배열되는 것을 포함한다.
예 340에서, 예 339의 청구 대상은 선택적으로 층류 기판이 제 2 층류 기판에 평행하거나 제 2 층류 기판에 수직인 것을 포함한다.
예 341에서, 예 339-340 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나가 제 1 안테나 요소 및 제 2 안테나 요소를 포함하고, 제 1 안테나 요소가 층류 기판 상에 또는 층류 기판 내에 배열되고, 제 2 안테나 요소가 제 2 층류 기판 상에 또는 층류 기판 내에 배열되는 것을 포함한다.
예 342에서, 예 338-341 중 어느 하나 이상의 예의 청구 대상은 선택적으로 연성 상호연결부(flex interconnect)가 층류 기판을 복수의 안테나를 포함하는 제 3 층류 기판에 연결하는 것을 포함한다.
예 343에서, 예 342의 청구 대상은 선택적으로 연성 상호연결부가 땜납 또는 크림핑(crimping)에 의해 층류 기판에 연결되는 것을 포함한다.
예 344는 제 1 PCB를 포함하는 제 1 기판; 몰드를 포함하는 제 2 기판; 및 제 2 PCB를 포함하는 제 3 기판 - 제 2 기판은 스루-몰드-비아(through-mold-via) 및 전도성 재분배 층(conductive re3distribution layer)(RDL)에 의해 복수의 안테나 배열에 연결된 하나 이상의 임베디드 실리콘 다이를 포함하며, 제 2 기판의 구성요소 부분이 별개로 구성되어 함께 납땜되고, 몰드가 제 2 기판의 구성요소 부분이 함께 납땜된 후에 플로우 프로세스(flow process)에 의해 도포됨 - 을 포함하는 무선 서브시스템이다.
예 345에서, 예 344의 청구 대상은 선택적으로 RDL이 제 1 기판 또는 제 3 기판 내에 또는 제 1 기판 및 제 3 기판 내에도 또한 있는 것을 포함한다.
예 346에서, 예 344-345 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RDL이 제 2 기판 내에 단독으로 있는 것을 포함한다.
예 347에서, 예 344-346 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RDL이 땜납 볼, 랜드 그리드 어레이(LGA) 패드 또는 볼 그리드 어레이(BGA) 패드를 포함하는 것을 포함한다.
예 348에서, 예 344-347 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 기판의 구성요소 부분이 스루-몰드 비아 및 RDL을 포함하는 것을 포함한다.
예 349에서, 예 344-348 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스루-몰드 비아가 단일 포스트를 포함하는 것을 포함한다.
예 350에서, 예 344-349 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판, 제 2 기판 및 제 3 기판이 적층되어 서로 물리적으로 연결되기 전에 하나 이상의 실리콘 다이가 제 2 기판에서 테스트되는 것을 포함한다.
예 351에서, 예 344-350 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판 또는 제 3 기판이 솔더 볼에 의해 사용자 디바이스의 마더보드에 납땜되고, 사용자 디바이스는 폰, 태블릿 또는 다른 이동 디바이스를 포함하는 것을 포함한다.
예 352는 제 1 기판; 적어도 하나의 임베디드 실리콘 다이를 포함하는 제 2 기판; 제 3 기판; 제 1 기판에 전기적으로 연결된 SMD; 및 제 1 기판 내에 부분적으로 배열되고, SMD 내에 부분적으로 배열되며 적어도 하나의 임베디드 다이에 연결된 전도성 층에 의해 급전되는 섹션을 갖는 안테나 요소를 포함하는 무선 서브시스템이다.
예 353에서, 예 352의 청구 대상은 임의로, 안테나 요소가 또한 제 2 기판 및 제 3 기판 내에 부분적으로 배열되고, 안테나 요소가 적어도 하나의 임베디드 다이에 연결된 제 2 전도성 층에 의해 제공되는 것을 포함한다.
예 354에서, 예 352 내지353 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소가 스루-몰드 비아를 포함하는 것을 포함한다.
예 355에서, 예 352 내지354 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SMD가 두 개의 평행한 측면을 갖고, 안테나 요소의 섹션이 평행한 측면 중 하나에 배치되는 것을 포함한다.
예 356에서, 예 352 내지355 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SMD 내에 배열된 안테나 요소의 섹션이 제 1 기판, 제 2 기판 및 제 3 기판 내에 배열된 안테나 요소의 섹션보다 짧은 것을 포함한다.
예 357에서, 예 352 내지356 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SMD의 평행한 측면 중 하나에 배치된 안테나 요소의 섹션이 SMD 내에 배열된 안테나 요소의 섹션 또는 제 1 기판 내에 배열된 안테나 요소의 섹션 중 어느 하나보다 짧은 것을 포함한다.
예 358에서, 예 352-357 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 SMD가 제 1 기판 상에 적층되어 물리적으로 연결된 안테나 보드 상에 또는 안테나 보드 내에 배치되고, 안테나 보드가 안테나 요소의 섹션을 포함하는 것을 포함한다.
예 359는 적어도 하나의 임베디드 다이를 포함하는 제 1 기판; 제 1 기판 상에 배열된 제 1 복수의 안테나; 적어도 하나의 임베디드 다이를 제 1 복수의 안테나와 연결하는 복수의 전도성 재분배 층(RDL); 및 제 2 복수의 안테나를 포함하는 안테나 보드 - 안테나 보드는 제 1 기판에 적층되고 제 1 기판에 물리적으로 연결되며, RDL은 솔더 콘택에 의해 적어도 하나의 임베디드 다이를 제 2 복수의 안테나와 연결함 - 을 포함하는 패키지-온-패키지 무선 서브시스템이다.
예 360에서, 예 359의 청구 대상은 선택적으로 RDL이 전도성 수평 층을 포함하는 것을 포함한다.
예 361에서, 예 359-360 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RDL이 적어도 일부가 적어도 하나의 임베디드 다이에 인쇄되는 것을 포함한다.
예 362에서, 예 359-361 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 임베디드 다이가 몰드에 의해 캡슐화되는 것을 포함한다.
예 363은 병렬 전도체의 제 1 층; 제 1 층에 배치된 제 1 복수의 안테나 요소; 및 몰드 캡슐레이트(mold encapsulate), 복수의 스루-몰드 비아, 적어도 하나의 임베디드 다이, 및 복수의 전도성 재분배 층을 포함하는 제 2 층 - 적어도 하나의 임베디드 다이는 복수의 전도성 재분배 층 중 하나 이상 및 평행한 전도체 중 하나 이상에 의해 제 1 복수의 안테나 중 적어도 하나에 연결됨 - 을 포함하는 몰딩된 패키지-온 패키지 무선 서브시스템(molded package-on package radio sub-system)이다.
예 364에서, 예 363의 청구 대상은 선택적으로 무선 주파수 신호를 패키지 온 패키지 구성으로 송신하기 위한 커넥터를 포함하고, 커넥터는 제 1 층의 리세스 내에 배치되는 것을 포함한다.
예 365에서, 예 363-364 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 임베디드 다이는 몰딩된 캡슐레이트 내에 배열된 금속성 실드에 의해 차폐되고, 금속성 실드가 몰드 캡술레이트를 제 2 층 내로 도입하기 전에 제 2 층 내에서 납땜되는 것을 포함한다.
예 366은 평행한 전도성 층을 포함하는 코어리스 기판; 코어리스 기판에 배열된 적어도 하나의 반도체 다이; 및 코어리스 기판으로부터 측 방향으로 위치된 복수의 안테나를 포함하는 초박 무선 서브시스템(ultra-thin radio sub-system)이고, 복수의 안테나의 볼륨은 코어리스 기판의 볼륨보다 크다.
예 367에서, 예 366의 청구 대상은 선택적으로 복수의 안테나가 평행한 전도성 층 중 하나에 의해 급전되는 안테나 배열을 포함하는 것을 포함한다.
예 368에서, 본 청구 대상은 선택적으로 예 330 내지 예 367 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 330 내지 예 367 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 330 내지 예 367 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 369는 다층 PCB 기판의 제 1 기판 층에 배치된 복수의 링 공진기; PCB 기판의 제 2 기판 층에 배차된 적어도 다른 링 공진기; 및 PCB 기판의 제 3 기판 층에 배치된 안테나 피드 - 안테나 피드는 적어도 하나의 다른 링 공진기에 전기적으로 연결되고, 복수의 링 공진기는 서로 및 적어도 하나의 다른 링 공진기에 용량적으로 결합됨 - 를 포함하는 다층의 적층된 링 공진기(stacked ring resonator)(SRR)이다.
예 370에서, 예 369의 청구 대상은 선택적으로 PCB 기판의 제 4 기판 층에 배열된 안테나 접지 평면을 포함하고, 제 4 기판 층은 제 3 기판 층에 인접하며, 안테나 접지 평면은 복수의 링 공진기 및 적어도 하나의 다른 링 공진기에 용량적으로 연결된다.
예 371에서, 예 370의 청구 대상은 선택적으로 제 1 기판 층 및 제 2 기판 층이 제 1 절연 기판 층에 의해 분리되고, 제 3 및 제 4 기판 층이 제 2 기판 층으로부터 제 2 절연 기판 층에 의해 분리되는 것을 포함한다.
예 372에서, 예 369-371 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판 층 및 제 2 기판 층에 배치된 복수의 다이폴(dipole)을 포함하는 것을 포함한다.
예 373에서, 예 372의 청구 대상은 선택적으로 복수의 다이폴이 SRR 안테나 디바이스의 전기장에 직교하게 배열된 비공진 다이폴을 포함하는 것을 포함한다.
예 374에서, 예 372-376 중 어느 하나 이상의 예의 청구 대상은 선택적으로 다층 PCB 기판의 기판 휘어짐을 감소시키기 위해 복수의 다이폴이 SRR 안테나 디바이스의 금속 밀도를 증가시키는 것을 포함한다.
예 375에서, 예 369-374 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB 기판의 하나 이상의 추가의 기판 층 내에 배치된 임피던스 변환기를 포함하고, 임피던스 변환기는 하나 이상의 추가의 기판 층을 통해 안테나 피드 및 집적 회로에 연결된 것을 포함한다.
예 376에서, 예 375의 청구 대상은 선택적으로 임피던스 변환기가 복수의 비아를 포함하는 동축 임피던스 변환기이고, 복수의 비아 중 적어도 하나가 집적 회로를 하나 이상의 추가의 기판을 통해 안테나 피드에 결합하는 것을 포함한다.
예 377에서, 예 375-376 중 어느 하나 이상의 예의 청구 대상은 선택적으로 임피던스 변환기가 집적 회로와 안테나 피드 사이의 신호 경로의 임피던스를 하나 이상의 공진 주파수와 매칭하도록 배열된 것을 포함한다.
예 378에서, 예 375-377 중 어느 하나 이상의 예의 청구 대상은 선택적으로 집적 회로가 복수의 링 공진기에 대향하는 적어도 하나의 추가의 기판 층의 외부 표면에 배치되는 것을 포함한다.
예 379에서, 예 369-378 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 피드가 제 2 기판상의 적어도 하나의 다른 링 공진기의 하나 이상의 안테나 포트에 연결된 복수의 피드 라인을 포함하는 것을 포함한다.
예 380에서, 예 379의 청구 대상은 선택적으로 다른 링 공진기가 수직 편파 신호 및 수평 편파 신호 중 하나 또는 둘 모두를 복수의 피드 라인을 통해 수신하도록 배열되는 것을 포함한다.
예 381에서, 예 379-380 중 어느 하나 이상의 예의 청구 대상은 선택적으로 Ω 스트립라인을 포함하는 것을 포함한다.
예 382에서, 예 379-381 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 피드 라인의 각각이 차폐를 형성하는 복수의 비아를 더 포함하는 것을 포함한다.
예 383에서, 예 379-382 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 접지 비아에 의해 차폐된 Ω 스트립라인을 포함하는 것을 포함한다.
예 384는 다층 PCB 기판 상에 적층된 링 공진기(SRR) 안테나; 및 SRR 안테나를 통해 무선 신호를 송신 또는 수신하도록 배열된 무선 송수신기 집적 회로 - SRR 안테나는 PCB 기판의 제 1 기판 층에 배치된 복수의 링 공진기; PCB 기판의 제 2 기판 층에 배치된 적어도 하나의 다른 링 공진기; PCB 기판의 제 3 기판 층에 배치된 안테나 접지 평면; 및 PCB 기판의 제 4 기판 층에 배치된 안테나 피드를 포함하고, 제 4 기판 층은 제 3 기판 층에 인접하며, 안테나 접지 평면은 복수의 링 공진기 및 적어도 하나의 다른 링 공진기에 용량성으로 연결되고, 안테나 피드는 하나 이상의 안테나 공급 포트에서 적어도 다른 링 공진기에 갈바닉 방식으로 연결된 25 Ω 스트립라인 임 - 을 포함하는 고주파 신호를 통신 및/또는 처리하기 위한 무선 통신 디바이스이다.
예 385에서, 예 384의 청구 대상은 선택적으로 복수의 링 공진기가 서로 및 적어도 하나의 다른 링 공진기에 용량성으로 연결된 두 개의 링 공진기를 포함하는 것을 포함한다.
예 386에서, 예 384-385 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 링 공진기가 서로 및 적어도 하나의 다른 링 공진기에 용량성으로 연결된 네 개의 링 공진기를 포함하는 것을 포함한다.
예 387에서, 예 384-386 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SRR 안테나가 PCB 기판의 하나 이상의 추가의 기판 층 내에 배치된 임피던스 변환기를 더 포함하고, 임피던스 변환기가 하나 이상의 추가의 기판 층을 집적 회로 및 안테나에 연결된 것을 포함한다.
예 388에서, 예 387의 청구 대상은 선택적으로 임피던스 변환기가 복수의 비아를 포함하는 동축 임피던스 변환기이고, 복수의 비아 중 적어도 하나가 집적 회로를 하나 이상의 추가의 기판을 통해 안테나 피드에 연결하는 것을 포함한다.
예 389에서, 예 387-388 중 어느 하나 이상의 예의 청구 대상은 선택적으로 임피던스 변환기가 집적 회로와 안테나 피드 사이의 신호 경로의 임피던스를 하나 이상의 공진 주파수에 매칭하도록 배열된 것을 포함한다.
예 390에서, 예 387-389 중 어느 하나 이상의 예의 청구 대상은 선택적으로 집적 회로가 복수의 링 공진기에 대향하는 적어도 하나의 부가적인 기판 층의 외부 표면에 배치되는 것을 포함한다.
예 391은 도파관; PCB 기판 - PCB 기판은 도파관을 이용하여 무선 신호를 송신 또는 수신하도록 배열된 전송 선로; 및 전송 선로에 연결되고 무선 신호의 송신 또는 수신을 조작하도록 배열된 피드 프로브를 포함함 - ; 및 PCB를 도파관에 연결하는 도파관 어댑터를 포함하고, 도파관은 무선 신호를 송신 또는 수신하기 위한 안테나로서 작용하는 개방 단부를 포함하며, 피드 프로브는 PCB 기판 및 전송 선로를 통해 도금된 적어도 하나의 비아를 포함하는 디바이스이다.
예 392에서, 예 391의 청구 대상은 선택적으로 전송 선로가 PCB 기판상의 RF 서브시스템과 도파관 사이에서 무선 신호를 전달하도록 배열되는 것을 포함한다.
예 393에서, 예 392의 청구 대상은 선택적으로 전송 선로가 PCB 기판의 하나 이상의 층에 배치되는 것을 포함한다.
예 394에서, 예 392-393 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 선로가 접지가 있는(ground-backed) 동평면 도파관(coplanar waveguide)(CPW) 전송 선로인 것을 포함한다.
예 395에서, 예 391-394 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도파관 어댑터가 도파관 어댑터가 PCB 및 도파관에 장착될 때 도파관과 PCB 기판 사이에 형성된 임피던스 매칭 공기 캐버티를 포함하는 것을 포함한다.
예 396에서, 예 391-395 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB 기판이 상부 접지 층 및 하부 접지 층을 포함하고, 상부 접지 층 및 하부 접지 층은 전송 선로의 접지 층에 연결되는 것을 포함한다.
예 397에서, 예 396의 청구 대상은 선택적으로 접지 비아 펜스(ground via fences)를 형성하는 복수의 접지 비아를 포함하고, 접지 비아 펜스가 상부 접지 층 및 하부 접지 층을 결합된 것을 포함한다.
예 398에서, 예 397의 청구 대상은 선택적으로 도파관이 금속 코팅을 갖는 절연체를 포함하고, 코팅은 도파관 어댑터가 도파관 및 PCB 에 장착될 때 도파관 어댑터 및 접지 비아 펜스와 전기적으로 연결된 것을 포함한다.
예 399에서, 예 391-398 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도파관 어댑터가 PCB 기판 및 도파관에 장착될 때 PCB 기판이 도파관을 수용하기 위한 절개부를 포함하는 것을 선택적으로 포함된다.
예 400에서, 예 392-399 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도파관 어댑터가 PCB 기판 및 도파관에 장착될 때 전송 선로를 수용하기 위한 개구를 더 포함하는 것을 포함한다.
예 401에서, 예 391-400 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도파관이 PCB와 연관된 유전 상수와 상이한 유전 상수를 갖는 금속 코팅된 유전체 물질을 포함하는 것을 포함한다.
예 402에서, 예 391-401 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB가 장착 수단을 수용하기 위한 적어도 하나의 개구를 포함하고, 장착 수단이 PCB에 도파관 어댑터를 부착하기 위한 수단인 것을 포함한다.
예 403에서, 예 392 내지402 중 어느 하나 이상의 예의 청구 대상은 선택적으로 임피던스 매칭 공기 캐버티의 폭이 전송 선로와 도파관 사이의 신호 반사의 정도를 구성하도록 조정 가능한 것을 포함한다.
예 404는 도파관; PCB 기판 상의 전송 선로 - 전송 선로는 RF 서브시스템과 도파관 사이에서 무선 신호를 전달하도록 배열됨 - ; PCB 기판을 도파관에 연결하는 어댑터; 및 전송 선로에 연결되고 도파관을 통해 무선 신호의 송신 또는 수신을 조작하도록 배열된 피드 프로브 - 전송 선로는 접지 플레인 층 및 PCB 기판을 통해 도금된 복수의 접지 비아를 통해 PCB 기판의 접지 평면 층에 연결됨 - 를 포함하는 안테나 시스템이다.
예 405에서, 예 404의 청구 대상은 선택적으로 어댑터가 PCB 기판 및 도파관에 장착될 때 도파관과 PCB 기판 사이에 형성된 임피던스 매칭 공기 캐버티를 포함하는 것을 포함한다.
예 406에서, 예 404-405 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 선로가 접지가 있는 동평면 도파관(CPW) 전송 선로인 것을 포함한다.
예 407에서, 예 404-406 중 어느 하나 이상의 예의 청구 대상은 선택적으로 피드 프로브가 PCB 기판 및 전송 선로를 통해 도금된 하나 이상의 PCB 비아를 포함하는 것을 포함한다.
예 408에서, 예 404-407 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB 기판이 상부 접지 층 및 하부 접지 층을 포함하고, 상부 접지 층 및 하부 접지 층이 접지 비아 펜스를 사용하여 전송 선로에 연결되는 것을 포함한다.
예 409에서, 예 408의 청구 대상은 선택적으로 도파관이 금속 코팅을 갖는 절연체를 포함하고, 금속 코팅이 도파관 어댑터가 도파관 및 PCB 에 장착될 때 도파관 어댑터 및 접지 비아 펜스와 전기적으로 연결된 것을 포함한다.
예 410에서, 예 404-409 중 어느 하나 이상의 예의 청구 대상은 선택적으로 어댑터가 PCB 기판 및 도파관에 장착될 때 PCB 기판이 도파관을 수용하기 위한 절개부를 포함하는 것을 포함한다.
예 411에서, 예 404-410 중 어느 하나 이상의 예의 청구 대상은 선택적으로 어댑터가 PCB 기판 및 도파관에 장착될 때 어댑터가 전송 선로를 수용하기 위한 개구를 더 포함하는 것을 포함한다.
예 412는 제 1 다이폴 안테나; 및 제 2 다이폴 안테나 - 제 1 다이폴 안테나 및 제 2 다이폴 안테나 각각은 각각의 평면 아암을 가지며, 제 1 및 제 2 다이폴은 각각은 각각의 평면 아암에 실질적으로 수직인 아암을 가지며, 각각의 다이폴은 각각의 평면 아암에 대해 45 도 기울어진 선형 편파를 생성하도록 배열됨 - 를 포함하는 이중 편파 안테나이다.
예 413에서, 예 412의 청구 대상은 선택적으로 제 1 다이폴 및 제 2 다이폴의 각각의 아암이 제 1 다이폴 및 제 2 다이폴에 의해 공유되는 공통 아암인 것을 포함한다.
예 414에서, 예 412-413 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 안테나 및 제 2 다이폴 안테나가 다층 기판 상에 구현되고, 홀이 평면 아암에 있고, 홀은 기판 파를 줄이기 위해 다층 기판에 적어도 부분인 방식으로 연장되는 것을 포함한다.
예 415에서, 예 412-414 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 안테나 및 제 2 다이폴 안테나가 다층 기판 상에 구현되고 홀이 제 1 다이폴과 제 2 다이폴의 평면 아암에 인접하되 이를 통과하지 않는 PCB에 있고, 홀이 기판 파를 줄이기 위해 다층 기판에 적어도 부분적인 방식으로 연장되는 것을 포함한다.
예 416에서, 예 412-415 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 및 제 2 다이폴이 나란히 배치된 접힌 다이폴(folded dipoles)인 것을 포함한다.
예 417에서, 예 416의 청구 대상은 선택적으로 제 1 다이폴과 제 2 다이폴이 직교 편파된 안테나 쌍을 포함하는 것을 포함한다.
예 418에서, 예 417의 청구 대상은 선택적으로 편파가 PCB에 수직인 것을 포함한다.
예 419는 복수의 직교 편파된 안테나 요소를 포함하는 이중 편파된 안테나 배열이고, 복수의 직교 편파된 안테나 요소 각각은 제 1 다이폴 안테나; 및 제 2 다이폴 안테나를 포함하고, 제 1 다이폴 안테나 및 제 2 다이폴 안테나 각각은 각각의 평면 아암을 가지며, 제 1 및 제 2 다이폴 각각은 각각의 평면 아암에 실질적으로 수직인 아암을 가지며, 각각의 다이폴은 각각의 평면 아암에 대해 45 도 기울어진 선형 편파를 생성하도록 배열된다.
예 420에서, 예 419의 청구 대상은 선택적으로 제 1 다이폴 및 제 2 다이폴의 각각의 아암이 제 1 다이폴 및 제 2 다이폴에 의해 공유되는 공통 아암인 것을 포함한다.
예 421에서, 예 419-420 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 안테나 및 제 2 다이폴 안테나가 다층 기판 상에 구현되고, 홀이 평면 아암에 있고, 홀은 기판 파를 줄이기 위해 다층 기판에 적어도 부분적인 방식으로 연장하는 것을 포함한다.
예 422에서, 예 419-411 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 안테나 및 제 2 다이폴 안테나가 다층 기판 상에 구현되고, 홀이 제 1 다이폴과 제 2 다이폴의 평면 아암에 인접하되 이를 통과하지 않는 PCB에 있고, 홀이 기판 파를 줄이기 위해 다층 기판에 적어도 부분적인 방식으로 연장되는 것을 포함한다.
예 423에서, 예 419-422 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 다이폴 및 제 2 다이폴이 나란히 배치된 접힌 다이폴인 것을 포함한다.
예 424에서, 예 423의 청구 대상은 선택적으로 제 1 다이폴과 제 2 다이폴이 직교 편파된 안테나 쌍을 포함하는 것을 포함한다.
예 425에서, 예 423-424 중 어느 하나 이상의 예의 청구 대상은 선택적으로 편파가 수직인 것을 포함한다.
예 426에서, 본 청구 대상은 선택적으로 예 412 내지 예 425 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 412 내지 예 425 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 412 내지 예 425 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 427은 제 1 기판 내의 임베디드 다이; 제 1 기판에 배열되어 다이에 연결되는 적어도 하나의 제 1 안테나; 제 1 기판에 연결된 표면 실장 디바이스 - 표면 실장 디바이스는 적어도 하나의 제 2 안테나를 포함함 - ; 및 캐버티를 포함하는 제 2 기판 - 표면 실장 디바이스가 캐버티에 의해 덮이도록 제 2 기판이 제 1 기판에 연결됨 - 을 포함하는 무선 서브시스템이다.
예 428에서, 예 427의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나가 제 1 안테나 배열로서 배열된 복수의 안테나를 포함하는 것을 포함한다.
예 429에서, 예 427-428 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 2 안테나가 제 2 안테나 배열로서 배열된 복수의 제 2 안테나를 포함하는 것을 포함한다.
예 430에서, 예 427-429 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 제 1 안테나가 제 1 안테나 배열로서 배열된 복수의 안테나를 포함하고, 적어도 하나의 제 2 안테나가 제 2 안테나 배열로서 배열된 복수의 제 2 안테나를 포함하고, 제 1 안테나 배열이 제 1 주파수 대역에서 동작하도록 배열되고, 제 2 안테나 배열이 제 2 주파수 대역에서 동작하도록 배열되는 것을 포함한다.
예 431에서, 예 430의 청구 대상은 선택적으로 제 1 주파수 대역이 밀리미터파 주파수이고, 제 2 주파수 대역이 WiGig 주파수인 것을 포함한다.
예 432에서, 예 427-431 중 어느 하나 이상의 예의 청구 대상은 선택적으로 표면 실장 디바이스가 기계적 연결부 또는 전기적 연결부인 솔더 연결부에 의해 제 1 기판에 연결되는 것을 포함한다.
예 433에서, 예 427-432 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판이 기계적 연결부 또는 전기적 연결부 솔더 연결부에 의해 제 2 기판에 연결되는 것을 포함한다.
예 434에서, 예 427-433 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판 또는 제 2 기판이 연성 상호연결부를 통해 제 3 기판에 연결되고, 제 3 기판은 안테나 배열로서 배열된 복수의 제 3 안테나에 연결된 제 2 다이를 포함하는 것을 포함한다.
예 435에서, 예 434의 청구 대상은 선택적으로 복수의 제 3 안테나가 밀리미터파 주파수에서 동작하도록 배열된 제 3 안테나 배열을 포함하는 것을 포함한다.
예 436에서, 예 434-435 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 기판이 제 3 안테나 배열에 의해 송신 또는 수신될 무선 신호를 수신하도록 배열된 커넥터에 연결되는 것을 포함한다.
예 437은 송수신기로서 배열된 다이 - 다이는 제 1 기판의 제 1 층에 납땜된 제 1 안테나 배열을 포함하는 제 1 기판 내에 매립됨 -; 제 1 기판의 제 2 층에 납땜된 제 2 안테나 배열 - 제 2 층은 제 1 층에 평행함 -; 및 캐버티를 포함하는 제 2 기판 - 제 2 기판은 제 2 안테나 배열이 캐버티에 의해 덮이도록 제 1 기판에 연결됨 - 을 포함하는 이동 디바이스이다.
예 438에서, 예 437의 청구 대상은 선택적으로 제 1 안테나 배열이 제 1 주파수 대역에서 동작하도록 배열되고, 제 2 안테나 배열이 제 2 주파수 대역에서 동작하도록 배열되는 것을 포함한다.
예 439에서, 예 438의 청구 대상은 선택적으로 제 1 주파수 대역이 밀리미터파 주파수이고, 제 2 주파수 대역이 WiGig 주파수인 것을 포함한다.
예 440에서, 예 437-439 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판이 기계적 연결부 또는 전기적 연결부인 솔더 연결부에 의해 제 2 기판에 연결되는 것을 포함한다.
예 441에서, 예 437-440 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 기판 또는 제 2 기판이 연성 상호연결부를 통해 제 3 기판에 연결되고, 제 3 기판이 송수신기로서 배열되고 제 3 안테나 배열에 연결된 제 2 다이를 포함하는 것을 포함한다.
예 442에서, 예 441의 청구 대상은 선택적으로 제 3 기판이 제 3 안테나 배열에 의해 송신 또는 수신될 무선 신호를 수신하도록 배열된 커넥터에 연결되는 것을 포함한다.
예 443에서, 예 437-442 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 기판이 제 4 안테나 배열에 연결된 송수신기로서 배열된 제 3 다이를 포함하는 제 4 기판에 연결되고, 제 2 기판 및 제 4 기판이 서로 평행하게 되는 구성으로 제 2 기판 및 제 4 기판이 연결되는 것을 포함한다.
예 444에서, 본 청구 대상은 선택적으로 예 427 내지 예443 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 427 내지 예443 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 427 내지 예443 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 445는 복수의 평행한 층을 포함하는 PCB; 및 도파관을 포함하는 안테나 요소이고, 도파관은 PCB의 제 1 전도성 층에 부착된 유전체, 도금된 표면 구성요소 내의 모노폴 안테나 - 모노폴 안테나는 PCB의 제 1 전도성 층에 수직임 - 와, 도금된 표면 구성요소의 일부에 부착되거나 그 일부를 형성하는 도금되지 않은 유전체 구성요소를 포함하고, 도금된 표면 구성 요소 및 도금되지 않은 유전체 구성요소는 미리 결정된 형상을 갖고, 도금되지 않은 유전체 구성요소는 도파관으로부터 공기로의 임피던스 매칭을 제공한다.
예 446에서, 예 445의 청구 대상은 선택적으로 모노폴 안테나가 PCB의 제 2 층에 부착된 비아를 포함하는 것을 포함한다.
예 447에서, 예 445-446 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모노폴 안테나가 무선 주파수 집적 회로(RFIC)로부터의 전도성 트레이스에 의해 급전되는 것을 포함한다.
예 448에서, 예 445-447 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB가 에지 표면을 갖고, 도금되지 않은 유전체 구성요소가 에지 표면을 넘어 연장되는 것을 포함한다.
예 449에서, 예 445-448 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모노폴 안테나가 에지-파이어 방식으로 방사하도록 배열되는 것을 포함한다.
예 450에서, 예 445-449 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도금되지 않은 요소가 도파관으로부터 공기로의 임피던스 매칭을 제공하는 튜닝 요소로서 기능하는 것을 포함한다.
예 451에서, 예 445-450 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도금된 표면 구성요소가 PCB의 제 1 전도성 층에 납땜되는 것을 포함한다.
예 452에서, 예 445-451 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB가 미리 결정된 형상에 맞추어 절단되고, PCB가 미리 결정된 형상에 맞추기 위해 제 1 전도성 층을 통해 적어도 부분적으로 절단되고, 도금된 표면 구성요소 및 도금되지 않는 유전체가 컷(cut)에 의해 형성된 제 1 전도성 층의 에지에 부착되어 미리 결정된 형상에 끼워 맞춤(fitment)을 가능하게 하고, 모노폴 안테나가 도금된 표면 요소 내에 부분적으로 연장되는 제 1 모노폴 안테나이고, 도금된 표면 요소 내에 적어도 부분적으로 있고 제 1 모노폴 안테나에 수직인 제 2 모노폴 안테나를 포함하고, 제 1 모노폴 안테나가 제 1 편파로 방사하고, 제 2 모노폴 안테나가 제 1 편파에 수직인 제 2 편파로 방사하는 것을 포함한다.
예 453에서, 예 445-452 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도금된 표면 구성요소가 두 개의 평행한 요소를 갖는 샌드위치 구조를 포함하고, PCB가 두 개의 평행한 요소 사이에 배열되고, 모노폴 안테나가 도파관의 단부로부터 배열된 전송 선로에 의해 급전되는 것을 포함한다.
예 454는 복수의 층을 포함하는 PCB; 및 복수의 안테나 요소를 포함하는 안테나 배열이고, 각각의 안테나 요소는 PCB의 제 1 전도성 층에 부착된 도금된 표면 구성요소를 포함하는 도파관과, 도금된 표면 구성요소 내의 모노폴 안테나 - 모노폴 안테나는 PCB의 제 1 층에 평행한 층에 수직임 - 과, 도금된 표면 구성요소의 일부에 부착되거나 그 일부를 형성하는 도금되지 않은 유전체 구성요소를 포함하고, 도금된 표면 구성요소 및 도금되지 않은 유전체 구성요소는 미리 결정된 형상을 갖고, 도금되지 않은 유전체 구성요소는 도파관으로부터 공기로의 임피던스 매칭을 제공한다.
예 455에서, 예 454의 청구 대상은 선택적으로 PCB가 미리 결정된 형상에 맞추어 절단되고, PCB가 미리 결정된 형상에 적합하도록 제 1 전도성 층을 통해 적어도 부분적으로 절단되고, 도금된 표면 구성요소 및 도금되지 않은 표면 구성요소가 컷에 의해 형성된 제 1 전도성 층의 에지에 부착되어 미리 결정된 형상에 끼워 맞춤을 가능하게 하고, 모노폴 안테나가 도금된 표면 구성요소 내에서 부분적으로 연장하는 제 1 모노폴 안테나 및 도금된 표면 구성요소 내에 적어도 부분적으로 있고 제 1 모노폴 안테나에 수직인 제 2 모노폴 안테나이며, 제 1 모노폴 안테나가 제 1 편파로 방사하고, 제 2 모노폴 안테나가 제 1 편파에 수직인 제 2 편파로 방사하는 것을 포함한다.
예 456에서, 예 454-455 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도금된 표면 구성요소가 두 개의 평행한 요소를 갖는 샌드위치 구조를 포함하고, PCB가 두 개의 평행한 요소 사이에 배열되며, 모노폴 안테나가 도파관의 단부로부터 배열된 전송 선로에 의해 급전되는 것을 포함한다.
예 457은 복수의 병렬 층을 포함하는 PCB 상에 또는 PCB 내에 배열된 송수신기; 및 송수신기에 연결된 안테나 배열을 포함하는 무선 서브시스템이고, 안테나 배열은 복수의 안테나 요소를 포함하고, 각각의 안테나 요소는 PCB의 제 1 전도성 층에 부착된 도금된 표면 구성요소를 포함하는 도파관과, 도금된 표면 구성요소 내의 모노폴 안테나- 모노폴 안테나는 PCB의 제 1 층에 평행한 층에 수직임 - 과, 도금된 표면 구성요소의 일부에 부착되거나 그 일부를 형성하는 도금되지 않은 유전체 구성요소를 포함하고, 도금된 표면 구성요소 및 도금되지 않은 유전체 구성요소가 미리 결정된 형상을 갖고, 도금되지 않은 유전체 구성요소는 도파관으로부터 공기로의 임피던스 매칭을 제공한다.
예 458에서, 예 457의 청구 대상은 선택적으로 PCB가 미리 결정된 형상에 맞추어 절단되고, PCB가 미리 결정된 형상에 적합하도록 적어도 부분적으로 제 1 전도성 층을 통해 절단되고, 도금된 표면 구성요소 및 도금되지 않은 절연체가 컷에 의해 형성된 제 1 전도성 층의 에지에 부착되어 미리 결정된 형상에 끼워 맞춤을 가능하게 하고, 모노폴 안테나가 도금된 표면 구성요소 내에서 부분적으로 연장하는 제 1 모노폴 안테나 및 도금된 표면 구성요소 내에 적어도 부분적으로 있고 제 1 모노폴 안테나에 수직인 제 2 모노폴 안테나이며, 제 1 모노폴 안테나가 제 1 편파로 방사하고, 제 2 모노폴 안테나가 제 1 편파에 수직인 제 2 편파로 방사하는 것을 포함한다.
예 459에서, 예 457-458 중 어느 하나 이상의 예의 청구 대상은 선택적으로 도금된 표면 구성요소가 두 개의 평행한 요소를 갖는 샌드위치 구조를 포함하고, PCB가 두 개의 평행 요소 사이에 배열되고, 모노폴 안테나가 도파관의 단부로부터 배열된 전송 선로에 의해 급전되는 것을 포함한다.
예 460에서, 본 청구 대상은 선택적으로 예 445-459 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 445-459 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 445-459 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 461은 안테나 요소; 및 안테나 요소의 여기를 위해 각각 배열된 네 개의 안테나 포트를 포함하는 이중 편파된 차동 안테나 요소이고, 네 개의 포트 중 제 1 및 제 2 포트는 서로 대향하고, 네 개의 포트 중 제 1 및 제 2 포트는 각각 제 1 극성의 신호 및 제 1 극성의 역위상 신호에 의해 구동되도록 배열되고, 네 개의 포트 중 제 3 및 제 4 포트는 서로 대향하고 네 개의 포트의 제 1 및 제 2 포트에 직교하여 위치되고, 네 개의 포트 중 제 3 및 제 4 포트는 각각 제 2 극성의 신호 및 제 2 극성의 역위상 신호에 의해 구동되도록 배열된다.
예 462에서, 예 461의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트와 네 개의 포트 중 제 3 및 제 4 포트가 안테나 요소의 일부를 형성하는 것을 포함한다.
예 463에서, 예 461-462 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트와 4 개의 포트 중 제 3 및 제 4 포트가 각각 안테나 요소에 연결되는 것을 포함한다.
예 464에서, 예 461-463 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트 중 적어도 하나가 안테나 요소의 일부를 형성하는 것을 포함한다.
예 465에서, 예 461-464 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 3 및 제 4 포트 중 적어도 하나가 안테나 요소에 연결되는 것을 포함한다.
예 466은 복수의 안테나 요소; 서로 대향하는 제 1 포트 및 제 2 포트 - 제 1 극성의 신호 및 제 1 극성의 역위상 신호에 의해 각각 구동되도록 배열됨 -; 및 서로 대향하고 제 1 포트 및 제 2 포트에 직교하여 위치된 제 3 포트 및 제 4 포트 - 제 3 포트 및 제 4 포트는 각각 제 2 극성의 신호 및 제 2 극성의 역위상 신호에 의해 구동되도록 배열됨 - 를 포함하는 이중 편파된 차동 안테나이다.
예 467에서, 예 466의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트와 제 3 포트 및 제 4 포트의 각각은 안테나 요소의 일부를 형성하는 것을 포함한다.
예 468에서, 예 466-467 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트와 제 3 포트 및 제 4 포트가 각각 안테나 요소에 연결되는 것을 포함한다.
예 469에서, 예 466-468 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트 중 적어도 하나가 안테나 요소의 일부를 형성하는 것을 포함한다.
예 470에서, 예 466-469 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 포트 및 제 4 포트 중 적어도 하나가 안테나 요소에 연결되는 것을 포함한다.
예 471은 라미네이트 구조; 라미네이트 구조 상에 또는 라미네이트 구조 내에 각각 배열된 안테나 요소; 및 안테나 요소의 여기를 위해 라미네이트 구조 상에 또는 라미네이트 구조 내에 각각 배열된 네 개의 안테나 포트 - 네 개의 포트 중 제 1 및 제 2 포트는 서로 대향하고, 네 개의 포트 중 제 1 및 제 2 포트는 각각 제 1 극성의 신호 및 제 1 극성의 역위상 신호에 의해 구동되도록 배열되고, 네 개의 포트의 제 3 및 제 4 포트는 서로 대향하고 네 개의 포트 중 제 1 및 제 2 포트에 직교하게 위치되며, 네 개의 포트 중 제 3 및 제 4 포트는 각각 제 2 극성의 신호 및 제 2 극성의 역위상 신호에 의해 구동되도록 배열됨 - 를 포함하는 안테나 카드이다.
예 472에서, 예 471의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트와 네 개의 포트 중 제 3 및 제 4 포트의 각각이 안테나 요소의 일부를 형성하는 것을 포함한다.
예 473에서, 예 471-472 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트와 네 개의 포트 중 제 3 및 제 4 포트가 각각 안테나 요소에 연결되는 것을 포함한다.
예 474에서, 예 471-473 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 1 및 제 2 포트 중 적어도 하나가 안테나 요소의 일부를 형성하는 것을 포함한다.
예 475에서, 예 471-474 중 어느 하나 이상의 예의 청구 대상은 선택적으로 네 개의 포트 중 제 3 및 제 4 포트 중 적어도 하나가 안테나 요소에 연결되는 것을 포함한다.
예 476은 라미네이트 구조; 이중 편파된 차동 안테나 배열에 배열된 복수의 안테나 요소 - 안테나 요소 각각은 라미네이트 구조상에 또는 라미네이트 구조 내에 배열됨 - 을 포함하고, 서로 대향하는 제 1 포트 및 제 2 포트 - 제 1 포트 및 제 2 포트는 각각 제 1 극성의 신호 및 제 1 극성의 역위상 신호에 의해 구동되도록 배열됨 - 및 서로 대향하고 제 1 포트 및 제 2 포트에 직교하여 위치된 제 3 포트 및 제 4 포트 - 제 3 포트 및 제 4 포트는 각각 제 2 극성의 신호 및 제 2 극성의 역위상 신호에 의해 구동되도록 배열됨 - 를 포함하는 안테나 카드이다.
예 477에서, 예 476의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트와 제 3 포트 및 제 4 포트의 각각이 안테나 요소의 일부를 형성하는 것을 포함한다.
예 478에서, 예 476-477 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트와 제 3 포트 및 제 4 포트가 각각 안테나 요소에 연결되는 것을 포함한다.
예 479에서, 예 476-478 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 포트 및 제 2 포트 중 적어도 하나가 안테나 요소의 일부를 형성하는 것을 포함한다.
예 480에서, 예 476-479 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 3 포트 및 제 4 포트 중 적어도 하나가 안테나 요소에 연결되는 것을 포함한다.
예 481은 제 1 편파의 제 1 신호 및 제 2 편파의 제 2 신호에 의해 구동되도록 배열된 안테나 요소; 안테나 요소와 연관된 제 1 포트 및 안테나 요소와 연관된 제 2 포트 - 제 1 포트 및 제 2 포트는 서로 직교하게 위치됨 -; 제 1 편파의 제 1 신호를 수신하도록 배열된 제 1 피드라인 - 제 1 피드라인은 제 1 포트에 연결됨 -; 제 2 편파의 제 2 신호를 수신하도록 배열된 제 2 피드라인 - 제 2 피드라인은 제 2 포트에 연결됨 -; 안테나 요소와 연관되고 제 1 포트의 반대편에 위치된 제 3 포트; 안테나 요소와 연관되고 제 2 포트의 반대편에 위치된 제 4 포트; 제 1 신호에 역위상 신호를 수신하도록 배열된 제 3 피드라인 - 제 3 피드라인은 제 3 포트에 연결됨 -; 및 제 2 신호에 역위상 신호를 수신하도록 배열된 제 4 피드 라인 - 제 4 피드 라인은 제 4 포트에 연결됨 - 을 포함하는 이중 편파된 차동 안테나이다.
예 482에서, 예 481의 청구 대상은 선택적으로 제 1 포트, 제 2 포트, 제 3 포트 또는 제 4 포트 중 적어도 하나가 안테나의 일부를 형성하는 것을 포함한다.
예 483은 위상 배열에 배열된 복수의 안테나 요소 - 복수의 안테나 요소의 각각은 제 1 편파의 제 1 신호, 제 1 신호에 역위상의 신호, 제 2 편파의 제 2 신호 및 제 2 신호의 역위상 신호에 의해 구동되도록 배열됨 -; 복수의 안테나 요소 중 제 1 안테나 요소와 연관된 제 1 포트 및 제 1 안테나 요소와 연관된 제 2 포트 - 제 1 포트 및 제 2 포트는 서로 직교하게 위치됨 -; 제 1 편파의 제 1 신호를 수신하도록 배열된 제 1 피드 라인 - 제 1 피드 라인은 제 1 포트에 연결됨 -; 제 2 편파의 제 2 신호를 수신하도록 배열된 제 2 피드 라인 -제 2 피드 라인은 제 2 포트에 연결됨 -; 제 1 안테나 요소와 연관되고 제 1 포트의 반대편에 위치된 제 3 포트; 제 1 안테나 요소와 연관되고 제 2 포트의 반대편에 위치된 제 4 포트; 제 1 신호에 역위상의 신호를 수신하도록 배열된 제 3 피드 라인 - 제 3 피드 라인은 제 3 포트에 연결됨 - 및 제 2 신호의 역위상의 신호를 수신하도록 배열된 제 4 피드 라인 - 제 4 피드 라인은 제 4 포트에 연결됨 - 을 포함하는 이중 편파된 차동 안테나 배열이다.
예 484에서, 예 483의 청구 대상은 선택적으로 제 1 포트, 제 2 포트, 제 3 포트 또는 제 4 포트 중 적어도 하나가 제 1 안테나 요소에 연결되는 것을 포함한다.
예 485에서, 본 청구 대상은 선택적으로 예 461-484 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 461-484 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 461-484 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 486은 밀리미터파(mmWave) 통신 디바이스의 장치이며, 장치는 복수의 위상 안테나 배열; 복수의 수신기를 포함하는 수신기 아키텍처 - 수신기 아키텍처는 복수의 위상 안테나 배열의 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 수신하도록 배열됨 - ; 및 복수의 송신기를 포함하는 송신기 아키텍처 - 송신기 아키텍처는 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 송신하도록 배열됨 - 를 포함하고, 복수의 수신기 중 제 1 수신기는 제 1 기지국으로부터 mmWave 빔포밍된 신호를 수신하고, 복수의 수신기 중 적어도 제 2 수신기는 제 2 기지국으로부터의 mmWave 빔포밍된 신호를 스캐닝한다.
예 487에서, 예 486의 청구 대상은 선택적으로 복수의 위상 안테나 배열의 각각이 전자기 신호를 송신 및 수신하기 위한 복수의 중첩하는 3차원 영역 중 대응하는 하나와 관련되는 것을 포함한다.
예 488에서, 예 487의 청구 대상은 선택적으로 수직 평면에서 각도를 포함한다.
예 489에서, 예 486-488 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 위상 안테나 배열의 각각이 수직 편파된 (V) 신호 및 수평 편파된 (H) 신호 중 하나 또는 둘 모두를 송신하도록 배열된 이중 편파된 안테나 배열인 것을 포함한다.
예 490에서, 예 486-489 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신기 아키텍처가 수직 편파된 (V) 신호 및 수평 편파된 (H) 신호로서 mmWave 빔포밍된 신호를 제 1 위상 배열 안테나 배열을 통해 제 1 기지국에 송신하도록 배열되는 것을 포함한다.
예 491에서, 예 490의 청구 대상은 선택적으로 제 1 위상 안테나 배열을 사용하는 다중 입력 다중 출력(MIMO) 구성을 포함하는 것을 포함한다.
예 492에서, 예 490-491 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 송신기 중 제 1 송신기가 제 1 안테나 배열을 통해 V 신호를 송신하도록 배열되고, 반면에 복수의 송신기 중 제 2 송신기가 제 1 안테나 배열을 통해 H 신호를 송신하는 것을 포함한다.
예 493에서, 예 491-492 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 수신기 중 적어도 제 2 수신기가 복수의 안테나 배열의 제 2 위상 안테나를 사용하여 제 2 기지국으로부터의 mmWave 빔포밍된 신호를 스캐닝하는 것을 포함한다.
예 494에서, 예 486-493 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기 아키텍처가 제 2 기지국으로부터 mmWave 빔포밍된 신호를 검출하고 검출된 mmWave 빔포밍된 신호의 하나 이상의 신호 특성을 결정하도록 배열되는 것을 포함한다.
예 495에서, 예 494의 청구 대상은 선택적으로 하나 이상의 신호 특성이 수신된 신호 강도 표시기(received signal strength indicator)(RSSI)를 포함하는 것을 포함한다.
예 496에서, 예 494-495의 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기 아키텍처가 하나 이상의 신호 특성에 기초하여 제 1 기지국에서 제 2 기지국으로 소프트 핸드오프(soft handoff)를 수행하도록 배열된 것을 포함한다.
예 497에서, 예 496의 청구 대상은 선택적으로 소프트 핸드오프 동안, 수신기 아키텍처가 제 1 안테나 배열을 통해 제 1 기지국으로부터 그리고 제 2 안테나 배열을 통해 제 2 기지국으로부터 mmWave 신호를 수신하도록 배열되는 것을 포함한다.
예 498은 밀리미터파(mmWave) 통신 디바이스의 장치이고, 장치는 복수의 위상 안테나 배열 - 복수의 위상 안테나 배열은 360 도 커버리지를 제공하는 복수의 3차원 영역에서 신호를 수신 또는 송신하도록 배열됨 -; 송수신기 아키텍처 - 복수의 위상 안테나 배열의 제 1 위상 안테나 배열을 통해 제 1 기지국의 mmWave 빔포밍된 신호를 수신하도록 배열된 제 1 송수신기; 복수의 위상 안테나 배열 중 적어도 제 2 위상 안테나 배열을 사용하여, 제 2 기지국으로부터의 mmWave 빔포밍된 신호에 대한 하나 이상의 mmWave 주파수를 스캔하도록 배열된 제 2 송수신기; 및 복수의 위상 안테나 배열 중 적어도 제 3 위상 안테나 배열을 사용하여 mmWave 빔포밍된 신호를 수신 또는 송신하도록 배열된 제 3 송수신기를 포함함 - ; 및 제 2 기지국으로부터의 mmWave 빔포밍된 신호의 이용 가능성을 검출할 때, 제 1 기지국으로부터의 mmWave 빔포밍된 신호의 수신을 지연하고, 제 3 송수신기를 사용하여 제 2 기지국으로부터의 mmWave 빔포밍된 신호의 수신을 시작하도록 배열되어 핸드오프를 수행하는 애플리케이션 프로세서를 포함하는 것을 포함한다.
예 499에서, 예 498의 청구 대상은 선택적으로 제 2 송수신기가 핸드오프 동안 mmWave 빔포밍된 신호에 대한 하나 이상의 mmWave 주파수를 계속 스캔하도록 배열되는 것을 포함한다.
예 500에서, 예 498-499 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 위상 안테나 배열의 각각이 수직 편파된 (V) 신호 및 수평 편파된 (H) 신호 중 하나 또는 둘 모두를 송신하도록 배열된 이중 편파된 안테나 배열인 것을 포함한다.
예 501에서, 예 498-500 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 송수신기가 mmWave 빔포밍된 신호를 제 1 위상 안테나 배열을 통해 수직 편파된 (V) 신호로서 제 1 기지국에 송신하도록 배열되는 것을 포함한다.
예 502에서, 예 501의 청구 대상은 선택적으로 송수신기 아키텍처가 제 4 송수신기를 더 포함하고, 제 4 송수신기가 mmWave 빔포밍된 신호를 제 1 위상 안테나 배열을 통해 수평 편파된 (H) 신호로서 제 1 기지국에 송신하고, 반면에 제 1 송수신기가 V 신호를 송신하는 것을 포함한다.
예 503에서, 예 502의 청구 대상은 선택적으로 제 2 송수신기가 복수의 위상 안테나 배열 중 적어도 제 2 위상 안테나 배열에서 하나 이상의 mmWave 주파수를 스캔하도록 배열되고, 반면에 제 1 송수신기 및 제 4 송수신기가 mmWave 빔포밍된 신호를 제 1 위상 안테나 배열을 통해 제 1 기지국에 송신하는 것을 포함한다.
예 504에서, 예 502-503 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 위상 안테나 배열을 사용하는 다중 입력 다중 출력(MIMO) 구성을 포함하는 것을 포함한다.
예 505는 차량 장착 밀리미터파(mmWave) 통신 디바이스의 장치이며, 장치는 복수의 위상 안테나 배열 - 복수의 위상 안테나 배열의 각각은 전자기 신호를 송수신하기 위한 복수의 중첩하는 3차원 영역 중 대응하는 하나와 연관됨 - ; 복수의 수신기를 포함하는 수신기 아키텍처 - 수신기 아키텍처는 복수의 위상 안테나 배열 중 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 수신하도록 배열됨 -; 및 복수의 송신기를 포함하는 송신기 아키텍처 - 송신기 아키텍처는 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 송신하도록 배열됨 - 를 포함하며, 복수의 수신기 중 제 1 수신기는 제 1 기지국으로부터 mmWave 빔포밍된 신호를 수신하며, 복수의 수신기 중 적어도 제 2 수신기는 복수의 위상 안테나 배열 중 제 2 위상 안테나 배열을 사용하여 적어도 제 2차량으로부터 차량-차량(vehicle-to-vehicle)(V2V) mmWave 신호를 수신한다.
예 506에서, 예 505의 청구 대상은 선택적으로 V mmWave 신호를 포함한다.
예 507에서, 예 505-506 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 센서를 사용하여 차량과 연관된 하나 이상의 도로 위험을 검출하도록 배열된 애플리케이션 프로세서를 포함한다.
예 508에서, 예 507의 청구 대상은 선택적으로 복수의 송신기 중 제 1 송신기가 하나 이상의 도로 위험의 검출할 때, 검출된 하나 이상의 도로 위험을 나타내는 V2V mmWave 신호를 복수의 위상 안테나 배열 중 제 3 위상 배열 안테나 배열을 사용하는 적어도 제 3 차량에 송신하는 것을 포함한다.
상술한 설명은 예시적이지 제한하려는 것은 아니다. 예를 들어, 위에서 설명된 예(또는 예의 하나 이상의 양태)는 다른 예와 조합하여 사용될 수 있다. 위의 설명을 검토해 볼 때 예컨대 관련 기술분야에서 통상의 기술자에 의해 다른 양태는 사용될 수 있다. 요약서는 독자가 기술의 개시내용의 본질을 신속하게 확인할 수 있도록 하는 것이다. 이것은 청구 범위의 범위 또는 의미를 해석하거나 제한하는데 사용되지 않을 것이라는 이해하에 제출된다. 또한, 위의 상세한 설명에서, 다양한 특징이 함께 그룹화되어 본 개시내용을 간소화할 수 있다. 그러나, 양태는 상기 특징의 서브세트를 특징으로 할 수 있으므로 청구 범위는 본 명세서에 개시된 모든 특징을 제시하지 않을 수 있다. 또한, 양태는 특정 예에서 개시된 것보다 적은 양태를 포함할 수도 있다. 따라서, 다음의 청구 범위는 상세한 설명에 통합되며, 청구항은 별개의 양태로서 그 자체로 성립한다. 본 명세서에 개시된 양태의 범위는 첨부된 청구 범위를 참조하여, 그러한 청구 범위의 자격을 부여 받은 균등물의 전체 범위와 함께 결정될 수 있다.
예 509는 복수의 유전체 층을 포함하는 층류 기판; 기판 내의 비아; 및 비아에 연결된 피드 메커니즘 - 피드 메커니즘은 비아에 의한 송신을 위해 비아에 RF 신호를 공급하도록 배열됨 - 을 포함하는 안테나이다.
예 510에서, 예 509의 청구 대상은 선택적으로 비어가 원추 형상인 포함하는 것을 포함한다.
예 511에서, 예 509-510 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비아가 원통 형상 또는 평행육면체 형상인 것을 포함한다.
예 512에서, 예 509-511 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비아가 금속으로 채워지거나 금속으로 부분적으로 채워지거나, 도금되어 금속으로 채워지지 않는 것을 포함한다.
예 513에서, 예 509-512 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비아가 제 1 직경 및 제 2 직경을 갖고, 제 1 직경이 복수의 유전체 층 중 제 1 유전체 층의 경계에 배열되고, 제 1 직경과 제 2 직경 사이의 비아의 일부가 복수의 유전체 층 중 제 2 유전체 층 내에 배치되는 것을 포함한다.
예 514에서, 예 513의 청구 대상은 선택적으로 제 1 직경이 제 2 직경보다 큰 것을 포함한다.
예 515에서, 예 509-514 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비아가 제 1 직경 및 제 2 직경을 갖고, 복수의 유전체 층이 N 개의 유전체 층을 포함하고, 여기서, N은 정수이고, 제 1 직경 및 제 2 직경이 복수의 N 유전체 층 내에 배치되는 것을 포함한다.
예 516에서, 예 509-515 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비아가 수평 피드 또는 수직 피드에 의해 급전되는 것을 포함한다.
예 517에서, 예 509-516 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신이 엔드 파이어 송신인 것을 포함한다.
예 518은 복수의 유전체 층을 포함하는 층류 기판; 층류 기판 내에 배치된 적어도 하나의 비아를 각각 포함하는 복수의 안테나 요소; 및 적어도 하나의 비아에 연결된 피드 메커니즘 - 피드 메커니즘은 복수의 안테나 요소에 의한 송신을 위해 복수의 안테나 요소에 RF 신호를 공급하도록 배열됨 - 을 포함하는 안테나 배열이다.
예 519에서, 예 518의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 원추 형상인 것을 포함한다.
예 520에서, 예 518-519 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 원통 형상 또는 평행육면체 형상인 것을 포함한다.
예 521에서, 예 518-520 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 금속으로 채워지거나 금속으로 부분적으로 채워지거나, 도금되어 금속으로 채워지지 않는 것을 포함한다.
예 522에서, 예 518-521 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소가 무선 주파수 서브시스템(Radio Frequency Sub-system)(RFS)의 일부를 포함하는 것을 포함한다.
예 523에서, 예 518-522 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소가 RFS로부터 분리되어 RFS에 부착되는 것을 포함한다.
예 524에서, 예 518-523 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소의 각각이 제 1 직경 및 제 2 직경을 가지며, 제 1 직경이 복수의 유전체 층 중 제 1 유전체 층의 경계에 배열되고, 제 1 직경과 제 2 직경 사이의 복수의 안테나 요소의 각각의 일부는 복수의 유전체 층 중 제 2 유전체 층 내에 배치되는 것을 포함한다.
예 525에서, 예 524의 청구 대상은 선택적으로 제 1 직경이 제 2 직경보다 큰 것을 포함한다.
예 526에서, 예 518-525 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소의 각각이 수평 피드 또는 수직 피드에 의해 급전되는 것을 포함한다.
예 527에서, 예 518-526 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소의 각각이 백-투-백 관계(back-to-back relationship)로 배열된 두 개의 비아를 포함하는 것을 포함한다.
예 528은 송수신기; 및 송수신기에 연결된 안테나 배열- 안테나 배열은 복수의 유전체 층을 포함하는 층류 기판을 포함 -; 층류 기판 내에 배치된 적어도 하나의 비아를 각각 포함하는 복수의 안테나 요소; 및 복수의 안테나 요소에 연결된 피드 메커니즘 - 피드 메커니즘은 복수의 안테나 요소에 의한 송신을 위해 복수의 안테나 요소에 RF 신호를 공급하도록 배열됨 - 을 포함하는 무선 주파수 서브시스템이다.
예 529에서, 예 528의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 원뿔 형상을 갖는 비아인 것을 포함한다.
예 530에서, 예 528-529 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 원통 형상을 갖는 비아인 것을 포함한다.
예 531에서, 예 528-530 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 금속으로 채워지거나 도금되어 금속으로 채워지지 않은 비아인 것을 포함한다.
예 532에서, 예 528-531 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소가 RFS로부터 분리되고 RFS에 부착되는 것을 포함한다.
예 533에서, 예 528-532 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소가 각각 제 1 직경 및 제 2 직경을 갖는 비아이고 제 1 직경 및 제 2 직경이 층류 기판의 층 내에 배치되는 것을 포함한다.
예 534에서, 예 533의 청구 대상은 선택적으로 제 1 직경이 제 2 직경보다 큰 것을 포함한다.
예 535에서, 예 528-534 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소의 각각이 수평 피드 또는 수직 피드에 의해 급전되는 것을 포함한다.
예 536에서, 예 528-535 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나 요소의 각각이 백-투-백 관계로 배열된 두 개의 비아를 포함하는 것을 포함한다.
예 537에서, 본 청구 대상은 선택적으로 예 509-536 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 509-536 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 509-536 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 538은, 복수의 층을 포함하는 층류 기판; 복수의 층 중 하나에 3차원(3D) 안테나; 및 3D 안테나 아래에 배열된 변형된 접지 평면인 접지 평면을 포함하는 3차원 안테나 배열 요소이다.
예 539에서, 예 538의 청구 대상은 선택적으로 최대 직경 및 최소 직경을 갖는 D 안테나를 포함하고, 변형된 접지 평면이 최소 직경 아래에 있는 것을 포함한다.
예 540에서, 예 539의 청구 대상은 선택적으로 D 안테나 요소가 최소 직경에서 또는 그 부근에 스트립 전송 선로에 의해 급전되는 것을 포함한다.
예 541에서, 예 538-540 중 어느 하나 이상의 예의 청구 대상은 선택적으로 변형된 접지 평면이 비스듬히 슬롯된 접지 평면을 포함하는 것을 포함한다.
예 542에서, 예 538-541 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 원통 형상 또는 평행육면체 형상인 것을 포함한다.
예 543에서, 예 538-542 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 금속으로 채워지거나 금속으로 부분적으로 채워지거나, 도금되어 금속으로 채워지지 않는 D 안테나 요소를 포함하는 것을 포함한다.
예 544에서, 예 538-543 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 에지-파이어 동작으로 밀리미터파 주파수에서 동작하도록 배열되는 것을 포함한다.
예 545는, 복수의 층을 포함하는 층류 기판; 복수의 층 중 하나에 부착된 복수의 3D 안테나 요소; 및 3D 안테나 요소의 각각의 아래에 배열된 평탄한 평면 금속화 층의 변형인 접지 평면을 포함하는 안테나 배열이다.
예 546에서, 예 545의 청구 대상은 선택적으로 복수의 안테나 요소 중 적어도 일부가 땜납에 의해 복수의 안테나 요소 중 하나에 각각 부착되는 것을 포함한다.
예 547에서, 예 545-546 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소를 포함하는 것을 포함한다.
예 548에서, 예 547의 청구 대상은 선택적으로 D 안테나 요소가 최소 직경에서 또는 그 근방에서 스트립 라인에 의해 급전되는 것을 포함한다.
예 549에서, 예 545-548 중 어느 하나 이상의 예의 청구 대상은 선택적으로 변형된 접지 평면이 대각선으로 슬롯된 접지 평면을 포함하는 것을 포함한다.
예 550에서, 예 545-549 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 원통 형상 또는 평행육면체 형상인 것을 포함한다.
예 551에서, 예 545-550 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 무선 주파수 서브시스템(RFS)(Radio Frequency Sub System)의 일부를 포함하는 것을 포함한다.
예 552에서, 예 545-551 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 RFS와 별도로 제작되어 RFS에 부착되는 것을 포함한다.
예 553에서, 예 545-552 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 안테나 배열의 방사를 원하는 방향으로 반사하도록 배열된 반사기에 인접한 것을 포함한다.
예 554에서, 예 545-553 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 수평 피드 또는 수직 피드에 의해 급전되는 것을 포함한다.
예 555는 복수의 평행한 층을 포함하는 층류 기판 상에 또는 층류 기판 내에 배열된 송수신기; 층류 기판의 금속화된 층에 각각 부착된 복수의 3D 안테나 요소를 포함하는 안테나 배열; 변형된 매끄러운 평면 금속화 층인 접지 평면-접지 평면은 3D 평면 안테나 요소의 각각의 아래에 배열됨 -; 송수신기로부터 3D 안테나 요소의 각각에 연결된 피드 메커니즘 - 피드 메커니즘은 복수의 3차원 안테나 요소에 의한 송신을 위해 복수의 3차원 안테나 요소에 RF 신호를 공급하도록 배열됨 - 을 포함하는 무선 주파수 서브시스템(RFS)이다.
예 556에서, 예 555의 청구 대상은 선택적으로 3D 안테나 요소가 원뿔 형상 또는 평행육면체 형상을 갖는 것을 포함한다.
예 557에서, 예 555-556 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 원통 형상을 갖는 것을 포함한다.
예 558에서, 예 555-557 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 금속으로 채워지거나 또는 도금되어 금속으로 채워지지 않는 것을 포함한다.
예 559에서, 예 555-558 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 RFS와 별도로 제조되어 RFS에 부착되는 것을 포함한다.
예 560에서, 예 555-559 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소를 포함하는 것을 포함한다.
예 561에서, 예 555-560 중 어느 하나 이상의 예의 청구 대상은 선택적으로 D 안테나 요소가 수평 피드 또는 수직 피드에 의해 급전되는 것을 포함한다.
예 562에서, 본 청구 대상은 선택적으로 예 538-561 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 538-561 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 538-561 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 563은 복수의 세그멘트화된 저잡음 증폭기(low-noise amplifier)(LNA) - 각각의 세그멘트화된 저잡음 증폭기(LNA)는 입력 RF 신호를 증폭하여 증폭된 RF 신호를 생성하도록 배열된 복수의 LNA 슬라이스를 포함함 -; 복수의 세그멘트화된 하향 변환 믹서 - 각각의 하향 변환 믹서는 전용 LO 신호에 기초하여 증폭된 RF 신호를 기저대역 신호로 하향 변환하도록 배열된 복수의 하향 변환 믹서 슬라이스를 포함함 -; 및 입력 RF 신호의 적어도 하나의 신호 특성의 표시를 수신하고 수신된 표시에 기초하여 복수의 LNA 슬라이스 중 적어도 하나의 LNA 슬라이스 및 복수의 믹서 슬라이스 중 적어도 하나의 믹서 슬라이스를 파이어링하도록 배열된 제어 회로를 포함하는 수신기 장치이다.
예 564에서, 예 563의 청구 대상은 선택적으로 적어도 하나의 신호 특성이 입력 RF 신호의 대역폭을 나타내고, 입력 RF 신호가 인접 캐리어 결합 신호(contiguous carrier aggregation signal)이거나, 입력 RF 신호가 비-인접한 캐리어 결합 신호(non-contiguous carrier aggregation signal)인 것을 포함한다.
예 565에서, 예 563-564 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가 입력 RF 신호의 적어도 하나의 신호 특성에 기초하여 수신기 장치에 필요한 분할 동작 모드 및 스위치 동작 모드 중 하나를 선택하고, 선택된 분할 동작 모드 또는 스위치 동작 모드 동안 적어도 하나의 LNA 슬라이스 및 적어도 하나의 믹서 슬라이스를 파이어링하도록 배열된 것을 포함한다.
예 566에서, 예 565의 청구 대상은 선택적으로 RF 신호를 수신하는 복수의 세그멘트화된 LNA의 신호 입력에서의 입력 임피던스가 분할 동작 모드 및 스위치 동작 모드 동안 일정하게 유지되는 것을 포함한다.
예 567에서, 예 565-566 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 적어도 하나의 신호 특성이 입력 RF 신호가 채널 필터의 대역폭을 초과하는 대역폭을 갖는 비-인접한 캐리어 결합 신호 또는 인접한 캐리어 결합 신호인 것을 나타낼 때, 분할 동작 모드를 선택하도록 배열되는 것을 포함한다.
예 568에서, 예 565-567 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 적어도 하나의 신호 특성이 입력 RF 신호가 채널 필터의 대역폭을 초과하지 않는 대역폭을 갖는 인접한 캐리어 결합 신호인 것을 나타낼 때, 스위치 동작 모드를 선택하도록 배열되는 것을 포함한다.
예 569에서, 예 565-568 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 스위치 동작 모드 동안, 복수의 세그멘트화된 LNA 중 하나를 파이어링하여 입력 RF 신호를 증폭하고 증폭된 RF 신호를 생성하며, 복수의 세그멘트화된 하향 변환 믹서 중 하나를 파이어링하여 LO 신호를 사용하여 증폭된 RF 신호를 하향 변환하고 기저대역 신호를 생성하도록 배열되는 것을 포함한다.
예 570에서, 예 569의 청구 대상은 선택적으로 제어 회로가, 스위치 동작 모드 동안, 복수의 세그멘트화된 LNA 중 비활성 LNA 및 복수의 세그멘트화된 하향 변환 믹서 중 비활성 믹서를 파워 오프하도록 배열된 것을 포함한다.
예 571에서, 예 565-570 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 분할 동작 모드 동안, 복수의 세그멘트화된 LNA 중 적어도 두 개를 파이어링 - 각각의 파이어링된 세그멘트화된 LNA는 입력 RF 신호의 일부를 수신하고 적어도 두 개의 증폭된 RF 신호를 생성함 - 하고, 적어도 두 개의 파이어링된 세그멘트화된 LNA에 대응하는 복수의 세그멘트화된 하향 변환 믹서 중 적어도 두 개를 파이어링하여, 적어도 두 개의 증폭된 RF 신호를 하향 변환하여 적어도 두 개의 기저대역 신호를 생성하도록 배열되는 것을 포함한다.
예 572에서, 예 571의 청구 대상은 선택적으로 제어 회로가, 분할 동작 모드 동안, 복수의 세그멘트화된 LNA의 비활성 LNA 및 복수의 세그멘트화된 하향 변환 믹서 중 비활성 믹서를 파워 오프하도록 배열되는 것을 포함한다.
예 573에서, 예 565-572 중 어느 하나 이상의 예의 청구 대상은 선택적으로 LO 신호를 발생하도록 배열된 LO 발생기; 및 복수의 팬-아웃 버퍼에 연결된 분주기 - 분주기는 LO 신호에 대응하는 복수의 차동 LO 신호를 생성하도록 배열됨 - 를 포함하는 것을 포함한다.
예 574에서, 예 573의 청구 대상은 선택적으로 제어 회로가 분할 동작 모드 또는 스위치 동작 모드 동안 파이어링된 적어도 하나의 믹서에 필요한 복수의 차동 LO 신호 중 적어도 하나를 선택하도록 배열되는 것을 포함한다.
예 575에서, 예 565-574 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가 입력 RF 신호의 적어도 하나의 신호 특성에 기초하여 분할 동작 모드와 스위치 동작 모드 사이에서 동적으로 스위칭하도록 배열되는 것을 포함한다.
예 576은 제 1 RF 프로세싱 체인 - 제 1 RF 프로세싱 체인은 입력 RF 신호의 제 1 신호 부분을 수신하여 제 1 증폭된 RF 신호를 생성하도록 배열된 제 1 세그멘트화된 저잡음 증폭기(LNA); 제 1 차동 국부 발진기(LO) 신호를 사용하여 제 1 증폭된 RF 신호를 하향 변환하여 제 1 기저대역 신호를 생성하도록 배열된 제 1 세그멘트화된 하향 변환 믹서; 및 제 1 기저대역 신호를 필터링하여 제 1 필터링된 기저대역 신호를 생성하도록 배열된 제 1 채널 필터를 포함함 - ; 및 제 2 RF 프로세싱 체인 - 제 2 RF 프로세싱 체인은: 입력 RF 신호의 제 2 신호 부분을 수신하여 제 2 증폭된 RF 신호를 생성하도록 배열된 제 2 세그멘트화된 저잡음 증폭기(LNA); 제 2 차동 LO 신호를 사용하여 제 2 증폭된 RF 신호를 하향 변환하여 제 2 기저대역 신호를 생성하도록 배열된 제 2 세그멘트화된 하향 변환 믹서; 및 제 2 기저대역 신호를 필터링하여 제 1 필터링된 기저대역 신호를 생성하도록 배열된 제 2 채널 필터를 포함함 - 를 포함하는 수신기 장치이다.
예 577에서, 예 576의 청구 대상은 선택적으로 제어 회로가 입력 RF 신호의 신호 특성의 표시를 수신하고, 신호 특성에 기초하여 수신기 장치에 필요한 분할 동작 모드 및 스위치 동작 모드 중 하나를 파이어링하도록 배열되는 것을 포함한다.
예 578에서, 예 577의 청구 대상은 선택적으로 제어 회로가, 신호 특성이 채널 필터의 대역폭을 초과하는 대역폭을 갖는 인접한 캐리어 결합 신호인 것을 나타낼 때, 분할 동작 모드를 파이어링하도록 배열되는 것을 포함한다.
예 579에서, 예 577-578 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 입력 RF 신호의 대역폭이 제 1 채널 필터 또는 제 2 채널 필터와 연관된 대역폭보다 크다는 것을 신호 특성이 나타낼 때, 분할 동작 모드를 파이어링하도록 배열되는 것을 포함한다.
예 580에서, 예 577-579 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 회로가, 입력 RF 신호가 채널 필터의 대역폭을 초과하지 않는 대역폭을 갖는 인접한 캐리어 결합 신호인 것을 신호 특성이 나타낼 때, 스위치 동작 모드를 파이어링하도록 배열되는 것을 포함한다.
예 581에서, 예 577-580 중 어느 하나 이상의 예의 청구 대상은 선택적으로 분할 동작 모드 동안, 제어 회로가 각각의 제 1 세그멘트화된 LNA 및 제 2 세그멘트화된 LNA 내 LNA 슬라이스를 파이어링하여 각각 제 1 증폭된 신호 및 제 2 증폭된 신호를 생성하고, 각각의 제 1 세그멘트화된 하향 변환 믹서 및 제 2 세그멘트화된 하향 변환 믹서 내 믹서 슬라이스를 파이어링하여 각각 제 1 기저대역 신호 및 제 2 기저대역 신호를 생성하도록 배열되는 것을 포함한다.
예 582에서, 예 581의 청구 대상은 선택적으로 분할 동작 모드 동안, 제어 회로가 제 1 세그멘트화된 LNA 및 제 2 세그멘트화된 LNA 내의 복수의 나머지 LNA 슬라이스를 파워 오프하고; 및 제 1 세그멘트화된 하향 변환 믹서 및 제 2 세그멘트화된 하향 변환 믹서 내의 복수의 나머지 믹서 슬라이스들을 파워 오프하도록 배열된 것을 포함한다.
예 583에서, 예 577-582 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치 동작 모드 동안, 제어 회로가, 제 1 세그멘트화된 LNA를 파이어링하여 제 1 증폭 RF 신호를 생성하고, 제 1 세그멘트화된 하향 변환 믹서를 파이어링하여 제 1 기저대역 신호를 생성하도록 배열되고, 상기 제 1 세그멘트화된 LNA가 RF 신호를 수신하여 제 1 신호 부분이 입력 RF 신호를 포함하도록 하는 것을 포함한다.
예 584에서, 예 583의 청구 대상은 임의로, 스위치 동작 모드 동안, 제어 회로가 제 2 세그멘트화된 LNA 및 제 2 세그멘트화된 하향 변환 믹서를 파워 오프하도록 배열되는 것을 포함한다.
예 585는 RF 신호를 수신하도록 배열된 위상 안테나 배열; 복수의 차동 LO 신호를 생성하도록 배열된 국부 발진기(LO) 생성기를 포함하는 증폭 및 하향 변환 블록; RF 신호를 증폭하여 증폭된 RF 신호를 생성하도록 배열된 복수의 증폭 스테이지를 포함하는 저잡음 증폭기(LNA); 대응하는 복수의 RF 처리 경로 내의 복수의 세그멘트화된 하향 변환 믹서 - 각각의 하향 변환 믹서는 복수의 하향 변환 믹서 슬라이스를 포함하고, 복수의 차동 LO 신호 중 하나에 기초하여 증폭된 RF 신호의 적어도 일부를 기저대역 신호로 하향 변환하도록 배열됨 -; 및 수신된 RF 신호의 적어도 하나의 신호 특성에 기초하여 복수의 세그멘트화된 하향 변환 믹서 내의 적어도 하나의 믹서 슬라이스를 파이어링하도록 배열된 제어 회로를 포함하는 무선 디바이스이다.
실시에 586에서, 예 585의 청구 대상은 선택적으로 LNA가 세그멘트화된 출력을 갖는 두 개의 스테이지 LNA인 것을 포함한다.
예 587에서, 예 586의 청구 대상은 선택적으로 수신된 RF 신호가 적어도 하나의 파이어링된 믹서 슬라이스에 전달을 위해 LNA의 세그멘트화된 출력에서 분할되는 것을 포함한다.
예 588에서, 예 585-587 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 세그멘트화된 하향 변환 믹서의 각각이 복수의 차동 LO 신호 중 적어도 하나를 사용하는 전용 LO 구동을 사용하는 것을 포함한다.
예 589는 제 1 층류 기판을 포함하는 제 1 전자 패키지; 제 2 층류 기판을 포함하는 제 2 전자 패키지 - 제 2 전자 패키지는 제 1 전자 패키지 상에 적층되어 물리적으로 접촉됨 - ; 제 1 층류 기판에 배열된 제 1 안테나 배열; 제 2 층류 기판에 배열된 제 2 안테나 배열; 및 제 1 전자 패키지 또는 제 2 전자 패키지 중 하나의 내에 매립된 적어도 하나의 프로세서 다이 - 적어도 하나의 다이는 제 1 안테나 배열 및 제 2 안테나 배열에 전기적으로 연결되고, 적어도 하나의 반도체 다이는 제 1 주파수 범위 및 제 2 주파수 범위에서 동작하도록 배열된 적어도 하나의 무선 송수신기를 포함함 - 를 포함하는 다중 패키지 안테나 배열이다.
예 590에서, 예 589의 청구 대상은 선택적으로 적어도 하나의 다이가 제 1 층류 기판 상에 또는 제 1 층류 기판 내에 또는 제 2 층류 기판 상에 또는 제 2 층류 기판 내에 인쇄된 전자 회로를 통해 제 1 안테나 배열 및 제 2 안테나 배열에 연결되고, 적어도 하나의 다이와 제 1 또는 제 2 안테나 배열 사이에 전기적 기능을 제공하는 것을 포함한다.
예 591에서, 예 590의 청구 대상은 선택적으로 전자 회로가 외부 기판 프론트 엔드(external substrate front end)(eSFE) 또는 통합 기판 프론트 엔드(integrated substrate frontend)(iSFE)에 의해 구현되는 것을 포함한다.
예 592에서, 예 591의 청구 대상은 선택적으로 전자 회로가 표면 실장 디바이스를 포함하는 것을 포함한다.
예 593에서, 예 591-592 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전자 회로가 적어도 하나의 다이와 동일한 평면에서 제 1 전자 패키지 또는 제 2 전자 패키지 내에 인쇄되는 것을 포함한다.
예 594에서, 예 591-593 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전자 회로가 필터, 발룬(balun), 멀티플렉서, 커플러 또는 안테나 중 하나를 포함하는 것을 포함한다.
예 595에서, 예 591-594 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나 배열이 제 1 전자 패키지 또는 제 2 전자 패키지 중 하나의 패키지 내에 있는 것을 포함한다.
예 596에서, 예 591-595 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나 배열이 제 1 전자 패키지 또는 제 2 전자 패키지 중 하나의 패키지의 외부에 있는 것을 포함한다.
예 597에서, 예 589-596 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 다이가 제 1 주파수 범위에서 동작하는 제 1 송수신기 및 제 2 주파수 범위에서 동작하는 제 2 송수신기를 포함하는 것을 포함한다.
예 598에서, 예 591-597 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 다이가 제 1 전자 패키지상에 또는 제 1 전자 패키지 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이, 및 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이를 포함하고, 인쇄 전자 회로가 제 1 다이를 지지하고 제 1 전자 패키지 상에 또는 제 1 전자 패키지 내에 위치하는 것을 포함한다.
예 599에서, 예 591-598 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 다이가 제 1 전자 패키지 상에 또는 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이, 및 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이를 포함하고, 인쇄 전자 회로가 제 1 다이를 지지하고 제 2 전자 패키지 상에 또는 내에 위치하는 것을 포함한다.
예 600에서, 예 591-599 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 전자 패키지 상에 적층되어 물리적으로 접촉된 제 3 전자 패키지를 포함하고, 적어도 하나의 다이가 제 1 전자 패키지 상에 위치되거나 또는 제 1 전자 패키지 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이와, 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이와, 제 3 전자 패키지 내에 매립되고 제 3 주파수 범위에서 동작하는 제 3 다이를 포함하고, 인쇄 전자 회로는 제 1 다이를 지지하고 제 1 전자 패키지, 제 2 전자 패키지 또는 제 3 전자 패키지에 또는 내에 위치한다.
예 601에서, 예 591-600 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 전자 패키지에 적층되어 물리적으로 접촉된 제 3 전자 패키지를 포함하고, 적어도 하나의 다이가 제 1 전자 패키지 상에 위치되거나 제 1 전자 패키지 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이와, 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이와, 제 3 전자 패키지 내에 매립되고 제 3 주파수 범위에서 동작하는 제 3 다이를 포함하고, 인쇄 전자 회로는 제 2 다이를 지지하고 제 1 전자 패키지, 제 2 전자 패키지 또는 제 3 전자 패키지 상에 또는 제 2 전자 패키지 또는 제 3 전자 패키지 내에 위치한다.
예 602에서, 예 591-601 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 전자 패키지 상에 적층되어 물리적으로 접촉된 제 3 전자 패키지를 포함하고, 적어도 하나의 다이가 제 1 전자 패키지 상에 위치되거나 제 1 전자 패키지 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이와, 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이와, 제 3 전자 패키지 내에 매립되고 제 3 주파수 범위에서 동작하는 제 3 다이를 포함하고, 인쇄 전자 회로는 제 3 다이를 지지하고 제 1 전자 패키지, 제 2 전자 패키지 또는 제 3 전자 패키지 상에 또는 제 1 전자 패키지, 제 2 전자 패키지 또는 제 3 전자 패키지에 내에 위치한다.
예 603은 제 1 층류 기판; 제 1 층류 기판에 배열된 제 1 안테나 배열; 및 제 1 층류 기판 내에 매립된 적어도 하나의 프로세서 다이 - 적어도 하나의 다이는 제 1 층류 기판에 또는 제 1 층류 기판 내에 인쇄 전자 회로를 통해 제 1 안테나 배열 또는 제 2 안테나에 연결되고, 적어도 하나의 다이와 제 1 안테나 배열 또는 제 2 안테나 배열 사이에 전기 기능을 제공함 - 를 포함하는 제 1 전자 패키지이다.
예 604에서, 예 603의 청구 대상은 선택적으로 전자 회로가 외부 기판 프론트엔드(eSFE) 또는 통합 기판 프론트엔드(iSFE)로 구현되는 것을 포함한다.
예 605에서, 예 604의 청구 대상은 선택적으로 인쇄 전자 회로가 표면 실장 디바이스를 포함하는 것을 포함한다.
예 606에서, 예 604-605 중 어느 하나 이상의 예의 청구 대상은 선택적으로 인쇄 전자 회로가 필터, 발룬, 멀티플렉서, 커플러 또는 안테나 중 하나를 포함하는 것을 포함한다.
예 607에서, 예 604-606 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 제 1 전자 패키지 내에 있는 것을 포함한다.
예 608에서, 예 604-607 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 제 1 전자 패키지의 외부에 있는 것을 포함한다.
예 609에서, 예 604-608 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 전자 패키지 상에 적층되어 물리적으로 연결된 제 2 전자 패키지를 포함하고, 제 2 전자 패키지는 제 2 층류 기판 및 제 2 층류 기판에 배열된 제 2 안테나 배열을 포함하고, 적어도 하나의 다이는 제 1 전자 패키지에 또는 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이, 및 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이를 포함하고, 전자 회로는 제 1 다이를 지지하고 제 1 전자 패키지에 또는 내에 위치한다.
예 610에서, 예 604-609 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 다이가 제 1 전자 패키지에 또는 제 1 전자 패키지 내에 매립되고 제 1 주파수 범위에서 동작하는 제 1 다이 및 제 2 전자 패키지 내에 매립되고 제 2 주파수 범위에서 동작하는 제 2 다이를 포함하고, 전자 회로는 제 1 다이를 지지하고 제 2 전자 패키지에 또는 내에 위치하는 것을 포함한다.
예 611에서, 본 청구 대상은 선택적으로 예 1-610 중 어느 하나 이상의 기능을 수행하기 위한 수단을 포함할 수 있는 청구 대상을 포함하도록 예 1-610 중 어느 하나 이상의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 선택적으로 결합될 수 있거나, 또는 머신에 의해 수행될 때 머신으로 하여금 예 1-610 중 어느 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있다.
예 612는 복수의 기저대역 신호를 수신하고, 복수의 기저대역 신호를 제 1의 5G 주파수 대역의 수평 편파 무선 주파수(RF) 신호 및 5G 제 2 주파수 대역의 수직 편파 RF 신호로 상향 변환하고, 상향 변환된 RF 신호를 전송 선로를 통해 송신하도록 배열된 제 1 송수신기; 및 상향 변환된 RF 신호를 전송 선로를 통해 수신하고, 제 1의 5G 주파수 대역의 수평 편파 RF 신호를 제 2 주파수 대역의 수평 편파 RF 신호로 상향 변환하고, 제 2 주파수 대역의 수평 편파 RF 신호 및 제 2 주파수 대역의 수직 편파 5G RF 신호를 안테나 서브시스템에 송신하도록 배열된 제 1 송수신기를 포함하는 이중 송수신기 시스템이고, 전송 선로는 제 1 송수신기와 제 2 송수신기 사이에 연결되고, 제 1 송수신기와 제 2 송수신기 사이에서 상향 변환된 RF 신호의 단독의 전도체가 되도록 배열되며, 제 2 5G 주파수 대역은 이중 송수신기 시스템과 연관된 사용 시스템이 위치하는 지역에서 지원되는 5G 생태계 주파수 대역이고, 제 1 5G 주파수 대역은 지역에서 지원되지 않는 5G 생태계 주파수 대역이다.
예 613에서, 예 612의 청구 대상은 선택적으로 제 1 송수신기가 또한 전송 선로를 통해 WiGig 주파수 대역에서 적어도 하나의 RF 신호를 송신하도록 배열되고, 제 2 송수신기가 또한 전송 선로를 통해 WiGig 주파수 대역에서 송신된 RF를 수신하고 WiGig 주파수 대역에서 수신된 적어도 하나의 RF 신호를 안테나 서브시스템에 송신하도록 배열되는 것을 포함한다.
예 614에서, 예 612-613 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 송수신기가 제 1 주파수 대역에서 수평 편파된 RF 신호를 제 2 주파수 대역에서 수평 편파된 RF 신호로의 변환, 제 2 주파수 대역에서 변환된 수평 편파된 RF 신호의 송신, 및 제 2 주파수 대역에서 수직 편파된 RF 신호의 송신을 가능하게 하는 제 1 구성의 복수의 스위치를 포함하고, 제 2 주파수 대역에서 수평 편파된 RF 신호 및 제 2 주파수 대역에서 수직 편파된 RF 신호가 안테나 서브시스템으로 송신되는 것을 포함한다.
예 615에서, 예 614의 청구 대상은 선택적으로 제 2 송수신기가 스위치 중 제 1 스위치 및 제 1 송수신기로부터 국부 발진기 RF 신호를 송신하는 전도체에 연결된 믹서 - 믹서는 제 1 주파수 대역에서 수평 편파된 RF 신호를 제 2 주파수 대역에서 수평 편파된 RF 신호로 변환함 - 를 더 포함하는 것을 포함한다.
예 616에서, 예 612-615 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역이 안테나 서브시스템에 송신되는 것을 포함한다.
예 617에서, 예 616의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 618에서, 예 612-617 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 서브시스템이 다중 입력 다중 출력(MIMO) 동작을 위해 배열된 적어도 하나의 안테나 배열을 포함하는 것을 포함한다.
예 619에서, 예 618의 청구 대상은 선택적으로 MIMO 동작을 위해 배열된 적어도 하나의 안테나 배열이 수직 편파된 정보 스트림 및 수평 편파된 정보 스트림을 방사하도록 배열된 안테나 배열을 포함하는 것을 포함한다.
예 620에서, 예 618-619 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 621에서, 예 618-620의 청구 대상은 선택적으로 MIMO 동작을 위해 배열된 적어도 하나의 안테나 배열이 제 2의 5G 대역에서 동작하도록 각각 배열된 두 개의 안테나 배열을 포함하는 것을 포함한다.
예 622에서, 예 612-621 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나 배열이 WiGig 주파수 대역에서 동작하도록 배열된 안테나 배열을 포함하는 것을 포함한다.
예 623에서, 예 612-622 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 624에서, 예 612-623 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 625에서, 예 612-624 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 626에서, 예 615-625 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 627에서, 예 612-626 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 송수신기가 제 3 광대역 신호를 공급하도록 배열된 제 3 DAC, WiGig 주파수 대역에서 RF 신호를 공급하도록 배열된 제 3 DPLL, 및 제 3 DAC 및 제 3 DPLL에 연결된 제 3 믹서 - 제 3 믹서는 제 3 광대역 신호를 WiGig 주파수 대역에서 RF 신호로 변환하도록 배열됨 - 를 포함하는 것을 포함한다.
예 628에서, 예 615-627 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 송수신기가 제 1 복수의 대역 통과 필터 - 제 1 5G 주파수 대역의 수평 편파된 RF 신호는 제 1 5G 주파수 대역을 필터링하도록 배열된 제 1 대역 통과 필터 중 첫번째를 통해 전송 선로에 송신되고, 제 2 5G 주파수 대역의 수직 편파 RF 신호는 제 2 5G 주파수를 필터링하도록 배열된 제 1 대역 통과 필터 중 두 번째를 통해 전송 선로로 송신되고, WiGig 주파수 대역의 RF 신호는 WiGig 주파수 대역을 필터링하도록 배열된 제 1 대역 통과 필터 중 세 번째를 통해 전송 선로로 송신되며, 국부 발진 RF 신호는 국부 발진기 주파수를 필터링하도록 배열된 제 1 대역 통과 필터 중 네 번째를 통해 전송 선로에 송신됨 - 를 더 포함하는 것을 포함한다.
예 629에서, 예 628의 청구 대상은 선택적으로 제 2 송수신기가 제 2 복수의 대역 통과 필터 - 제 1 5G 주파수 대역의 수평 편파된 RF 신호는 제 1 5G 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 첫 번째를 통해 전송 선로로부터 수신되고, 제 2 5G 주파수 대역의 수직 편파된 RF 신호는 제 2의 5G 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 두 번째를 통해 전송 선로로부터 수신되고, WiGig 주파수 대역의 RF 신호는 WiGig 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 세 번째를 통해 전송 선로로부터 수신되며, 국부 발진기 RF 신호는 국부 발진기 주파수를 필터링하도록 배열된 제 2 대역 통과 필터 중 네 번째를 통해 전송 선로로부터 수신됨 - 를 더 포함하는 것을 포함한다.
예 630에서, 예 612-629 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 송수신기에 위치되고 제 2 송수신기를 제어하도록 배열된 무선 송수신기 제어 시스템 - 무선 송수신기 제어 시스템은 제 1 송수신기의 저역 통과 필터를 통해 전송 선로를 통해 제 1 송수신기에 의해 송신되고, 제 2 송수신기의 저역 통과 필터를 통해 전송 선로를 통해 제 2 송수신기에 의해 수신되는 클록 신호를 수신하도록 배열됨 - 을 포함하는 것을 포함한다.
예 631에서, 예 630의 청구 대상은 선택적으로 클록 정보가 제 3 DPLL에 연결된 기준 클록에 의해 제 1 송수신기에서 생성되는 것을 포함한다.
예 632에서, 예 630-631 중 어느 하나 이상의 예의 청구 대상은 선택적으로 무선 송수신기 제어 시스템이 제 1 송수신기의 제 3 DPLL에 연결된 제어 모뎀에 의해 송신된 제어 정보를 수신하도록 배열되고, 제어 모뎀이 프로세서로부터 제어 정보 및 제 3 DPLL로부터 클록킹 정보를 수신하고, 제 3 DPLL의 주파수를 필터링하도록 배열된 제 1 대역 통과 필터 중 다섯 번째를 통해 전송 선로를 통해 제어 정보를 송신하는 것을 포함한다.
예 633에서, 예 632의 청구 대상은 선택적으로 제어 정보가 제 3 DPLL의 주파수를 필터링하도록 배열된 제 2 대역 통과 필터 중 다섯 번째를 통해 전송 선로를 통해 제 2 송수신기에 의해 수신되는 것을 포함한다.
예 634에서, 예 612-633 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 635에서, 예 634의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 636에서, 예 634-635 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 637에서, 예 634-636 중 어느 하나 이상의 예의 청구 대상은 선택적으로 루프백 테스트가 제 1 변환 후에 수행되어 제 1 변환 후에 존재하는 동위상 및 직교(IQ) 불평형을 해결하는 것을 포함한다.
예 638은 광대역 신호를 공급하도록 배열된 디지털 아날로그 변환기(DAC); 제 1 5G 주파수 대역의 RF 신호를 공급하도록 배열된 제 1 디지털 위상 고정 루프(DPLL); 제 1 5G 주파수 대역의 RF 신호를 제 1 5G 주파수 대역보다 낮은 제 2 5G 주파수 대역의 RF 신호로 하향 변환하는 주파수 변환기; DAC 및 주파수 변환기에 연결된 제 1 믹서; RF 이중 송수신기 시스템을 테스트 모드로 설정하도록 배열되는 적어도 하나의 스위치 - 적어도 하나의 스위치는 제 2 5G 주파수 대역에서의 RF 신호 오류 대한 테스트 및 보정을 가능하게 함 - ; 제 3의 5G 주파수 대역에서 RF 신호를 공급하도록 배열된 제 2 DPLL; 제 1 믹서 및 제 2 DPLL의 출력에 연결되고 제 2 5G 주파수 대역에서의 RF 신호 오류의 보정 이후에 제 2의 5G 주파수 대역의 RF 신호를 제 2의 5G 주파수 대역보다 높은 제 4의 5G 주파수 대역의 RF 신호로 변환하는 제 2 믹서를 포함하는 이중 변환 무선 주파수(RF) 시스템이다.
예 639에서, 예 638의 청구 대상은 선택적으로 RF 신호 오류가 동위상 및 직교(IQ) 불평형을 포함하는 것을 포함한다.
예 640에서, 예 638-639 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 641에서, 예 617-640 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함하는 것을 포함한다.
예 642에서, 예 617-641 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 송수신기가 제 1 복수의 대역 통과 필터 - 제 1의 5G 주파수 대역의 수평 편파 RF 신호는 제 1의 5G 주파수 대역을 필터링하도록 배열된 제 1 대역 통과 필터 중 첫 번째를 통해 전송 선로에 송신되고, 제 2 5G 주파수 대역의 수직 편파된 RF 신호는 제 2의 5G 주파수 대역을 필터링하도록 배열된 제 1 대역 통과 필터 중 두 번째를 통해 전송 선로에 송신되고, WiGig 주파수 대역의 RF 신호는 WiGig 주파수 대역을 필터링하도록 배열된 제 1 대역 통과 필터 중 세 번째를 통해 전송 선로에 송신되며, 국부 발진 RF 신호는 국부 발진기 주파수를 필터링하도록 배열된 제 1 대역 통과 필터 중 네 번째를 통해 전송 선로에 송신됨 - 를 더 포함하는 것을 포함한다.
예 643에서, 예 642의 청구 대상은 선택적으로 제 2 송수신기가 제 2 복수의 대역 통과 필터 - 제 1 5G 주파수 대역의 수평 편파된 RF 신호는 제 1 5G 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 첫 번째를 통해 전송 선로에 송신되고, 제 2 5G 주파수 대역의 수직 편파된 RF 신호는 제 2 5G 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 두 번째를 통해 전송 선로에 송신되고, WiGig 주파수 대역의 RF 신호는 WiGig 주파수 대역을 필터링하도록 배열된 제 2 대역 통과 필터 중 세 번째를 통해 전송 선로에 송신되며, 국부 발진 RF 신호는 국부 발진기 주파수를 필터링하도록 배열된 제 2 대역 통과 필터 중 네 번째를 통해 전송 선로에 수신됨 - 를 더 포함하는 것을 포함한다.
예 644는 이중 송수신기 시스템이며, 이중 송수신기 시스템은: 제 1 송수신기 - 제 1 송수신기는 복수의 기저대역 신호를 수신하고, 복수의 기저대역 신호를 제 1 5G 주파수 대역의 수평 편파된 무선 주파수(RF) 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호로 상향 변환하며, 상향 변환된 RF 신호를 송신 라인을 통해 송신하도록 배열됨 - ; 및 제 2 송수신기를 포함하고, 제 2 송수신기는: 제 1 주파수 대역의 수평 편파된 RF 신호를 제 2 주파수 대역의 수평 편파된 RF 신호로 변환하고, 제 2 주파수 대역의 변환된 수평 편파된 RF 신호를 송신하며 제 2 주파수 대역의 수직 편파된 RF 신호를 송신할 수 있게 하는 제 1 구성의 복수의 스위치 - 제 2 주파수 대역의 수평 편파된 RF 신호 및 제 2 주파수 대역의 수직 편파된 RF 신호는 안테나 서브시스템으로 송신됨 - ; 또는 제 2 5G 주파수 대역의 수직 편파된 RF 신호를 제 1 5G 주파수 대역의 수평 편파된 RF 신호로 변환하고, 제 1 5G 주파수 대역의 변환된 수평 편파된 RF 신호를 송신하며 제 1 5G 주파수 대역의 수직 편파된 RF 신호를 송신할 수 있게 하는 제 2 구성의 복수의 스위치 - 제 1 5G 주파수 대역의 수평 편파된 RF 신호 및 제 1 5G 주파수 대역의 수직 편파된 RF 신호는 안테나 서브시스템으로 송신되고, 송신 라인은 제 1 송수신기와 제 2 송수신기 사이에 연결되고 제 1 송수신기와 제 2 송수신기 사이의 상향 변환된 RF 신호의 유일한 전도체가 되도록 배열되며, 제 2 5G 주파수 대역은 이중 송수신기 시스템과 연관된 사용 시스템이 위치하는 지역에서 지원되는 5G 생태계 주파수 대역이고, 제 1 5G 주파수 대역은 지역에서 지원되지 않는 5G 생태계 주파수 대역이고, 제 1 송수신기, 제 2 송수신기 및 송신 라인은 이동 디바이스의 일부를 포함함 - 를 포함하며; 제 1 구성의 복수의 스위치 및 제 2 구성의 복수의 스위치는 동일한 복수의 스위치이고, 복수의 스위치는 또한 이동 디바이스의 위치에 기초하여 또는 인터넷에 액세스하여 인터넷을 사용하기 위한 서비스를 이동 디바이스에 제공하는 인터넷 서비스 공급자에 기초하여 제 1 구성 또는 제 2 구성으로 자동적으로 설정되도록 배열된다.
예 645는 RF 신호를 복수의 주파수 대역의 안테나 서브시스템에 송신하는 방법이며, 방법은: 복수의 기저대역 신호를 수신하고, 복수의 기저대역 신호를 제 1 5G 주파수 대역의 수평 편파된 제 1 무선 주파수(RF) 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호로 상향 변환하며, 상향 변환된 RF 신호를 송신 라인을 통해 송신하도록 제 1 송수신기를 구성하는 단계; 상향 변환된 RF 신호를 송신 라인을 통해 수신하고, 제 1 5G 주파수 대역의 수평 편파된 RF 신호를 제 2 5G 주파수 대역의 수평 편파된 RF 신호로 하향 변환하고, 제 2 주파수 대역의 수평 편파된 RF 신호 및 제 2 주파수 대역의 수직 편파된 RF 신호를 안테나 서브시스템에 송신하도록 제 2 송수신기를 구성하는 단계; 및 제 1 송수신기로부터 제 2 송수신기로의 상향 변환된 RF 신호의 유일한 전도체가 되도록 전송 선로를 구성하는 단계를 포함한다.
예 646에서, 예 645의 청구 대상은 선택적으로 WiGig 주파수 대역의 RF 신호를 송신 라인을 통해 송신하도록 제 1 송수신기를 구성하는 단계 및 송신 라인을 통해 WiGig 주파수 대역의 송신된 RF 신호를 수신하고 WiGig 주파수 대역의 수신된 RF 신호를 안테나 서브시스템으로 송신하도록 제 2 송수신기를 구성하는 단계를 포함한다.
예 647에서, 예 645-646 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 5G 주파수 대역의 수평 편파된 RF 신호를 제 2 5G 주파수 대역의 수평 편파된 RF 신호로 변환할 수 있게 하고, 제 2 5G 주파수 대역의 변환된 수평 편파된 RF 신호를 송신하며, 제 2 5G 주파수 대역의 수직 편파된 제 2 RF 신호를 송신하도록 제 2 송수신기에 복수의 스위치를 구성하는 단계를 포함하고, 제 2 5G 주파수 대역의 수평 편파된 RF 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호는 안테나 서브시스템으로 송신된다.
예 648에서, 예 647의 청구 대상은 선택적으로 5G 주파수 대역을 포함한다.
예 649에서, 예 645-648의 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 5G 주파수 대역의 수직 편파된 RF 신호를 제 1 5G 주파수 대역의 수직 편파된 RF 신호로 변환할 수 있게 하고, 제 1 5G 주파수 대역의 변환된 수직 편파된 RF 신호를 송신하고, 제 1 5G 주파수 대역의 수평 편파된 RF 신호를 송신하도록 제 2 송수신기 내 복수의 스위치를 구성하는 단계를 포함하며, 제 1 5G 주파수 대역의 수평 편파된 RF 신호 및 제 1 5G 주파수 대역의 수평 편파된 RF 신호는 안테나 서브시스템으로 송신된다.
예 650에서, 예 649의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 651에서, 예 645-650 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 서브시스템이 적어도 하나의 안테나 배열을 포함하는 것을 포함하고, 방법은 다중 입력-다중 출력(MIMO) 동작을 위한 적어도 하나의 안테나 배열을 구성하는 단계를 더 포함한다.
예 652에서, 예 651의 청구 대상은 선택적으로 MIMO 동작을 위해 배열된 적어도 하나의 안테나 배열을 수직 편파된 정보 스트림 및 수평 편파된 정보 스트림을 방사하도록 구성하는 단계를 포함한다.
예 653에서, 예 651-652 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 654에서, 예 651-653 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나 배열이 이중 안테나 배열을 포함하는 것을 포함하고, 방법은 이중 안테나 배열을 WiGig 주파수 대역에서 동작하도록 구성하는 단계를 더 포함한다.
예 655에서, 예 645-654 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 656에서, 예 645-655 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 송수신기의 저역 통과 필터를 통해 송신 라인을 거쳐 제 1 송수신기에 의해 송신된 클록 정보를 수신함으로써, 제 2 송수신기를 제어하도록 제 2 송수신기에 위치된 무선 송수신기 제어 시스템을 구성하는 단계를 포함하며, 클록 정보는 송신 라인을 거쳐 제 2 송수신기의 저역 통과 필터를 통해 제 2 송수신기에 의해 수신된다.
예 657에서, 예 656의 청구 대상은 클록 정보가 제 1 송수신기에서 제 3 DPLL에 연결된 기준 클록에 의해 생성되는 것을 포함한다.
예 658에서, 예 657의 청구 대상은 선택적으로 제 1 송수신기의 제 3 DPLL에 연결된 제어 모뎀에 의해 송신된 제어 정보를 수신함으로써 제 2 송수신기를 제어하도록 무선 송수신기 제어 시스템을 구성하는 단계를 포함하며, 제어 모뎀은 프로세서로부터 제어 정보를 수신하고 제 3 DPLL로부터 클록킹 정보를 수신하며, 제어 정보를 제 3 DPLL의 주파수를 필터링하도록 배열된 대역 통과 필터를 통해 송신 라인을 거쳐 송신한다.
예 659에서, 예 658의 청구 대상은 선택적으로 제어 정보가 제 2 송수신기의 대역 통과 필터를 통해 송신 라인을 통해 제 2 송수신기에 의해 수신되는 것을 포함하며, 대역 통과 필터는 제 3 DPLL의 주파수를 필터링하도록 배열된다.
예 660에서, 예 645-659 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 661에서, 예 660의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 662는 무선 주파수(RF) 시스템에서의 이중 변환의 방법이며, 방법은: 광대역 신호를 공급하도록 디지털-아날로그 변환기(digital to analog converter)(DAC)를 구성하는 단계; 제 1 5G 주파수 대역의 RF 신호를 공급하도록 제 1 디지털 위상 고정 루프(digital phase locked loop)(DPLL)를 구성하는 단계; 제 1 5G 주파수 대역의 RF 신호를 제 1 5G 주파수 대역보다 낮은 제 2 5G 주파수 대역의 RF 신호로 하향 변환하도록 주파수 변환기를 구성하는 단계; DAC 및 주파수 변환기에 제 1 믹서를 연결하는 단계; 제 2의 5G 주파수 대역에서 RF 신호 오류를 테스트하고 보정할 수 있도록 RF 시스템을 루프백 모드로 구성하는 단계; 제 3 주파수에서 RF 신호를 공급하도록 제 2 DPLL을 구성하는 단계; 제 1 믹서의 출력 및 제 2 DPLL에 제 2 믹서를 연결하는 단계; 및 제 2 5G 주파수 대역의 RF 신호 오류의 보정 후에, 제 2 5G 주파수 대역의 RF 신호를 제 2 5G 주파수 대역보다 높은 제 4 5G 주파수 대역의 RF 신호로 변환하도록 제 2 믹서를 구성하는 단계를 포함한다.
예 663에서, 예 661-662 중 어느 하나 이상의 예의 청구 대상은 선택적으로 RF 신호 오류가 동 위상 및 직교(IQ) 불평형을 포함하는 것을 포함한다.
예 664에서, 예 661-663 중 어느 하나 이상의 예의 청구 대상은 선택적으로 G 주파수 대역을 포함한다.
예 665는 통신 디바이스의 장치이다. 장치는 디지털 극변조 송신기(digital polar transmitter)를 포함할 수 있다. 디지털 극변조 송신기는: 직교좌표-극좌표 변환기(rectangular-to-polar converter), 디지털-시간 변환기(digital-to-time converter)(DTC) 및 출력 발진기를 포함할 수 있다. 직교좌표-극좌표 변환기는 공급되는 직교좌표 입력 신호에 기초하여 극좌표 출력 신호를 제공하도록 구성될 수 있다. DTC는 무선 주파수(RF) 발진기 신호를 수신하고, 이에 응답하여 극좌표 출력 신호에 기초한 DTC 출력 신호를 제공하도록 구성될 수 있다. 출력 발진기는 DTC 출력 신호 및 mmWave 주파수의 출력 발진기 신호를 수신하도록 구성될 수 있다.
예 666에서, 예 665의 청구 대상은 선택적으로 출력 발진기가 펄스 성형기(pulse shaper) 및 주입 발진기(injection oscillator)를 포함할 수 있는 것을 포함한다. 펄스 성형기는 DTC 출력 신호를 수신하고 mmWave 주파수에서 DTC 출력 신호의 고조파를 제공하도록 구성될 수 있다. 주입 발진기는 펄스 성형기로부터 고조파를 수신하고 출력 발진기 신호를 고조파에 고정하여 mmWave 주파수에서 출력 발진기 신호를 생성하도록 구성될 수 있다.
예 667에서, 예 666의 청구 대상은 선택적으로 펄스 성형기 및 주입 발진기가 적분 회로를 형성하는 것을 포함한다.
예 668에서, 예 667의 청구 대상은 선택적으로 적분 회로가 탱크 회로(tank circuit) 및 주입 고정 회로(injection locking circuit)를 포함할 수 있는 것을 포함한다. 탱크 회로는 mmWave 주파수에서 공진하도록 구성된 인덕터-캐패시터 조합을 포함할 수 있다. 주입 고정 회로는 DTC 출력 신호의 고조파를 수신하고 탱크 회로가 mmWave 주파수에서 공진하게 유도하도록 구성될 수 있다. 주입 고정 회로는 DTC 출력 신호의 고조파가 게이트 전압으로서 탱크 회로에 전류를 주입하도록 공급되는 직렬 연결된 트랜지스터를 포함할 수 있다.
예 669에서, 예 665-668 중 어느 하나 이상의 예의 청구 대상은 선택적으로 DTC가 상이한 시간에 트리거되도록 구성된 복수의 개개 DTC를 포함하는 시간-인터리빙된 DTC를 포함할 수 있는 것을 포함한다.
예 670에서, 예 669의 청구 대상은 선택적으로 디지털 극변조 송신기가 직교좌표-극좌표 변환기로부터의 극좌표 출력 신호를 디지털 워드로 변환하고, 디지털 워드를 복수의 개개 DTC에 공급하여 DTC 출력 신호를 생성하는 직렬-병렬 변환기를 더 포함할 수 있는 것을 포함한다.
예 671에서, 예 670의 청구 대상은 선택적으로 시간-인터리빙된 DTC가 개개의 DTC로부터의 출력을 결합하여 DTC 출력 신호를 생성하도록 구성된 논리 결합기를 더 포함할 수 있는 것을 포함한다.
예 672에서, 예 665-671 중 어느 하나 이상의 예의 청구 대상은 선택적으로 DTC가 매 기간마다 RF 발진기 신호의 에지를 동적으로 지연시켜 DTC 출력 생성시 위상 변조를 도입하도록 구성될 수 있는 것을 포함한다.
예 673에서, 예 672의 청구 대상은 선택적으로 디지털 극변조 송신기가 RF 발진기 신호의 주파수를 중간 주파수로 감소시키도록 구성된 다중 모듈러스 분주기(multi-modulus divider) 및 중간 주파수를 수신하고 이에 응답하여 이에 기초하여 더 높은 주파수에서 자가-정렬된 위상 신호를 생성하는 디지털 제어 에지 보간기(digitally-controlled edge interpolator)를 더 포함할 수 있는 것을 포함한다.
예 674에서, 예 665-673 중 어느 하나 이상의 예의 청구 대상은 선택적으로 출력 발진기 신호에 따라 신호를 송신하도록 구성될 수 있는 안테나를 포함한다.
예 675는 mmWave 주파수 신호를 제공하는 방법이다. 방법은 기준 발진 신호를 수신하는 단계 및 다중 스테이지 프로세스를 사용하여 기준 발진 신호에 기초하여 mmWave 주파수에서 위상 변조된 출력 신호를 생성하는 단계를 포함할 수 있다. 다중 스테이지 프로세스는 기준 발진 신호의 주파수를 더 낮은 주파수 신호로 낮추는 단계; 입력 신호에 따라, 디지털-시간 변환기(DTC)에서 더 낮은 주파수 신호의 주파수보다 높은 주파수에서 위상 변조된 신호를 생성하기 위해 더 낮은 주파수 신호의 위상을 변조하는 단계; DTC로부터 위상 변조된 신호를 발진기 회로에 송신하는 단계; 및 위상 변조된 신호에 기초하여 발진기 회로에서 mmWave 주파수에서 위상 변조된 신호를 생성하는 단계를 포함할 수 있다.
예 676에서, 예 675의 청구 대상은 선택적으로 mmWave 주파수에서 위상 변조된 신호를 생성하는 단계는 위상 변조된 신호의 고조파를 증폭하는 단계 및 출력 발진기 신호를 생성하기 위해 발진기 회로의 발진기 신호를 고조파에 고정하는 단계를 포함한다.
예 677에서, 예 676의 청구 대상은 선택적으로 발진기 회로의 발진기 신호를 고조파에 고정하는 단계가 직렬 연결된 트랜지스터를 통해, 탱크 회로에 전류를 주입하여 탱크 회로가 mmWave 주파수에서 공진하도록 유도하는 단계를 포함할 수 있는 것을 포함한다.
예 678에서, 예 675-677 중 어느 하나 이상의 예의 청구 대상은 선택적으로 더 낮은 주파수 신호의 위상을 변조하는 단계가 DTC의 복수의 개개 DTC에 디지털 워드를 제공하는 단계를 포함할 수 있는 것을 포함한다. 디지털 워드는 입력 신호에 종속할 수 있다. 변조 단계는 디지털 워드에 기초하여 개개 DTC를 트리거하는 단계를 더 포함할 수 있다.
예 679에서, 예 678의 청구 대상은 선택적으로 더 낮은 주파수 신호의 위상을 변조하는 단계가 직교좌표 입력 신호를 극좌표 출력 신호로 변환하는 단계 및 극좌표 출력 신호에 기초하여, 디지털 워드의 병렬 사본을 생성하여 디지털 워드의 사본을 개개 DRC에 전송하는 단계를 포함할 수 있는 것을 포함한다.
예 680에서, 예 679의 청구 대상은 선택적으로 더 낮은 주파수 신호의 위상을 변조하는 단계가 개개의 DTC로부터의 출력을 논리적으로 결합하여 위상 변조된 신호를 생성하는 단계를 포함할 수 있는 것을 포함한다.
예 681에서, 예 675-680 중 어느 하나 이상의 예의 청구 대상은 선택적으로 더 낮은 주파수 신호의 위상을 변조하는 단계가 매 기간마다 기준 발진기 신호의 에지를 동적으로 지연시켜 위상 변조된 신호를 생성하는 위상 변조를 도입하는 단계를 포함할 수 있는 것을 포함한다.
예 682에서, 예 681의 청구 대상은 선택적으로 더 낮은 주파수 신호에 기초하여 자기 정렬된 위상 신호를 생성하기 위해 에지 보간법을 사용하는 단계를 포함한다.
예 683는 디지털 극변조 송신기의 장치이다. 장치는 직교좌표 입력 신호를 디지털-시간 변환기(DTC)에 필요한 극좌표 출력 신호로 변환하기 위한 수단; 기준 발진 신호를 수신하기 위한 수단; 기준 발진 신호의 주파수를 더 낮은 주파수 신호로 낮추기 위한 수단; 입력 신호에 따라, DTC에서 더 낮은 주파수 신호보다 높은 주파수에서 위상 변조된 신호를 생성하기 위해 더 낮은 주파수 신호의 위상을 변조하기 위한 수단; DTC로부터 위상 변조된 신호를 발진기 회로로 송신하기 위한 수단; 및 위상 변조된 신호에 기초하여 발진기 회로에서 mmWave 주파수에서 위상 변조된 신호를 생성하기 위한 수단을 포함할 수 있다.
예 684에서, 예 683의 청구 대상은 선택적으로 위상 변조된 신호의 고조파를 증폭하기 위한 수단 및 출력 발진기 신호를 생성하기 위해 발진기 회로의 발진기 신호를 고조파에 고정하기 위한 수단을 포함한다.
예 685에서, 예 684의 청구 대상은 선택적으로 직렬 연결된 트랜지스터를 통해, 탱크 회로에 전류를 주입하여 탱크 회로가 mmWave 주파수에서 공진하도록 유도하기 위한 수단을 포함한다.
예 686에서, 예 683-685 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디지털 워드를 DTC의 복수의 개개 DTC에 제공하기 위한 수단 - 디지털 워드는 극좌표 출력 신호에 종속함 - 및 디지털 워드에 기초하여 개개의 DTC를 트리거하기위한 수단을 포함한다.
예 687에서, 예 686의 청구 대상은 선택적으로 극좌표 출력 신호에 기초하여, 디지털 워드의 병렬 사본을 생성하여 개개의 DTC에 송신하기 위한 수단을 포함한다.
예 688에서, 예 687의 청구 대상은 선택적으로 개개의 DTC로부터의 출력을 논리적으로 결합하여 위상 변조된 신호를 생성하기 위한 수단을 포함한다.
예 689에서, 예 683-688 중 어느 하나 이상의 예의 청구 대상은 선택적으로 매 기간마다 기준 발진기 신호의 에지를 동적으로 지연시켜 위상 변조된 신호를 생성하는 위상 변조를 도입하기 위한 수단을 포함한다.
예 690에서, 예 689의 청구 대상은 선택적으로 에지 보간법(edge interpolation)을 사용하여 더 낮은 주파수 신호에 기초하여 자가 정렬된 위상 신호를 생성하기 위한 수단을 포함한다.
예 691은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 하나 이상의 프로세서는 통신 디바이스가 기준 발진 신호의 주파수를 더 낮은 주파수 신호로 낮추도록 구성할 수 있다. 하나 이상의 프로세서는 또한 통신 디바이스가 디지털-시간 변환기(DTC)에서, 기준 발진 신호의 주파수에서 위상 변조된 신호를 생성하기 위해 더 낮은 주파수 신호의 위상을 변조하도록 구성할 수 있다. 하나 이상의 프로세서는 또한 통신 디바이스가 DTC로부터 위상 변조된 신호를 발진기 회로로 송신하도록 구성할 수 있다. 하나 이상의 프로세서는 통신 디바이스가 위상 변조된 신호에 기초하여 발진기 회로에서 mmWave 주파수에서 위상 변조된 신호를 생성하도록 구성할 수 있다.
예 692에서, 예 691의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 위상 변조된 신호의 고조파를 증폭하고 발진기 회로의 발진기 신호를 고조파에 고정하여 출력 발진기 신호를 생성하도록 통신 디바이스를 구성할 수 있는 것을 포함한다.
예 693에서, 예 692의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 직렬 연결된 트랜지스터를 통해, 탱크 회로에 전류를 주입하여 탱크 회로가 mmWave 주파수에서 공진하게 유도하도록 통신 디바이스를 구성할 수 있는 것을 포함한다.
예 694에서, 예 691-693 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 직교좌표 입력 신호를 극좌표 출력 신호로 변환하도록 통신 디바이스를 구성할 수 있는 것을 포함한다. 하나 이상의 프로세서는 또한 통신 디바이스가 DTC의 복수의 개개 DTC에 디지털 워드를 제공하도록 구성할 수 있다. 디지털 워드는 극좌표 출력 신호에 종속할 수 있다. 하나 이상의 프로세서는 또한 통신 디바이스가 디지털 워드에 기초하여 개개의 DTC를 트리거하도록 구성할 수 있다.
예 695에서, 예 694의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 극좌표 출력 신호에 기초하여, 디지털 워드의 병렬 사본을 생성하여 개개의 DRC로 전송하도록 통신 디바이스를 구성할 수 있는 것을 포함한다.
예 696에서, 예 695의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 개개의 DTC로부터의 출력을 논리적으로 결합하여 위상 변조된 신호를 생성하도록 통신 디바이스를 구성할 수 있는 것을 포함한다.
예 697는 수신기의 장치이다. 장치는 피드포워드 등화기(feedforward equalizer)(FFE)를 포함할 수 있다. FFE는 직렬로 연결되고 수직 및 수평 편파된 동 위상(I) 및 직교 위상(Q) 신호가 병렬로 제공되는 복수의 FFE 스테이지를 포함할 수 있다. 각각의 FFE 스테이지는 복수의 지연을 포함할 수 있다. 수직 및 수평 편파된 I 및 Q 신호는 각각의 지연에 인접한 탭에서 교차 결합될 수 있다. 교차 결합은 교차 결합된 수직 및 수평 편파된 I 및 Q 신호를 제공하도록 구성될 수 있다.
예 698에서, 예 697의 청구 대상은 선택적으로 지연이: 수평 편파된 I 입력 신호가 제공되는 수평 편파된 I 신호 라인 상의 수평 I 지연, 수평 편파된 Q 입력 신호가 제공되는 수평 편파된 Q 신호 라인 상의 수평 Q 지연, 수직 편파된 I 입력 신호가 제공되는 수직 편파된 I 신호 라인 상의 수직 편파된 I 지연, 및 수직 편파된 Q 입력 신호가 제공되는 수직 편파된 Q 신호 라인 상의 수직 편파된 Q 지연을 포함할 수 있는 것을 포함한다.
예 699에서, 예 697-698 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 교차 결*이: 제 1 세트의 체배기 - 각각의 체배기는 교차 결합에 공급된 수직 편파된 I 신호를 가중하여 가중된 수직 편파된 I 신호를 생성하도록 구성됨 - 와, 제 2 세트의 체배기 - 각각의 체배기는 교차 결합에 공급된 수평 편파된 I 신호를 가중하여 가중된 수평 편파된 I 신호를 생성하도록 구성됨 - 와, 제 3 세트의 체배기 - 각각의 체배기는 교차 결합에 공급된 수직 편파된 Q 신호를 가중하여 가중된 수직 편파된 Q 신호를 생성하도록 구성됨 - 와, 제 4 세트의 체배기 - 각각의 체배기는 교차 결합에 공급된 수평 편파된 Q 신호를 가중하여 가중된 수평 편파된 Q 신호를 생성하도록 구성됨 - 를 포함할 수 있는 것을 포함한다.
예 700에서, 예 699의 청구 대상은 선택적으로 체배기의 제 1, 제 2, 제 3 및 제 4 세트 중 적어도 일부의 가중 계수가 서로 독립적인 것을 포함한다.
예 701에서, 예 700의 청구 대상은 선택적으로 체배기의 제 1, 제 2, 제 3 및 제 4 세트 중 적어도 한 세트의 가중 계수가 체배기의 제 1, 제 2, 제 3 및 제 4 세트 중 적어도 다른 한 세트의 가중 계수와 독립적인 것을 포함한다.
예 702에서, 예 700-701 중 어느 하나 이상의 예의 청구 대상은 선택적으로 체배기의 제 1, 제 2, 제 3 및 제 4 세트 중 한 세트 내의 각각의 가중 계수가 체배기의 제 1 세트, 제 2 세트, 제 3 세트 및 제 4 세트 중 한 세트 내의 다른 가중 계수와 독립적인 것을 포함한다.
예 703에서, 예 699-702 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 교차 결합이 결합된 I 수평 편파 신호를 제공하기 위해 제 1의 가중된 수평 편파된 I 신호, 제 1의 가중된 수직 편파된 Q 신호 및 제 1의 가중된 수평 편파된 Q 신호와 결합된 제 1의 가중된 수직 편파된 I 신호, 결합된 수직 편파된 I 신호를 제공하기 위해 제 2의 가중된 수직 편파된 I 신호, 제 2의 가중된 수직 편파된 Q 신호 및 제 2의 가중된 수평 편파된 Q 신호와 결합된 제 2의 가중된 수평 편파된 I 신호, 결합된 Q 수평 편파된 신호를 제공하기 위해 제 3의 가중된 수평 편파된 I 신호, 제 3의 가중된 수직 편파된 I 신호 및 제 3의 가중된 수평 편파된 Q 신호와 결합된 제 3의 가중된 수직 편파된 Q 신호, 결합된 수직 편파된 Q 신호를 제공하기 위해 제 4의 가중된 수직 편파된 I 신호, 제 4의 가중된 수직 편파된 Q 신호 및 제 4의 가중된 수평 편파된 I 신호와 결합된 제 4의 가중된 수평 편파된 Q 신호를 포함할 수 있는 것을 포함한다.
예 704에서, 예 699-703 중 어느 하나 이상의 예의 청구 대상은 선택적으로 FFE 스테이지 중 하나의 FFE에서: 각각의 교차 결합의 결합된 I 수평 편파된 신호가 결합되어 FFE 스테이지 중 하나로부터의 출력 수평 편파된 I 신호출력을 형성할 수 있고, 출력 수평 편파된 I 신호가 다른 FFE 스테이지에 입력 수평 편파된 I 신호로서 또는 FFE의 출력 수평 편파된 I 신호로서 제공될 수 있는 것을 포함할 수 있다. FFE 스테이지에서, 각각의 교차 결합의 결합된 수직 편파된 I 신호는 결합되어 FFE 스테이지 중 하나로부터의 출력 수직 편파된 I 신호를 형성할 수 있고 출력 수직 편파된 I 신호는 다른 FFE 스테이지에 입력 수직 편파된 I 신호로서 또는 FFE의 출력 수직 편파된 I 신호로서 제공될 수 있다. FFE 스테이지에서, 각각의 교차 결합의 결합된 Q 수평 편파된 신호는 결합되어 FFE 스테이지 중 하나로부터의 출력 수평 편파된 Q 신호를 형성할 수 있고 출력 수평 편파된 Q 신호는 다른 FFE 스테이지에 입력 수평 편파된 Q 신호로서 또는 그 FFE의 출력 수평 편파된 Q 신호로서 제공되며; 각각의 교차 결합의 결합된 수직 편파된 Q 신호는 결합되어 FFE 스테이지 중 하나로부터의 출력 수직 편파된 Q 신호를 형성할 수 있고 출력 수직 편파된 Q 신호는 다른 FFE 스테이지에 입력 수직 편파된 Q 신호로서 또는 그 FFE의 출력 수직 편파된 Q 신호로서 제공된다.
예 705에서, 예 697-704 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 각 FFE 스테이지에서 동일하다는 것을 포함한다.
예 706에서, 예 697-705 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 FFE 스테이지에서의 탭의 개수가 적어도 하나의 다른 FFE 스테이지에서의 탭의 개수와 상이하다는 것을 포함한다.
예 707에서, 예 706의 청구 대상은 선택적으로 탭의 개수가 FFE 스테이지를 가로 질러 줄어드는(taper) 것을 포함한다.
예 708에서, 예 699-707 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 소거될 프리-커서(pre-cursor) 심볼 간 간섭(nter-symbol interferenc)(ISI)의 개수에 대응하고, 각각의 탭은 상이한 프리-커서 ISI 타입을 소거하도록 구성되는 것을 포함한다.
예 709에서, 예 708의 청구 대상은 선택적으로 각각의 FFE 스테이지가 수직 편파된 I(VI) 신호, 수평 편파된 I(HI) 신호, 수직 편파된 Q(VQ) 신호 및 수평 편파된 Q(HQ) 신호를 포함하고, FFE가 Vl-대-VI, VQ-대-VQ, HI-대-HI, HQ-대-HQ ISI를 포함하는 직접 ISI를 소거하고 VI-대-VQ, VI-대-HI, VI-대-HQ, VQ-대-VI, VQ-대-HI, VQ-대-HQ, HI-대-VI, HI-대-VQ, HI-대-HQ, HQ-대-VI, HQ-대-VQ, HQ-대-HI ISI를 포함하는 크로스토크 ISI를 소거하도록 구성되는 것을 포함한다.
예 710에서, 예 697-709 중 어느 하나 이상의 예의 청구 대상은 FFE가 동작하는 동안 각각의 FFE 스테이지에서 수직 및 수평으로 I 및 Q 편파된 신호에 대한 각각의 가중 계수가 조정되는 것을 포함한다.
예 711에서, 예 710의 청구 대상은 선택적으로 초기 탭 이외의 가중 계수가 수렴 및 안정화에 앞서 초기에 미리 정의된 값으로 각각 설정되는 것을 포함한다.
예 712에서, 예 697-711 중 어느 하나 이상의 예의 청구 대상은 선택적으로 입력 신호를 FFE에 제공하는 안테나를 포함한다.
예 713은 아날로그 신호 등화를 제공하는 방법이다. 방법은 피드포워드 등화기(FFE)의 복수의 직렬 연결된 FFE 스테이지에 복수의 유형의 신호를 제공하는 단계를 포함할 수 있다. 복수의 유형의 신호는 수직 및 수평으로 편파된 동 위상(I) 및 직교 위상(Q) 신호(VI, VQ, HI 및 HQ 신호)를 포함할 수 있다. 방법은 FFE 스테이지 중 제 1 FFE 스테이지에서, 일련의 지연을 통해 입력 (VI, VQ, HI 및 HQVI, VQ, HI 및 HQ) 신호를 지연하여 복수의 지연된 VI, VQ, HI 및 HQ 신호의 세트를 형성하는 단계를 더 포함할 수 있다. 지연된 VI, VQ, HI 및 HQ 신호의 각 세트는 복수의 탭 중 상이한 탭과 연관될 수 있다. 방법은 FFE 스테이지 중 제 1 FFE 스테이지에서, 각 탭에서 VI, VQ, HI 및 HQ 신호 각각을 복수의 유형의 가중 계수 각각으로 가중화하여 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 형성하는 단계를 더 포함할 수 있다. 복수의 유형의 가중 계수는 VI, VQ, HI 및 HQ 가중 계수를 포함할 수 있다. 방법은 FFE 스테이지 중 제 1 FFE 스테이지에서, 각 탭에서 VI 가중된 신호를 결합하여 VI 출력 신호를 형성하고, 각 탭에서 VQ 가중된 신호를 결합하여 VQ 출력 신호를 형성하고, 각각의 탭에서 HI 가중된 신호를 결합하여 HI 출력 신호를 형성하고, 각 탭에서 HQ 가중된 신호를 결합하여 HQ 출력 신호를 형성하는 단계를 더 포함할 수 있다. 방법은 FFE 스테이지 중 제 1 FFE 스테이지에서, VI, VQ, HI 및 HQ 출력 신호 각각을 다른 FFE 스테이지에 VI, VQ, HI 및 HQ 입력 신호로서 또는 그 FFE의 VI, VQ, HI 및 HQ 출력으로서 제공하는 단계를 더 포함할 수 있다.
예 714에서, 예 713의 청구 대상은 선택적으로 각 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 사용하여 상이한 프리-커서 심볼간 간섭(ISI) 유형을 소거하는 단계를 포함한다.
예 715에서, 예 713-714 중 어느 하나 이상의 예의 청구 대상은 선택적으로 VI, VQ, HI 및 HQ 가중 계수 중 적어도 일부가 서로 독립적인 것을 포함한다.
예 716에서, 예 713-715 중 어느 하나 이상의 예의 청구 대상은 선택적으로 한 유형의 신호의 가중 계수의 각 유형이 한 유형의 신호의 가중 계수의 각각의 다른 유형과 독립적인 것을 포함한다.
예 717에서, 예 713-716 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 유형의 신호의 한 유형의 가중 계수가 각각의 다른 유형의 신호의 한 유형의 가중 계수와 독립적인 것을 포함한다.
예 718에서, 예 713-717 중 어느 하나 이상의 예의 청구 대상은 선택적으로 연속적인 FFE 스테이지에서 입력 신호에 대해 지연하는 단계, 가중하는 단계 및 결합하는 단계를 반복하는 단계를 더 포함한다.
예 719에서, 예 713-718 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 각 FFE 스테이지에서 동일하다는 것을 포함한다.
예 720에서, 예 -719 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 FFE 스테이지를 가로 질러 줄어드는 것을 포함한다.
예 721에서, 예 713-720의 청구 대상은 선택적으로 초기 탭 이외의 탭에서, 초기에 VI, VQ, HI 및 HQ 신호 각각에 대해 VI, VQ, HI 및 HQ 가중 계수를 미리 정의된 값으로 설정하는 단계 및 적응 프로세스 동안 VI, VQ, HI 및 HQ 가중 계수를 업데이트하여 가중 동안 VI, VQ, HI 및 HQ 가중 계수를 수렴하고 안정화하는 단계를 포함한다.
예 722는 통신 디바이스의 장치이다. 방법은 피드포워드 등화기(FFE)의 복수의 직렬 연결된 FFE 스테이지에 복수 유형의 신호를 제공하기 위한 수단을 포함할 수 있다. 복수 유형의 신호는 FFE 스테이지 중 제 1 FFE 스테이지에서, 수직 및 수평으로 편파된 동 위상(I) 및 직교 위상(Q) 신호(VI, VQ, HI 및 HQ 신호)를 포함할 수 있다. 장치는 일련의 지연을 통해 입력 VI, VQ, HI 및 HQ 신호를 지연하여 복수의 지연된 VI, VQ, HI 및 HQ 신호의 세트를 형성하기 위한 수단을 더 포함할 수 있다. 지연된 VI, VQ, HI 및 HQ 신호의 각 세트는 복수의 탭 중 상이한 탭과 연관될 수 있다. 장치는 각 탭에서 VI, VQ, HI 및 HQ 신호 각각을 복수 유형의 가중 계수 각각으로 가중화하여 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 형성하기 위한 수단을 더 포함할 수 있다. 복수의 유형의 가중 계수는 VI, VQ, HI 및 HQ 가중 계수를 포함할 수 있다. 장치는 각 탭에서 VI 가중된 신호를 결합하여 VI 출력 신호를 형성하고, 각 탭에서 VQ 가중된 신호를 결합하여 VQ 출력 신호를 형성하고, 각각의 탭에서 HI 가중된 신호를 결합하여 HI 출력 신호를 형성하고, 각 탭에서 HQ 가중된 신호를 결합하여 HQ 출력 신호를 형성하기 위한 수단을 더 포함할 수 있다. 장치는 VI, VQ, HI 및 HQ 출력 신호 각각을 다른 FFE 스테이지에 VI, VQ, HI 및 HQ 입력 신호로서 또는 그 FFE의 VI, VQ, HI 및 HQ 출력으로서 제공하기 위한 수단을 더 포함할 수 있다.
예 723에서, 예 722의 청구 대상은 선택적으로 각 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 사용하여 상이한 프리-커서 심볼간 간섭(ISI) 유형을 소거하기 위한 수단을 포함한다.
예 724에서, 예 722-723 중 어느 하나 이상의 예의 청구 대상은 선택적으로 VI, VQ, HI 및 HQ 가중 계수 중 적어도 일부가 서로 독립적인 것을 포함한다.
예 725에서, 예 722-724 중 어느 하나 이상의 예의 청구 대상은 선택적으로 한 유형의 신호의 가중 계수의 각 유형이 한 유형의 신호의 가중 계수의 각각의 다른 유형과 독립적인 것을 포함한다.
예 726에서, 예 722-725 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 유형의 신호의 한 유형의 가중 계수가 각각의 다른 유형의 신호의 한 유형의 가중 계수와 독립적인 것을 포함한다.
예 727에서, 예 722-726 중 어느 하나 이상의 예의 청구 대상은 선택적으로 연속적인 FFE 스테이지에서 입력 신호에 대해 지연하고, 가중하고 결합하는 것을 반복하기 위한 수단을 더 포함한다.
예 728에서, 예 722-727 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 각 FFE 스테이지에서 동일하다는 것을 포함한다.
예 729에서, 예 722-728 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 FFE 스테이지를 가로 질러 줄어드는 것을 포함한다.
예 730에서, 예 722-729 중 어느 하나 이상의 예의 청구 대상은 선택적으로 초기 탭 이외의 탭에서, 초기에VI, VQ, HI 및 HQ 신호 각각에 대해VI, VQ, HI 및 HQ 가중 계수를 미리 정의된 값으로 설정하기 위한 수단; 및 적응 프로세스 동안VI, VQ, HI 및 HQ 가중 계수를 업데이트하여 가중 동안VI, VQ, HI 및 HQ 가중 계수를 수렴하고 안정화하기 위한 수단을 포함한다.
예 731은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 피드포워드 등화기(FFE)의 직렬 연결된 복수의 FFE 스테이지에 복수 유형의 신호를 제공하도록 구성될 수 있다. 복수 유형의 신호는 수직 및 수평으로 편파된 동 위상(I) 및 직교 위상(Q) 신호(VI, VQ, HI 및 HQ 신호)를 포함할 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 FFE 스테이지 중 제 1 FFE 스테이지에서, 일련의 지연을 통해 입력 VI, VQ, HI 및 HQ 신호를 지연하여 복수의 지연된 VI, VQ, HI 및 HQ 신호의 세트를 형성하도록 구성될 수 있다. 지연된 VI, VQ, HI 및 HQ 신호의 각 세트는 복수의 탭 중 상이한 탭과 연관될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 FFE 스테이지 중 제 1 FFE 스테이지에서, 각 탭에서 VI, VQ, HI 및 HQ 신호 각각을 복수 유형의 가중 계수 각각으로 가중화하여 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 형성하도록 구성될 수 있다. 복수 유형의 가중 계수는 VI, VQ, HI 및 HQ 가중 계수를 포함할 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 FFE 스테이지 중 제 1 FFE 스테이지에서, 각 탭에서 VI 가중된 신호를 결합하여 VI 출력 신호를 형성하고, 각 탭에서 VQ 가중된 신호를 결합하여 VQ 출력 신호를 형성하고, 각각의 탭에서 HI 가중된 신호를 결합하여 HI 출력 신호를 형성하고, 각 탭에서 HQ 가중된 신호를 결합하여 HQ 출력 신호를 형성하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 FFE 스테이지 중 제 1 FFE 스테이지에서, VI, VQ, HI 및 HQ 출력 신호 각각을 다른 FFE 스테이지에 VI, VQ, HI 및 HQ 입력 신호로서 또는 그 FFE의 VI, VQ, HI 및 HQ 출력으로서 제공하도록 구성될 수 있다.
예 732에서, 예 731의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 각 탭에서 VI, VQ, HI 및 HQ 가중된 신호를 사용하여 상이한 프리-커서 심볼간 간섭(ISI) 유형을 소거하도록 명령하는 것을 포함한다.
예 733에서, 예 731-732 중 어느 하나 이상의 예의 청구 대상은 선택적으로 VI, VQ, HI 및 HQ 가중 계수 중 적어도 일부가 서로 독립적인 것을 포함한다.
예 734에서, 예 731-733 중 어느 하나 이상의 예의 청구 대상은 선택적으로 한 유형의 신호의 가중 계수의 각 유형이 한 유형의 신호의 가중 계수의 각각의 다른 유형과 독립적인 것을 포함한다.
예 735에서, 예 731-734 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 유형의 신호의 한 유형의 가중 계수가 각각의 다른 유형의 신호의 한 유형의 가중 계수와 독립적인 것을 포함한다.
예 736에서, 예 731-735 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 연속적인 FFE 스테이지에서 입력 신호에 대해 지연하고, 가중하고 결합하는 것을 반복하도록 명령하는 것을 포함한다.
예 737에서, 예 731-736 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 각 FFE 스테이지에서 동일하다는 것을 포함한다.
예 738에서, 예 731-737 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭의 개수가 FFE 스테이지를 가로 질러 줄어드는 것을 포함한다.
예 739에서, 예 731-738의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 초기 탭 이외의 탭에서, 초기에 VI, VQ, HI 및 HQ 신호 각각에 대해VI, VQ, HI 및 HQ 가중 계수를 미리 정의된 값으로 설정하도록 명령하고, 적응 프로세스 동안VI, VQ, HI 및 HQ 가중 계수를 업데이트하여 가중 동안VI, VQ, HI 및 HQ가중 계수를 수렴하고 안정화하도록 명령하는 것을 포함한다.
예 740는 수신기의 장치이다. 장치는 결정 피드백 등화기(Decision Feedback Equalizer)(DFE)를 포함할 수 있다. DFE는 직렬 체인 및 병렬 체인을 갖는 경로를 포함할 수 있다. 직렬 체인은 1 비트 출력 및 2 비트의 최상위 비트(most significant bit)(MSB) 및 최하위 비트(least significant bit)(LSB) 출력을 제공하도록 구성될 수 있다. 장치는 직렬 및 병렬 체인과 경로를 따라 배치된 복수의 탭 중에서 선택하도록 구성된 선택기를 더 포함할 수 있다. 탭의 개수는 직렬 체인과 병렬 체인 중 어느 것이 선택기에 의해 선택되는지에 종속할 수 있다. 탭으로부터의 출력은 포스트-커서 심볼간 간섭(ISI)을 보상하도록 구성될 수 있다.
예 741에서, 예 740의 청구 대상은 선택적으로 클록 신호에 의해 트리거되는 복수의 지연을 포함한다. 각각의 탭은 상이한 DFF의 출력으로부터 취해질 수 있다.
예 742에서, 예 741의 청구 대상은 선택적으로 각 지연이 D 플립플롭을 포함하는 것을 포함한다.
예 743에서, 예 742의 청구 대상은 선택적으로 선택기가 복수의 멀티플렉서를 포함하는 것을 포함한다. 각각의 멀티플렉서는 상이한 지연과 연관될 수 있고 연관된 지연의 입력과 연결된 출력을 가질 수 있다.
예 744에서, 예 743의 청구 대상은 선택적으로 각각의 멀티플렉서가 동일한 선택기 신호와 연결되는 것을 포함한다. 선택기 신호는 직렬 체인 및 병렬 체인 중 어느 것이 DFE에 의해 사용되는지의 선택을 제어하도록 구성될 수 있다.
예 745에서, 예 744의 청구 대상은 선택적으로 각 선택기의 입력이 직렬 체인에서 이전 지연으로부터의 출력 및 병렬 체인에서의 이전 지연으로부터의 출력을 포함하는 것을 포함한다.
예 746에서, 예 740-745 중 어느 하나 이상의 예의 청구 대상은 선택적으로 선택기가 변조 방식에 기초하여 체인 유형을 선택하도록 구성되는 것을 포함한다. 체인 유형은 직렬 체인 및 병렬 체인을 포함할 수 있다.
예 747에서, 예 746의 청구 대상은 선택적으로 직렬 체인이 직교 위상 변위 변조(Quadrature Phase-Shift Keying)(QPSK)를 위해 선택되고, 병렬 체인이 16 직교 진폭 변조(16 Quadrature Amplitude Modulation(16QAM) 또는 그 이상의 변조를 위해 선택되는 것을 포함한다.
예 748에서, 예 740-747 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭이 제 1 및 제 2 탭 및 제 1 및 제 2 탭 다음의 나머지 탭을 포함하는 것을 포함한다. 장치는 나머지 탭에 앞서 배치된 제 1 및 제 2 래치를 더 포함할 수 있다. 제 1 탭은 제 1 래치의 입력으로부터 취해질 수 있고, 제 2 탭은 제 2 래치의 출력으로부터 취해질 수 있다. 제 1 래치의 출력은 제 2 래치의 입력과 연결될 수 있다.
예 749에서, 예 748의 청구 대상은 선택적으로 직렬 체인에서, 제 2 래치의 출력은 직렬 체인을 형성하는 제 1 지연의 입력과 연결될 수 있다는 것을 포함한다.
예 750에서, 예 748-749 중 어느 하나 이상의 예의 청구 대상은 선택적으로 평행한 체인에서: MSB가 제 1 래치와 제 2 래치 사이에서 취해지고; LSB가 제 3 래치의 출력으로부터 취해지고; 제 1 래치의 출력이 또한 멀티플렉서의 선택기 입력에 연결되고; 멀티플렉서의 출력이 제 3 래치의 입력에 연결되며; 제 2 탭이 제 2 및 제 3 래치의 출력으로부터 취해지는 것을 포함한다.
예 751에서, 예 740-750 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭이 제 1 및 제 2 탭 및 제 1 및 제 2 탭 다음의 나머지 탭을 포함하는 것을 포함한다. 제 1 탭은 엄격한 지연 제약이 있을 수 있다. 나머지 탭은 각각 상이한 D 플립플롭의 출력으로부터 취해질 수 있다. 제 1 탭은 제 1 래치의 입력으로부터 취해질 수 있고, 제 2 탭은 제 2 래치의 출력으로부터 취해질 수 있다. 제 1 래치의 출력 및 제 2 래치의 입력은 함께 연결될 수 있으며, 멀티플렉서가 존재하고 병렬 경로가 선택될 때 제 1 탭의 지연에 영향을 미치지 않도록 평행 경로 중 하나의 평행 경로에 있는 멀티플렉서의 선택기 입력과 연결될 수 있다.
예 752에서, 예 740-751 중 어느 하나 이상의 예의 청구 대상은 선택적으로 DFE에 의해 보상된 무선 주파수(RF) 신호를 수신하도록 구성된 안테나를 포함한다.
예 753은 수신기에서 포스트-커서(post-cursor) 심볼간 간섭(ISI)을 보상하는 방법이다. 방법은 수신기에서 결정 피드백 등화기(DFE)에서 수신된 신호의 변조 방식을 결정하는 단계를 포함할 수 있다. 방법은 변조 방식에 기초하여, DFE에서 사용할 탭의 탭 번호를 결정하는 단계를 더 포함할 수 있다. 방법은 탭 번호에 기초하여 DFE에서 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하는 단계를 더 포함할 수 있다. 직렬 체인 및 병렬 체인은 상이한 탭 번호를 가질 수 있다. 방법은 탭으로부터의 출력을 사용하여 신호의 포스트-커서 ISI를 보상하는 단계를 더 포함할 수 있다.
예 754에서, 예 753의 청구 대상은 선택적으로 복수의 지연을 동시에 트리거하는 단계를 포함한다. 각각의 탭은 상이한 DFF의 출력으로부터 취해질 수 있다.
예 755에서, 예 753-754 중 어느 하나 이상의 예의 청구 대상은 선택적으로 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하는 단계가 상이한 지연과 연관되고 연관된 지연의 입력과 연결된 출력을 갖는 복수의 멀티플렉서에 동일한 선택기 신호를 인가하는 단계를 포함할 수 있는 것을 포함한다.
예 756에서, 예 753-755 중 어느 하나 이상의 예의 청구 대상은 선택적으로 직렬 체인 및 병렬 체인 중 어느 것을 사용할지 선택하는 단계가 직교 위상 변위 변조(QPSK)를 위해 직렬 체인을 선택하고 16 직교 진폭 변조(16QAM) 또는 그 이상의 변조를 위해 병렬 체인을 선택하는 단계를 포함한다.
예 757에서, 예 753-756 중 어느 하나 이상의 예의 청구 대상은 선택적으로 병렬 체인이 선택될 때, 방법은 최상위 비트(MSB)의 탭 중 제 1 탭과 제 2 탭 사이에 래치된 출력을 사용하여 최하위 비트(LSB)를 선택하는 단계를 더 포함할 수 있는 것을 포함한다.
예 758에서, 예 753-757 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭이 제 1 및 제 2 탭 및 제 1 및 제 2 탭 다음의 나머지 탭을 포함하는 것을 포함한다. 제 1 탭은 엄격한 지연 제약이 있을 수 있다. 방법은 병렬 경로가 제 1 래치의 입력으로부터 제 1 탭을 취하고, 제 2 래치의 출력으로부터 제 2 탭을 취함으로써 선택될 때 제 1 탭의 지연에 영향이 미치지 않게 방지하는 단계; 및 제 1 래치의 출력을 병렬 경로 중 제 1 병렬 경로 내 제 2 래치의 입력 및 병렬 경로 중 제 2 병렬 경로 내 멀티플렉서의 선택기 입력과 연결하는 단계를 더 포함할 수 있다.
예 759는 결정 피드백 등화기의 장치이다. 장치는 DFE에서 수신된 신호의 변조 방식을 결정하기 위하 수단을 포함할 수 있다. 장치는 변조 방식에 기초하여, DFE에서 사용할 탭의 탭 번호를 결정하기 위한 수단을 더 포함할 수 있다. 장치는 탭 번호에 기초하여 DFE에서 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하기 위한 수단을 더 포함할 수 있다. 직렬 체인 및 병렬 체인은 상이한 탭 번호를 가질 수 있다. 장치는 탭으로부터의 출력을 사용하여 신호의 포스트-커서 심볼간 간섭(ISI)을 보상하기 위한 수단을 더 포함할 수 있다.
예 760에서, 예 759의 청구 대상은 선택적으로 복수의 지연을 동시에 트리거하기 위한 수단을 포함한다. 각각의 탭은 상이한 DFF의 출력으로부터 취해질 수 있다.
예 761에서, 예 759-760 중 어느 하나 이상의 예의 청구 대상은 선택적으로 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하기 위한 수단이 상이한 지연과 연관되고 연관된 지연의 입력과 연결된 출력을 갖는 복수의 멀티플렉서에 동일한 선택기 신호를 인가하기 위한 수단을 포함하는 것을 포함한다.
예 762에서, 예 759 내지 761 중 어느 하나 이상의 예의 청구 대상은 선택적으로 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하기 위한 수단이 직교 위상 변위 변조(QPSK)를 위해 직렬 체인을 선택하고 16 직교 진폭 변조(16QAM) 또는 그 이상을 위해 병렬 체인을 선택하기 위한 수단을 포함하는 것을 포함한다.
예 763에서, 예 759-762 중 어느 하나 이상의 예의 청구 대상은 선택적으로 병렬 체인이 선택될 때, 장치가 최상위 비트(MSB)의 탭 중 제 1 탭과 제 2 탭 사이의 래치된 출력을 사용하여 최하위 비트(LSB)를 선택하기 위한 수단을 더 포함할 수 있는 것을 포함한다.
예 764에서, 예 759-763 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭이 제 1 및 제 2 탭 및 제 1 및 제 2 탭 다음의 나머지 탭을 포함하는 것을 포함한다. 제 1 탭은 엄격한 지연 제약이 있을 수 있다. 장치는 병렬 경로가 제 1 래치의 입력으로부터 제 1 탭을 취하고, 제 2 래치의 출력으로부터 제 2 탭을 취함으로써 선택될 때 제 1 탭의 지연에 영향이 미치지 않게 방지하기 위한 수단; 및 제 1 래치의 출력을 병렬 경로 중 제 1 병렬 경로 내 제 2 래치의 입력 및 병렬 경로 중 제 2 병렬 경로 내 멀티플렉서의 선택기 입력과 연결하기 위한 수단을 더 포함할 수 있다.
예 765은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 결정 피드백 등화기(DFE)에서 수신된 신호의 변조 방식을 결정하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여, 변조 방식에 기초하여, DFE에서 사용할 탭의 탭 번호를 결정하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 탭 번호에 기초하여 DFE에서 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 선택하도록 구성될 수 있다. 직렬 체인 및 병렬 체인은 상이한 탭 번호를 가질 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 탭으로부터의 출력을 사용하여 신호의 포스트-커서 심볼간 간섭(ISI)을 보상하도록 구성될 수 있다.
예 766에서, 예 765의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 복수의 지연을 동시에 트리거하도록 구성되는 것을 포함한다. 각각의 탭은 상이한 DFF의 출력으로부터 취해질 수 있다.
예 767에서, 예 765-766 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 직렬 체인 및 병렬 체인 중 어느 것을 사용할지를 상이한 지연과 연관되고 연관된 지연의 입력과 연결된 출력을 갖는 복수의 멀티플렉서에 동일한 선택기 신호를 인가하도록 구성되는 것을 포함한다.
예 768에서, 예 765-767 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 직교 위상 변위 변조(QPSK)를 위해 직렬 체인을 선택하고 16 직교 진폭 변조(16QAM) 또는 그 이상의 변조를 위해 병렬 체인을 선택하도록 구성되는 것을 포함한다.
예 769에서, 예 765-768 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 최상위 비트(MSB)의 탭 중 제 1 탭과 제 2 탭 사이의 래치된 출력을 사용하여 최하위 비트(LSB)를 선택하도록 구성되는 것을 포함한다.
예 770에서, 예 765-769 중 어느 하나 이상의 예의 청구 대상은 선택적으로 탭이 제 1 및 제 2 탭 및 제 1 및 제 2 탭 다음의 나머지 탭을 포함하는 것을 포함한다. 제 1 탭은 엄격한 지연 제약이 있을 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 병렬 경로가 제 1 래치의 입력으로부터 제 1 탭을 취하고, 제 2 래치의 출력으로부터 제 2 탭을 취함으로써 선택될 때 제 1 탭의 지연에 영향이 미치지 않게 방지하고; 제 1 래치의 출력을 병렬 경로 중 제 1 병렬 경로 내 제 2 래치의 입력 및 병렬 경로 중 제 2 병렬 경로 내 멀티플렉서의 선택기 입력과 연결하도록 구성될 수 있다.
예 771는 mmWave 통신 디바이스의 장치이다. 장치는 mmWave 빔포밍된 신호를 수신하도록 구성된 수신기 하이브리드 빔포밍 아키텍처 또는 mmWave 빔포밍된 신호를 송신하도록 구성된 송신기 하이브리드 빔포밍 아키텍처 중 적어도 하나를 포함할 수 있다. 수신기 하이브리드 빔포밍 아키텍처는 mmWave 빔포밍된 신호를 수신하도록 구성될 수 있고, 송신기 하이브리드 빔포밍 아키텍처는 mmWave 빔포밍된 신호를 송신하도록 구성될 수 있다. 수신기 하이브리드 빔포밍 아키텍처는 상이한 분해능을 갖는 상이한 개수의 아날로그-디지털 변환기(ADC)를 포함하는 아날로그 수신기 빔포밍 구조 및 디지털 수신기 빔포밍 구조를 포함할 수 있다. 송신기 하이브리드 빔포밍 아키텍처는 상이한 분해능을 갖는 상이한 개수의 디지털-아날로그 변환기(DAC)를 포함하는 아날로그 송신기 빔포밍 구조 및 디지털 송신기 빔포밍 구조를 포함할 수 있다.
예 772에서, 예 771의 청구 대상은 선택적으로 아날로그 수신기 빔포밍 구조가 ADC를 포함하고 아날로그 송신기 빔포밍 구조가 DAC를 포함하며, 디지털 수신기 빔포밍 구조가 복수의 ADC를 포함하고 디지털 송신기 빔포밍 구조가 복수의 DAC를 포함하는 것을 포함한다.
예 773에서, 예 772의 청구 대상은 선택적으로 ADC의 분해능이 복수의 ADC 각각의 분해능보다 높고, DAC의 분해능이 복수의 ADC의 각각의 분해능보다 높은 것을 포함한다.
예 774에서, 예 773의 청구 대상은 선택적으로 복수의 ADC 각각의 분해능이 가변적인 것을 포함한다.
예 775에서, 예 772-774 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 수신기 및 송신기 빔포밍 구조 각각이 안테나 각각과 연관된 위상 변위기 및 각각의 위상 변위기와 연결된 결합기를 더 포함할 수 있는 것을 포함한다.
예 776에서, 예 772-775 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기 하이브리드 빔포밍 아키텍처가 각 안테나와 연관된 수신기 스위치를 더 포함할 수 있고, 수신기 스위치가 아날로그 및 디지털 수신기 빔포밍 아키텍처 중 어느 것이 선택되는지를 제어한다. 송신기 하이브리드 빔포밍 아키텍처는 각 안테나와 연관된 송신기 스위치를 더 포함할 수 있다. 송신기 스위치는 아날로그 및 디지털 송신기 빔포밍 구조 중 어느 것이 선택되는지를 제어할 수 있다. 수신기 또는 송신기 스위치 중 적어도 하나는 무선 주파수(RF) 신호가 안테나에 의해 통신되는 채널의 채널 유형, RF 신호의 신호 유형, 채널 조건, 사용자 장비(user equipment(UE)의 이동성, 또는 변조 방식에 기초하여 제어될 수 있다.
예 777에서, 예 776의 청구 대상은 선택적으로 수신기 또는 송신기 스위치 중 적어도 하나가 채널 유형이 시선(line of sight)(LOS)인 것, 고차 변조 방식이 사용되는 것 및 높은 신호대 잡음비(signal to noise ratio)(SNR) 및 낮은 이동성이 존재하는 것에 응답하여 아날로그 빔포밍을 선택하는 것을 포함한다.
예 778에서, 예 776-777 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기 또는 송신기 스위치 중 적어도 하나가 다음의 것: 신호 유형이 제어 신호이고 저차 변조 방식이 사용되는 것; 또는 신호 유형이 데이터 신호이고, 채널 유형이 비시선(non-line of sigh)(NLOS)이며, 낮은 SNR이 존재하는 것 중 적어도 하나에 응답하여 디지털 빔포밍을 선택하는 것을 포함한다.
예 779에서, 예 771-778 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기 하이브리드 빔포밍 아키텍처가 각각의 안테나마다: 복소 무선 주파수(RF)를 증폭하도록 구성된 저잡음 증폭기, RF 신호의 동 위상 및 직교 위상 성분을 기저대역으로 하향 변환하도록 구성된 믹서, 각각의 믹서에 연결된 가변 이득(variable gain) 및 각각 가변 이득으로부터의 출력의 저역 통과 필터링을 제공하도록 구성된 저역 통과 필터를 포함하는 공유된 아날로그 수신기 구성요소를 포함하는 것을 포함한다.
예 780에서, 예 771-779 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 신호를 통신하도록 구성된 복수의 안테나를 포함한다.
예 781는 빔포밍된 mmWave 신호를 통신하는 방법이다. 방법은 통신될 mmWave 신호의 채널 및 신호 특성을 결정하는 단계를 포함할 수 있다. 방법은 고분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처를 포함하는 하이브리드 빔포밍 아키텍처 중의 아날로그 빔포밍 아키텍처를 mmWave 신호를 통신하는데 사용하도록 선택하는 단계를 더 포함할 수 있다. 방법은 저분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 디지털 빔포밍 아키텍처를 mmWave 신호를 통신하는데 사용하도록 선택하는 단계를 더 포함할 수 있다. 방법은 선택된 아날로그 또는 디지털 빔포밍 아키텍처를 사용하여 빔포밍을 통해 mmWave 신호를 통신하는 단계를 더 포함할 수 있다. 아날로그 및 디지털 빔포밍 아키텍처 내 변환기의 개수는 상이할 수 있다.
예 782에서, 예 781의 청구 대상은 선택적으로 아날로그 빔포밍 구조가 아날로그-디지털 변환기(ADC) 또는 디지털-아날로그 변환기(DAC) 중 하나를 포함하고, 디지털 빔포밍 구조가 복수의 ADC 또는 복수의 DAC 중 하나를 포함하는 것을 포함한다.
예 783에서, 예 782의 청구 대상은 선택적으로 ADC 및 DAC 각각의 분해능이 고정적인 것을 포함한다.
예 784에서, 예 782-783 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 신호의 채널 및 신호 특성에 에 따라 ADC 및 DAC 각각의 분해능을 다르게 하는 단계를 포함한다.
예 785에서, 예 782-784 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 선택될 때, 방법이 mmWave 신호 각각을 위상 변위하여 위상 변위된 신호를 생성하는 단계 및 위상 변위된 신호를 결합하여 양자화될 결합된 신호를 형성하는 단계를 더 포함하는 것을 포함한다.
예 786에서, 예 782-785 중 어느 하나 이상의 예의 청구 대상은 시선(LOS) 또는 비시선(non-LOS)(NLOS) 채널 중 어느 채널이 mmWave 신호를 통신하는데 사용될지, 제어 또는 데이터 신호 중 어느 것이 mmWave 신호인지, 신호 대 잡음비(SNR) 및 mmWave 신호를 통신하는데 사용될 변조 방식에 적어도 기초하여 아날로그 또는 디지털 빔포밍 아키텍처 중의 선택을 제어하는 단계를 포함한다.
예 787에서, 예 786의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 채널 유형이 LOS인 것, 고차 변조 방식이 사용되는 것, SNR 높고 이동성이 낮은 것에 응답하여 선택되는 것을 포함한다.
예 788에서, 예 786-787 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디지털 빔포밍 아키텍처가 적어도 하나가 다음의 것: 신호 유형이 제어 신호이고 저차 변조 방식이 사용된다는 것; 또는 신호 유형이 데이터 신호이고, 채널 유형이 NLOS이며, 낮은 SNR이 존재한다는 것 중 적어도 하나에 응답하여 선택되는 것을 포함한다.
예 789에서, 예 781-788 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 및 디지털 빔포밍 아키텍처 사이에서 아날로그 구성요소를 공유하는 단계를 포함한다. 공유된 아날로그 구성요소는 mmWave 신호를 증폭하도록 구성된 저잡음 증폭기, mmWave 신호의 동 위상 및 직교 위상 성분을 기저대역으로 하향 변환하도록 구성된 믹서, 각 믹서에 연결된 가변 이득 및 각 가변 이득으로부터의 출력을 저역 통과 필터링하도록 구성된 저역 통과 필터를 포함할 수 있다.
예 790는 통신 디바이스의 장치이다. 장치는 통신될 mmWave 신호의 채널 및 신호 특성을 결정하기 위한 수단을 포함할 수 있다. 장치는 고분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처를 포함하는 하이브리드 빔포밍 아키텍처 중의 아날로그 빔포밍 아키텍처를 mmWave 신호를 통신하는데 사용하도록 선택하기 위한 수단을 더 포함할 수 있다. 장치는 저분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 디지털 빔포밍 아키텍처를 mmWave 신호를 통신하는데 사용하도록 선택하기 위한 수단을 더 포함할 수 있다. 장치는 선택된 아날로그 또는 디지털 빔포밍 아키텍처를 사용하여 빔포밍을 통해 mmWave 신호를 통신하기 위한 수단을 더 포함할 수 있다. 아날로그 및 디지털 빔포밍 아키텍처 내 변환기의 개수는 상이할 수 있다.
예 791에서, 예 790의 청구 대상은 선택적으로 아날로그 빔포밍 구조가 아날로그-디지털 변환기(ADC) 또는 디지털-아날로그 변환기(DAC) 중 하나를 포함하고, 디지털 빔포밍 구조가 복수의 ADC 또는 복수의 DAC 중 하나를 포함하는 것을 포함한다.
예 792에서, 예 791의 청구 대상은 선택적으로 ADC 및 DAC 각각의 분해능이 고정적인 것을 포함한다.
예 793에서, 예 791-792 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 신호의 채널 및 신호 특성에 따라 ADC 및 DAC 각각의 분해능을 다르게 하기 위한 수단을 포함한다.
예 794에서, 예 790-793 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 선택될 때, 장치는 mmWave 신호 각각을 위상 변위하여 위상 변위된 신호를 생성하기 위한 수단 및 위상 변위된 신호를 결합하여 양자화될 결합된 신호를 형성하기 위한 수단을 더 포함하는 것을 포함한다.
예 795에서, 예 790-794 중 어느 하나 이상의 예의 청구 대상은 시선(LOS) 또는 비시선(NLOS) 채널 중 어느 채널이 mmWave 신호를 통신하는데 사용될지, 제어 또는 데이터 신호 중 어느 것이 mmWave 신호인지, 신호 대 잡음비(SNR) 및 mmWave 신호를 통신하는데 사용될 변조 방식에 적어도 기초하여 아날로그 또는 디지털 빔포밍 아키텍처 중의 선택을 제어하기 위한 수단을 포함한다.
예 796에서, 예 795의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 채널 유형이 LOS인 것, 고차 변조 방식이 사용되는 것, SNR 높고 이동성이 낮은 것에 선택되는 것을 포함한다.
예 797에서, 예 795-796 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디지털 빔포밍 아키텍처가 적어도 하나가 다음의 것: 신호 유형이 제어 신호이고 저차 변조 방식이 사용된다는 것; 또는 신호 유형이 데이터 신호이고, 채널 유형이 NLOS이며, 낮은 SNR이 존재한다는 것 중 적어도 하나에 응답하여 선택되는 것을 포함한다.
예 798에서, 예 790-797 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 및 디지털 빔포밍 아키텍처 사이에서 아날로그 구성요소를 공유하기 위한 수단을 포함한다. 공유된 아날로그 구성요소는 mmWave 신호를 증폭하도록 구성된 저잡음 증폭기, mmWave 신호의 동 위상 및 직교 위상 성분을 기저대역으로 하향 변환하도록 구성된 믹서, 각 믹서에 연결된 가변 이득 및 각 가변 이득으로부터의 출력을 저역 통과 필터링하도록 구성된 저역 통과 필터를 포함할 수 있다.
예 799은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 통신될 mmWave 신호의 채널 및 신호 특성을 결정하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 고분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처를 포함하는 하이브리드 빔포밍 아키텍처 중의 아날로그 빔포밍 아키텍처를 mmWave 신호를 통신하는데 사용하도록 선택하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 저분해능 양자화 또는 디지털에서 아날로그로의 변환이 사용될 mmWave mmWave 신호의 채널 및 신호 특성으로부터의 결정에 기초하여, mmWave 신호를 통신할 디지털 빔포밍 아키텍처를 선택하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 선택된 아날로그 또는 디지털 빔포밍 아키텍처를 사용하여 빔포밍을 통해 mmWave 신호를 통신하도록 구성될 수 있다. 아날로그 및 디지털 빔포밍 아키텍처 내 변환기의 개수는 상이할 수 있다.
예 800에서, 예 799의 청구 대상은 선택적으로 아날로그 빔포밍 구조가 아날로그-디지털 변환기(ADC) 또는 디지털-아날로그 변환기(DAC) 중 하나를 포함하고, 디지털 빔포밍 구조가 복수의 ADC 또는 복수의 DAC 중 하나를 포함하는 것을 포함한다.
예 801에서, 예 800의 청구 대상은 선택적으로 ADC 및 DAC 각각의 분해능이 고정적인 것을 포함한다.
예 802에서, 예 800-801 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 mmWave 신호의 채널 및 신호 특성에 따라 ADC 및 DAC 각각의 분해능을 다르게 하는 것을 포함한다.
예 803에서, 예 799-802 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 선택될 때, 명령어가 또한 하나 이상의 프로세서에게 mmWave 신호 각각을 위상 변위하여 위상 변위된 신호를 생성하고 위상 변위된 신호를 결합하여 양자화될 결합된 신호를 형성하도록 명령하는 것을 포함한다.
예 804에서, 예 799-803 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 시선(LOS) 또는 비시선(NLOS) 채널 중 어느 채널이 mmWave 신호를 통신하는데 사용될지, 제어 또는 데이터 신호 중 어느 것이 mmWave 신호인지, 신호 대 잡음비(SNR) 및 mmWave 신호를 통신하는데 사용될 변조 방식에 적어도 기초하여 아날로그 또는 디지털 빔포밍 아키텍처의 선택을 제어하도록 하는 명령하는 것을 포함한다.
예 805에서, 예 804의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 채널 유형이 LOS인 것, 고차 변조 방식이 사용되는 것, SNR 높고 이동성이 낮은 것에 응답하여 선택되는 것을 포함한다.
예 806에서, 예 804-805 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디지털 빔포밍 아키텍처가 적어도 하나가 다음의 것: 신호 유형이 제어 신호이고 저차 변조 방식이 사용된다는 것; 또는 신호 유형이 데이터 신호이고, 채널 유형이 NLOS이며, 낮은 SNR이 존재한다는 것 중 적어도 하나에 응답하여 선택되는 것을 포함한다.
예 807에서, 예 799-806 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 아날로그 및 디지털 빔포밍 아키텍처 사이에서 아날로그 구성요소를 공유하도록 명령하는 것을 포함한다. 공유된 아날로그 구성요소는 mmWave 신호를 증폭하도록 구성된 저잡음 증폭기, mmWave 신호의 동 위상 및 직교 위상 성분을 기저대역으로 하향 변환하도록 구성된 믹서, 각 믹서에 연결된 가변 이득 및 각 가변 이득으로부터의 출력을 저역 통과 필터링하도록 구성된 저역 통과 필터를 포함할 수 있다.
예 808는 mmWave 통신 디바이스의 장치이다. 장치는 mmWave 빔포밍된 신호를 수신하도록 구성된 수신기 빔포밍 아키텍처 또는 mmWave 빔포밍된 신호를 송신하도록 구성된 송신기 하이브리드 빔포밍 아키텍처 중 적어도 하나를 포함할 수 있다. 수신기 빔포밍 아키텍처는 가변 분해능 아날로그-디지털 변환기(ADC)를 포함할 수 있다. 송신기 빔포밍 아키텍처는 가변 분해능 디지털-아날로그 변환기(DAC)를 포함할 수 있다. ADC 또는 DAC의 분해능은 수신기 또는 송신기 빔포밍 아키텍처에서 사용되는 ADC 또는 DAC의 개수를 감소시키지 않고 미리 결정된 송수신기 전력 소산 제한까지 전력 소모를 제한하도록 적용될 수 있다.
예 809에서, 예 808의 청구 대상은 선택적으로 수신기 빔포밍 아키텍처가 아날로그 수신기 빔포밍 아키텍처 및 디지털 수신기 빔포밍 아키텍처를 포함하는 하이브리드 수신기 빔포밍 아키텍처를 포함하는 것을 포함한다. 송신기 빔포밍 아키텍처는 아날로그 송신기 빔포밍 아키텍처 및 디지털 송신기 빔포밍 아키텍처를 포함하는 하이브리드 송신기 빔포밍 아키텍처를 포함할 수 있다.
예 810에서, 예 809의 청구 대상은 선택적으로 아날로그 수신기 및 송신기 빔포밍 아키텍처가 각각 ADC 및 DAC를 포함하는 것을 포함한다. 디지털 수신기 및 송신기 빔포밍 아키텍처는 각각 복수의 ADC 및 DAC를 포함할 수 있다.
예 811에서, 예 810의 청구 대상은 선택적으로 아날로그 수신기 빔포밍 아키텍처가 복수의 안테나로부터의 복소 mmWave 신호를 결합된 신호로 결합하도록 구성된 결합기를 포함하는 것을 포함한다. 결합된 신호는 입력으로서 단일 ADC에 공급될 수 있다.
예 812에서, 예 808-811 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: 통신에 사용되는 채널, 채널에서의 간섭, 신호 대 잡음 비(SNR) 또는 mmWave 통신 디바이스와 통신하는 사용자 수 중 적어도 하나에 종속한다는 것을 포함한다.
예 813에서, 예 809-812 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: mmWave 빔포밍된 신호의 신호 유형, mmWave 빔포밍된 신호의 신호 품질, mmWave 빔포밍된 신호에 의해 사용되는 변조 또는 mmWave 빔포밍된 신호와 연관된 장치 동작 중 적어도 하나에 종속하는 것을 포함한다.
예 814에서, 예 813의 청구 대상은 선택적으로 mmWave 빔포밍된 신호가 제어 평면 시그널링 포함할 때 ADC 또는 DAC의 분해능이 감소되는 것을 포함한다.
예 815에서, 예 813-814 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 및 DAC의 분해능이 신호 대 잡음비(SNR)의 증가에 따라 감소하는 것을 포함한다.
예 816에서, 예 813-815 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 변조 차수의 증가에 따라 감소하는 것을 포함한다.
예 817에서, 예 813-816 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 변조 차수의 증가에 따라 감소하는 것을 포함한다.
예 818에서, 예 813-817 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 통신 디바이스에 의해 서비스되는 사용자 장비(user equipmen)(UE)의 개수의 감소 및 피크 대 평균 전력 비(Peak-to-Average Power Ratio)(PAPR)의 감소에 따라 감소하는 것을 포함한다.
예 819에서, 예 813-818 중 어느 하나 이상의 예의 청구 대상은 선택적으로 측정된 DC 오프셋이 미리 결정된 세트 포인트 아래로 떨어질 때 ADC 또는 DAC의 분해능이 감소하는 것을 포함한다.
예 820에서, 예 813-819 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 빔포밍된 신호가 진화된 노드B(evolved NodeB)(eNB) 탐색의 일부일 때 ADC 및 DAC의 분해능이 감소하는 것을 포함한다.
예 821에서, 예 813-820 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 빔포밍된 신호가 프리앰블(preamble) 또는 미드-앰블(mid-amble)일 때 ADC 및 DAC의 분해능이 감소하는 것을 포함한다.
예 822에서, 예 813-821 중 어느 하나 이상의 예의 청구 대상은 선택적으로 대역 내(in-band) 또는 인접 채널 간섭 중 적어도 하나가 ADC 또는 DAC의 동적 범위를 증가시키기에 충분히 강할 때 ADC 또는 DAC의 분해능이 증가하는 것을 포함한다.
예 823에서, 예 813-822 중 어느 하나 이상의 예의 청구 대상은 선택적으로 채널이 비시선(NLOS) 채널이고 다중 경로의 개수가 증가할 때 ADC 및 DAC의 분해능이 감소하는 것을 포함한다.
예 824에서, 예 808-823 중 어느 하나 이상의 예의 청구 대상은 선택적으로 mmWave 빔포밍된 신호를 통신하도록 구성된 복수의 안테나를 포함한다.
예 825는 빔포밍된 mmWave 신호를 통신하는 방법이다. 방법은 복수의 안테나에서 제 1 세트의 mmWave 빔포밍된 신호를 수신하는 단계 또는 안테나로부터 제 2 세트의 mmWave 빔포밍된 신호를 송신하는 단계 중 적어도 하나를 포함할 수 있다. 방법은 송수신기 전력 소산 제한에 기초하여 ADC 또는 DAC의 개수를 줄이지 않고 수신 단계에서 사용되는 아날로그-디지털 변환기(ADC) 및 송신 단계에서 사용되는 디지털-아날로그 변환기(DAC)의 분해능을 설정하는 단계를 더 포함할 수 있다. 방법은 ADC 또는 DAC의 분해능에 기초하여 제 1 또는 제 2 세트의 mmWave 빔포밍된 신호를 아날로그 신호와 디지털 신호 사이에서 변환하는 단계를 더 포함할 수 있다.
예 826에서, 예 825의 청구 대상은 선택적으로 하이브리드 빔포밍 아키텍처의 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처 중 어느 것을 mmWave 빔포밍 신호를 수신 또는 송신하기 위해 사용할지를 선택하는 단계를 포함한다.
예 827에서, 예 826의 청구 대상은 선택적으로 아날로그 및 디지털 빔포밍 아키텍처 중 어느 것이 선택되는지에 기초하여 ADC 및 DAC의 분해능을 조정하는 단계를 포함한다.
예 828에서, 예 826-827 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 수신 용의 단일 ADC 및 송신 용의 단일 DAC를 포함하는 것을 포함한다. 디지털 빔포밍 아키텍처는 수신 용의 복수의 ADC 및 송신 용의 복수의 DAC를 더 포함할 수 있다.
예 829에서, 예 826-828 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나로부터 수신된 복소 mmWave 신호를 결합된 신호로 결합하는 단계 및 결합된 신호를 입력으로서 단일 ADC에 공급하는 단계를 포함한다.
예 830에서, 예 825-829 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: 통신에 사용되는 채널, 채널에서의 간섭, 신호 대 잡음 비(SNR) 또는 mmWave 통신 디바이스와 통신하는 사용자 수 중 적어도 하나에 종속하는 것을 포함한다.
예 831에서, 예 825-830 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: mmWave 빔포밍된 신호의 신호 유형, mmWave 빔포밍된 신호의 신호 품질, mmWave 빔포밍된 신호에 의해 사용되는 변조 또는 mmWave 빔포밍된 신호와 연관된 동작 중 적어도 하나에 종속하는 것을 포함한다.
예 832는 통신 디바이스의 장치이다. 장치는 복수의 안테나에서 제 1 세트의 mmWave 빔포밍된 신호를 수신하기 위한 수단 또는 안테나로부터 제 2 세트의 mmWave 빔포밍된 신호를 송신하기 위한 수단 중 적어도 하나를 포함할 수 있다. 장치는 송수신기 전력 소산 제한에 기초하여 ADC 또는 DAC의 개수를 줄이지 않고 수신 시 사용되는 아날로그-디지털 변환기(ADC) 및 송신 시 사용되는 디지털-아날로그 변환기(DAC)의 분해능을 설정하기 위한 수단을 더 포함할 수 있다. 장치는 ADC 또는 DAC의 분해능에 기초하여 제 1 또는 제 2 세트의 mmWave 빔포밍된 신호를 아날로그 신호와 디지털 신호 사이에서 변환하기 위한 수단을 더 포함할 수 있다.
예 833에서, 예 832의 청구 대상은 선택적으로 하이브리드 빔포밍 아키텍처의 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처 중 어느 것을 mmWave 빔포밍된 신호를 수신 또는 송신하기 위해 사용할지를 선택하기 위한 수단을 포함한다.
예 834에서, 예 833의 청구 대상은 선택적으로 아날로그 및 디지털 빔포밍 아키텍처 중 어느 것이 선택되는지에 기초하여 ADC 및 DAC의 분해능을 조정하기 위한 수단을 포함한다.
예 835에서, 예 833-834 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 수신 용의 단일 ADC 및 송신 용의 단일 DAC를 포함하는 것을 포함한다. 디지털 빔포밍 아키텍처는 수신 용의 복수의 ADC 및 송신 용의 복수의 DAC를 포함할 수 있다.
예 836에서, 예 833-835 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나로부터 수신된 복소 mmWave 신호를 결합된 신호로 결합하기 위한 수단 및 결합된 신호를 입력으로서 단일 DAC에 공급하기 위한 수단을 포함한다.
예 837에서, 예 832-836 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: 통신에 사용되는 채널, 채널에서의 간섭, 신호 대 잡음 비(SNR) 또는 mmWave 통신 디바이스와 통신하는 사용자 수 중 적어도 하나에 종속하는 것을 포함한다.
예 838에서, 예 825-837 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: mmWave 빔포밍된 신호의 신호 유형, mmWave 빔포밍된 신호의 신호 품질, mmWave 빔포밍된 신호에 의해 사용되는 변조 또는 mmWave 빔포밍된 신호와 연관된 동작 중 적어도 하나에 종속하는 것을 포함한다.
예 839는 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 복수의 안테나에서 제 1 세트의 mmWave 빔포밍된 신호를 수신하고 안테나로부터 제 2 세트의 mmWave 빔포밍된 신호를 송신하도록 구성될 수 있다. 명령어는 또한 송수신기 전력 소산 제한에 기초하여 ADC 또는 DAC의 개수를 줄이지 않고 수신 시 사용되는 아날로그-디지털 변환기(ADC) 및 송신 시 사용되는 디지털-아날로그 변환기(DAC)의 분해능을 설정하도록 구성될 수 있다. 명령어는 또한 ADC 또는 DAC의 분해능에 기초하여 제 1 또는 제 2 세트의 mmWave 빔포밍된 신호를 아날로그 신호와 디지털 신호 사이에서 변환하도록 구성될 수 있다.
예 840에서, 예 839의 청구 대상은 임의적으로 명령어가 또한 하나 이상의 프로세서에게 하이브리드 빔포밍 아키텍처의 아날로그 빔포밍 아키텍처 및 디지털 빔포밍 아키텍처 중 어느 것을 mmWave 빔포밍된 신호를 수신 또는 송신하기 위해 사용할지를 선택하도록 명령하는 것을 포함한다.
예 841에서, 예 840의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 아날로그 및 디지털 빔포밍 아키텍처 중 어느 것이 선택되는지에 기초하여 ADC 및 DAC의 분해능을 조정하도록 명령하는 것을 포함한다.
예 842에서, 예 840-841 중 어느 하나 이상의 예의 청구 대상은 선택적으로 아날로그 빔포밍 아키텍처가 수신을 위한 단일 ADC 및 송신을 위한 단일 DAC를 포함하는 것을 포함한다. 디지털 빔포밍 아키텍처는 수신 용의 복수의 ADC 및 송신 용의 복수의 DAC를 포함할 수 있다.
예 843에서, 예 840-842 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 안테나로부터 수신된 복소 mmWave 신호를 결합된 신호로 결합하고 결합된 신호를 입력으로서 단일 ADC에 공급하도록 명령하는 것을 포함한다.
예 844에서, 예 839-843 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 또는 DAC의 분해능이 다음의 것: 통신에 사용되는 채널, 채널에서의 간섭, 신호 대 잡음 비(SNR) 또는 mmWave 통신 디바이스와 통신하는 사용자 수 중 적어도 하나에 종속하는 것을 포함한다.
예 845는 통신 디바이스의 장치이다. 장치는 빔포밍된 신호를 통신하도록 구성된 안테나에 대해 조향 각도(steering angle)를 설정하도록 구성된 복수의 위상 변위기를 포함하는 아날로그 또는 하이브리드 빔포밍 아키텍처를 포함할 수 있다. 장치는 코드북을 결정하여 안테나에 빔 조향(beam steering)을 제공하도록 구성된 프로세서를 더 포함할 수 있다. 코드북은 안테나의 조향 각도의 서브세트로 제한될 수 있다. 프로세서는 또한 조향 각도의 서브세트 내의 제한된 조향 각도의 결정 및 제한된 조향 각도를 특정 조향 각도로 변위시키는 정수 변위 값의 결정을 통해 조향 각도 서브세트 이외의 특정 조향 각도를 설정하는 입력을 위상 변위기에 제공할 수 있다.
예 846에서, 예 845의 청구 대상은 선택적으로 아날로그 또는 하이브리드 빔포밍 아키텍처가 복수의 일차 위상 변위기 및 복수의 이차 위상 변위기를 포함하는 것을 포함한다. 각각의 이차 위상 변위기는 한 세트의 일차 위상 변위기와 연관될 수 있다. 코드북은 일차 및 이차 위상 변위기의 값을 제어하도록 구성될 수 있다.
예 847에서, 예 846의 청구 대상은 선택적으로 일차 및 이차 위상 변위기가 저 비트 위상 변위기를 포함하는 것을 포함한다.
예 848에서, 예 846-847 중 어느 하나 이상의 예의 청구 대상은 코드북이 특정 조향 각도가 제한된 조향 각도 및 변위 값에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 약 180° 의 변위 값 부근의 변위 값을 반영하여 설정되는지를 표시하는 일원화된 승수(unitary multiplier)를 더 포함하는 것을 포함한다.
예 849에서, 예 848의 청구 대상은 선택적으로 코드북이
Figure pct00227
Figure pct00228
사이의 조향 각도로 제한되는 것을 포함하며, 여기서 bp는 각각의 일차 위상 변위기의 비트 수이다.
예 850에서, 예 849의 청구 대상은 선택적으로 일차 위상 변위기 값이
Figure pct00229
Figure pct00230
사이로 제한되는 것을 포함하며, 여기서 L은 다수의 일차 위상 변위기의 개수이다.
예 851에서, 예 845-850 중 어느 하나 이상의 예의 청구 대상은 선택적으로 코드북이
Figure pct00231
사이의 조향 각도로 제한되는 것을 포함하며, 여기서 bp는 각 일차 위상 변위기의 비트 수이다.
예 852에서, 예 846-851 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이차 위상 변위기가 무선 주파수 또는 기저대역 위상 변위기이고 일차 위상 변위기가 중간 주파수 또는 디지털 위상 변위기인 것을 포함한다.
예 853에서, 예 845-852 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 안테나가 위상 변위기에 의해 조향되어 빔포밍된 신호를 통신하도록 구성되는 것을 포함한다.
예 854는 통신 디바이스에서 빔 조향을 제공하는 방법이다. 방법은 안테나의 빔 조향에 사용되는 코드북의 사이즈를 안테나가 조향되는 조향 각도의 서브세트로 제한하는 단계를 포함할 수 있다. 방법은 안테나를 조향할 조향 각도의 서브세트 이외의 특정 조향 각도를 결정하는 단계를 더 포함할 수 있다. 방법은 특정 조향 각도에 대응하는 조향 각도 서브세트 내의 제한된 조향 각도를 결정하는 단계를 더 포함할 수 있다. 방법은 제한된 조향 각도를 특정 조향 각도로 변위시키는 변위 값을 결정하는 단계를 더 포함할 수 있다. 방법은 제한된 조향 각도 및 변위 값을 적용하여 안테나를 조향하는 단계를 더 포함할 수 있다.
예 855에서, 예 854의 청구 대상은 선택적으로 안테나를 조향하는 단계가 제한된 조향 각도 값을 복수의 일차 위상 변위기에 적용하여 안테나를 제한된 조향 각도로 조향하는 단계를 포함하는 것을 포함한다. 안테나를 조향하는 단계는 변위 값을 복수의 이차 위상 변위기에 적용하여 제한된 조향 각도를 특정 조향 각도로 변위시키는 단계를 더 포함할 수 있다. 각각의 이차 위상 변위기는 한 세트의 일차 위상 변위기와 연관될 수 있다.
예 856에서, 예 855의 청구 대상은 선택적으로 안테나를 조향하는 단계가 특정 조향 각도가 제한된 조향 각도 및 변위 값에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 약 180°의 변위 값 부근의 변위 값을 반영하여 설정되는지를 표시하는 일원화된 승수를 적용하는 단계를 더 포함하는 것을 포함한다.
예 857에서, 예 856의 청구 대상은 선택적으로 코드북이
Figure pct00232
Figure pct00233
사이의 조종 각도로 제한되는 것을 포함하며, 여기서, bp는 각각의 일차 위상 변위기의 비트 수이다.
예 858에서, 예 857의 청구 대상은 선택적으로 일차 위상 변위기 값이
Figure pct00234
Figure pct00235
사이로 제한되는 것을 포함하며, 여기서 L은 다수의 일차 위상 변위기의 개수이다.
예 859에서, 예 854-858 중 어느 하나 이상의 예의 청구 대상은 선택적으로 코드북이
Figure pct00236
사이의 조향 각도로 제한되는 것을 포함하며, 여기서 bp는 각각의 일차 위상 변위기의 비트 수이다.
예 860는 통신 디바이스의 장치이다. 장치는 안테나의 빔 조향에 사용되는 코드북의 사이즈를 안테나가 조향되는 조향 각도의 서브세트로 제한하기 위한 수단을 포함할 수 있다. 장치는 안테나를 조향할 조향 각도의 서브세트 이외의 특정 조향 각도를 결정하기 위한 수단을 더 포함할 수 있다. 장치는 특정 조향 각도에 대응하는 조향 각도 서브세트 내의 제한된 조향 각도를 결정하기 위한 수단을 더 포함할 수 있다. 장치는 제한된 조향 각도를 특정 조향 각도로 변위하는 변위 값을 결정하기 위한 수단을 더 포함할 수 있다. 장치는 제한된 조향 각도 및 변위 값을 적용하여 안테나를 조향하기 위한 수단을 더 포함할 수 있다.
예 861에서, 예 860의 청구 대상은 선택적으로 장치가 제한된 조향 각도 값을 복수의 일차 위상 변위기에 적용하여 안테나를 제한된 조향 각도로 조향하기 위한 수단을 더 포함하는 것을 포함한다. 장치는 변위 값을 복수의 이차 위상 변위기에 적용하여 제한된 조향 각도를 특정 조향 각도로 변위하기 위한 수단을 더 포함할 수 있다. 각각의 이차 위상 변위기는 한 세트의 일차 위상 변위기와 연관될 수 있다.
예 862에서, 예 861의 청구 대상은 선택적으로 장치가 특정 조향 각도가 제한된 조향 각도 및 변위 값에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 약 180°의 변위 값 부근의 변위 값을 반영하여 설정되는지를 표시하는 일원화된 승수를 적용하기 위한 수단을 더 포함하는 것을 포함한다.
예 863에서, 예 862의 청구 대상은 선택적으로 코드북이
Figure pct00237
Figure pct00238
사이의 조향 각도롤 제한되는 것을 포함하며, 여기서, bp는 각각의 일차 위상 변위기의 비트 수이다.
예 864에서, 예 863의 청구 대상은 선택적으로 일차 위상 변위기 값이
Figure pct00239
Figure pct00240
사이로 제한되는 것을 포함하며, 여기서 L은 다수의 일차 위상 변위기의 개수이다.
예 865에서, 예 860-864 중 어느 하나 이상의 예의 청구 대상은 선택적으로 코드북이
Figure pct00241
사이의 조향 각도로 제한되는 것을 포함하며, 여기서 bp는 각각의 일차 위상 변위기의 비트 수이다.
예 866에서, 예 861-865 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이차 위상 변위기가 무선 주파수 또는 기저대역 위상 변위기이고 일차 위상 변위기가 중간 주파수 또는 디지털 위상 변위기인 것을 포함한다.
예 867에서, 예 861-866의 청구 대상은 선택적으로 일차 및 이차 위상 변위기가 저 비트 위상 변위기를 포함하는 것을 포함한다.
예 868은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 안테나를 조향할 조향 각도의 서브세트 이외의 특정 조향 각도를 결정하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 특정 조향 각도에 대응하는 조향 각도 서브세트 내의 제한된 조향 각도를 결정하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 제한된 조향 각도를 특정 조향 각도로 변위하는 변위 값을 결정하도록 구성될 수 있다. 명열어는 또한 하나 이상의 프로세서에게 명령하여 제한된 조향 각도 및 변위 값을 적용하여 안테나를 조향하도록 구성될 수 있다.
예 869에서, 예 868의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 제한된 조향 각도 값을 복수의 일차 위상 변위기에 적용하여 안테나를 제한된 조향 각도로 조향하도록 명령하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 변위 값을 복수의 이차 위상 변위기에 적용하여 제한된 조향 각도를 특정 조향 각도로 변위하도록 구성될 수 있다. 각각의 이차 위상 변위기는 한 세트의 일차 위상 변위기와 연관될 수 있다.
예 870에서, 예 869의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 특정 조향 각도가 제한된 조향 각도 및 변위 값에 의해 직접 설정되는지 또는 특정 조향 각도가 제한된 조향 각도 및 약 180°의 변위 값 부근의 변위 값을 반영하여 설정되는지를 표시하는 일원화된 승수를 적용하도록 명령하는 것을 포함한다.
예 871에서, 예 870의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 안테나를
Figure pct00242
사이의 조향 각도로 조향하는 값을 포함하는 코드북을 제한하도록 명령하는 것을 포함하며, 여기서, bp는 각각의 일차 위상 변위기의 비트 수이다.
예 872에서, 예 871의 청구 대상은 선택적으로 일차 위상 변위기 값이 값이
Figure pct00243
Figure pct00244
사이로 제한되는 것을 포함하며, 여기서 L은 다수의 일차 위상 변위기의 개수이다.
예 873에서, 예 868-872의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 : 안테나를
Figure pct00245
사이의 조향 각도로 조향하는 값을 포함하는 코드북을 제한하도록 명령하는 것을 포함하며, 여기서, bp는 각각의 일차 위상 변위기의 비트 수이다.
예 874는 전하 펌프의 장치이다. 장치는 복수의 상이한 제어 신호에 의해 제어되는 복수의 스위치 및 스위치가 연결되는 출력 캐패시터를 포함할 수 있다. 출력 캐패시터 상의 전압은 누설 캐패시턴스 및 스위치의 문턱 이하 주입(subthreshold injection)에 의해 제어되어 전하 펌프의 출력 전압이 전류 기준 또는 전하 축적 디바이스를 사용하지 않도록 할 수 있다.
예 875에서, 예 874의 청구 대상은 선택적으로 스위치가 출력 캐패시터 상의 전압을 미리 결정진 양만큼 승압하도록 구성된 제 1 스위치 그룹 및 출력 캐패시터 상의 전압을 미리 결정된 양만큼 감압하도록 구성된 제 2 스위치 그룹을 포함하는 것을 포함한다.
예 876에서, 예 875의 청구 대상은 선택적으로 제 1 및 제 2 스위치 그룹 각각이 상이한 레일 전압에 연결된 동적 스위치 및 동적 스위치와 출력 캐패시터 사이에 연결된 문턱 값 이하 전압 스위치를 포함하는 것을 포함한다.
예 877에서, 예 876의 청구 대상은 선택적으로 동적 스위치의 누설 캐패시턴스가 문턱 값 이하 스위치의 문턱 값 이하 주입을 제어하는 것을 포함한다.
예 878에서, 예 876-877 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 및 제 2 스위치 그룹 각각의 동적 스위치와 연결된 타이밍 회로를 포함한다. 타이밍 회로는 복수의 상이한 제어 신호 중의 제 1 및 제 2 제어 신호를 각각 제 1 및 제 2 스위치 그룹에 제공하도록 구성될 수 있다. 제 1 및 제 2 제어 신호는 제어 신호의 한 세트의 미리 설정된 비트에 의해 정의된 펄스 폭을 가질 수 있다.
예 879에서, 예 878의 청구 대상은 선택적으로 미리 설정된 비트 세트의 각각의 미리 설정된 비트가 상이한 문턱 값 이하 스위치를 제어하는 것을 포함한다.
예 880에서, 예 878-879 중 어느 하나 이상의 예의 청구 대상은 출력 캐패시터가 한 세트의 직렬 연결된 캐패시터-스위치 조합과 병렬의 내부 캐패시터를 포함하는 것을 포함한다. 직렬 연결된 캐패시터-스위치 조합 세트의 각 스위치는 미리 설정된 비트 세트의 상이한 미리 설정된 비트에 의해 제어될 수 있다.
예 881에서, 예 876-880 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전하가 각각의 동적 스위치의 게이트-드레인 캐패시턴스를 통해 주입되는 것을 포함한다.
예 882에서, 예 874-881 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치의 쌍에 연결되고 출력 캐패시터의 전압 변화를 트리거하도록 구성된 제어 로직을 포함한다.
예 883는 전하 펌프의 장치이다. 장치는 UP 및 DOWN 제어 신호에 의해 각각 제어되도록 구성된 제 1 및 제 2 동적 스위치를 포함할 수 있다. 제 1 및 제 2 동적 스위치는 상이한 레일 전압에 연결될 수 있다. 장치는 제 1 및 제 2 스위치 시리즈를 더 포함할 수 있다. 제 1 및 제 2 스위치 시리즈는 각각 제 1 및 제 2 동적 스위치에 연결될 수 있다. 제 1 및 제 2 스위치 시리즈의 각 스위치는 복수 비트 중 상이한 비트에 의해 제어되도록 구성될 수 있다. 장치는 제 1 및 제 2 스위치 시리즈가 연결되고 전하 펌프의 출력 전압을 제공하도록 구성된 출력 커패시터를 더 포함할 수 있다.
예 884에서, 예 883의 청구 대상은 선택적으로 제 1 및 제 2 동적 스위치의 누설 캐패시턴스가 스위치 시리즈의 문턱값 이하 주입을 제어하는 것을 포함한다.
예 885에서, 예 883-884 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치 시리즈가 1 개 내지 5 개의 스위치를 포함하는 것을 포함한다.
예 886에서, 예 883-885 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 및 제 2 동적 스위치와 각각 연결된 제 1 및 제 2 타이밍 회로를 포함하는 것을 포함한다. 제 1 및 제 2 타이밍 회로는 각각 UP 및 DOWN 제어 신호의 펄스 폭을 제어하고, 이에 따라 출력 전압의 전압 스텝을 제어하도록 구성될 수 있다.
예 887에서, 예 886의 청구 대상은 선택적으로 제 1 및 제 2 타이밍 회로 각각이 UP 또는 DOWN 제어 신호 및 UP 또는 DOWN 제어 신호의 지연된 반전된 사본이 입력으로서 제공되는 AND 게이트를 포함하는 것을 포함한다. UP 또는 DOWN 제어 신호의 지연된 반전된 사본은 UP 또는 DOWN 제어 신호가 AND 게이트에 연결된 인버터에 연결된 지연 라인에 의해 지연됨으로써 형성될 수 있다.
예 888에서, 예 887의 청구 대상은 선택적으로 펄스 폭이 지연 라인에 공급된 한 세트의 미리 설정된 비트에 의해 정의되는 것을 포함한다.
예 889에서, 예 888의 청구 대상은 선택적으로 미리 설정된 비트 세트의 각각의 미리 설정된 비트가 제 1 및 제 2 스위치 시리즈의 상이한 스위치를 제어하는 것을 포함한다.
예 890에서, 예 888-889 중 어느 하나 이상의 예의 청구 대상은 출력 캐패시터가 한 세트의 직렬 연결된 캐패시터-스위치 조합과 병렬의 내부 캐패시터를 포함하는 것을 포함한다. 직렬 연결된 캐패시터-스위치 조합의 세트의 각 스위치는 미리 설정된 비트 세트의 상이한 미리 설정된 비트에 의해 제어된다.
예 891는 전하 펌프에 전하를 주입하는 방법이다. 방법은 전하 주입 단계 동안 동적 스위치의 게이트-드레인 캐패시턴스 양단에 전하를 주입하는 단계를 포함할 수 있다. 방법은 전하의 주입 이후에, 전하 이동 단계 동안 문턱 값 이하 스위치 양단의 전하를 문턱 값 이하 드레인 전류를 사용하여 전하 펌프의 출력 캐패시턴스로 이동시키는 단계를 더 포함할 수 있다. 방법은 전하의 이동 이후, 출력 캐패시턴스에서 전하 이동 및 전류 흐름을 종료하여 셧다운 단계 동안 출력 전압의 전압 변화를 정지시키는 단계를 더 포함할 수 있다.
예 892에서, 예 891의 청구 대상은 선택적으로 전하 주입이 동적 스위치에 공급되는 제어 신호의 포지티브 에지에서 동적 스위치의 게이트-드레인 캐패시턴스 양단에서 발생하는 것을 포함한다. 동적 스위치는 포지티브 에지에서 턴 오프하도록 구성될 수 있다.
예 893에서, 예 891-892 중 어느 하나 이상의 예의 청구 대상은 선택적으로 동적 스위치에 공급된 제어 신호의 네거티브 에지에서 종료가 발생하는 것을 포함한다. 동적 스위치는 네거티브 에지에서 턴 온하도록 구성될 수 있다.
예 894에서, 예 893의 청구 대상은 선택적으로 종료 단계 동안, 동적 스위치와 문턱 값 이하 스위치 사이의 네트(net)에서의 전압이 동적 스위치가 연결된 레일 전압으로 복귀하는 것을 포함한다.
예 895에서, 예 891-894 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전하 주입 단계 동안 제어 신호의 펄스 폭을 제어하고, 그 결과 전압 변화를 제어하는 단계를 포함한다.
예 896에서, 예 895의 청구 대상은 제어 신호의 펄스 폭을 제어하는 단계가 제어 신호 및 제어 신호의 지연된 반전된 사본을 AND 게이트에 공급하는 단계를 포함하고, 한 세트의 미리 설정된 비트가 제어 신호의 지연된 반전된 사본의 지연 량을 제어하는 것을 포함한다.
예 897에서, 예 896의 청구 대상은 선택적으로 전하 이동 단계 동안 전하가 미리 설정된 비트 세트 중 미리 설정된 비트의 개수와 동일한 개수의 문턱 값 이하 스위치 양단의 출력 캐패시턴스로 이동되고, 각각의 미리 설정된 비트가 상이한 문턱 값 이하 스위치를 제어하는 것을 포함한다.
예 898에서, 예 896-897 중 어느 하나 이상의 예의 청구 대상은 선택적으로 다수의 병렬의 내부 캐패시터의 통합을 제어하여 출력 캐패시터를 형성하는 단계를 포함한다. 병렬의 내부 커패시터의 개수는 미리 설정된 비트 세트의 미리 설정된 비트 개수와 동일할 수 있다. 각 내부 캐패시터는 상이한 미리 설정된 비트에 의해 통합될 수 있다.
예 899는 전하 펌프의 장치이다. 장치는 동적 스위치의 게이트-드레인 캐패시턴스 양단에 전하를 주입하기 위한 수단을 포함할 수 있다. 장치는 전하의 주입 이후에, 문턱 값 이하 스위치 양단의 전하를 문턱 값 이하 드레인 전류를 사용하여 전하 펌프의 출력 캐패시턴스로 이동시키기 위한 수단을 더 포함할 수 있다. 장치는 출력 캐패시턴스에서 전하 이동 및 전류 흐름을 종료하여 전하의 이동 이후 출력 전압의 전압 변화를 정지시키기 위한 수단을 더 포함할 수 있다.
예 900에서, 예 899의 청구 대상은 선택적으로 전하 주입 단계 동안 제어 신호의 펄스 폭을 제어하고, 그 결과 전압 변화를 제어하기 위한 수단을 포함한다.
예 901에서, 예 899-900 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어 신호의 펄스 폭을 제어하기 위한 수단이 제어 신호 및 제어 신호의 지연된 반전된 사본을 AND 게이트에 공급하기 위한 수단을 포함하고, 한 세트의 미리 설정된 비트가 제어 신호의 지연된 반전된 사본의 지연 량을 제어하는 것을 포함한다.
예 902에서, 예 901의 청구 대상은 미리 설정된 비트 세트 중 미리 설정된 비트의 개수와 동일한 개수의 문턱 값 이하 스위치 양단의 출력 캐패시턴스로 전하를 이동시키기 위한 수단을 포함한다. 각각의 미리 설정된 비트는 상이한 문턱 값 이하 스위치를 제어할 수 있다.
예 903에서, 예 901-902 중 어느 하나 이상의 예의 청구 대상은 선택적으로 다수의 병렬의 내부 캐패시터의 통합을 제어하여 출력 캐패시터를 형성하기 위한 수단을 포함한다. 병렬의 내부 캐패시터의 개수는 미리 설정된 비트 세트의 미리 설정된 비트의 개수와 동일할 수 있다. 각 내부 캐패시터는 상이한 미리 설정된 비트에 의해 통합될 수 있다.
예 904은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 UP 및 DOWN 제어 신호에 의해 각각 제 1 및 제 2 동적 스위치를 제어하도록 구성될 수 있다. 제 1 및 제 2 동적 스위치는 상이한 레일 전압에 연결될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 제 1 및 제 2 스위치 시리즈의 각각의 스위치를 복수의 비트 중 상이한 비트에 의해 제어하도록 구성될 수 있다. 제 1 및 제 2 스위치 시리즈는 각각 제 1 및 제 2 동적 스위치에 연결될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 제 1 및 제 2 스위치 시리즈가 연결되는 전하 펌프의 출력 캐패시터의 출력 전압을 조정하도록 구성될 수 있다.
예 905에서, 예 904의 청구 대상은 선택적으로 명령어가 하나 이상의 프로세서에게 명령하여 제 1 및 제 2 동적 스위치와 각각 연결된 제 1 및 제 2 타이밍 회로를 통해 UP 및 DOWN 제어 신호의 펄스 폭을 제어하도록 구성되는 것을 포함한다.
예 906에서, 예 905의 청구 대상은 선택적으로 제 1 및 제 2 타이밍 회로 각각이 UP 또는 DOWN 제어 신호 및 UP 또는 DOWN 제어 신호의 지연된 반전된 사본이 입력으로서 제공되는 AND 게이트를 포함하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 AND 게이트에 연결된 인버터에 연결된 지연 라인의 지연을 제어하도록 구성될 수 있다. UP 또는 DOWN 제어 신호는 지연 라인에 의해 지연되어 UP 또는 DOWN 제어 신호의 지연된 반전된 사본을 형성할 수 있다.
예 907에서, 예 906의 청구 대상은 선택적으로 펄스 폭이 지연 라인에 공급된 한 세트의 미리 설정된 비트에 의해 정의되는 것을 포함한다.
예 908에서, 예 907의 청구 대상은 선택적으로 명령어가 하나 이상의 프로세서에게 명령하여 제 1 및 제 2 스위치 시리즈의 상이한 스위치를 상이한 미리 설정된 비트를 사용하여 제어하도록 구성되는 것을 포함한다.
예 909에서, 예 907-908 중 어느 하나 이상의 예의 청구 대상은 출력 캐패시터가 한 세트의 직렬 연결된 캐패시터-스위치 조합과 병렬의 내부 캐패시터를 포함하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 직렬 연결된 캐패시터-스위치 조합의 세트의 각 스위치를 상이한 미리 설정된 비트에 의해 제어하도록 구성될 수 있다.
예 910는 통신 디바이스의 장치이다. 장치는 수신기 회로를 포함할 수 있다. 수신기 회로는 빔포밍된 신호를 수신하도록 구성된 복수의 제 1 양자화기를 포함할 수 있다. 수신기 회로는 빔포밍된 신호가 양자화기에 공급되기 전에, 아날로그 보상된 신호를 빔포밍된 신호에 제공하여, 보상된 빔포밍된 신호를 형성하도록 구성된 피드포워드 루프를 더 포함할 수 있다. 빔포밍된 신호는 송신기로부터의 신호 및 간섭원 신호(interferer signal)를 포함할 수 있다. 아날로그 보상 신호는 간섭원 신호를 보상하도록 구성될 수 있다.
예 911에서, 예 910의 청구 대상은 선택적으로 피드포워드 루프가 빔포밍된 신호를 개략적으로 양자화된 신호(coarsely quantized signal)로 변환하도록 구성된 복수의 제 2 양자화기를 포함하는 것을 포함한다. 피드포워드 루프는 아날로그 보상 신호로 변환하도록 구성된 복수의 디지털-아날로그 변환기(DAC)를 더 포함할 수 있다.
예 912에서, 예 911의 청구 대상은 선택적으로 제 2 양자화기의 분해능이 제 1 양자화기의 분해능보다 적다는 것을 포함한다.
예 913에서, 예 911-912 중 어느 하나 이상의 예의 청구 대상은 선택적으로 피드포워드 루프가 제 2 양자화기와 DAC 사이에 배치된 디지털 필터를 더 포함하는 것을 포함한다. 디지털 필터는 개략적으로 양자화된 신호에 교차 상관 간섭 보상(ross-correlated interference compensation)을 제공하고 아날로그 보상 신호의 디지털 버전을 생성하도록 구성될 수 있다.
예 914에서, 예 913의 청구 대상은 선택적으로 아날로그 보상 신호의 디지털 버전과 보상된 빔포밍된 신호의 디지털 버전을 결합하여 수신기 회로를 조정하는데 사용되는 신호 품질을 제공하도록 구성된 복수의 결합기를 포함한다.
예 915에서, 예 913-914 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디지털 필터가
Figure pct00246
로부터 각 방향으로부터의 간섭을 추정한 다음 - 여기서, y[n]은 특정 제 2 양자화기에서의 개략적으로 양자화된 신호이고, ark)는 방향(θk)로부터의 간섭의 추정 벡터임 - , 이어서 간섭 벡터를 다음과 같이:
Figure pct00247
결정하도록 구성되는 것을 포함한다.
예 916에서, 예 911-915 중 어느 하나 이상의 예의 청구 대상은 임으로 피드포워드 루프가: 빔포밍된 신호가 제 2 양자화기에 제공되기 전에 빔포밍된 신호에 디더링 잡음(dithering noise)을 추가하도록 구성된 복수의 결합기를 더 포함하는 것을 포함한다. 디더링 잡음은 간섭의 추정치에 종속할 수 있다.
예 917에서, 예 911-916 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호가 아날로그 보상 신호와 결합되게 하기에 충분한 지연을 빔포밍된 신호에 추가하도록 구성된 복수의 아날로그 지연 라인을 포함한다.
예 918에서, 예 911-917 중 어느 하나 이상의 예의 청구 대상은 선택적으로 보상된 빔포밍된 신호에 디더링 잡음을 추가하도록 구성된 복수의 결합기를 포함한다. 디더링 잡음은 수신기 성능의 측정치에 종속할 수 있다.
예 919에서, 예 911-918 중 어느 하나 이상의 예의 청구 대상은 임으로 빔포밍된 신호가 제 2 양자화기에 제공되기 전에 빔포밍된 신호에 제 1 디더링 잡음을 추가하도록 구성된 복수의 제 1 결합기를 더 포함하는 것을 포함한다. 복수의 제 2 결합기는 보상된 빔포밍된 신호에 제 2 디더링 잡음을 추가하도록 구성될 수 있다. 제 1 및 제 2 디더링 잡음은 빔포밍된 신호의 상이한 품질에 종속할 수 있다.
예 920에서, 예 910-919 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호를 제공하도록 구성된 복수의 안테나를 포함한다.
예 921은 수신기에서 간섭원을 보상하는 방법이다. 방법은 복수의 안테나로부터 빔포밍된 신호를 수신할 수 있다. 각각의 빔포밍된 신호는 송신기로부터의 신호 및 간섭원 신호를 포함할 수 있다. 방법은 보상된 신호를 출력을 위해 양자화하기 전에, 빔포밍된 신호를 대상으로 간섭원 신호를 피드포워드 보상함으로써 보상된 신호를 형성하는 단계를 더 포함할 수 있다. 보상된 신호는 빔포밍된 신호에 종속할 수 있다. 방법은 보상된 신호를 양자화하여 양자화된 출력 신호를 형성하는 단계를 더 포함할 수 있다. 방법은 처리를 위해 양자화된 출력 신호를 기저대역 프로세서에 공급하는 단계를 더 포함할 수 있다.
예 921a에서, 예 921의 청구 대상은 선택적으로 피드포워드 경로를 따른 빔포밍된 신호를 양자화하여 양자화된 피드포워드 신호를 형성하는 단계를 포함한다. 방법은 양자화된 피드포워드 신호 내의 간섭원 신호를 보상하여 디지털 보상 신호를 제공하는 단계를 더 포함할 수 있다. 방법은 디지털 보상 신호를 아날로그 보상 신호로 변환하는 단계를 더 포함할 수 있다. 방법은 아날로그 보상 신호를 빔포밍된 신호와 결합하여 보상된 신호를 형성하는 단계를 더 포함할 수 있다.
예 922에서, 예 911의 청구 대상은 선택적으로 빔포밍된 신호의 양자화 분해능이 보상된 신호의 양자화 분해능보다 낮은 것을 포함한다.
예 923에서, 예 922의 청구 대상은 선택적으로 빔포밍된 신호를 양자화하기 전에 빔포밍된 신호에 제 1 디더링 잡음을 추가하는 단계를 포함한다. 방법은 보상된 신호에 제 2 디더링 잡음을 추가하는 단계를 더 포함할 수 있다.
예 924에서, 예 923의 청구 대상은 선택적으로 신호 품질을 제공하기 위해 디지털 보상 신호와 보상된 신호의 디지털 버전을 결합하는 단계를 포함한다. 방법은 신호 품질에 기초하여, 다음의 것: 빔포밍된 신호의 양자화, 보상된 신호의 양자화, 제 1 디더링 잡음 또는 제 2 디더링 잡음 중 적어도 하나를 제어하는 단계를 더 포함할 수 있다.
예 925에서, 예 921-924 중 어느 하나 이상의 예의 청구 대상은 선택적으로 간섭원 신호를 보상하는 단계가
Figure pct00248
로부터 각 방향으로부터의 간섭을 추정한 다음 - 여기서, y[n]은 특정 제 2 양자화기에서의 개략적으로 양자화된 신호이고, ark)는 방향(θk)로부터의 간섭의 추정 벡터임 - , 이어서 간섭 벡터를 다음과 같이:
Figure pct00249
을 결정하는 단계를 포함하는 것을 포함한다.
예 926에서, (예 921a를 비롯한) 예 921-926 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호가 아날로그 보상 신호와 결합되게 하기에 충분하게 빔포밍된 신호를 지연하는 단계를 포함한다.
예 927는 수신기의 장치이다. 장치는 복수의 안테나로부터 빔포밍된 신호를 수신하기 위한 수단을 포함할 수 있다. 각각의 빔포밍된 신호는 송신기로부터의 신호 및 간섭원 신호를 포함할 수 있다. 장치는 보상된 신호를 출력을 위해 양자화하기 전에, 빔포밍된 신호를 대상으로 간섭원 신호를 피드포워드 보상함으로써 보상된 신호를 형성하기 위한 수단을 더 포함할 수 있다. 보상된 신호는 빔포밍된 신호에 종속할 수 있다. 장치는 보상된 신호를 양자화하여 양자화된 출력 신호를 형성하기 위한 수단을 더 포함할 수 있다.
예 928에서, 예 927의 청구 대상은 선택적으로 피드포워드 경로를 따라 빔포밍된 신호를 양자화하여 양자화된 피드포워드 신호를 형성하기 위한 수단; 양자화된 피드포워드 신호 내의 간섭 신호를 보상하여 디지털 보상 신호를 제공하기 위한 수단을 포함한다. 장치는 디지털 보상 신호를 아날로그 보상 신호로 변환하기 위한 수단을 더 포함할 수 있다. 장치는 아날로그 보상 신호를 빔포밍된 신호와 결합하여 보상된 신호를 형성하기 위한 수단을 더 포함할 수 있다.
예 929에서, 예 928의 청구 대상은 선택적으로 빔포밍된 신호의 양자화 분해능이 보상된 신호의 양자화 분해능보다 낮은 것을 포함한다.
예 930에서, 예 929의 청구 대상은 선택적으로 빔포밍된 신호를 양자화하기 전에 빔포밍된 신호에 제 1 디더링 잡음을 추가하기 위한 수단; 및 보상된 신호에 제 2 디더링 잡음을 추가하기 위한 수단을 포함한다.
예 931에서, 예 930의 청구 대상은 선택적으로 신호 품질을 보장하기 위해 디지털 보상 신호와 보상된 신호의 디지털 버전을 결합하기 위한 수단을 포함한다. 장치는 신호 품질에 기초하여, 다음의 것: 빔포밍된 신호의 양자화, 보상된 신호의 양자화, 제 1 디더링 잡음 또는 제 2 디더링 잡음 중 적어도 하나를 제어하기 위한 수단을 더 포함할 수 있다.
예 932에서, 예 928-931 중 어느 하나 이상의 예의 청구 대상은 선택적으로
Figure pct00250
로부터 각 방향으로부터의 간섭을 추정한 다음 - 여기서, y[n]은 특정 제 2 양자화기에서의 개략적으로 양자화된 신호이고, ark)는 방향(θk)로부터의 간섭의 추정 벡터임 - , 이어서 간섭 벡터를 다음과 같이:
Figure pct00251
을 결정하기 위한 수단을 포함한다.
예 933에서, 예 931-932 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호가 아날로그 보상 신호와 결합되게 하기에 충분하게 빔포밍된 신호를 지연하기 위한 수단을 포함한다.
예 934은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 복수의 안테나로부터 빔포밍된 신호를 수신하도록 구성될 수 있다. 각각의 빔포밍된 신호는 송신기로부터의 신호 및 간섭원 신호를 포함할 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 보상된 신호를 출력을 위해 양자화하기 전에, 빔포밍된 신호를 대상으로 간섭원 신호를 피드포워드 보상함으로써 보상된 신호를 형성하도록 구성될 수 있다. 보상된 신호는 빔포밍된 신호에 종속할 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 보상된 신호를 양자화하여 양자화된 출력 신호를 형성하도록 구성될 수 있다.
예 935에서, 예 934의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 피드포워드 경로를 따른 빔포밍된 신호를 양자화하여 양자화된 피드포워드 신호를 형성하도록 구성하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 양자화된 피드포워드 신호 내의 간섭을 보상하여 디지털 보상 신호를 제공하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 디지털 보상 신호를 아날로그 보상 신호로 변환하도록 구성될 수 있다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 아날로그 보상 신호를 빔포밍된 신호와 결합하여 보상된 신호를 형성하도록 구성될 수 있다.
예 936에서, 예 935의 청구 대상은 선택적으로 빔포밍된 신호의 양자화 분해능이 보상된 신호의 양자화 분해능보다 낮은 것을 포함한다.
예 937에서, 예 936의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 빔포밍된 신호를 양자화하기 전에 빔포밍된 신호에 제 1 디더링 잡음을 추가하도록 구성하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여 보상된 신호에 제 2 디더링 잡음을 추가하도록 구성될 수 있다.
예 938에서, 예 937의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 신호 품질을 제공하기 위해 디지털 보상 신호와 보상된 신호의 디지털 버전을 결합하도록 구성하는 것을 포함한다. 명령어는 또한 하나 이상의 프로세서에게 명령하여, 신호 품질에 기초하여, 다음의 것: 빔포밍된 신호의 양자화, 보상된 신호의 양자화, 제 1 디더링 잡음 또는 제 2 디더링 잡음 중 적어도 하나를 제어하도록 구성될 수 있다.
예 939에서, 예 934-938 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여:
Figure pct00252
으로부터 각 방향으로부터의 간섭을 추정한 다음 - 여기서, y[n]은 특정 제 2 양자화기에서의 개략적으로 양자화된 신호이고, ark)는 방향(θk)로부터의 간섭의 추정 벡터임 - 이어서 간섭 벡터를 다음과 같이:
Figure pct00253
을 결정하도록 구성하는 것을 포함한다.
예 940에서, 예 934-939 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 빔포밍된 신호가 아날로그 보상 신호와 결합되게 하기에 충분하게 빔포밍된 신호를 지연하도록 구성하는 것을 포함한다.
예 941는 통신 디바이스의 장치이다. 장치는 보상 회로, 양자화기를 포함하는 수신기; 및 기저대역 프로세서를 포함한다. 보상 회로는 수신기의 아날로그 도메인에서 복수의 빔포밍 안테나 각각에서 수신된 무선 주파수(RF) 신호의 간섭을 보상하고 아날로그 보상된 신호를 생성하도록 구성될 수 있다. 양자화기는 보상 회로에 따라 아날로그 입력 신호를 양자화된 출력으로 변환하도록 구성될 수 있다. 기저대역 프로세서는 양자화된 출력에 따라 기저대역 입력 신호를 수신하고, 보상의 역(inversion)을 기저대역 입력 신호에 적용하여 RF 신호의 디지털 버전을 재구성하고, RF 신호의 디지털 버전에 대해 신호 처리를 수행하도록 구성될 수 있다.
예 942에서, 예 941의 청구 대상은 선택적으로 보상 회로가 양자화기로부터의 양자화된 출력을 아날로그 도메인에 제공하도록 구성된 피드백 루프를 포함하는 것을 포함한다. 피드백 루프는 간섭의 방향에 따라 양자화된 출력을 필터링하고 필터링된 신호를 생성하도록 구성된 필터를 포함할 수 있다. 피드백 루프는 필터링된 신호를 아날로그 신호로 변환하도록 구성된 디지털-아날로그 변환기(DAC)를 더 포함할 수 있다. 피드백 루프는 아날로그 신호를 양자화기로 입력되는 아날로그 입력 신호를 생성하는데 사용된 안테나로부터의 신호와 결합하여 결합된 신호를 형성하도록 구성된 결합기를 더 포함할 수 있다.
예 943에서, 예 942의 청구 대상은 선택적으로 양자화기 및 디지털-아날로그 변환기가 상이한 분해능을 갖는 것을 포함한다.
예 944에서, 예 943의 청구 대상은 선택적으로 분해능 중 적어도 하나가 원하는 비트 오류율(bit error rate)(BER) 또는 필터 특성 중 적어도 하나에 종속하는 것을 포함한다.
예 945에서, 예 942-944 중 어느 하나 이상의 예의 청구 대상은 선택적으로 결합기와 양자화기 사이에 배치되어 결합된 신호 내의 양자화 잡음을 대역 외로 형상화하도록 구성된 저역 통과 필터(low pass filter)(LPF)를 포함한다.
예 946에서, 예 945의 청구 대상은 선택적으로 LPF와 양자화기 사이에 배치되고 이득 입력(gain input)을 양자화기의 동적 범위로 조정하여 아날로그 입력 신호를 제공하도록 구성된 이득(gain)을 포함한다.
예 947에서, 예 942-946 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화기와 기저대역 프로세서 사이에 배치되고 양자화기에 의해 도입된 고조파를 제거하도록 구성된 저역 통과 필터(LPF)를 포함한다.
예 948에서, 예 942-947 중 어느 하나 이상의 예의 청구 대상은 선택적으로 DAC와 결합기 사이에 배치되고 채널 품질에 따라 또는 고정된 이득으로 설정된 것 중 하나로 아날로그 신호를 조정하도록 구성되는 이득을 포함한다.
예 949에서, 예 942-948 중 어느 하나 이상의 예의 청구 대상은 양자화기가 아날로그 입력 신호를 오버샘플링하도록 구성되는 것을 포함한다. 수신기는 양자화기와 기저대역 프로세서 사이에 배치되고 데시메이터 입력 신호를 나이퀴스트 레이트(Nyquist rate)로 하향 샘플링하도록 구성된 데시메이터(decimator)를 더 포함할 수 있다.
예 950에서, 예 942-949 중 어느 하나 이상의 예의 청구 대상은 선택적으로 계수가 간섭의 방향성에 종속하는 것을 포함한다.
예 951에서, 예 950의 청구 대상은 선택적으로 필터가
Figure pct00254
으로서 정의되는 것을 포함하며, 여기서 K는 오버샘플링 레이트이고, Nr은 안테나의 개수이다.
예 952에서, 예 951의 청구 대상은 선택적으로
Figure pct00255
Figure pct00256
,
Figure pct00257
,
Figure pct00258
, 및
Figure pct00259
인 것을 포함하고, 여기서 d는 안테나간 거리이고, 필터 계수 행렬
Figure pct00260
Figure pct00261
Figure pct00262
이고, [ㆍ]+ 는 의사 역 연산자(seudoinverse operator)이고, I는 간섭 방향의 총 개수이고,
Figure pct00263
는 크로네커 곱(Kronecker product)을 나타낸다.
예 953에서, 예 941-952 중 어느 하나 이상의 예의 청구 대상은 선택적으로 출력 발진기 신호에 따라 신호를 송신하도록 구성된 안테나를 포함한다.
예 954은 수신기에서 감소된 양자화기 동적 범위를 사용하는 방법이다. 방법은 복수의 빔포밍 안테나로부터 복수의 빔포밍된 신호를 수신하는 단계를 포함할 수 있다. 방법은 각각의 빔포밍된 신호에 대해: 빔포밍된 신호가 양자화기에 제공되기 전에 빔포밍된 신호를 대상으로 간섭원으로부터의 간섭을 보상하고 보상된 신호를 양자화기에 제공함으로써 빔포밍된 신호가 공급되는 양자화기의 동적 범위를 감소시키는 단계; 보상된 신호를 양자화하는 단계; 빔포밍된 신호에 적용된 보상을 디지털로 반전시켜 빔포밍된 신호의 디지털 버전을 재생성하는 단계, 및 빔포밍된 신호의 디지털 버전을 신호 처리하는 단계를 더 포함할 수 있다.
예 955에서, 예 954의 청구 대상은 선택적으로 계수가 간섭원의 방향에 종속하는 필터를 사용하여 양자화된 출력을 필터링하여 필터링된 신호를 생성하는 단계를 포함한다. 방법은 필터링된 신호를 아날로그 신호로 변환하는 단계를 더 포함할 수 있다. 방법은 아날로그 신호를 빔포밍된 신호와 결합하여 보상된 신호를 생성하는 단계를 더 포함할 수 있다.
예 956에서, 예 955의 청구 대상은 선택적으로 다음 의 것: 보상된 신호를 양자화하고 필터링된 신호를 변환하는데 상이한 분해능을 사용하는 것 또는 상이한 분해능 중 적어도 하나가 원하는 비트 오류율(BER) 또는 필터 특성 중 적어도 하나에 종속하는 것 중 적어도 하나를 포함한다.
예 957에서, 예 953-955 중 어느 하나 이상의 예의 청구 대상은 선택적으로 보상된 신호 내의 양자화 잡음을 저역 통과 필터(LPF)를 사용하여 대역 외로 형상화하여 LPF 신호를 형성하는 단계를 포함한다.
예 958에서, 예 957의 청구 대상은 선택적으로 양자화기의 동적 범위를 감소시키기 위해 LPF 신호를 양자화하기 전에 LPF 신호의 이득을 조정하는 단계를 포함한다.
예 959에서, 예 954-958 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화 동안 보상된 신호가 오버샘플링되는 것을 포함한다. 방법은 저역 통과 필터(LPF)를 사용하여 양자화기에 의해 도입된 고조파를 제거하여 LPF 신호를 생성하고 LPF 신호를 나이퀴스트 레이트로 하향 샘플링하는 단계를 더 포함할 수 있다.
예 960에서, 임의의 하나 이상의 예 953-959 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터가
Figure pct00264
Figure pct00265
로서 정의되는 것을 포함하고, 여기서 K는 오버샘플링 레이트이고 Nr은 안테나의 개수이고,
Figure pct00266
Figure pct00267
,
Figure pct00268
,
Figure pct00269
, 및
Figure pct00270
이고, 여기서 d는 안테나간 거리이고, 필터 계수 행렬
Figure pct00271
Figure pct00272
Figure pct00273
이고, [ㆍ]+ 는 의사 역 연산자이고, I는 간섭 방향의 총 개수이고,
Figure pct00274
는 크로네커 곱을 나타낸다.
예 961는 디지털 극변조 송신기의 장치이다. 장치는 복수의 빔포밍 안테나로부터 복수의 빔포밍된 신호를 수신하기 위한 수단을 포함할 수 있다. 장치는 각각의 빔포밍된 신호에 대해: 빔포밍된 신호가 양자화기에 제공되기 전에 빔포밍된 신호를 대상으로 간섭원으로부터의 간섭을 보상하고 보상된 신호를 양자화기에 제공함으로써 빔포밍된 신호가 공급되는 양자화기의 동적 범위를 감소시키기 위한 수단; 보상된 신호를 양자화하기 위한 수단; 빔포밍된 신호에 적용된 보상을 디지털로 반전시켜 빔포밍된 신호의 디지털 버전을 재생성하기 위한 수단, 및 빔포밍된 신호의 디지털 버전을 신호 처리하기 위한 수단을 더 포함할 수 있다.
예 962에서, 예 961의 청구 대상은 선택적으로 계수가 간섭원의 방향에 종속하는 필터를 사용하여 양자화된 출력을 필터링하여 필터링된 신호를 생성하기 위한 수단을 포함한다. 장치는 필터링된 신호를 아날로그 신호로 변환하기 위한 수단을 더 포함할 수 있다. 장치는 아날로그 신호를 빔포밍된 신호와 결합하여 보상된 신호를 생성하기 위한 수단을 더 포함할 수 있다.
예 963에서, 예 962의 청구 대상은 선택적으로 다음의 것: 보상된 신호를 양자화하고 필터링된 신호를 변환하는데 상이한 분해능이 사용되는 것 또는 상이한 분해능 중 적어도 하나가 원하는 비트 오류율(BER) 또는 필터 특성 중 적어도 하나에 종속하는 것 중 적어도 하나를 포함한다.
예 964에서, 예 961-963 중 어느 하나 이상의 예의 청구 대상은 선택적으로 보상된 신호 내의 양자화 잡음을 저역 통과 필터(LPF)를 사용하여 대역 외로 형상화하여 LPF 신호를 형성하기 위한 수단을 포함한다.
예 965에서, 예 964의 청구 대상은 선택적으로 양자화기의 동적 범위를 감소시키기 위해 LPF 신호를 양자화하기 전에 LPF 신호의 이득을 조정하기 위한 수단을 포함한다.
예 966에서, 예 961-965 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화 동안 보상된 신호가 오버샘플링되는 것을 포함한다. 장치는 저역 통과 필터(LPF)를 사용하여 양자화기에 의해 도입된 고조파를 제거하여 LPF 신호를 생성하기 위한 수단을 더 포함할 수 있다. 장치는 필터링된 신호를 나이퀴스트 레이트로 하향 샘플링하기 위한 수단을 더 포함할 수 있다.
예 967에서, 예 961-959 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터가
Figure pct00275
Figure pct00276
로서 정의되는 것을 포함하고, 여기서 K는 오버샘플링 레이트이고 Nr은 안테나의 개수이고,
Figure pct00277
Figure pct00278
,
Figure pct00279
,
Figure pct00280
, 및
Figure pct00281
이고, 여기서 d는 안테나간 거리이고, 필터 계수 행렬
Figure pct00282
Figure pct00283
Figure pct00284
이고, [ㆍ]+ 는 의사 역 연산자이고, I는 간섭 방향의 총 개수이고,
Figure pct00285
는 크로네커 곱을 나타낸다.
예 968은 통신 디바이스의 하나 이상의 프로세서에 의해 복수의 빔포밍 안테나로부터 복수의 빔포밍된 신호를 수신하는 통신 디바이스를 구성하도록 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 또한 통신 디바이스를, 각각의 빔포밍된 신호에 대해: 빔포밍된 신호가 양자화기에 제공되기 전에 빔포밍된 신호를 대상으로 간섭원으로부터의 간섭을 보상하고 보상된 신호를 양자화기에 제공함으로써 양자화기의 동적 범위를 감소시키고; 보상 및 보상된 신호 각각의 진폭을 독립적으로 조정하고; 보상된 신호를 양자화하고; 빔포밍된 신호에 적용된 보상을 디지털로 반전시켜 빔포밍된 신호의 디지털 버전을 재생성하고; 빔포밍된 신호의 디지털 버전을 신호 처리하도록 구성할 수 있다.
예 969에서, 예 968의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 계수가 간섭원의 방향에 종속하는 필터를 사용하여 양자화된 출력을 필터링하여 필터링된 신호를 생성하도록 구성하는 것을 포함한다. 명령어는 또한 통신 디바이스가 필터링된 신호를 아날로그 신호로 변환하도록 구성할 수 있다. 명령어는 또한 통신 디바이스가 아날로그 신호를 빔포밍된 신호와 결합하여 보상된 신호를 생성하도록 구성할 수 있다.
예 970에서, 예 969의 청구 대상은 선택적으로 다음의 것: 보상된 신호를 양자화하고 필터링된 신호를 변환하는데 상이한 분해능이 사용되는 것 또는 상이한 분해능 중 적어도 하나가 원하는 비트 오류율(BER) 또는 필터 특성 중 적어도 하나에 종속하는 것 중 적어도 하나를 포함한다.
예 971에서, 예 968-970 중 어느 하나 이상의 예의 청구 대상은 선택적으로 하나 이상의 프로세서가 또한 통신 디바이스를: 보상된 신호 내의 양자화 잡음을 저역 통과 필터(LPF)를 사용하여 대역 외로 형상화하여 LPF 신호를 형성하도록 구성하는 것을 포함한다.
예 972에서, 예 968-971 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화 동안 보상된 신호가 오버샘플링되는 것을 포함한다. 명령어는 또한 통신 디바이스가 저역 통과 필터(LPF)를 사용하여 양자화기에 의해 도입된 고조파를 제거하여 LPF 신호를 생성하고 LPF 신호를 나이퀴스트 레이트로 하향 샘플링하도록 구성할 수 있다.
예 973에서, 예 968-972 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터가
Figure pct00286
Figure pct00287
로서 정의되는 것을 포함하고, 여기서 K는 오버샘플링 레이트이고 Nr은 안테나의 개수이고,
Figure pct00288
Figure pct00289
,
Figure pct00290
,
Figure pct00291
, 및
Figure pct00292
이고, 여기서 d는 안테나간 거리이고, 필터 계수 행렬
Figure pct00293
Figure pct00294
Figure pct00295
이고, [ㆍ]+ 는 의사 역 연산자이고, I는 간섭 방향의 총 개수이고,
Figure pct00296
는 크로네커 곱을 나타낸다.
예 974는 통신 디바이스의 장치이다. 장치는 조정 가능한 ADC 구성을 포함하는 아날로그-디지털 변환기 시스템(analog to digital converter system)(ADCS)을 포함할 수 있다. ADC 구성은 평균화 모드에서의 병렬 동작과 시간 인터리빙된 모드에서의 직렬 동작 사이에서 조정 가능한 복수의 코어 ADC를 포함할 수 있다. ADCS는 통신 디바이스의 더 높은 분해능, 더 낮은 대역폭 동작을 위해 평균화 모드로 구성될 수 있고 통신 디바이스의 더 낮은 분해능, 더 높은 속도의 동작을 위해 시간 인터리빙된 모드로 구성될 수 있다.
예 975에서, 예 974의 청구 대상은 선택적으로 ADCS가 복수의 타이밍 유닛을 더 포함하는 것을 포함한다. 각각의 타이밍 유닛은 복수의 코어 ADC의 상이한 코어 ADC와 연결될 수 있다. 각각의 타이밍 유닛은 타이밍 유닛에 공급되는 마스터 클록 신호에 기초하여 연관된 코어 ADC에 시스템 클록 신호를 제공하도록 구성될 수 있다. 시스템 클록 신호는 ADCS가 평균화 모드 또는 시간 인터리빙된 모드 중 어느 모드에 있는지에 종속할 수 있다.
예 976에서, 예 975의 청구 대상은 선택적으로 각각의 타이밍 유닛 및 코어 ADC가 ADCS가 평균화 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 있는 것을 표시하는 제어기로부터 모드 신호 및 평균화 모드 또는 시간 인터리빙된 모드 중 적어도 하나의 모드에서 타이밍 유닛 및 코어 ADC를 원하는 셋업으로 조정하는 한 세트의 구성 비트를 수신하도록 구성되는 것을 포함한다.
예 977에서, 예 976의 청구 대상은 선택적으로 모드 신호가 평균화 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 존재하는 것을 표시하는 단일 비트 및 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함하는 것을 포함한다.
예 978에서, 예 977의 청구 대상은 선택적으로 적어도 하나의 추가 비트는 어느 코어 ADC를 사용할지를 명시하는 것을 포함한다.
예 979에서, 예 976-978 중 어느 하나 이상의 예의 청구 대상은 임으로 모드 신호가 평균화 모드 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 존재하는지를 표시하는 단일 비트로 구성되는 것을 포함한다.
예 980에서, 예 974-979 중 어느 하나 이상의 예의 청구 대상은 선택적으로 코어 ADC가 평균화 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 있는지에 따라 분해능이 변하는 가변 비트 ADC인 것을 포함한다.
예 981에서, 예 974-980 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각 코어 ADC가 양자화될 입력 신호를 오버샘플링하고 데시메이트하는 샘플링 회로를 포함하는 것을 포함한다.
예 982에서, 예 974 내지 981 중 임의의 하나 이상의 청구 대상은 선택적으로 ADCS가 코어 ADC로부터 양자화된 신호를 수신하고 평균화 모드 또는 시간 인터리빙된 모드 중 ADCS가 어느 모드에 있는지에 따라 양자화된 신호를 상이하게 처리하도록 구성된 프로세싱 회로를 더 포함하는 것을 포함한다.
예 983에서, 예 982의 청구 대상은 선택적으로 프로세싱 회로가 ADCS가 평균화 모드에 있을 때 버퍼로서 동작하고 ADCS가 시간 인터리빙된 모드에 있을 때 등화기로서 동작하도록 구성되는 것을 포함한다.
예 984에서, 예 974-983 중 어느 하나 이상의 예의 청구 대상은 선택적으로 입력 신호를 ADCS에 제공하는 안테나 요소를 포함하는 안테나를 포함한다.
예 985는 유연한 아날로그-디지털 변환기(ADC) 아키텍처를 제공하는 방법이다. 방법은 더 높은 분해능, 더 낮은 대역폭 동작을 위한 평균화 모드 ADC 구성과 코어 ADC로부터의 출력이 평균화되는 더 낮은 분해능, 더 높은 속도 동작을 위한 시간 인터리빙된 모드 ADC 구성 사이에서 ADC 구성을 조정하는 단계를 포함할 수 있다. 방법은 평균화 모드 ADC 구성에서 코어 ADC로부터의 출력을 평균하여 평균된 ADC 출력을 생성하는 단계를 더 포함할 수 있다. 방법은 시간 인터리빙된 모드 ADC 구성에서 코어 ADC로부터의 출력을 결합하여 시간 인터리빙된 ADC 출력을 생성하는 단계를 더 포함할 수 있다.
예 986에서, 예 985의 청구 대상은 선택적으로 타이밍 유닛에 공급된 마스터 클록 신호에 기초하여 시스템 클록 신호 및 로컬 마스터 클록 신호를 각 코어 ADC에 제공하는 단계를 포함한다. 방법은 ADC 구성에 따라 시스템 클록 신호를 조정하는 단계를 더 포함할 수 있다.
예 987에서, 예 986의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 모드 신호는 ADC 구성을 표시하는 단일 비트 및 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함할 수 있다.
예 988에서, 예 986-987 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 모드 신호는 ADC 구성을 표시하는 단일 비트로 구성할 수 있다.
예 989에서, 예 986-988 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 방법은 한 세트의 구성 비트에 기초하여 ADC 구성을 원하는 설정으로 조정하는 단계를 더 포함할 수 있다.
예 990에서, 예 985-989 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성에 따라 코어 ADC의 분해능을 조정하는 단계를 포함한다.
예 991에서, 예 985-990 중 어느 하나 이상의 예의 청구 대상은 선택적으로 입력 신호를 양자화하여 양자화된 신호를 생성하기 전에 코어 ADC 각각으로 입력되는 입력 신호를 오버 샘플링 및 데시메이트하는 단계를 포함한다.
예 992에서, 예 985-991 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화된 신호를 ADC 구성에 따라 상이하게 처리하는 단계를 포함한다. 처리 단계는 평균화 모드 ADC 구성에서 각각의 코어 ADC로부터의 양자화된 신호를 버퍼링하는 단계 및 시간 인터리빙된 모드 ADC 구성에서 각각의 코어 ADC로부터의 양자화된 신호를 등화하는 단계를 포함할 수 있다.
예 993는 통신 디바이스의 장치이다. 장치는 더 높은 분해능, 더 낮은 대역폭 동작을 위한 평균화 모드 ADC 구성과 코어 ADC로부터의 출력이 평균화되는 더 낮은 분해능, 더 높은 속도 동작을 위한 시간 인터리빙된 모드 ADC 구성 사이에서 아날로그-디지털 변환기(ADC) 구성을 조정하기 위한 수단을 포함할 수 있다. 장치는 평균화 모드 ADC 구성에서 코어 ADC로부터의 출력을 평균화하여 평균된 ADC 출력을 생성하기 위한 수단을 더 포함할 수 있다. 장치는 시간 인터리빙된 모드 ADC 구성에서 코어 ADC로부터의 출력을 결합하여 시간 인터리빙된 ADC 출력을 생성하기 위한 수단을 더 포함할 수 있다.
예 994에서, 예 993의 청구 대상은 선택적으로 타이밍 유닛에 공급된 마스터 클록 신호에 기초하여 시스템 클록 신호 및 로컬 마스터 클록 신호를 각 코어 ADC에 제공하기 위한 수단을 포함한다. 장치는 ADC 구성에 따라 시스템 클록 신호를 조정하기 위한 수단을 더 포함할 수 있다.
예 995에서, 예 994의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 모드 신호는 ADC 구성을 표시하는 단일 비트 및 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함할 수 있다.
예 996에서, 예 994-995 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 모드 신호는 ADC 구성을 표시하는 단일 비트로 구성할 수 있다.
예 997에서, 예 994-996 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성을 표시하는 모드 신호에 기초하여 시스템 클록 신호가 조정되는 것을 포함한다. 모드 신호는 평균화 모드 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 있는지를 표시하는 단일 비트 및 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함할 수 있다.
예 998에서, 예 994-997 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC 구성에 따라 코어 ADC의 분해능을 조정하기 위한 수단을 포함한다.
예 999에서, 예 994-998 중 어느 하나 이상의 예의 청구 대상은 임으로 입력 신호를 양자화하여 양자화된 신호를 생성하기 전에 코어 ADC 각각으로 입력되는 입력 신호를 오버샘플링 및 데시메이트하기 위한 수단을 포함한다.
예 1000은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 복수의 코어 ADC의 아날로그-디지털 변환기(ADC) 구성을 평균화 모드와 시간 인터리빙된 모드 사이에서 조정하도록 구성될 수 있다. 평균화 모드는 더 높은 분해능, 더 낮은 대역폭 동작을 위해 구성될 수 있고 시간 인터리빙된 모드는 더 낮은 분해능, 더 높은 속도 동작을 위해 구성될 수 있다. 명령어는 하나 이상의 프로세서에게 명령하여 코어 ADC로부터 양자화된 신호를 ADC 구성에 따라 다르게 처리하도록 구성될 수 있다. 처리하는 것은 평균화 모드 ADC 구성에서 각각의 코어 ADC로부터의 양자화된 신호를 버퍼링하는 것 및 시간 인터리빙된 모드 ADC 구성에서 각각의 코어 ADC로부터의 양자화된 신호를 등화하는 것을 포함할 수 있다.
예 1001에서, 예 1000의 청구 대상은 선택적으로 명령어가 또한 하나 이상의 프로세서에게 명령하여 타이밍 유닛에 공급된 마스터 클록 신호에 기초하여 시스템 클록 신호를 상이한 코어 ADC에 제공하도록 복수의 타이밍 유닛 각각을 구성하는 것을 포함한다. 시스템 클록 신호는 ADC 구성에 종속할 수 있다.
예 1002에서, 예 1001의 청구 대상은 선택적으로 각각의 타이밍 유닛 및 코어 ADC가 어떤 ADC 구성을 표시하는 모드 신호를 수신하도록 구성되는 것을 포함한다. 모드 신호는 모드 신호가 ADC 구성을 표시하는 단일 비트 및 얼마나 많은 코어 ADC를 사용할지를 표시하는 적어도 하나의 추가 비트를 포함하는 것을 포함할 수 있다.
예 1003에서, 예 1002의 청구 대상은 선택적으로 적어도 하나의 추가 비트가 어느 코어 ADC를 사용할지를 명시하는 것을 포함한다.
예 1004에서, 예 1003의 청구 대상은 선택적으로 각각의 타이밍 유닛 및 코어 ADC가 어떤 ADC 구성을 표시하는 모드 신호를 수신하도록 구성되는 것을 포함한다. 모드 신호는 ADC 구성을 표시하는 단일 비트로 구성할 수 있다.
예 1005에서, 예 1000-1004 중 어느 하나 이상의 예의 청구 대상은 선택적으로 코어 ADC가 평균화 모드 또는 시간 인터리빙된 모드 중 어느 모드에 ADCS가 있는지에 따라 분해능이 변하는 가변 비트 ADC인 것을 포함한다.
예 1006에서, 예 1000-1005 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각 코어 ADC가 양자화될 입력 신호를 오버샘플링하고 데시메이트하는 샘플링 회로를 포함하는 것을 포함한다.
예 1007는 통신 디바이스의 장치이다. 장치는 빔포밍된 신호를 수신하도록 구성된 복수의 아날로그-디지털 변환기(ADC)를 포함하는 수신기 회로를 포함할 수 있다. 수신기 회로는 빔포밍된 신호가 ADC에 공급되기 전에 아날로그 보상 신호를 빔포밍된 신호에 제공하도록 구성될 수 있다. 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함할 수 있다. 보상은 간섭원 신호를 보상하고 ADC의 동적 이득을 감소시키도록 구성될 수 있다.
예 1008에서, 예 1007의 청구 대상은 선택적으로 수신기 회로가 ADC로부터의 신호를 수신하도록 구성된 기저대역 프로세서를 더 포함하는 것을 포함한다. 기저대역 프로세서는 또한 원하는 신호의 방향을 결정하기 전에 아날로그 보상의 역을 제공하도록 구성될 수 있다.
예 1009에서, 예 1007-1008 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호를 복수의 아날로그 출력으로서 출력하도록 구성된 무선 주파수(RF) 프론트 엔드를 포함한다. 수신기 회로는 각각의 아날로그 출력을 위한 결합기를 더 포함할 수 있다. 결합기는 각각의 아날로그 출력의 가중된 사본을 결합하도록 구성될 수 있다.
예 1010에서, 예 1009의 청구 대상은 아날로그 출력의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬(invertible matrix)이고, 가중치가 고정되어 있는 것을 포함한다.
예 1011에서, 예 1009-1010 중 어느 하나 이상의 예의 청구 대상은 아날로그 출력의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다. 가중치는 원하는 신호의 신호 대 간섭 플러스 잡음(signal-to-interference-plus-noise)(SINR)을 최대화하기 위해 원하는 신호 및 간섭원 신호의 조건에 적응적으로 종속할 수 있다.
예 1012에서, 예 1011의 청구 대상은 선택적으로 아날로그 합산 가중 행렬이 아다마르 행렬(Hadamard matrix)을 포함하는 것을 포함한다.
예 1013에서, 예 1009-1012 중 어느 하나 이상의 예의 청구 대상은 수신기 회로가 각각의 결합기마다, 결합기의 출력이 공급되는 입력 및 대응하는 ADC 의 입력과 연결된 출력을 포함하는 가변 이득을 더 포함하는 것을 포함한다. 가변 이득의 이득은 대응하는 ADC에 공급된 빔포밍된 신호의 전력 레벨을 정규화하도록 설정될 수 있다.
예 1014에서, 예 1009-1013 중 어느 하나 이상의 예의 청구 대상은 선택적으로 결합기가 현재 모드 합산으로 구현되는 것을 포함한다.
예 1015에서, 예 1008-1014 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기저대역 프로세서가 또한 특정 동작 동안 사용하기 위해 다수의 ADC를 인에이블하도록 구성되는 것을 포함한다.
예 1016에서, 예 1008-1015 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기저대역 프로세서가 또한 원하는 배열 간섭 제거(array interference rejection) 및 각도 분해능에 따라 각 ADC 의 동적 범위를 선택하도록 구성되는 것을 포함한다.
예 1017에서, 예 1007-1016 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호를 제공하는 안테나 요소를 포함하는 안테나를 포함한다.
예 1018는 수신기의 아날로그-디지털 변환기(ADC)의 동적 이득을 감소시키는 방법이다. 방법은 안테나의 복수의 안테나 요소로부터 빔포밍된 신호를 수신하는 단계를 포함할 수 있다. 각각의 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함할 수 있다. 방법은 빔포밍된 신호를 ADC에 제공하기 전에 보상된 신호를 형성하기 위해 간섭원 신호를 보상하는 단계를 더 포함할 수 있다. 각각의 보상된 신호는 상이한 ADC에 제공될 수 있으며; ADC에서 보상된 신호를 양자화하여 양자화된 신호를 형성한다. 방법은 양자화된 신호를 처리하는 단계 이전에 보상하는 단계의 역을 수행하는 단계를 더 포함할 수 있다.
예 1019에서, 예 1018의 청구 대상은 선택적으로 양자화된 신호를 처리하는 단계가 원하는 신호 또는 간섭하는 신호 또는 채널 사운딩 중 적어도 하나의 방향을 결정하는 적어도 하나의 단계를 포함하는 것을 포함한다.
예 1020에서, 예 1018-1019의 청구 대상은 선택적으로 간섭원 신호를 보상하는 단계가 각각의 보상된 신호에 대해, 빔포밍된 신호 각각의 가중된 사본을 결합하는 단계를 포함하는 것을 포함한다.
예 1021에서, 예 1020의 청구 대상은 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다. 가중치는 고정될 수 있다.
예 1022에서, 예 1020-1021 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다 가중치는 원하는 신호의 신호 대 간섭 플러스 잡음(SINR)을 최대화하기 위해 원하는 신호 및 간섭원 신호의 조건에 적응적으로 종속할 수 있다.
예 1023에서, 예 1022의 청구 대상은 선택적으로 아날로그 합산 가중 행렬이 아다마르 행렬을 포함하는 것을 포함한다.
예 1024에서, 예 1018-1023 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 보상된 신호의 가변 이득을 조정하여 ADC 중 대응하는 ADC에 공급되는 신호의 전력 레벨을 정규화하는 단계를 포함한다.
예 1025에서, 예 1018-1024 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특정 동작 동안 사용할 다수의 ADC를 조정하는 단계를 포함한다.
예 1026에서, 예 1018-1025 중 어느 하나 이상의 예의 청구 대상은 선택적으로 원하는 배열 간섭 제거 및 각도 분해능에 따라 각 ADC 의 동적 범위를 선택하는 단계를 포함한다.
예 1027는 통신 디바이스의 장치이다. 장치는 안테나의 복수의 안테나 요소로부터 빔포밍된 신호를 수신하기 위한 수단을 포함할 수 있다. 각각의 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함할 수 있다. 장치는 빔포밍된 신호를 ADC에 제공하기 이전에 보상된 신호를 형성하기 위해 간섭원 신호를 보상하기 위한 수단을 더 포함할 수 있다. 일부 양태에서, 각각의 보상된 신호는 상이한 ADC에 제공될 수 있다. 장치는 보상된 신호를 ADC에서 양자화하여 양자화된 신호를 형성하기 위한 수단을 더 포함할 수 있다. 장치는 양자화된 신호를 처리하기 이전에 보상의 역을 수행하기 위한 수단을 더 포함할 수 있다.
예 1028에서, 예 1027의 청구 대상은 선택적으로 양자화된 신호를 처리하는 동안 원하는 신호 또는 간섭하는 신호 또는 채널 사운딩 중 적어도 하나의 방향을 결정하기 위한 적어도 하나의 수단을 포함할 수 있다.
예 1029에서, 예 1027-1028 중 어느 하나 이상의 예의 청구 대상은 선택적으로 간섭원 신호를 보상하기 위한 수단이 각각의 보상된 신호에 대해, 빔포밍된 신호 각각의 가중된 사본을 결합하기 위한 수단을 포함하는 것을 포함한다.
예 1030에서, 예 1029의 청구 대상은 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다. 가중치는 고정될 수 있다.
예 1031에서, 예 1029-1030 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다 가중치는 원하는 신호의 신호 대 간섭 플러스 잡음(SINR)을 최대화하기 위해 원하는 신호 및 간섭원 신호의 조건에 적응적으로 종속할 수 있다.
예 1032에서, 예 1031의 청구 대상은 선택적으로 아날로그 합산 가중 행렬이 아다마르 행렬을 포함하는 것을 포함한다.
예 1033에서, 예 1027-1032 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 보상된 신호의 가변 이득을 조정하여 ADC 중 대응하는 ADC에 공급되는 신호의 전력 레벨을 정규화하기 위한 수단을 포함한다.
예 1034에서, 예 1027-1033 중 어느 하나 이상의 예의 청구 대상은 선택적으로 특정 동작 동안 사용할 다수의 ADC를 조정하기 위한 수단을 포함한다.
예 1035에서, 예 1027-1034 중 어느 하나 이상의 예의 청구 대상은 선택적으로 원하는 배열 간섭 제거 및 각도 분해능에 따라 각 ADC 의 동적 범위를 선택하기 위한 수단을 포함한다.
예 1036은 통신 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 컴퓨터 판독 가능한 저장 매체이다. 명령어는 하나 이상의 프로세서에게 명령하여 아날로그 보상의 역의 수행에 앞서 양자화된 신호를 형성하도록 양자화된 빔포밍된 신호의 아날로그 보상의 역을 수행하도록 구성될 수 있다. 각각의 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함할 수 있다. 각각의 양자화된 신호는 상이한 신호 경로를 통해 제공될 수 있다. 명령어는 또한 아날로그 보상의 역 수행 이후에 양자화된 신호를 처리하여 다음 중 적어도 하나: 원하는 신호 또는 간섭하는 신호 중 적어도 하나의 방향을 결정하거나 또는 채널 사운딩을 수행하도록 구성될 수 있다.
예 1037에서, 예 1036의 청구 대상은 선택적으로 아날로그 보상이 각각의 신호 경로에 대해, 빔포밍된 신호 각각의 가중된 사본을 결합하는 것을 포함하는 것을 포함한다.
예 1038에서, 예 1037의 청구 대상은 선택적으로 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다. 가중치는 고정될 수 있다.
예 1039에서, 예 1037-1038 중 어느 하나 이상의 예의 청구 대상은 선택적으로 빔포밍된 신호의 가중치를 서술하는 아날로그 합산 가중 행렬이 가역 행렬인 것을 포함한다 명령어는 하나 이상의 프로세서에게 명령하여 원하는 신호의 신호 대 간섭 플러스 잡음(SINR)을 최대화하기 위해 원하는 신호 및 간섭원 신호의 조건에 따라 가중치를 조정하도록 구성될 수 있다.
예 1040에서, 예 1039의 청구 대상은 선택적으로 아날로그 합산 가중 행렬이 아다마르 행렬을 포함하는 것을 포함한다.
예 1041에서, 예 1036-1040 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 하나 이상의 프로세서에게 명령하여 양자화된 신호를 형성하기 위해 아날로그 보상된 빔포밍된 신호를 양자화하기에 앞서, 각각의 아날로그 보상된 빔포밍된 신호의 가변 이득을 조정하여 아날로그 보상된 빔포밍된 신호의 전력 레벨을 정규화하도록 구성되는 것을 포함한다.
예 1042에서, 예 1036-1041 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 하나 이상의 프로세서에게 명령하여 특정 동작 동안 활성화된 다수의 양자화를 동시에 조정하도록 구성되는 것을 포함한다.
예 1043에서, 예 1036-1042 중 어느 하나 이상의 예의 청구 대상은 선택적으로 명령어가 하나 이상의 프로세서에게 명령하여 원하는 배열 간섭 제거 및 각도 분해능에 따라 각 양자화의 동적 범위를 선택하도록 구성되는 것을 포함한다.
예 1044는 복수의 신호 채널을 포함할 수 있고 - 각각의 신호 채널은 무선 주파수 송수신기의 송신 경로에 있는 디지털-아날로그 변환기(DAC) 및 송수신기의 수신 경로에 있는 클록에 의해 구동되는 ADC, 적어도 하나의 신호 채널의 송신 경로에서 기준 신호를 생성하는 기준 신호 임의로, 적어도 하나의 신호 채널의 송신 경로에 대응하는 수신 경로에 기준 신호를 송신하는 루프백 연결부, 기준 신호와 연관된 추정된 시간 왜곡을 결정하는 위상 추정기, 및 추정된 시간 왜곡을 보상하기 위해 클록 타이밍을 제어하는 지연 보정 회로를 포함함 - 추정된 시간 왜곡이 제공되는 입력을 포함하는 시간-인터리빙된 아날로그-디지털 변환기(time-interleaved analog-to-digital converter)(ADC) 용 루프백 기반의 시간 왜곡 교정 회로이다.
예 1045에서, 예 1044의 청구 대상은 선택적으로 기준 신호 생성기가 모든 신호 채널의 송신 경로에서 기준 신호를 생성하는 것을 포함한다.
예 1046에서, 예 1044-1045 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신 경로 중간 주파수(IF) 증폭기 및 수신 경로 IF 증폭기를 포함하고, 루프백 연결부는 송신 경로 IF 증폭기와 수신 경로 IF 증폭기 둘 모두에 인접하게 연결된다.
예 1047에서, 예 1044-1046 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 신호 채널이 동 위상(I) 서브 채널 및 직교(Q) 서브 채널을 포함하고, 기준 신호가 I 송신 서브 경로 및 Q 송신 서브 경로에서 제공되고, 위상 추정기가 I 위상 추정기 및 Q 위상 추정기를 포함하며, 지연 보정 회로가 I 지연 보정 회로 및 Q 지연 보정 회로를 포함하는 것을 포함한다.
예 1048에서, 예 1044-1047 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기준 신호가 미리 정의된 주파수의 사인 곡선 신호(sinusoidal signal)인 것을 포함한다.
예 1049에서, 예 1048의 청구 대상은 선택적으로 기준 신호가
Figure pct00297
의 형태를 갖는 것을 포함하며, 여기서 f는 미리 정의된 사인 곡선 주파수이고, θ는 사인 곡선의 위상이며, A는 사인 곡선의 진폭이다.
예 1050에서, 예 1044-1049 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기준 신호가 복수 지수 신호(complex exponential signal)인 것을 포함한다.
예 1051에서, 예 1050의 청구 대상은 선택적으로 기준 신호가
Figure pct00298
Figure pct00299
의 형태를 포함하는 것을 포함하며, 여기서, f는 미리 정의된 사인 곡선 주파수이고, θ는 사인 곡선의 위상이고, AI는 동 위상 사인 곡선의 진폭이며, AQ는 직교 사인 곡선의 진폭이다.
예 1052에서, 예 1044-1051 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC가 결합되어 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC)를 형성하는 것을 포함한다.
예 1053에서, 예 1052의 청구 대상은 선택적으로 ADC가 공통 샘플링 주파수로 동작하는 것을 포함한다.
예 1054에서, 예 1044-1053 중 어느 하나 이상의 예의 청구 대상은 선택적으로 회로가 무선 주파수 송수신기 용 모뎀 회로와 통합되는 것을 포함한다.
예 1055에서, 예 1054의 청구 대상은 선택적으로 모뎀 회로가 무선 주파수 송수신기와 통합되는 것을 포함한다.
예 1056은 시간 인터리빙된 아날로그-디지털 변환기(ADC) 용 루프백 기반 시간 왜곡 교정 회로를 동작시키기 위한 방법이며, 방법은 기준 신호 생성기에 의해, 복수의 신호 채널 중 적어도 한 채널에 제공되는 기준 신호를 생성하는 단계 - 각각의 신호 채널은 송수신기의 송신 경로에 있는 디지털-아날로그 변환기(DAC) 및 송수신기의 수신 경로에 있는 클록에 의해 구동되는 아날로그-디지털 변환기(ADC)를 포함함 - 와, 기준 신호를 송신 경로로부터 적어도 하나의 신호 채널의 송신 경로에 대응하는 수신 경로에 전달하는 단계와, 위상 추정기에 의해, 기준 신호에 기초하여 추정된 시간 왜곡을 계산하는 단계와, 추정된 시간 왜곡을 보상하기 위해 클록 타이밍을 제어하는 지연 보정 회로와 클록 타이밍을 조정하는 단계를 포함할 수 있다.
예 1057은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품으로, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 기준 신호 임의로에 의해, 복수의 신호 채널 중 적어도 한 채널에 제공되는 기준 신호를 생성하고 - 각각의 신호 채널은 송수신기의 송신 경로에 있는 디지털-아날로그 변환기(DAC) 및 송수신기의 송신 경로에 있는 클록에 의해 구동되는 아날로그-디지털 변환기(ADC)를 포함함 - , 기준 신호를 송신 경로로부터 적어도 하나의 신호 채널의 송신 경로에 대응하는 수신 경로에 전달하고, 위상 추정기에 의해, 기준 신호에 기초하여 추정된 시간 왜곡을 계산하고, 추정된 시간 왜곡을 보상하기 위해 클록 타이밍을 제어하는 지연 보정 회로와 클록 타이밍을 조정하도록 디바이스를 구성하도록 동작 가능하다.
예 1058에서, 예 1057의 청구 대상은 선택적으로 기준 신호 생성기가 모든 신호 채널의 송신 경로에서 기준 신호를 생성하는 것을 포함한다.
예 1059는 방법 예 1056을 수행하는 수단을 포함하는 시스템이다.
예 1060은 시간 인터리빙된 아날로그-디지털 변환기(ADC) 용 루프백 기반 시간 왜곡 교정 회로를 동작시키기 위한 장치이며, 장치는 기준 신호 생성기에 의해, 복수의 신호 채널 중 적어도 한 채널에 제공되는 기준 신호를 생성하기 위한 수단 - 각각의 신호 채널은 송수신기의 송신 경로에 있는 디지털-아날로그 변환기(DAC) 및 송수신기의 수신 경로에 있는 클록에 의해 구동되는 아날로그-디지털 변환기(ADC)를 포함함 - 과, 기준 신호를 송신 경로로부터 적어도 하나의 신호 채널의 송신 경로에 대응하는 수신 경로로 전달하기 위한 수단과, 위상 추정기에 의해, 기준 신호에 기초하여 추정된 시간 왜곡을 계산하기 위한 수단과, 추정된 시간 왜곡을 보상하기 위해 클록 타이밍을 제어하는 지연 보정 회로와 클록 타이밍을 조정하기 위한 수단을 포함할 수 있다.
예 1061에서, 예 1060의 청구 대상은 선택적으로 기준 신호 생성기가 모든 신호 채널의 송신 경로에서 기준 신호를 생성하는 것을 포함한다.
예 1062에서, 예 1060-1061 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신 경로에서 중간 주파수(IF) 신호를 증폭하기 위한 수단 및 수신 경로에서 IF 신호를 증폭하기 위한 수단을 포함하고, 루프백 연결부가 송신 경로 증폭기와 수신 경로 증폭기 둘 모두에 인접하게 연결되는 것을 포함한다.
예 1063에서, 예 1060-1062 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기준 신호가 미리 정의된 주파수의 사인 곡선 신호인 것을 포함한다.
예 1064에서, 예 1063의 청구 대상은 선택적으로 기준 신호가
Figure pct00300
의 형태를 갖는 것을 포함하며, 여기서 f는 미리 정의된 사인 곡선 주파수이고, θ는 사인 곡선의 위상이며, A는 사인 곡선의 진폭이다.
예 1065에서, 예 1060-1064 중 어느 하나 이상의 예의 청구 대상은 선택적으로 기준 신호가 복수 지수 신호인 것을 포함한다.
예 1066에서, 예 1065의 청구 대상은 선택적으로 기준 신호가
Figure pct00301
Figure pct00302
의 형태를 포함하는 것을 포함하며, 여기서, f는 미리 정의된 사인 곡선 주파수이고, θ는 사인 곡선의 위상이고, AI는 동 위상 사인 곡선의 진폭이며, AQ는 직교 사인 곡선의 진폭이다.
예 1067에서, 예 1060-1066 중 어느 하나 이상의 예의 청구 대상은 선택적으로 ADC가 결합되어 시간 인터리빙된 아닐로그-디지털 변환기(TI-ADC)를 형성하는 것을 포함한다.
예 1068에서, 예 1067의 청구 대상은 선택적으로 ADC가 공통 샘플링 주파수로 동작하는 것을 포함한다.
예 1069에서, 예 1060-1068 중 어느 하나 이상의 예의 청구 대상은 선택적으로 장치가 무선 주파수 송수신기 용 모뎀 회로와 통합되는 것을 포함한다.
예 1070에서, 예 1069의 청구 대상은 선택적으로 모뎀 회로가 무선 주파수 송수신기와 통합되는 것을 포함한다.
예 1071은 이득 보정 디바이스를 갖는 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC)이며, 변환기는 정상 동작 모드에서의 디바이스 입력과 교정 모드에서의 기준 전압 입력 사이에서 스위칭하고 스위칭된 신호를 복수의 신호 채널에 출력하는 스위치 - 각각의 채널은 스위칭된 신호의 슬라이스를 수신하고 디지털 출력 신호를 제공하는 아날로그-디지털 변환기(ADC)를 포함함 - 와, ADC의 디지털 출력 신호로부터 결합된 출력 신호를 생성하는 멀티플렉서와, 정상 모드에서 동작할 때 신호를 조정하거나 신호의 조정을 지원하여 이득 조정된 출력 신호를 생성하고 교정 모드에서 동작할 때 측정 신호를 제공하는 측정 및 보정 유닛과, 스위치 및 측정 및 보정 유닛을 정상 동작 모드 또는 교정 모드에서 동작하도록 제어하고, 결합된 출력 신호의 조정을 위해 측정 신호 관련 데이터를 메모리에 저장하고, 신호 채널의 인터리브 타이밍을 제어하는 제어기를 포함할 수 있다.
예 1072에서, 예 1071의 청구 대상은 선택적으로 복수의 신호 채널 각각이 ADC의 인터리브 타이밍 및 동작을 캐스케이드 방식으로 제공하기 위해 제어기에 의해 집합적으로 제어되는 ADC 앞의 트랙 또는 샘플 및 홀드 회로를 더 포함하는 것을 포함한다.
예 1073에서, 예 1072의 청구 대상은 선택적으로 스위치가 채널 트랙 또는 샘플 및 홀드 회로와 각각의 ADC 사이에 제공되는 것을 포함한다.
예 1074에서, 예 1071-1073 중 어느 하나 이상의 예의 청구 대상은 선택적으로 측정 신호 관련 데이터가 각 채널에서 조정에 사용되는 이득 값인 것을 포함한다.
예 1075에서, 예 1074의 청구 대상은 선택적으로 이득 값이 이득 오프셋인 것을 포함한다.
예 1076에서, 예 1074-1075 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값이 기준 전압 입력에 의해 제공되는 다수의 기준 전압 값에 기초하는 것을 포함한다.
예 1077에서, 예 1076의 청구 대상은 선택적으로 다수의 기준 전압 값이 파형 신호 값인 것을 포함한다.
예 1078에서, 예 1077의 청구 대상은 선택적으로 파형 신호 값이 이득 조정된 출력 신호로부터 도출된 피드백 신호로부터 제공되는 것을 포함한다.
예 1079에서, 예 1077-1078 중 어느 하나 이상의 예의 청구 대상은 선택적으로 파형 신호 값이 복수 지수 신호에 기초하는 것을 포함한다.
예 1080에서, 예 1076-1079 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값이 메모리의 룩 업 테이블(lookup table)(LUT)에 저장되는 것을 포함한다.
예 1081에서, 예 1076-1080 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값 계산기가 교정 값 사이의 값에 대해 선형 보간법(linear interpolation)을 이용하는 것을 포함한다.
예 1082에서, 예 1071-1081 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어기가 측정 신호 관련 데이터에 기초하여 신호 채널 내에서 아날로그 조정을 행하는 것을 포함한다.
예 1083에서, 예 1082의 청구 대상은 선택적으로 아날로그 조정이 ADC의 제어에 의해 행해지는 것을 포함한다.
예 1084에서, 예 1071 내지 1083 중 임의의 하나 이상의 예의 청구 대상은 선택적으로 측정 신호 관련 데이터와 연관시키고 저장할 온도 관련 정보를 제공하는 온도 기준을 포함한다.
예 1085는 이득 보정 디바이스를 갖는 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC)를 동작시키기 위한 방법이며, 방법은 정상 동작 모드에서의 디바이스 입력과 교정 모드에서의 기준 전압 입력 사이에서 스위칭하고 스위칭된 신호를 출력하는 단계와, 복수의 신호 채널을 이용하여, 스위칭된 신호의 슬라이스를 수신하고 디지털 출력 신호를 제공하는 단계 - 각각의 신호 채널은 아날로그-디지털 변환기(ADC)를 포함함 - 와, 멀티플렉서로, ADC의 디지털 출력 신호로부터 결합된 출력 신호를 생성하는 단계와, 정상 모드에서 동작할 때 신호를 조정 또는 신호의 조정을 지원하여 이득 조정된 출력 신호를 생성하고 교정 모드에서 동작할 때 측정 신호를 제공하는 단계와, 스위치 및 측정 및 보정 유닛을 제어하여 정상 동작 모드 또는 교정 모드에서 동작시키고, 결합된 출력 신호의 조정을 위해 측정 신호 관련 데이터를 메모리에 저장하고, 신호 채널의 인터리브 타이밍을 제어하는 단계를 포함할 수 있다.
예 1086은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품으로, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 정상 동작 모드에서의 디바이스 입력과 교정 모드에서의 기준 전압 입력 사이에서 스위칭하고 스위칭된 신호를 출력하고, 복수의 신호 채널로, 스위칭된 신호의 슬라이스를 수신하고 디지털 출력 신호를 제공하고 - 각각의 신호 채널은 아날로그-디지털 변환기(ADC)를 포함함 - , 멀티플렉서로, ADC의 디지털 출력 신호로부터 결합된 출력 신호를 생성하고, 정상 모드에서 동작할 때 신호를 조정 또는 신호의 조정을 지원하여 이득 조정된 출력 신호를 생성하고 교정 모드에서 동작할 때 측정 신호를 제공하고, 스위치 및 측정 및 보정 유닛을 제어하여 정상 동작 모드 또는 교정 모드에서 동작시키고, 결합된 출력 신호의 조정을 위해 측정 신호 관련 데이터를 메모리에 저장하고, 신호 채널의 인터리브 타이밍을 제어하도록 디바이스를 구성하도록 동작 가능하다.
예 1087에서, 예 1086의 청구 대상은 선택적으로 복수의 신호 채널 각각이 ADC의 인터리브 타이밍 및 동작을 캐스케이드 방식으로 제공하기 위해 제어기에 의해 집합적으로 제어되는 ADC 앞의 트랙 또는 샘플 및 홀드 회로를 더 포함하는 것을 포함한다.
예 1088는 예 1087의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1089는 이득 보정 디바이스를 갖는 시간 인터리빙된 아날로그-디지털 변환기(TI-ADC)를 동작시키기 위한 디바이스이며, 디바이스는 정상 동작 모드에서의 디바이스 입력과 교정 모드에서의 기준 전압 입력 사이에서 스위칭하고 스위칭된 신호를 출력하기 위한 수단과, 복수의 신호 채널로, 스위칭된 신호의 슬라이스를 수신하고 디지털 출력 신호를 제공하기 위한 수단 - 각각의 신호 채널은 아날로그-디지털 변환기(ADC)를 포함함 - 과, 멀티플렉서로, ADC의 디지털 출력 신호로부터 결합된 출력 신호를 생성하기 위한 수단과, 정상 모드에서 동작할 때 신호를 조정 또는 신호의 조정을 지원하여 이득 조정된 출력 신호를 생성하고 교정 모드에서 동작할 때 측정 신호를 제공하기 위한 수단과, 스위치 및 측정 및 보정 유닛을 제어하여 정상 동작 모드 또는 교정 모드에서 동작시키고, 결합된 출력 신호의 조정을 위해 측정 신호 관련 데이터를 메모리에 저장하고, 신호 채널의 인터리브 타이밍을 제어하기 위한 수단을 포함할 수 있다.
예 1090에서, 예 1089의 청구 대상은 선택적으로 복수의 신호 채널 각각이 ADC의 인터리브 타이밍 및 동작을 캐스케이드 방식으로 제공하기 위해 제어기에 의해 집합적으로 제어되는 ADC 앞의 트랙 또는 샘플 및 홀드 회로를 더 포함하는 것을 포함한다.
예 1091에서, 예 1090의 청구 대상은 선택적으로 스위칭하기 위한 수단이 채널 트랙 또는 샘플 및 홀드 회로와 각각의 ADC 사이에 제공되는 것을 포함한다.
예 1092에서, 예 1091 중 어느 하나 이상의 예의 청구 대상은 선택적으로 측정 신호 관련 데이터가 각 채널에서 조정을 위한 수단에 사용되는 이득 값인 것을 포함한다.
예 1093에서, 예 1092의 청구 대상은 선택적으로 이득 값이 이득 오프셋인 것을 포함한다.
예 1094에서, 예 1092-1094 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값이 기준 전압 입력에 의해 제공되는 다수의 기준 전압 값에 기초하는 것을 포함한다.
예 1095에서, 예 1094의 청구 대상은 선택적으로 다수의 기준 전압 값이 파형 신호 값인 것을 포함한다.
예 1096에서, 예 1095의 청구 대상은 선택적으로 파형 신호 값이 이득 조정된 출력 신호로부터 도출된 피드백 신호로부터 제공되는 것을 포함한다.
예 1097에서, 예 1095-1096 중 어느 하나 이상의 예의 청구 대상은 선택적으로 파형 신호 값이 복수 지수 신호에 기초하는 것을 포함한다.
예 1098에서, 예 1094-1097 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값이 메모리의 룩 업 테이블(LUT)에 저장되는 것을 포함한다.
예 1099에서, 예 1094-1098 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이득 값 계산기가 교정 값 사이의 값에 대해 선형 보간법을 이용하는 것을 포함한다.
예 1100에서, 예 1099 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제어하기 위한 수단이 측정 신호 관련 데이터에 기초하여 신호 채널 내에서 아날로그 조정을 수행하는 것을 포함한다.
예 1101에서, 예 1100의 청구 대상은 선택적으로 아날로그 조정이 ADC 의 제어에 의해 행하여 지는 것을 포함한다.
예 1102에서, 예 1101의 청구 대상은 선택적으로 측정 신호 관련 데이터와 연관시키고 저장할 온도 관련 정보를 제공하는 수단을 더 포함하는 것을 포함한다.
실시 예 1103은 위상 배열 송신기이며, 위상 배열 송신기는 복수의 송신 채널 - 각각의 송신 채널은 안테나 및 안테나에 연결된 송신 증폭기를 포함함 - 과, 출력 신호를 송신 채널에 있는 송신 증폭기에 제공되는 복수의 출력 채널 신호로 분할하는 송신 전력 분할기와, 디지털 송신 데이터를 출력 신호로 변환하는 기저대역 대 RF 송신 회로, 외부 위상 배열 송수신기(external phased array transceiver)(EPAT)의 전력 송신 신호 특성에 관련한 신호의 비선형성 특성을 결정하고 EPAT의 비선형성을 교정하기에 유용한 비선형성 데이터를 EPAT에 송신을 위해 IF 송신기 스테이지로 제공하는 외부의 비선형 데이터 프로세서를 포함할 수 있다.
예 1104에서, 예 1103의 청구 대상은 선택적으로 출력 신호를 송신 전력 분할기에 제공하는 무선 주파수(RF) 변조 스테이지 및 디지털 기저대역 출력 신호를 중간 주파수(IF) 출력 신호로 변환하는 디지털-아날로그 변환기(DAC)를 포함하는 IF 변조 스테이지를 포함한다.
예 1105에서, 예 1104의 청구 대상은 비선형성 데이터가 EPAT에 대한 입력 전력 대 출력 전력의 특성 곡선의 비선형성을 보상하는 곡선의 다항식 계수를 포함하는 것을 포함한다.
예 1106에서, 예 1105의 청구 대상은 선택적으로 곡선의 다항식 계수가 5차 이하인 것을 포함한다.
예 1107에서, 예 1104-1106 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비선형성 데이터가 EPAT에 대한 입력 전력 대 출력 전력의 특성 곡선의 비선형성을 보상하는 것에 대응하는 룩업 테이블(LUT) 값을 포함하는 것을 포함한다.
예 1108에서, 예 1104-1107 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신기가 송수신기인 것을 포함하고, 송수신기는 또한 복수의 수신 채널을 포함할 수 있는 위상 배열 수신기 - 각각의 수신 채널은 안테나 및 안테나에 연결된 수신 증폭기와, 수신 채널 내 수신 증폭기에 의해 제공되는 복수의 입력 채널 신호를 입력 신호로 결합하는 수신 전력 결합기와, 무선 주파수(RF) 신호를 중간 주파수(IF) 신호로 변환하는 RF 복조 스테이지와, 중간 주파수(IF) 신호를 디지털 기저대역 입력 신호로 변환하는 아날로그-디지털 변환기(ADC)를 포함하는 IF 복조 스테이지를 포함함 - 와, 디지털 기저대역 입력 신호 내에 포함된 비선형 데이터를 처리하는 내부 비선형 데이터 프로세서와, 처리된 비선형 데이터에 기초하여 제어 신호를 수신하기 위한 제어 입력 및 송신을 위한 기저대역 디지털 데이터 신호를 포함하는 데이터 입력을 포함할 수 있는 디지털 사전 왜곡(digital pre-distortion)(DPD) 프로세서와, DPD에 의해 수정된 출력 신호를 제공하여 비선형성 데이터에 기초하여 송신 채널 내의 송신 증폭기의 집합적 선형 출력을 확장시킬 신호를 출력하는 데이터 출력을 포함할 수 있다.
예 1109는 위상 배열 송수신기를 교정하기 위한 방법이며, 방법은 송신 신호를 복수의 송신 채널에 제공되는 신호로 분할하는 단계 - 각각의 송신 채널은 안테나 및 안테나에 연결된 송신 증폭기를 각각 포함함 - 와, 채널의 안테나를 통해 출력 신호를 외부 위상 배열 송수신기(EPAT)에 송신하는 단계 - 출력 신호는 위상 안테나 배열의 채널의 출력 전력의 합인 결합된 전력 출력을 가짐 - 와, 송수신기의 입력에서, 위상 안테나 배열의 개개 채널의 전력 출력의 합의 안테나 특성 곡선에 반비례하는 비선형성 데이터를 수신하는 단계와, 비선형 데이터를 디지털 사전 왜곡(DPD) 프로세서의 제어 데이터로 변환하여 DPD 프로세서가 출력 신호를 수정하여 비선형 데이터에 기초하여 송신 채널 내의 송신 증폭기의 집합적 선형 출력을 확장시키는 단계와, 채널의 안테나를 통해 DPD 프로세서의 수정된 출력 신호를 송신하는 단계를 포함할 수 있다.
예 1110은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 표함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 송신 신호를 복수의 송신 채널에 제공되는 신호로 분할 - 각각의 송신 채널은 안테나 및 안테나에 연결된 송신 증폭기를 각각 포함함 - 하고, 채널의 안테나를 통해 출력 신호를 외부 위상 배열 송수신기(EPAT)에 송신 - 출력 신호는 위상 안테나 배열의 채널의 출력 전력의 합인 결합된 전력 출력을 가짐 - 하고, 송수신기의 입력에서, 위상 안테나 배열의 개개 채널의 전력 출력의 합의 안테나 특성 곡선에 반비례하는 비선형성 데이터를 수신하고, 비선형 데이터를 디지털 사전 왜곡(DPD) 프로세서의 제어 데이터로 변환하여 DPD 프로세서가 출력 신호를 수정하여 비선형 데이터에 기초하여 송신 채널 내의 송신 증폭기의 집합적 선형 출력을 확장시키고, 채널의 안테나를 통해 DPD 프로세서의 수정된 출력 신호를 송신하도록 디바이스를 구성하도록 동작 가능하다.
예 1111에서, 예 1110의 청구 대상은 선택적으로 명령어가 또한 출력 신호를 송신 전력 분할기에 제공하고, 디지털 기저대역 출력 신호를 IF 출력 신호로 변환하도록 동작 가능하다는 것을 포함한다.
예 1112는 무선 주파수 신호를 송신하기 위한 수단으로, 송신 신호를 복수의 송신 채널에 제공되는 신호로 송신 신호를 분할하기 위한 수단 - 각각의 송신 채널은 안테나 및 안테나에 연결된 송신 증폭기를 각각 포함함 - 과, 채널의 안테나를 통해 출력 신호를 외부 위상 배열 송수신기(EPAT)에 송신하기 위한 수단 - 출력 신호는 위상 안테나 배열의 채널의 출력 전력의 합인 결합된 전력 출력을 가짐 - 과, 송수신기의 입력에서, 위상 안테나 배열의 개개 채널의 전력 출력의 합의 안테나 특성 곡선에 반비례하는 비선형성 데이터를 수신하기 위한 수단과, 비선형 데이터를 디지털 사전 왜곡(DPD) 프로세서의 제어 데이터로 변환하여 DPD 프로세서가 출력 신호를 수정하여 비선형 데이터에 기초하여 송신 채널 내의 송신 증폭기의 집합적 선형 출력을 확장하기 위한 수단과, 채널의 안테나를 통해 DPD 프로세서의 수정된 출력 신호를 송신하기 위한 수단을 포함할 수 있다.
예 1113에서, 예 1112의 청구 대상은 선택적으로 비선형성 데이터가 EPAT에 대한 입력 전력 대 출력 전력의 특성 곡선의 비선형성을 보상하는 곡선의 다항식 계수를 포함하는 것을 포함한다.
예 1114에서, 예 1113의 청구 대상은 선택적으로 곡선의 다항식 계수가 5차 이하인 것을 포함한다.
예 1115에서, 예 1112-1114 중 어느 하나 이상의 예의 청구 대상은 선택적으로 비선형성 데이터가 EPAT에 대한 입력 전력 대 출력 전력의 특성 곡선의 비선형성을 보상하는 것에 대응하는 룩업 테이블(LUT) 값을 포함하는 것을 포함한다.
예 1116에서, 예 1112-1115 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신기가 송수신기인 것을 포함하고, 송수신기는 또한 복수의 수신 채널을 포함할 수 있는 위상 배열 수신기 - 각각의 수신 채널은 안테나 및 안테나에 연결된 수신 증폭기와, 수신 채널 내 수신 증폭기에 의해 제공되는 복수의 입력 채널 신호를 입력 신호로 결합하는 수신 전력 결합기와, 무선 주파수(RF) 신호를 중간 주파수(IF) 신호로 변환하는 RF 복조 스테이지와, 중간 주파수(IF) 신호를 디지털 기저대역 입력 신호로 변환하는 아날로그-디지털 변환기(ADC)를 포함하는 IF 복조 스테이지를 포함함 - 와, 디지털 기저대역 입력 신호 내에 포함된 비선형 데이터를 처리하는 내부 비선형 데이터 프로세서와, 처리된 비선형 데이터에 기초하여 제어 신호를 수신하기 위한 제어 입력 및 송신을 위한 기저대역 디지털 데이터 신호를 포함하는 데이터 입력을 포함할 수 있는 디지털 사전 왜곡(digital pre-distortion)(DPD) 프로세서, 및 DPD에 의해 수정된 출력 신호를 제공하여 비선형성 데이터에 기초하여 송신 채널 내의 송신 증폭기의 집합적 선형 출력을 확장시킬 신호를 출력하는 데이터 출력을 포함할 수 있다.
예 1117에서, 예 1112-1116 중 어느 하나 이상의 예의 청구 대상은 선택적으로 출력 신호를 송신 전력 분할기에 제공하는 무선 주파수(RF) 변조 스테이지 및 디지털 기저대역 출력 신호를 중간 주파수(IF) 출력 신호로 변환하는 디지털-아날로그 변환기(DAC)를 포함하는 IF 변조 스테이지를 포함한다.
예 1118는 프로세서 및 메모리를 포함하는 수신기용 이득 제어 디바이스이며, 프로세서는 제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하는 디더링 동작 모드에서, 스위치를 사용하여 제 1 및 제 2 AGC 이득 설정을 개별적으로 입력 신호에 적용하고 제 1 및 제 2 AGC 이득 설정에 대한 제 1 및 제 2 AGC 신호 품질 측정치(signal quality measure)(SQM)를 각각 측정하도록 구성되고, 최적의 문턱 값에 기초하여 제 1 신호 전력 레벨에서 제 2 입력 신호에 대해 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 정상 동작 모드에서, 제 1 및 제 2 SQM에 기초하여 제 1 AGC 이득 설정의 사용과 제 2 AGC 이득 설정의 사용 사이에서 스위칭하는데 사용되는 전력 레벨을 나타내는 최적의 문턱 값을 결정하여 저장하도록 구성된다.
예 1119에서, 예 1118의 청구 대상은 선택적으로 제 1 입력 신호가 무선 주파수 입력 신호, 중간 주파수 입력 신호 또는 기저대역 신호 중 적어도 하나인 것을 포함한다.
예 1120에서, 예 1118-1119 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치가 주어진 입력 프레임의 복수의 입력 신호에 대해 동작하는 것을 포함한다.
예 1121에서, 예 1118-1120 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SQM이 오류 벡터 크기(error vector magnitude)(EVM)인 것을 포함한다.
예 1122에서, 예 1118-1121 중 어느 하나 이상의 예의 청구 대상은 선택적으로 최적의 문턱 값이 메모리의 룩 업 테이블(LUT)에 저장되는 것을 포함한다.
예 1123에서, 예 1118-1122 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 디더링 동작 모드에서, 최적의 문턱 값과 연관된 추가의 조건 값을 결정 및 저장하도록 구성되고, 정상 동작 모드에서 추가의 조건 값에 추가적으로 기초하여 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하도록 구성되는 것을 포함한다.
예 1124에서, 예 1123의 청구 대상은 선택적으로 추가의 조건 값이 온도, 채널, 동작 주파수 또는 전압 중 적어도 하나인 것을 포함한다.
예 1125에서, 예 1118-1124 중 어느 하나 이상의 예의 청구 대상은 선택적으로 입력 신호의 전력 레벨을 결정하는데 이용되는 수신기의 모뎀 내에 위치된 전력 레벨 검출기를 포함한다.
예 1126에서, 예 1118-1125 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 미리 정의된 조건에 기초하여 디바이스를 디더링 동작 모드에 놓이게 하도록 구성되는 것을 포함한다.
예 1127에서, 예 1126의 청구 대상은 선택적으로 미리 정의된 조건은 타이머의 만료인 것을 포함한다.
예 1128에서, 예 1127의 청구 대상은 선택적으로 최적의 문턱 값의 결정이 결정된 값에 대한 제 1 및 제 2 SQM 간의 차이를 이용하는 것을 포함한다.
예 1129에서, 예 1128의 청구 대상은 선택적으로 최적의 문턱 값의 결정이 또한 결정된 값에 대해 저장된 전력 대 SQM 곡선 형태를 이용하는 것을 포함한다.
예 1130에서, 예 1118-1129 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기가 위상 배열 수신기인 것을 포함한다.
예 1131은 수신기 용 이득 제어 디바이스를 동작시키기 위한 방법이며, 방법은 제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하는 디더링 동작 모드에서, 스위치를 사용하여 제 1 및 제 2 AGC 이득 설정을 개별적으로 제 1 입력 신호에 적용하는 단계 및 제 1 및 제 2 AGC 이득 설정에 대한 제 1 및 제 2 신호 품질 측정치(SQM)를 각각 측정하는 단계를 포함하고, 최적의 문턱 값에 기초한 제 1 신호 전력 레벨에서 제 2 입력 신호에 대해 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 정상 동작 모드에서, 제 1 및 제 2 SQM에 기초하여 제 1 AGC 이득 설정의 사용과 제 2 AGC 이득 설정의 사용 사이에서 스위칭하는데 사용되는 전력 레벨을 나타내는 최적의 문턱 값을 결정하여 저장하는 단계를 포함할 수 있다.
예 1132에서, 예 1131의 청구 대상은 선택적으로 제 1 입력 신호가 무선 주파수 입력 신호, 중간 주파수 입력 신호 또는 기저대역 신호 중 적어도 하나인 것을 포함한다.
예 1133에서, 예 1131-1132 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치가 주어진 입력 프레임의 복수의 입력 신호에 대해 동작하는 것을 포함한다.
예 1134에서, 예 1131-1133 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SQM이 오류 벡터 크기(EVM)인 것을 포함한다.
예 1135에서, 예 1131-1134 중 어느 하나 이상의 예의 청구 대상은 선택적으로 최적의 문턱 값이 메모리의 룩 업 테이블(LUT)에 저장되는 것을 포함한다.
예 1136에서, 예 1131-1135 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디더링 동작 모드에서, 최적의 문턱 값과 연관된 추가의 조건 값을 결정 및 저장하는 단계를 포함하고, 정상 동작 모드에서 추가의 조건 값에 추가적으로 기초하여 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 단계를 포함한다.
예 1137에서, 예 1136의 청구 대상은 선택적으로 추가의 조건 값이 온도, 채널, 동작 주파수 또는 전압 중 적어도 하나인 것을 포함한다.
예 1138에서, 예 1131-1137 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기의 모뎀 내에 위치된 전력 레벨 검출기를 이용하여, 입력 신호의 전력 레벨을 결정하는 단계를 포함한다.
예 1139에서, 예 1131-1138 중 어느 하나 이상의 예의 청구 대상은 선택적으로 미리 정의된 조건에 기초하여 디바이스를 디더링 동작 모드에 놓이게 하는 단계를 포함한다.
예 1140에서, 예 1139의 청구 대상은 선택적으로 미리 정의된 조건은 타이머의 만료인 것을 포함한다.
예 1141에서, 예 1140의 청구 대상은 선택적으로 최적의 문턱 값의 결정이 결정된 값에 대한 제 1 및 제 2 SQM 간의 차이를 이용하는 것을 포함한다.
예 1142에서, 예 1141의 청구 대상은 선택적으로 최적의 문턱 값의 결정이 또한 결정된 값에 대해 저장된 전력 대 SQM 곡선 형태를 이용하는 것을 포함한다.
예 1143에서, 예 1131-1142 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기가 위상 배열 수신기인 것을 포함한다.
예 1144는 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품으로, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하는 디더링 동작 모드에서, 스위치를 사용하여 제 1 및 제 2 AGC 이득 설정을 개별적으로 입력 신호에 적용하고 제 1 및 제 2 AGC 이득 설정에 대한 제 1 및 제 2 AGC 신호 품질 측정치(SQM)를 각각 측정하고, 최적의 문턱 값에 기초한 제 1 신호 전력 레벨에서 제 2 입력 신호에 대해 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 정상 동작 모드에서, 제 1 및 제 2 SQM에 기초하여 제 1 AGC 이득 설정의 사용과 제 2 AGC 이득 설정의 사용 사이에서 스위칭하는데 사용되는 전력 레벨을 나타내는 최적의 문턱 값을 결정하도록 디바이스를 구성하도록 동작 가능하다.
예 1145에서, 예 1144의 청구 대상은 선택적으로 제 1 입력 신호가 무선 주파수 입력 신호, 중간 주파수 입력 신호 또는 기저대역 신호 중 적어도 하나인 것을 포함한다.
예 1146은 디바이스의 프로세싱 회로에 의해 실행될 때, 위의 방법 예 중 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1147는 예 1131-1143의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다,
예 1148은 수신기 용 이득 제어 디바이스로서, 제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하는 디더링 동작 모드에서, 스위치를 사용하여 제 1 및 제 2 AGC 이득 설정을 개별적으로 제 1 입력 신호에 적용하고 제 1 및 제 2 AGC 이득 설정에 대한 제 1 및 제 2 신호 품질 측정치(SQM)를 각각 측정하며, 최적의 문턱 값에 기초한 제 1 신호 전력 레벨에서 제 2 입력 신호에 대해 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하는 정상 동작 모드에서, 제 1 및 제 2 SQM에 기초하여 제 1 AGC 이득 설정의 사용과 제 2 AGC 이득 설정의 사용 사이에서 스위칭하는데 사용되는 전력 레벨을 나타내는 최적의 문턱 값을 결정하여 저장하기 위한 수단을 포함할 수 있다.
예 1149에서, 예 1148의 청구 대상은 선택적으로 제 1 입력 신호가 무선 주파수 입력 신호, 중간 주파수 입력 신호 또는 기저대역 신호 중 적어도 하나인 것을 포함한다.
예 1150에서, 예 1148-1149 중 어느 하나 이상의 예의 청구 대상은 선택적으로 스위치가 주어진 입력 프레임의 복수의 입력 신호에 대해 동작하는 것을 포함한다.
예 1151에서, 예 1148-1150 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SQM이 오류 벡터 크기(EVM)인 것을 포함한다.
예 1152에서, 예 1148-1151 중 어느 하나 이상의 예의 청구 대상은 선택적으로 최적의 문턱 값이 메모리의 룩 업 테이블(LUT)에 저장되는 것을 포함한다.
예 1153에서, 예 1148-1152 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디더링 동작 모드에서, 최적의 문턱 값과 연관된 추가의 조건 값을 결정 및 저장하고, 정상 동작 모드에서 추가의 조건 값에 추가적으로 기초하여 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하기 위한 수단을 포함한다.
예 1154에서, 예 1153의 청구 대상은 선택적으로 추가의 조건 값이 온도, 채널, 동작 주파수 또는 전압 중 적어도 하나인 것을 포함한다.
예 1155에서, 예 1148-1154 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기의 모뎀 내에 위치된 전력 레벨 검출기를 이용하여, 입력 신호의 전력 레벨을 결정하기 위한 수단을 포함한다.
예 1156에서, 예 1148-1155 중 어느 하나 이상의 예의 청구 대상은 선택적으로 미리 정의된 조건에 기초하여 디바이스를 디더링 동작 모드에 놓이게 하기 위한 수단을 포함한다.
예 1157에서, 예 1156의 청구 대상은 선택적으로 미리 정의된 조건은 타이머의 만료인 것을 포함한다.
예 1158에서, 예 1157의 청구 대상은 선택적으로 최적의 문턱 값을 결정하기 위한 수단이 결정된 값에 대한 제 1 및 제 2 SQM 간의 차이를 이용하는 것을 포함한다.
예 1159에서, 예 1158의 청구 대상은 선택적으로 최적의 문턱 값을 결정하기 위한 수단이 또한 결정된 값에 대해 저장된 전력 대 SQM 곡선 형태를 이용하는 것을 포함한다.
예 1160에서, 예 1148-1159 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신기가 위상 배열 수신기인 것을 포함한다.
예 1161은 복수의 타일링되고 상호 연결된 송수신기 셀을 포함할 수 있는 위상 어레이 무선 송수신기이며, 각각의 송수신기 셀은 송신기, 수신기, 디지털 처리 블록, 입력-출력 및 위상 결합 유닛, 및 인접한 유사한 셀과 통신하는 네 개의 셀 에지 각각 상의 멀티플렉서 및 디멀티플렉서, 셀을 상호 연결하고 발진기 신호 및 제어 신호를 셀 사이에서 반송하는 버스를 포함할 수 있다.
예 1162에서, 예 1161의 청구 대상은 선택적으로 송신기 중 적어도 하나가 다수의 송신기를 포함하는 것 또는 수신기가 다수의 수신기를 포함하는 것이 참(true)인 것을 포함한다.
예 1163에서, 예 1161-1162 중 어느 하나 이상의 예의 청구 대상은 선택적으로 버스가 아날로그 및 디지털 버스인 것을 포함한다.
예 1164에서, 예 1161-1163 중 어느 하나 이상의 예의 청구 대상은 선택적으로 버스의 폭이 동시에 지원 가능한 사용자의 수와 동일한 것을 포함한다.
예 1165에서, 예 1161-1164 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 그 셀 에지의 각 면상의 인접한 셀 요소에만 직접 연결 가능한 것을 포함한다.
예 1166에서, 예 1161-1165 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 타일링된 송수신기 셀을 포함하는 웨이퍼와 결합되는 안테나 배열을 포함한다.
예 1167에서, 예 1161-1166 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 상호 연결된 송수신기 셀 내에서 고유한 자체의 식별자를 생성하도록 하는 자가 구성 가능한 요소를 더 포함하는 것을 포함한다.
예 1168에서, 예 1167의 청구 대상은 선택적으로 미리 정의된 기준이 충족될 때 제 1 셀이 자신을 제 1 식별자로 자가 식별하는 것을 포함한다.
예 1169에서, 예 1168의 청구 대상은 선택적으로 미리 정의된 기준은 코너 셀인 것을 포함한다.
예 1170에서, 예 1169의 청구 대상은 선택적으로 제 1 셀(non-first cell)이 인접한 셀로부터 식별자 관련 정보를 수신함으로써 자신을 식별한 다음, 추가의 식별자 관련 정보를 다른 인접한 셀에 송신하는 것을 포함한다.
예 1171에서, 예 1161-1170 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 셀에 의해 도입된 지연을 측정하고 교정하기 위해 루프백을 더 포함하는 것을 포함한다.
예 1172에서, 예 1161-1171 중 임의의 하나 이상의 청구 대상은 선택적으로 각각의 셀이 디지털 위상 배열 모드에서 동작 가능하며, 디지털화된 수신된 신호를 존재하는 경우 바로 이전 셀을 갖는 셀로부터 수신된 신호와 벡터 합산하는 결합 요소를 더 포함하는 것을 포함한다.
예 1173에서, 예 1172의 청구 대상은 선택적으로 각 셀 간의 벡터 합산이 파이프라인화되는 것을 포함한다.
예 1174에서, 예 1172-1173 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 k명의 사용자를 지원하는 k 개 버스를 포함하는 것을 포함한다.
예 1175에서, 예 1161 내지 114 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 국부 발진기(LO) 위상 결합 모드에서 동작 가능하고, 각각의 셀이 중앙 제어 포인트로부터 그의 위상 변위를 수신하고, 믹서 출력이 아날로그 도메인에서 합산되며, 하나의 아날로그-디지털 변화기(ADC)만이 합산된 믹서 출력을 디지털 신호로 변환하는 것을 포함한다.
예 1176에서, 예 1161-1175 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 행(row)이 국부 발진기 위상 변위 시 타일링되는 하이브리드 동작 모드에서 동작 가능하고 단일 아날로그-디지털 변환기를 공유하는 것을 포함한다.
예 1177에서, 예 1161-1166 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 제 1 복소 함수가 셀에 의해 수신된 입력 신호에 적용되고 그 결과가 다른 셀로부터 수신된 입력에 적용된 제 2 복소 함수의 추가의 결과와 결합되는 아날로그 위상 배열 결합 동작 모드에서 동작 가능하다는 것을 포함한다.
예 1178은 복수의 타일링되고 상호 연결된 송수신기 셀을 이용하여 신호를 송신 및 수신하는 단계를 포함할 수 있는 위상 배열 무선 송수신기를 동작시키기 위한 방법이며, 각각의 송수신기 셀은 송신기, 수신기, 디지털 처리 블록, 입력-출력 및 위상 결합 유닛, 및 인접한 유사한 셀과 통신하고 셀을 상호 연결하고 발진기 신호 및 제어 신호를 셀 사이에서 반송하는 버스를 이용하여 셀 사이에서 통신하는 네 개의 셀 에지 각각 상의 멀티플렉서 및 디멀티플렉서를 포함할 수 있다.
예 1179에서, 예 1178의 청구 대상은 선택적으로 송신기 중 적어도 하나가 다수의 송신기를 포함하는 것 또는 수신기가 다수의 수신기를 포함하는 것이 참(true)인 것을 포함한다.
예 1180에서, 예 1178-1179 중 어느 하나 이상의 예의 청구 대상은 선택적으로 버스가 아날로그 및 디지털 버스인 것을 포함한다.
예 1181에서, 예 1178-1180 중 어느 하나 이상의 예의 청구 대상은 선택적으로 버스의 폭이 동시에 지원 가능한 사용자의 수와 동일하다는 것을 포함한다.
예 1182에서, 예 1178-1181 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 그 셀 에지의 각 면상의 인접한 셀 요소에만 직접 연결 가능하다는 것을 포함한다.
예 1183에서, 예 1178-1182 중 어느 하나 이상의 예의 청구 대상은 선택적으로 복수의 타일링된 송수신기 셀을 포함하는 웨이퍼와 결합되는 안테나 배열을 포함한다.
예 1184에서, 예 1178-1183 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀에 대해, 상호 연결된 송수신기 셀 내에서 고유한 자체의 식별자를 생성하는 단계를 포함한다.
예 1185에서, 예 1184의 청구 대상은 선택적으로 미리 정의된 기준이 충족될 때 제 1 셀이 자신을 제 1 식별자로 자가 식별하는 것을 포함한다.
예 1186에서, 예 1185의 청구 대상은 선택적으로 미리 정의된 기준은 코너 셀인 것을 포함한다.
예 1187에서, 예 1186의 청구 대상은 선택적으로 비제 1 셀(non-first cell)에 의해, 인접한 셀로부터 식별자 관련 정보를 수신함으로써 자신을 식별한 다음, 추가의 식별자 관련 정보를 다른 인접한 셀에 송신하는 단계를 포함한다.
예 1188에서, 예 1178-1187 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 셀에 의해 도입된 지연을 측정하고 교정하기 위해 루프백을 더 포함하는 것을 포함한다.
예 1189에서, 예 1178-1188 중 임의의 하나 이상의 청구 대상은 선택적으로 디지털 위상 배열 모드에서, 존재하는 경우 바로 이전의 셀을 갖는 셀로부터의 디지털화된 수신된 신호를 벡터 합산하는 단계를 포함한다.
예 1190에서, 예 1189의 청구 대상은 선택적으로 각 셀 간의 벡터 합산이 파이프라인화되는 것을 포함한다.
예 1191에서, 예 1189-1190 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 k명의 사용자를 지원하는 k 개 버스를 포함하는 것을 포함한다.
예 1192에서, 예 1178 내지 114 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 국부 발진기(LO) 위상 결합 모드에서 동작 가능하고, 각각의 셀이 중앙 제어 포인트로부터 그의 위상 변위를 수신하고, 믹서 출력이 아날로그 도메인에서 합산되며, 하나의 아날로그-디지털 변화기(ADC)만이 합산된 믹서 출력을 디지털 신호로 변환하는 것을 포함한다.
예 1193에서, 예 1178-1192 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 행(row)이 국부 발진기 위상 변위 시 타일링되는 하이브리드 동작 모드에서 동작 가능하고 단일 아날로그-디지털 변환기를 공유하는 것을 포함한다.
예 1194에서, 예 1178-1166 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 제 1 복소 함수가 셀에 의해 수신된 입력 신호에 적용되고 그 결과가 다른 셀로부터 수신된 입력에 적용된 제 2 복소 함수의 추가의 결과와 결합되는 아날로그 위상 배열 결합 동작 모드에서 동작 가능한 것을 포함한다.
예 1195는 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 복수의 타일링되고 상호 연결된 송수신기 셀을 이용하여 신호를 송신 및 수신하도록 디바이스를 구성하도록 동작 가능하며, 각각의 송수신기 셀은 송신기, 수신기, 디지털 처리 블록, 입력-출력 및 위상 결합 유닛, 및 인접한 유사한 셀과 통신하고 셀을 상호 연결하고 발진기 신호 및 제어 신호를 셀 사이에서 반송하는 버스를 이용하여 셀 사이에서 통신하는 네 개의 셀 에지 각각 상의 멀티플렉서 및 디멀티플렉서를 포함할 수 있다.
예 1196에서, 예 1195 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 그 셀 에지의 각 면상의 인접한 셀 요소에만 직접 연결 가능한 것을 포함한다.
예 1197은 디바이스의 프로세싱 회로에 의해 실행될 때, 예 1178-1194의 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1198는 예 1178-1194의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1199은 복수의 타일링되고 상호 연결된 송수신기 셀을 이용하여 신호를 송신 및 수신하기 위한 수단을 포함할 수 있는 위상 배열 무선 송수신기이며, 각각의 송수신기 셀은 송신기, 수신기, 디지털 처리 블록, 입력-출력 및 위상 결합 유닛, 및 인접한 유사한 셀과 통신하고 셀을 상호 연결하고 발진기 신호 및 제어 신호를 셀 사이에서 반송하는 버스를 이용하여 셀 사이에서 통신하는 네 개의 셀 에지 각각 상의 멀티플렉서 및 디멀티플렉서를 포함할 수 있다.
예 1200에서, 예 1199의 청구 대상은 선택적으로 송신기 중 적어도 하나가 다수의 송신기를 포함하는 것 또는 수신기가 다수의 수신기를 포함하는 것이 참인 것을 포함한다.
예 1201에서, 예 1199-1200의 청구 대상은 선택적으로 버스가 아날로그 및 디지털 버스인 것을 포함한다.
예 1202에서, 예 1199-1201의 청구 대상은 선택적으로 버스의 폭이 동시에 지원 가능한 사용자의 수와 동일한 것을 포함한다.
예 1203에서, 예 -1202의 청구 대상은 선택적으로 각각의 셀이 그 셀 에지의 각 면상의 인접한 셀 요소에만 직접 연결 가능한 것을 포함한다.
예 1204에서, 예 1178-1182의 청구 대상은 선택적으로 복수의 타일링된 송수신기 셀을 포함하는 웨이퍼와 결합되는 안테나 배열을 더 포함한다.
예 1205에서, 예 1199-1204의 청구 대상은 선택적으로 각각의 셀에 대해, 상호 연결된 송수신기 셀 내에서 고유한 자체의 식별자를 생성하는 것을 포함한다.
예 1206에서, 예 1205의 청구 대상은 선택적으로 미리 정의된 기준이 충족될 때 제 1 셀이 자신을 제 1 식별자로 자가 식별하는 것을 포함한다.
예 1207에서, 예 1206의 청구 대상은 선택적으로 미리 정의된 기준은 코너 셀인 것을 포함한다.
예 1208에서, 예 1207의 청구 대상은 선택적으로 비 제 1 셀(non-first cell)에 의해, 인접한 셀로부터 식별자 관련 정보를 수신함으로써 자신을 식별한 다음, 추가의 식별자 관련 정보를 다른 인접한 셀에 송신하는 것을 포함한다.
예 1209에서, 예 1208 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 셀에 의해 도입된 지연을 측정하고 교정하기 위해 루프백을 더 포함하는 것을 포함한다.
예 1210에서, 예 1209의 청구 대상은 선택적으로 디지털 위상 배열 모드에서, 존재하는 경우 바로 이전의 셀을 갖는 셀로부터의 디지털화된 수신된 신호를 벡터 합산하는 것을 포함한다.
예 1211에서, 예 1210의 청구 대상은 선택적으로 각 셀 간의 벡터 합산이 파이프라인화되는 것을 포함한다.
예 1212에서, 예 1210-1211 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 셀이 k명의 사용자를 지원하는 k 개 버스를 포함하는 것을 포함한다.
예 1213에서, 예 1212의 청구 대상은 선택적으로 각각의 셀이 국부 발진기(LO) 위상 결합 모드에서 동작 가능하고, 각각의 셀이 중앙 제어 포인트로부터 그의 위상 변위를 수신하고, 믹서 출력이 아날로그 도메인에서 합산되며, 하나의 아날로그-디지털 변화기(ADC)만이 합산된 믹서 출력을 디지털 신호로 변환하는 것을 포함한다.
예 1214에서, 예 1213의 청구 대상은 선택적으로 각각의 행이 국부 발진기 위상 변위 시 타일링되는 하이브리드 동작 모드에서 동작 가능하고 단일 아날로그-디지털 변환기를 공유하는 것을 포함한다.
예 1215에서, 예 1214의 청구 대상은 선택적으로 각각의 셀이 제 1 복소 함수가 셀에 의해 수신된 입력 신호에 적용되고 그 결과가 다른 셀로부터 수신된 입력에 적용된 제 2 복소 함수의 추가의 결과와 결합되는 아날로그 위상 배열 결합 동작 모드에서 동작 가능한 것을 포함한다.
예 1216은 위상 배열 송수신기 용 주입 고정 변조 회로이며, 주입 고정 변조 회로는 용량성 디지털-아날로그 변환기(capacitive digital-to-analog converter)(CAP-DAC)에 연결된 인덕터를 포함하는 탱크 회로 - 탱크 회로 주파수는 데이터 입력 신호에 의해 수정 가능함 - 와, 데이터 입력 신호의 데이터 값이 고정된 탱크 회로 출력 주파수의 위상을 ±180°/N의 양만큼 수정하는, 출력 캐리어 주파수의 정수 저조파 N에서 탱크 회로의 출력 주파수를 고정시키는 고정 주입 주파수를 제공하는 주입 회로와, 고정된 탱크 회로 출력 주파수에 N을 곱하여 캐리어 주파수를 생성하는 주파수 체배기를 포함할 수 있다.
예 1217에서, 예 1216의 청구 대상은 선택적으로 주입 회로가 위상 고정 루프(phase-locked loop)(PLL)인 것을 포함한다.
예 1218에서, 예 1216-1217 중 어느 하나 이상의 예의 청구 대상은 선택적으로 고정 주입 주파수가 탱크 회로 주파수의 제 2 정수 저조파 M인 것을 포함한다.
예 1219에서, 예 1218의 청구 대상은 선택적으로 M=3인 것을 포함한다.
예 1220에서, 예 1216-1219 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=3인 것을 포함한다.
예 1221에서, 예 1216-1220 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=2이고, 회로가 주파수 체배기와 안테나 사이에 연결된 길버트 쿼드/극성 스위치(Gilbert quad/polarity switch)를 더 포함하는 것을 포함한다.
예 1222에서, 예 1216-1221 중 어느 하나 이상의 예의 청구 대상은 주파수 체배기에 연결된 디지털 전력 증폭기 및 전력 증폭기에 연결되어 무선 신호를 송신하는 안테나를 포함한다.
예 1223은 위상 배열 송수신기 용 주입 고정 변조 회로를 동작시키기 위한 방법이며, 방법은 데이터 입력 신호에 의해, 용량성 디지털-아날로그 변환기(CAP-DAC)에 연결된 인덕터를 포함하는 탱크 회로의 탱크 회로 주파수를 수정하는 단계와, 데이터 입력 신호의 데이터 값이 고정된 탱크 회로 출력 주파수의 위상을 ±180°/N의 양만큼 수정하는, 출력 캐리어 주파수의 정수 저조파 N에서 탱크 회로의 출력 주파수를 고정시키기 위해 주입 회로에 의해 고정 주입 주파수를 제공하는 단계와, 주파수 체배기를 이용하여, 고정된 탱크 회로 출력 주파수에 N을 곱하여 캐리어 주파수를 생성하는 단계를 포함할 수 있다.
예 1224에서, 예 1223의 청구 대상은 선택적으로 주입 회로가 위상 고정 루프(PLL)인 것을 포함한다.
예 1225에서, 예 1223-1224 중 어느 하나 이상의 예의 청구 대상은 선택적으로 고정 주입 주파수가 탱크 회로 주파수의 제 2 정수 저조파 M인 것을 포함한다.
예 1226에서, 예 1225의 청구 대상은 선택적으로 M=3인 것을 포함한다.
예 1227에서, 예 1223-1226 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=3인 것을 포함한다.
예 1228에서, 예 1223-1227 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=2이고, 방법이 주파수 체배기와 안테나 사이에 연결된 길버트 쿼드/극성 스위치를 동작시키는 단계를 더 포함하는 것을 포함한다.
예 1229에서, 예 1223-1228 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 증폭기에 연결된 안테나를 이용하여 무선 신호를 송신하는 단계를 포함한다.
예 1230은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 데이터 입력 신호에 의해, 용량성 디지털-아날로그 변환기(CAP-DAC)에 연결된 인덕터를 포함하는 탱크 회로의 탱크 회로 주파수를 수정하고, 데이터 입력 신호의 데이터 값이 고정된 탱크 회로 출력 주파수의 위상을 ±180°/N의 양만큼 수정하는, 출력 캐리어 주파수의 정수 저조파 N에서 탱크 회로의 출력 주파수를 고정시키기 위해 주입 회로에 의해 고정 주입 주파수를 제공하고, 주파수 체배기를 이용하여, 고정된 탱크 회로 출력 주파수에 N을 곱하여 캐리어 주파수를 생성하도록 디바이스를 구성하도록 구성 가능하다.
예 1231에서, 예 1230의 청구 대상은 선택적으로 주입 회로가 위상 고정 루프(PLL)인 것을 포함한다.
예 1232은 디바이스의 프로세싱 회로에 의해 실행될 때, 예 1223-1229의 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1233는 예 1223-1229의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1234는 위상 배열 송수신기 용 주입 고정 변조 회로이며, 주입 고정 변조 회로는 데이터 입력 신호에 의해, 용량성 디지털-아날로그 변환기(CAP-DAC)에 연결된 인덕터를 포함하는 탱크 회로의 탱크 회로 주파수를 수정하기 위한 수단과, 데이터 입력 신호의 데이터 값이 고정된 탱크 회로 출력 주파수의 위상을 ±180°/N의 양만큼 수정하는, 출력 캐리어 주파수의 정수 저조파 N에서 탱크 회로의 출력 주파수를 고정시키기 위해 주입 회로에 의해 고정 주입 주파수를 제공하기 위한 수단과, 주파수 체배기를 이용하여, 고정된 탱크 회로 출력 주파수에 N을 곱하여 캐리어 주파수를 생성하기 위한 수단을 포함할 수 있다.
예 1235에서, 예 1234의 청구 대상은 선택적으로 주입 회로가 위상 고정 루프(PLL)인 것을 포함한다.
예 1236에서, 예 1234-1235 중 어느 하나 이상의 예의 청구 대상은 선택적으로 고정 주입 주파수가 탱크 회로 주파수의 제 2 정수 저조파 M인 것을 포함한다.
예 1237에서, 예 1236의 청구 대상은 선택적으로 M=3인 것을 포함한다.
예 1238에서, 예 1234-1237 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=3인 것을 포함한다.
예 1239에서, 예 1234-1238 중 어느 하나 이상의 예의 청구 대상은 선택적으로 N=2이고, 회로가 주파수 체배기와 안테나 사이에 연결된 길버트 쿼드/극성 스위치를 동작시키기 위한 수단을 더 포함하는 것을 포함한다.
예 1240에서, 예 1234-1239 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전력 증폭기에 연결된 안테나를 이용하여 무선 신호를 송신하기 위한 수단을 포함한다.
예 1241은 무선 수신기에서 무선 직교 진폭 변조(wireless quadrature amplitude modulation)(QAM) 신호에 대해 클록 및 데이터 복구(clock and data recover)(CDR)를 수행하기 위한 장치이며, 장치는 수신기에 의해 수신된 QAM 신호를 처리하는 동 위상(I) 및 직교(Q) 채널, 조정 표시와 함께 복수의 모드 값을 저장하는 장치의 메모리 내의 모드 테이블, I 및 Q 채널로부터 데이터를 수신하고, 모드 테이블로부터 현재 모드를 판독하고, 현재 모드에 따라, 현재 모드에 대한 조정 표시와 일치하는 신호의 현재 샘플링 위상을 조정하는 프로세서를 포함하는 모드 유닛을 포함할 수 있다.
예 1242에서, 예 1241의 청구 대상은 선택적으로 QAM이 적어도 네 개의 값을 지원하는 것을 포함한다.
예 1243에서, 예 1242의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1244에서, 예 1241-1243 중 어느 하나 이상의 예의 청구 대상은 선택적으로 현재 모드가 동작 중에 동적으로 조정되는 것을 포함한다.
예 1245에서, 예 1241-1244 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 또는 Q 채널만을 고려하는 모드를 갖는 것을 포함한다.
예 1246에서, 예 1245의 청구 대상은 선택적으로 프로세서가 또한 I 채널 또는 Q 채널 중 어느 하나에서 통신 문제를 검출하고 통신 문제가 검출되지 않은 채널의 모드를 이용하도록 구성되는 것을 포함한다.
예 1247에서, 예 1241-1246 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 및 Q 채널 둘 모두를 고려하는 모드를 갖는 것을 포함한다.
예 1248에서, 예 1241-1247 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 다음과 같이 정의된 적어도 여덟 모드를 포함하는 것을 포함한다.
Figure pct00303
예 1249에서, 예 1248의 청구 대상은 선택적으로 타이밍 추정기 결정이 수신된 데이터 심볼 및 오류 값의 부호의 함수인 것을 포함한다.예 1250에서, 예 1249의 청구 대상은 선택적으로 타이밍 추정기 결정이 다음의 공식에 기초하는 것을 포함한다: Ek ZK=SIGN(DK) SIGN(DK-1)(EK-EK-1), ZK > 0 EARLY, ZK = 0 HOLD, ZK < 0 LATE.
예 1251에서, 예 1250의 청구 대상은 선택적으로 적어도 네 개의 데이터 값을 포함하는 타이밍 추정기에 의해 사용된 추정기 테이블을 포함하며, 각각의 데이터 값은 데이터 값 위와 아래의 연관된 부호 및 오류 값을 갖는다.
예 1252에서, 예 1251의 청구 대상은 선택적으로 가장 높은 데이터 값 위 및 가장 낮은 데이터 값 아래의 오류 값은 플러스 1이고, 모든 다른 에러 값은 마이너스 1인 것을 포함한다.
예 1253에서, 예 1252의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1254에서, 예 1253의 청구 대상은 선택적으로 추정기 테이블이
Figure pct00304
을 포함하고, ZK가 타이밍 추정기 값이고, DK가 현재 데이터 값이고, DK-1이 이전 데이터 값이고, EK가 현재 오류 값이며, EK-1이 이전 오류 값인 것을 포함한다.
예 1255에서, 예 1240-1254 중 어느 하나 이상의 예의 청구 대상은 선택적으로 샘플링 위상을 더 이른 지점으로 조정할지, 현재 지점에서 유지할지 또는 더 늦은 지점으로 조정할지를 결정하는 타이밍 추정기를 포함한다.
예 1256은 무선 수신기에서 무선 직교 진폭 변조(QAM) 신호에 대해 클록 및 데이터 복구(CDR)를 수행하기 위한 방법이며, 방법은 수신기에 의해 수신된 QAM 신호의 동 위상(I) 및 직교(Q) 채널을 처리하는 단계와, 복수의 모드 값을 조정 표시와 함께 장치의 메모리 내의 모드 테이블에 저장하는 단계와, I 및 Q 채널로부터 데이터를 수신하는 단계와, 모드 테이블로부터 현재 모드를 판독하는 단계와, 현재 모드에 따라, 현재 모드에 대한 조정 표시와 일치하는 신호의 현재 샘플링 위상을 조정하는 단계를 포함할 수 있다.
예 1257에서, 예 1256의 청구 대상은 선택적으로 QAM이 적어도 네 개의 값을 지원하는 것을 포함한다.
예 1258에서, 예 1257의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1259에서, 예 1256-1258 중 어느 하나 이상의 예의 청구 대상은 선택적으로 동작 동안 현재 모드를 동적으로 조정하는 단계를 포함한다.
예 1260에서, 예 1256-1259 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 또는 Q 채널만을 고려하는 모드를 갖는 것을 포함한다.
예 1261에서, 예 1260의 청구 대상은 선택적으로 프로세서가 또한 I 채널 또는 Q 채널 중 어느 하나에서 통신 문제를 검출하는 단계 및 통신 문제가 검출되지 않은 채널의 모드를 이용하는 단계를 포함한다.
예 1262에서, 예 1256-1261 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 및 Q 채널 둘 모두를 고려하는 모드를 갖는 것을 포함한다.
예 1263에서, 예 1256-1262 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 다음과 같이 정의된 적어도 여덟 모드를 포함하는 것을 포함한다.
Figure pct00305
예 1264에서, 예 1263의 청구 대상은 선택적으로 타이밍 추정기 결정이 수신된 데이터 심볼 및 오류 값의 부호의 함수인 것을 포함한다.예 1265에서, 예 1264의 청구 대상은 선택적으로 타이밍 추정기 결정이 다음의 공식에 기초하는 것을 포함한다: Ek ZK=SIGN(DK) SIGN(DK-1)(EK-EK-1), ZK > 0 EARLY, ZK = 0 HOLD, ZK < 0 LATE.
예 1266에서, 예 1265의 청구 대상은 선택적으로 적어도 네 개의 데이터 값을 포함하는 타이밍 추정기에 의해 사용된 추정기 테이블을 포함하며, 각각의 데이터 값은 데이터 값 위와 아래의 연관된 부호 및 오류 값을 갖는다.
예 1267에서, 예 1266의 청구 대상은 선택적으로 가장 높은 데이터 값 위 및 가장 낮은 데이터 값 아래의 오류 값은 플러스 1이고, 모든 다른 에러 값은 마이너스 1인 것을 포함한다.
예 1268에서, 예 1267의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1269에서, 예 1268의 청구 대상은 선택적으로 추정기 테이블이
Figure pct00306
을 포함하고, ZK가 타이밍 추정기 값이고, DK가 현재 데이터 값이고, DK-1이 이전 데이터 값이고, EK가 현재 오류 값이며, EK-1이 이전 오류 값인 것을 포함한다.
예 1270에서, 예 1256-1269 중 어느 하나 이상의 예의 청구 대상은 선택적으로 샘플링 위상을 더 이른 지점으로 조정할지, 현재 지점에서 유지할지 또는 더 늦은 지점으로 조정할지를 결정하는 단계를 포함한다.
예 1271은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 수신기에 의해 수신된 QAM 신호의 동 위상(I) 및 직교(Q) 채널을 처리하고, 복수의 모드 값을 조정 표시와 함께 장치의 메모리 내의 모드 테이블에 저장하고, I 및 Q 채널로부터 데이터를 수신하고, 모드 테이블로부터 현재 모드를 판독하고, 현재 모드에 따라, 현재 모드에 대한 조정 표시와 일치하는 신호의 현재 샘플링 위상을 조정하도록 디바이스를 구성하도록 동작 가능하다.
예 1272에서, 예 1271의 청구 대상은 선택적으로 QAM이 적어도 네 개의 값을 지원하는 것을 포함한다.
예 1273은 디바이스의 프로세싱 회로에 의해 실행될 때, 위의 방법 예 중의 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1274는 무선 수신기에서 무선 직교 진폭 변조(QAM) 신호에 대해 클록 및 데이터 복구(CDR)를 수행하기 위한 장치이며, 장치는 수신기에 의해 수신된 QAM 신호의 동 위상(I) 및 직교(Q) 채널을 처리하는 것과, 복수의 모드 값을 조정 표시와 함께 장치의 메모리 내의 모드 테이블에 저장하는 것과, I 및 Q 채널로부터 데이터를 수신하는 것과, 모드 테이블로부터 현재 모드를 판독하는 것과, 현재 모드에 따라, 현재 모드에 대한 조정 표시와 일치하는 신호의 현재 샘플링 위상을 조정하는 것을 포함할 수 있다.
예 1275에서, 예 1274의 청구 대상은 선택적으로 QAM이 적어도 네 개의 값을 지원하는 것을 포함한다.
예 1276에서, 예 1275의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1277에서, 예 1274-1276 중 어느 하나 이상의 예의 청구 대상은 선택적으로 동작 동안 현재 모드를 동적으로 조정하는 것을 포함한다.
예 1278에서, 예 1274-1277 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 또는 Q 채널만을 고려하는 모드를 갖는 것을 포함한다.
예 1279에서, 예 1278의 청구 대상은 선택적으로 프로세서가 또한 I 채널 또는 Q 채널 중 어느 하나에서 통신 문제를 검출하는 것 및 통신 문제가 검출되지 않은 채널의 모드를 이용하는 것을 포함한다.
예 1280에서, 예 1274-1279 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 I 채널 및 Q 채널 둘 모두를 고려하는 모드를 갖는 것을 포함한다.
예 1281에서, 예 1274-1280 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모드 테이블이 다음과 같이 정의된 적어도 여덟 모드를 포함하는 것을 포함한다.
Figure pct00307
예 1282에서, 예 1281의 청구 대상은 선택적으로 타이밍 추정기 결정이 수신된 데이터 심볼 및 오류 값의 부호의 함수인 것을 포함한다.예 1283에서, 예 1282의 청구 대상은 선택적으로 타이밍 추정기 결정이 다음의 공식에 기초하는 것을 포함한다: Ek ZK=SIGN(DK) SIGN(DK-1)(EK-EK-1), ZK > 0 EARLY, ZK = 0 HOLD, ZK < 0 LATE.
예 1284에서, 예 1283의 청구 대상은 선택적으로 적어도 네 개의 데이터 값을 포함하는 타이밍 추정기에 의해 사용된 추정기 테이블을 포함하며, 각각의 데이터 값은 데이터 값 위와 아래의 연관된 부호 및 오류 값을 갖는다.
예 1285에서, 예 1284의 청구 대상은 선택적으로 가장 높은 데이터 값 위 및 가장 낮은 데이터 값 아래의 오류 값은 플러스 1이고, 모든 다른 에러 값은 마이너스 1인 것을 포함한다.
예 1286에서, 예 1285의 청구 대상은 선택적으로 16 QAM을 포함한다.
예 1287에서, 예 1286의 청구 대상은 선택적으로 추정기 테이블이
Figure pct00308
을 포함하고, ZK가 타이밍 추정기 값이고, DK가 현재 데이터 값이고, DK-1이 이전 데이터 값이고, EK가 현재 오류 값이며, EK-1이 이전 오류 값인 것을 포함한다.
예 1288에서, 예 1274-1287 중 어느 하나 이상의 예의 청구 대상은 선택적으로 샘플링 위상을 더 이른 지점으로 조정할지, 현재 지점에서 유지할지 또는 더 늦은 지점으로 조정할지를 결정하는 것을 포함한다.
예 1289는 프로세서 및 메모리를 포함하는 무선 주파수(RF) 수신기 용 자동 이득 제어(automatic gain control)(AGC) 회로이며, 프로세서는 직교 변조된 신호로부터 복수의 양자화된 신호를 수신하고, 양자화된 신호를 양자화된 전력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈으로 구성된 콘스텔레이션 맵의 영역에 할당하고, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(maximum likelihood estimator)(MLE)를 결정하고, MLE에 기초하여 전력을 추정하며, 추정된 전력에 기초하여 추가로 수신된 신호에 대해 가변 이득 증폭기를 조정한다.
예 1290에서, 예 1289의 청구 대상은 선택적으로 MLE가 수학식
Figure pct00309
으로 계산되는 것을 포함하며, 여기서 nri는 영역(ri)에서 양자화된 N 중의 샘플의 수이고, b는 수신된 신호의 I/Q 성분 각각 내 log2(2n) 비트이고, P는
Figure pct00310
으로서 계산된 평균 수신된 신호 전력이다.
예 1291에서, 예 1290의 청구 대상은 선택적으로 전력이 수학식
Figure pct00311
을 풀어서 추정되는 것을 포함한다.
예 1292에서, 예 1289-1291 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화된 신호가 저 분해능 아닐로그-디지털 변환기(ADC)로부터의 신호인 것을 포함한다.
예 1293에서, 예 1292의 청구 대상은 선택적으로 저분해능 ADC가 세 개 이하의 비트를 생성하는 것을 포함한다.
예 1294에서, 예 1289-1293 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 모든 ADC로부터의 모든 샘플을 함께 이용하여 지연을 감소시키는 것을 포함한다.
예 1295에서, 예 1289-1294 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 단조적으로 증가 또는 감소하는 조건부 분포(
Figure pct00312
)를 갖는 영역을 선택하고,
Figure pct00313
이도록 관심의 P를 통해, 선택된 영역으로부터 한 세트의 영역을 선택하며, 최적화 문제
Figure pct00314
를 푸는 것을 포함한다.
예 1296에서, 예 1289-1295 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 후속 전력 추정치에 사용할 추정된 전력을 위한 룩업 테이블(LUT)을 구성하는 것을 포함한다.
예 1297에서, 예 1289-1296 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 디더링 알고리즘을 이용하여 명시된 신호대 잡음비(SNR) 값에 대한 최상의 전력 추정 솔루션을 결정하는 것을 포함한다.
예 1298은 직교 변조된 무선 주파수(RF) 신호를 수신하는 무선 수신기 디바이스이며, 무선 수신기 디바이스는 복수의 채널 - 각각의 채널은 직교 변조된 RF 신호를 수신하는 안테나와 직교 변조된 RF 신호를 중간 주파수(IF) 신호로 변환하는 믹서와, IF 신호를 수신하는 가변 이득 증폭기(variable gain amplifier)(VGA)와, VGA의 출력을 샘플링하여 샘플링된 출력 신호를 제공하는 샘플 및 홀드 회로와, 샘플링된 출력 신호를 수신하고 이것을 디지털 신호로 양자화하는 아날로그-디지털 변환기(ADC)를 포함함 - 과, 프로세서와 메모리를 포함할 수 있으며, 프로세서는 직교 변조 신호로부터 복수의 양자화된 신호를 수신하고, 양자화된 신호를 양자화된 출력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈으로 구성된 콘스텔레이션 맵의 영역으로 할당하고, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(MLE)를 결정하고, MLE에 기초하여 전력을 추정하며, 추정된 전력에 기초하여 추가의 수신된 신호에 대해 가변 이득 증폭기를 조정한다.
예 1299에서, 예 1298의 청구 대상은 선택적으로 ADC가 세 개 이하의 비트를 생성하는 저분해능 ADC인 것을 포함한다.
예 1300은 무선 주파수(RF) 수신기의 자동 이득 제어(AGC)를 위한 방법이며, 방법은 직교 변조된 신호로부터 복수의 양자화된 신호를 수신하는 단계와, 양자화된 신호를 양자화된 전력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈으로 구성된 콘스텔레이션 맵의 영역에 할당하는 단계와, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(MLE)를 결정하는 단계와, MLE에 기초하여 전력을 추정하는 단계와, 추정된 전력에 기초하여 추가로 수신된 신호에 대해 가변 이득 증폭기를 조정하는 단계를 포함할 수 있다.
예 1301에서, 예 1300의 청구 대상은 선택적으로 MLE가 수학식
Figure pct00315
으로 계산되는 것을 포함하며, 여기서 nri는 영역(ri)에서 양자화된 N 중의 샘플의 수이고, b는 수신된 신호의 I/Q 성분 각각 내 log2(2n) 비트이고, P는
Figure pct00316
으로서 계산된 평균 수신된 신호 전력이다.
예 1302에서, 예 1301의 청구 대상은 선택적으로 전력이 수학식
Figure pct00317
을 풀어서 추정되는 것을 포함한다.
예 1303에서, 예 1330-1302 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화된 신호가 저 분해능 아닐로그-디지털 변환기(ADC)로부터의 신호인 것을 포함한다.
예 1304에서, 예 1303의 청구 대상은 선택적으로 저 분해능 ADC가 세 개 이하의 비트를 생성하는 것을 포함한다.
예 1305에서, 예 1300-1304 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모든 ADC로부터의 모든 샘플을 함께 이용하여 지연을 감소시키는 단계를 포함한다.
예 1306에서, 예 1300-1305 중 어느 하나 이상의 예의 청구 대상은 선택적으로 단조적으로 증가 또는 감소하는 조건부 분포(
Figure pct00318
)를 갖는 영역을 선택하는 단계와,
Figure pct00319
이도록 관심의 P를 통해, 선택된 영역으로부터 한 세트의 영역을 선택하는 단계와, 최적화 문제
Figure pct00320
를 푸는 단계를 포함한다.
예 1307에서, 예 1300-1306 중 어느 하나 이상의 예의 청구 대상은 선택적으로 후속 전력 추정치에 사용할 추정된 전력을 위한 룩업 테이블(LUT)을 구성하는 단계를 포함한다.
예 1308에서, 예 1300-1307 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디더링 알고리즘을 이용하여 명시된 신호대 잡음비(SNR) 값에 대한 최상의 전력 추정 솔루션을 결정하는 단계를 포함한다.
예 1309는 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 직교 변조된 신호로부터 복수의 양자화된 신호를 수신하고, 양자화된 신호를 양자화된 전력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈으로 구성된 컨스텔레이션 맵의 영역에 할당하고, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(MLE)를 결정하고, MLE에 기초하여 전력을 추정하며, 추정된 전력에 기초하여 추가로 수신된 신호에 대해 가변 이득 증폭기를 조정하도록 디바이스를 구성하도록 동작 가능하다.
예 1310에서, 예 1309의 청구 대상은 선택적으로 MLE가 수학식
Figure pct00321
으로 계산되는 것을 포함하며, 여기서 nri는 영역(ri)에서 양자화된 N 중의 샘플의 수이고, b는 수신된 신호의 I/Q 성분 각각 내 log2(2n) 비트이고, P는
Figure pct00322
으로서 계산된 평균 수신된 신호 전력이다.
다른 예는 디바이스의 프로세싱 회로에 의해 실행될 때, 예 1300-1308 방법 중 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1311는 예 1300-1308의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1312는 무선 주파수(RF) 수신기의 자동 이득 제어(AGC) 회로이며, AGC 회로는 직교 변조된 신호로부터 복수의 양자화된 신호를 수신하기 위한 수단과, 양자화된 신호를 양자화된 전력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈으로 구성된 콘스텔레이션 맵의 영역에 할당하기 위한 수단과, 할당된 양자화된 신호에 기초하여 최대 우도 추정기(MLE)를 결정하기 위한 수단과, MLE에 기초하여 전력을 추정하기 위한 수단과, 추정된 전력에 기초하여 추가로 수신된 신호에 대해 가변 이득 증폭기를 조정하기 위한 수단을 포함할 수 있다.
예 1313에서, 예 1312의 청구 대상은 선택적으로 MLE가 수학식
Figure pct00323
으로 계산하기 위한 수단을 포함하며, 여기서 nri는 영역(ri)에서 양자화된 N 중의 샘플의 수이고, b는 수신된 신호의 I/Q 성분 각각 내 log2(2n) 비트이고, P는
Figure pct00324
으로서 계산된 평균 수신된 신호 전력이다.
예 1314에서, 예 1313의 청구 대상은 선택적으로 전력 추정 수학식
Figure pct00325
을 풀기 위한 수단을 포함한다.
예 1315에서, 예 1312-1314 중 어느 하나 이상의 예의 청구 대상은 선택적으로 양자화된 신호가 저 분해능 아닐로그-디지털 변환기(ADC)로부터의 신호인 것을 포함한다.
예 1316에서, 예 1315의 청구 대상은 선택적으로 저분해능 ADC가 세 개 이하의 비트를 생성하는 것을 포함한다.
예 1317에서, 예 1312-1316 중 어느 하나 이상의 예의 청구 대상은 선택적으로 모든 ADC로부터의 모든 샘플을 함께 이용하여 지연을 감소하게 하기 위한 수단을 포함한다.
예 1318에서, 예 1312-1317 중 어느 하나 이상의 예의 청구 대상은 선택적으로 단조적으로 증가 또는 감소하는 조건부 분포(
Figure pct00326
)를 갖는 영역을 선택하기 위한 수단과,
Figure pct00327
이도록 관심의 P를 통해, 선택된 영역으로부터 한 세트의 영역을 선택하기 위한 수단과, 최적화 문제
Figure pct00328
를 풀기 위한 단계를 포함한다.
예 1319에서, 예 1312-1318 중 어느 하나 이상의 예의 청구 대상은 선택적으로 후속 전력 추정치에 사용할 추정된 전력을 위한 룩업 테이블(LUT)을 구성하기 위한 수단을 포함한다.
예 1320에서, 예 1312-1319 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디더링 알고리즘을 이용하여 명시된 신호대 잡음비(SNR) 값에 대한 최상의 전력 추정 솔루션을 결정하기 위한 수단을 포함한다.
예 1321은 위상 어레이 송신기 내 안테나 어레이를 제어하기 위한 디바이스이며, 디바이스는 복수의 송수신기 슬라이스 - 각각의 송수신기 슬라이스는 디바이스의 안테나 배열의 일부를 형성하는 안테나 요소와, 송신 모드(transmit mode)(TM) 동작과 수신 모드(receive mode)(RM) 동작 사이에서 스위칭 가능한 송신 및 수신 스위치와, 가변 저잡음 증폭기 및 위상 변위기를 포함하는 수신 경로와, RM의 안테나 요소에 연결 가능한 수신 경로와, 가변 전력 증폭기 및 위상 변위기를 포함하는 송신 경로 - 송신 경로는 TM의 안테나에 연결 가능함 - 를 포함함 - 와, 활성 안테나 요소의 개수 또는 활성 안테나 요소의 구성에 매핑되는 이득 조정 값을 포함하는 이득 테이블과, 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하고, RM에서 이득 테이블을 사용하여 자동 이득 제어를 수행하며, 간섭원이 존재할 때를 결정하고, 존재할 때 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하여 자동 이득 제어를 수행하도록 복귀하고, 존재하지 않을 때 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 프로세서를 포함할 수 있다.
예 1322에서, 예 1321의 청구 대상은 선택적으로 프로세서가 또한 TM에서 이득 테이블을 사용하여 전력 제어를 수행하고, 다른 신호와의 공존 또는 다른 신호로부터의 간섭이 존재하는지를 결정하고, 공존 또는 간섭이 존재할 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하고 전력 제어를 수행하도록 복귀하고, 공존 및 간섭이 존재하지 않을 때, 프로세서가 또한 더 좁은 빔 폭의 네트워크 요청이 있을 때를 결정하고, 네트워크 요청이 참일 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭을 위한 이득 테이블을 구성하도록 복귀하며, 네트워크 요청이 참이 아닐 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 것을 포함한다.
예 1323에서, 예 1321-1322 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신 경로가 결합기에 연결 가능하고 송신 경로가 분할기에 연결 가능한 것을 포함한다.
예 1324에서, 예 1321 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 수신된 신호 값이 미리 정의된 값을 초과하는지 를 결정하고, 참일 때 프로세서가 또한 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하는 것을 포함한다.
예 1325에서, 예 1324의 청구 대상은 선택적으로 수신된 신호 값이 수신된 신호 강도 표시기(received signal strength indicator)(RSSI)인 것을 포함한다.
예 1326에서, 예 1321-1325 중 어느 하나 이상의 예의 청구 대상은 선택적으로 간섭이 존재하는 때의 결정이 광대역 및 협대역 검출을 수행하고 각각의 결과를 비교하는 프로세서에 의해 행해지는 것을 포함한다.
예 1327에서, 예 1322 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 사용자 근접 조건이 충족되는지를 결정하고, 조건이 충족될 때, 안테나 배열의 더 협소한 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하도록 구성되는 것을 포함한다.
예 1328에서, 예 1327의 청구 대상은 선택적으로 근접 조건이 통신의 방향이 사용자로부터 멀리 떨어져 있는 것을 포함한다.
예 1329에서, 예 1328의 청구 대상은 선택적으로 근접 조건이 또한 사용자의 디바이스로부터의 거리를 포함하는 것을 포함한다.
예 1330에서, 예 1321 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 프로세서가 또한 디바이스의 속도를 디바이스가 통신하는 다른 다비이스와 관련하여 결정하도록 구성되고, 속도가 미리 정의된 문턱 값 아래일 때, 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하도록 구성되는 것을 포함한다.
예 1331에서, 예 1321-1330 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디바이스가 셀룰러 전화 네트워크 내의 기지국인 것을 포함한다.
예 1332에서, 예 1321-1331 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 어레이의 일부를 형성하는 전방향성 안테나(omni-directional antenna)를 포함한다.
예 1333에서, 예 1321-1332 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소가 직사각형 구성으로 배열되는 것을 포함한다.
예 1334는 위상 배열 송신기 내 안테나 배열을 제어하기 위한 방법이며, 방법은 송신 모드(TM) 동작과 수신 모드(RM) 동작 사이에서 스위칭 가능한 송신 및 수신 스위치를 스위칭하는 단계와, 가변 저잡음 증폭기 및 위상 변위기를 이용하여 수신 경로에서 신호를 증폭하고 위상 변위하는 단계 - 수신 경로는 RM의 안테나 요소에 연결 가능함 - 와, 가변 전력 증폭기 및 위상 변위기를 포함하는 송신 경로에서 신호를 증폭하고 위상 변위하는 단계 - 송신 경로는 TM의 안테나에 연결 가능함 - 와, 활성 안테나 요소의 개수 또는 활성 안테나 요소의 구성에 매핑되는 이득 조정 값을 이득 테이블 내에 저장하는 단계와, 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하는 단계와, RM에서 이득 테이블을 사용하여 자동 이득 제어를 수행하고 간섭원이 존재할 때를 결정하는 단계와, 존재할 때 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하여 자동 이득 제어를 수행하도록 복귀하고, 존재하지 않을 때 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 단계를 포함할 수 있다.
예 1335에서, 예 1334의 청구 대상은 선택적으로 프로세서가 또한 TM에서 이득 테이블을 사용하여 전력 제어를 수행하는 단계와, 다른 신호와의 공존 또는 다른 신호로부터의 간섭이 존재하는지를 결정하는 단계와, 공존 또는 간섭이 존재할 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하고 전력 제어를 수행하도록 복귀하는 단계와, 공존 및 간섭이 존재하지 않을 때, 프로세서가 또한 더 좁은 빔 폭의 네트워크 요청이 있을 때를 결정하는 단계와, 네트워크 요청이 참일 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭을 위한 이득 테이블을 구성하도록 복귀하는 단계와, 네트워크 요청이 참이 아닐 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 단계를 포함한다.
예 1336에서, 예 1334-1335 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신 경로가 결합기에 연결 가능하고 송신 경로가 분할기에 연결 가능한 것을 포함한다.
예 1337에서, 예 1334 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수신된 신호 값이 미리 정의된 값을 초과하는지를 결정하는 단계와, 참일 때 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하는 단계를 포함한다.
예 1338에서, 예 1337의 청구 대상은 선택적으로 수신된 신호 값이 수신된 신호 강도 표시기(RSSI)인 것을 포함한다.
예 1339에서, 예 1334-1338 중 어느 하나 이상의 예의 청구 대상은 선택적으로 간섭이 존재하는 때의 결정이 광대역 및 협대역 검출을 수행하고 각각의 결과를 비교하는 프로세서에 의해 행해지는 것을 포함한다.
예 1340에서, 예 1335 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 사용자 근접 조건이 충족되는지를 결정하는 단계와, 조건이 충족될 때, 안테나 배열의 더 협소한 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 단계를 포함한다.
예 1341에서, 예 1340의 청구 대상은 선택적으로 근접 조건이 통신의 방향이 사용자로부터 멀리 떨어져 있는 것을 포함한다.
예 1342에서, 예 1341의 청구 대상은 선택적으로 근접 조건이 또한 사용자의 디바이스로부터의 거리를 포함하는 것을 포함한다.
예 1343에서, 예 1334 내지 1323 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디바이스의 속도를 디바이스가 통신하는 다른 다비이스와 관련하여 결정하는 단계와, 속도가 미리 정의된 문턱 값 아래일 때, 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하는 단계를 포함한다.
예 1344에서, 예 1334-1343 중 어느 하나 이상의 예의 청구 대상은 선택적으로 디바이스가 셀룰러 전화 네트워크 내의 기지국인 것을 포함한다.
예 1345에서, 예 1334-1344 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 어레이의 일부를 형성하는 전방향성 안테나를 포함한다.
예 1346에서, 예 1334-1345 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 요소가 직사각형 구성으로 배열되는 것을 포함한다.
예 1347은 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이며, 컴퓨터 실행 가능 명령어는 디바이스의 프로세싱 회로에 의해 실행될 때, 송신 모드(TM) 동작과 수신 모드(RM) 동작 사이에서 스위칭 가능한 송신 및 수신 스위치를 스위칭하고, 가변 저잡음 증폭기 및 위상 변위기를 이용하여 수신 경로에서 신호를 증폭하고 위상 변위 - 수신 경로는 RM의 안테나 요소에 연결 가능함 - 하고, 가변 전력 증폭기 및 위상 변위기를 포함하는 송신 경로에서 신호를 증폭하고 위상 변위 - 송신 경로는 TMM 의 안테나에 연결 가능함 - 하고, 활성 안테나 요소의 개수 또는 활성 안테나 요소의 구성에 매핑되는 이득 조정 값을 이득 테이블 내에 저장하고, 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하고, RM에서 이득 테이블을 사용하여 자동 이득 제어를 수행하고 간섭원이 존재할 때를 결정하고, 존재할 때 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하여 자동 이득 제어를 수행하도록 복귀하고, 존재하지 않을 때 안테나 배열의 최소 전류 드레인 설정을 위한 이득 테이블을 구성하기 위해 복귀하도록 디바이스를 구성하도록 동작 가능하다.
예 1348에서, 예 1347의 청구 대상은 선택적으로 명령어가 또한 TM에서 이득 테이블을 사용하여 전력 제어를 수행하고, 다른 신호와의 공존 또는 다른 신호로부터의 간섭이 존재하는지를 결정하고, 공존 또는 간섭이 존재할 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하고 전력 제어를 수행하도록 복귀하고, 공존 및 간섭이 존재하지 않을 때, 프로세서가 또한 더 좁은 빔 폭의 네트워크 요청이 있을 때를 결정하고, 네트워크 요청이 참일 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭을 위한 이득 테이블을 구성하도록 복귀하며, 네트워크 요청이 참이 아닐 때, 프로세서가 또한 안테나 배열의 더 좁은 빔 폭 설정을 위한 이득 테이블을 구성하기 위해 복귀하도록 동작 가능한 것을 포함한다.
예 1349은 디바이스의 프로세싱 회로에 의해 실행될 때, 예 1334-1346의 임의의 방법을 수행하도록 디바이스를 구성하도록 동작 가능한 컴퓨터 실행 가능 명령어를 포함하는 하나 이상의 컴퓨터 판독 가능한 저장 매체를 포함하는 컴퓨터 프로그램 제품이다.
예 1350는 예 1334-1346의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1351은 디지털-아날로그 회로 디바이스이며, 디지털-아날로그 회로 디바이스는 전류 소스 및 전류 소스를 드레인을 향하게 하기 위한 적어도 두 개의 스위칭 가능한 경로를 포함하는 제 1 구성요소 - 경로와 연관된 전압 기준 포인트에서의 전압 기준은 스위칭 온된 경로의 개수에 종속함 - 와, 적어도 두 개의 스위칭 경로를 제공하는 제 2 구성요소 - 제 2 구성요소와 연관된 출력은 스위칭 온된 경로의 제 2 개수에 종속하고, 전압 기준 포인트는 제 1 구성요소를 제 2 구성요소에 연결함 - 를 포함할 수 있다.
예 1352에서, 예 1351의 청구 대상은 선택적으로 제 1 구성요소 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1353에서, 예 1352의 청구 대상은 선택적으로 제 1 구성요소 경로가 각각 전류 소스와 트랜지스터 사이의 트랜지스터와 직렬로 연결된 스위치로서 제 2 트랜지스터를 갖는 것을 포함한다.
예 1354에서, 예 1351-1353 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 스위칭 가능하게 연결하는 스위치를 포함하는 것을 포함한다.
예 1355에서, 예 1351 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 구성요소의 각각의 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1356에서, 예 1355의 청구 대상은 임의로, 제 2 구성요소의 각각의 경로가 출력과 경로와 맞물리는 스위치로서 작용하는 트랜지스터 사이에 직렬로 연결된 제 2 트랜지스터를 더 포함하는 것을 포함한다.
예 1357에서, 예 1351-1356 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트에서의 전압이 V/N인 것을 포함하며, 여기서 N은 제 1 구성요소 내의 경로의 개수이고, V는 하나의 경로만 활성화될 때 기준 포인트에서의 전압이다.
예 1358은 디지털-아날로그 회로 디바이스를 동작시키는 방법이며, 방법은 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 1 구성요소에서, 전류 소스로부터 전류를 적어도 두 개의 스위칭 가능한 경로를 통해 전달하여 스위칭 온된 경로의 개수에 종속하는 기준 전압 포인트에서 기준 전압을 설정하는 단계 및 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 2 구성요소에서, 제 2 구성요소와 연관된 출력이 스위칭 온된 경로의 제 2 개수 및 전압 기준 포인트에 종속하고, 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 연결하는 것을 포함할 수 있다.
예 1359에서, 예 1358의 청구 대상은 선택적으로 제 1 구성요소 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1360에서, 예 1359의 청구 대상은 선택적으로 제 1 구성요소 경로가 각각 전류 소스와 트랜지스터 사이의 트랜지스터와 직렬로 연결된 스위치로서 제 2 트랜지스터를 갖는 것을 포함한다.
예 1361에서, 예 1358-1360 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 스위칭 가능하게 연결하는 스위치를 포함하는 것을 포함한다.
예 1362에서, 예 1358 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 구성요소의 각각의 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1363에서, 예 1362의 청구 대상은 선택적으로 제 2 구성요소의 각각의 경로가 출력과 경로와 맞물리는 스위치로서 작용하는 트랜지스터 사이에 직렬로 연결된 제 2 트랜지스터를 더 포함하는 것을 포함한다.
예 1364에서, 예 1358-1363 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트에서의 전압이 V/N인 것을 포함하며, 여기서 N은 제 1 구성요소 내의 경로의 개수이고, V는 하나의 경로만 활성화될 때 기준 포인트에서의 전압이다.
예 1365는 예 1358-1364의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1366은 디지털-아날로그 회로 디바이스를 동작시키는 방법이며, 방법은 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 1 구성요소에서, 전류 소스로부터 전류를 적어도 두 개의 스위칭 가능한 경로를 통해 전달하여 스위칭 온된 경로의 개수에 종속하는 기준 전압 포인트에서 기준 전압을 설정하기 위한 수단 및 적어도 두 개의 스위칭 가능한 경로를 제공하는 제 2 구성요소에서, 제 2 구성요소와 연관된 출력이 스위칭 온된 경로의 제 2 개수 및 전압 기준 포인트에 종속하고, 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 연결하는 것을 포함할 수 있다.
예 1367에서, 예 1366의 청구 대상은 선택적으로 제 1 구성요소 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1368에서, 예 1367의 청구 대상은 선택적으로 제 1 구성요소 경로가 각각 전류 소스와 트랜지스터 사이의 트랜지스터와 직렬로 연결된 스위치로서 제 2 트랜지스터를 갖는 것을 포함한다.
예 1369에서, 예 1366-1368 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트가 제 1 구성요소를 제 2 구성요소에 스위칭 가능하게 연결하는 수단을 포함하는 것을 포함한다.
예 1370에서, 예 1366-1369 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 구성요소의 각각의 경로가 전압 기준 포인트에 연결된 게이트를 갖는 트랜지스터를 포함하는 것을 포함한다.
예 1371에서, 예 1370의 청구 대상은 선택적으로 제 2 구성요소의 각각의 경로가 출력과 경로와 맞물리는 수단으로서 작용하는 트랜지스터 사이에 직렬로 연결된 제 2 트랜지스터를 더 포함하는 것을 포함한다.
예 1372에서, 예 1366-1371 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전압 기준 포인트에서의 전압이 V/N인 것을 포함하며, 여기서 N은 제 1 구성요소 내의 경로의 개수이고, V는 하나의 경로만 활성화될 때 기준 포인트에서의 전압이다.
예 1373은 무선 주파수 수신기 디바이스 용 혼합된 신호 피드포워드 피드백 편파기 등화기(mixed signal feedforward feedback polarizer equalizer)(MSFFPE) 디바이스이며, MSFFPE는 수신기의 디지털 측상의 동 위상(I) 신호 라인 및 직교(Q) 신호 라인에 연결 가능한 입력과, 입력에서의 입력 신호에 대해 동작하는 필터 및 프로세싱 요소와, 수신기의 아날로그 측상의 I 신호 라인 및 Q 신호 라인에 연결 가능한 출력을 포함할 수 있다.
예 1374에서, 예 1373의 청구 대상은 선택적으로 수신기의 디지털 측 및 아날로그 측상의 I 및 Q 신호 라인이 각각 수직 성분(VI 및 VQ) 및 수평 성분(HI 및 HQ)을 포함하는 것을 포함한다.
예 1375에서, 예 1374의 청구 대상은 선택적으로 필터링 및 프로세싱 요소가 VI와 HI 신호 라인 사이, Vi와 HQ 신호 라인 사이, VQ와 HI 신호 라인 사이 및 VQ와 HQ 신호 라인 사이의 크로스토크를 감소시키는 회로를 포함하는 것을 포함한다.
예 1376에서, 예 1373-1375 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터링 및 프로세싱 요소가 I와 Q 신호 라인 사이의 크로스토크를 감소시키는 회로를 포함하는 것을 포함한다.
예 1377에서, 예 1373-1376 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 디지털 지연 및 합산기 회로를 포함하는 것을 포함한다.
예 1378에서, 예 1373-1377 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 리셋 클록 신호에 의해 리셋 가능한 출력 캐패시터 전압을 갖는 리셋 가능한 캐패시터를 포함하는 것을 포함한다.
예 1379에서, 예 1378의 청구 대상은 선택적으로 캐패시터가 통합 클록 기간 동안 전하를 통합하는 것을 포함한다.
예 1380에서, 예 1373-1379 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 대역폭 강화 및 오프셋 소거를 위한 부스트 디바이스와 관련된 공통 모드 피드백을 제공하는 op 앰프를 더 포함하는 것을 포함한다.
예 1381에서, 예 1380의 청구 대상은 선택적으로 피드백 탭 및 결정 피드백 등화기(decision feedback equalizer)(DFE) 입력을 포함한다.
예 1382는 무선 주파수 수신기 디바이스 용 혼합된 신호 피드포워드 피드백 편파기 등화기(MSFFPE) 디바이스를 동작시키기 위한 방법이며, 방법은 수신기의 디지털 측상의 동 위상(I) 신호 라인 및 직교(Q) 신호 라인에 연결 가능한 입력으로 디지털 신호를 수신하는 단계와, 수신된 디지털 신호를 필터 및 프로세싱 요소로 필터링 및 처리하는 단계와, 수신기의 아날로그 측상의 I 신호 라인 및 Q 신호 라인에 연결 가능한 출력에서 아날로그 신호를 출력하는 단계를 포함할 수 있다.
예 1383에서, 예 1382의 청구 대상은 선택적으로 수신기의 디지털 측 및 아날로그 측상의 I 및 Q 신호 라인이 각각 수직 성분(VI 및 VQ) 및 수평 성분(HI 및 HQ)을 포함하는 것을 포함한다.
예 1384에서, 예 1383의 청구 대상은 선택적으로 필터링 및 프로세싱 요소를 이용하여 VI와 HI 신호 라인 사이, Vi와 HQ 신호 라인 사이, VQ와 HI 신호 라인 사이 및 VQ와 HQ 신호 라인 사이의 크로스토크를 감소시키는 단계를 포함한다.
예 1385에서, 예 1382-1384 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터링 및 프로세싱 요소를 이용하여 I와 Q 신호 라인 사이의 크로스토크를 감소시키는 단계를 포함한다.
예 1386에서, 예 1382-1385 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 디지털 지연 및 합산기 회로를 포함하는 것을 포함한다.
예 1387에서, 예 1382-1386 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 리셋 클록 신호에 의해 리셋 가능한 출력 캐패시터 전압을 갖는 리셋 가능한 캐패시터를 포함하는 것을 포함한다.
예 1388에서, 예 1387의 청구 대상은 선택적으로 캐패시터가 통합 클록 기간 동안 전하를 통합하는 것을 포함한다.
예 1389에서, 예 1382-1388 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 대역폭 강화 및 오프셋 소거를 위한 부스트 디바이스와 관련된 공통 모드 피드백을 제공하는 op 앰프를 더 포함하는 것을 포함한다.
예 1390에서, 예 1389의 청구 대상은 선택적으로 피드백 탭 및 결정 피드백 등화기(DFE) 입력을 포함한다.
예 1391는 예 1382-1390의 방법 중 임의의 방법을 수행하는 수단을 포함하는 시스템이다.
예 1392는 무선 주파수 수신기 디바이스 용 혼합된 신호 피드포워드 피드백 편파기 등화기(MSFFPE) 디바이스를 동작시키기 위한 디바이스이며, 디바이스는 수신기의 디지털 측상의 동 위상(I) 신호 라인 및 직교(Q) 신호 라인에 연결 가능한 입력으로 디지털 신호를 수신하기 위한 수단과, 수신된 디지털 신호를 필터 및 프로세싱 요소로 필터링 및 처리하기 위한 수단과, 수신기의 아날로그 측상의 I 신호 라인 및 Q 신호 라인에 연결 가능한 출력에서 아날로그 신호를 출력하기 위한 수단을 포함할 수 있다.
예 1393에서, 예 1392의 청구 대상은 선택적으로 수신기의 디지털 측 및 아날로그 측상의 I 및 Q 신호 라인이 각각 수직 성분(VI 및 VQ) 및 수평 성분(HI 및 HQ)을 포함하는 것을 포함한다.
예 1394에서, 예 1393의 청구 대상은 선택적으로 필터링 및 프로세싱 요소를 이용하여 VI와 HI 신호 라인 사이, Vi와 HQ 신호 라인 사이, VQ와 HI 신호 라인 사이 및 VQ와 HQ 신호 라인 사이의 크로스토크를 감소시키는 수단을 포함한다.
예 1395에서, 예 1392-1394 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터링 및 프로세싱 요소를 이용하여 I와 Q 신호 라인 사이의 크로스토크를 감소시키는 수단을 포함한다.
예 1396에서, 예 1392-1395 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 디지털 지연 및 합산기 회로를 포함하는 것을 포함한다.
예 1397에서, 예 1392-1396 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 리셋 클록 신호에 의해 리셋 가능한 출력 캐패시터 전압을 갖는 리셋 가능한 캐패시터를 포함하는 것을 포함한다.
예 1398에서, 예 1397의 청구 대상은 선택적으로 캐패시터가 통합 클록 기간 동안 전하를 통합하는 것을 포함한다.
예 1399에서, 예 1392-1398 중 어느 하나 이상의 예의 청구 대상은 선택적으로 필터 및 프로세싱 요소가 대역폭 강화 및 오프셋 소거를 위한 부스트 디바이스와 관련된 공통 모드 피드백을 제공하는 위한 수단을 더 포함하는 것을 포함한다.
예 1400에서, 예 1399의 청구 대상은 선택적으로 피드백 탭 및 결정 피드백 등화기(DFE) 입력을 포함한다.
예 1401은 양방향 증폭기를 포함하는 장치를 포함하며, 양방향 증폭기는 송신(Tx) 모드에서 Tx 신호를 증폭하여 증폭된 Tx 신호를 제공하는 제 1 증폭기; 수신(Rx) 모드에서 Rx 신호를 증폭하여 증폭된 Rx 신호를 제공하는 제 2 증폭기; Tx 모드에서 제 1 입력/출력으로부터 Tx 신호를 제 1 증폭기로 제공하고, Rx 모드에서 제 1 입력/출력에서 제 2 증폭기로부터 증폭된 Rx 신호를 출력하는 제 1 변압기; Rx 모드에서 제 2 입력/출력으로부터 Rx 신호를 제 2 증폭기로 제공하고, Tx 모드에서 제 2 입력/출력에서 제 1 증폭기로부터 증폭된 Tx 신호를 출력하는 제 2 변압기; 및 Tx 모드에서, 복수의 활성화 전압을 제 1 증폭기로 스위칭하고 복수의 비활성화 전압을 제 2 증폭기로 스위칭하는 복수의 스위치, Rx 모드에서, 제 2 증폭기로 복수의 활성화 전압을 스위칭하고 제 1 증폭기로 복수의 비활성화 전압을 스위칭하는 복수의 스위치를 포함한다.
하나의 예에서, 예 1401의 장치는 예를 들어, 아래의 예 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 분할기/결합기, 양방향 믹서, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1402는 예 1401의 청구 대상을 포함하며, 임의로, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압을 포함한다.
예 1403은 예 1402의 청구 대상을 포함하며, 임의로, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되는 소스 전압을 포함한다.
예 1404는 예 1402 또는 1403의 청구 대상을 포함하며, 임의로, 복수의 스위치는 Tx 모드에서의 드레인 전압과 Rx 모드에서의 소스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인을 스위칭하는 제 1 스위치 및 Tx 모드에서의 소스 전압과 Rx 모드에서의 드레인 전압 사이에서 제 1 증폭기의 적어도 하나의 드레인을 스위칭하는 제 2 스위치를 포함한다.
예 1405는 예 1402-1404 중 어느 하나의 청구 대상을 포함하며, 임의로, 양방향 증폭기는 제 1 변압기로부터의 Tx 신호를 제 1 증폭기의 제 1 입력에 제공하는 제 1 캐패시터; 제 1 변압기로부터의 Tx 신호를 제 1 증폭기의 제 2 입력에 제공하는 제 2 캐패시터; 제 2 변압기로부터의 Rx 신호를 제 2 증폭기의 제 1 입력에 제공하는 제 3 캐패시터; 및 제 2 변압기로부터의 Rx 신호를 제 2 증폭기의 제 2 입력에 제공하는 제 4 캐패시터를 포함한다.
예 1406은 예 1402-1405 중 어느 하나의 예를 포함하며, 임의로, 제 1 및 제 2 증폭기 중 적어도 하나의 증폭기는 공통 소스 네거티브 금속 산화물 반도체(Negative Metal Oxide Semiconductor)(NMOS) 전계 효과 트랜지스터(Field Effect Transistor)(FET)를 포함한다.
예 1407은 예 1401의 청구 대상을 포함하고, 임의로, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압; Tx 모드에서 상기 제 1 증폭기의 적어도 하나의 게이트에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되는 바이어스 전압; 및 Tx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되는 소스 전압을 포함한다.
예 1408은 예 1407의 청구 대상을 포함하며, 임의로, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 게이트에 인가되는 드레인 전압; 및 Tx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되는 바이어스 전압을 포함한다.
예 1409는 예 1407 또는 예 1408의 청구 대상을 포함하며, 임의로, 복수의 스위치는 Tx 모드에서의 드레인 전압과 Rx 모드에서의 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 1 스위치; Tx 모드에서 바이어스 전압과 Rx 모드에서 소스 전압 사이에서 제 1 증폭기의 적어도 하나의 소스를 스위칭하는 제 2 스위치; Tx 모드에서 소스 전압과 Rx 모드에서 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 소스를 스위칭하는 제 3 스위치; 및 Tx 모드에서 바이어스 전압과 Rx 모드에서 드레인 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 4 스위치를 포함한다.
예 1410은 예 1401의 청구 대상을 포함하며, 임의로, 복수의 활성화 전압은 Tx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되는 드레인 전압; Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되는 소스 전압; 및 Tx 모드에서 제 1 증폭기의 적어도 하나의 게이트에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 게이트에 인가되는 제 1 바이어스 전압을 포함한다.
예 1411은 예 1410의 청구 대상을 포함하며, 임의로, 복수의 비활성화 전압은 Tx 모드에서 제 2 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 2 증폭기의 적어도 하나의 소스에 인가되는 제 1 바이어스 전압; 및 Tx 모드에서 제 1 증폭기의 적어도 하나의 드레인에 인가되고, Rx 모드에서 제 1 증폭기의 적어도 하나의 소스에 인가되는 제 2 바이어스 전압을 포함한다.
예 1412는 예 1410 또는 예 1411 중 어느 한 예의 청구 대상을 포함하며, 임의로, 복수의 스위치는 Tx 모드에서 소스 전압과 Rx 모드에서 제 2 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 1 스위치; Tx 모드에서 제 1 바이어스 전압과 Rx 모드에서 소스 전압 사이에서 제 1 증폭기의 적어도 하나의 소스를 스위칭하는 제 2 스위치; Tx 모드에서 드레인 전압과 Rx 모드에서 제 2 바이어스 전압 사이에서 제 2 증폭기의 적어도 하나의 소스를 스위칭하는 제 3 스위치; 및 Tx 모드에서 제 1 바이어스 전압과 Rx 모드에서 드레인 전압 사이에서 제 1 증폭기의 적어도 하나의 드레인 및 제 1 증폭기의 적어도 하나의 게이트를 스위칭하는 제 4 스위치를 포함한다.
예 1413은 예 1410-1412 중 어느 한 예의 청구 대상을 포함하며, 임의로, 제 1 증폭기는 하나 이상의 포지티브 금속 산화물 반도체(Positive Metal Oxide Semiconductor)(PMOS) FET를 포함하고, 제 2 증폭기는 하나 이상의 네거티브 금속 산화물 반도체(NMOS) FET를 포함한다.
예 1414는 Tx 모드 또는 Rx에 기초하여 복수의 스위치를 제 1 증폭기와 제 2 증폭기 사이에서 제어 가능하게 스위칭하는 복수의 제어 신호를 제공하는 제어 회로를 포함하는 예 1401-1413 중 어느 한 예의 청구 대상을 포함한다.
예 1415는 예 1401-1414 중 어느 한 예의 청구 대상을 포함하고, 임의로, 제 1 증폭기는 전력 증폭기(PA)를 포함하고, 제 2 증폭기는 저잡음 증폭기(LNA)를 포함한다.
예 1416은 예 1401-1415 중 어느 한 예의 청구 대상을 포함하며, 임의로, 제 1 증폭기는 제 1 공통 소스 전계 효과 트랜지스터(FET) 쌍을 포함하고, 제 2 증폭기는 제 2 공통 소스 전계 효과 트랜지스터(FET) 쌍을 포함한다.
예 1417은 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 송수신기를 포함하는 예 1401-1416 중 어느 한 예의 청구 대상을 포함한다.
예 1418은 예 1417의 청구 대상을 포함하며, 임의로, 송수신기는 제 5 세대(fifth generation)(5G) 셀룰러 송수신기를 포함한다.
예 1419는 예 1417의 청구 대상을 포함하며, 임의로, 송수신기는 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 60 기가헤르쯔(Gigahertz)(GHz) 송수신기를 포함한다.
예 1420은 예 1417-1419 중 어느 한 예의 청구 대상을 포함하며, 임의로, 송수신기는 반이중 송수신기를 포함한다.
예 1421은 하나 이상의 위상 배열 안테나를 포함하는 예 1401-1420 중 어느 한 예의 청구 대상을 포함한다.
예 1422는 결합기 모드와 분할기 모드 사이에서 스위칭 가능한 능동 양방향 분할기/결합기(active bidirectional splitter/combiner)(ABDSC)를 포함하는 장치를 포함하며, ABDSC는 결합기 모드에서 각각의 복수의 안테나 포트로부터 복수의 수신(Rx) 신호를 수신하고, 분할기 모드에서, 복수의 송신(Tx) 신호를 각각의 복수의 안테나 포트에 출력하는 복수의 안테나 인터페이스; 및 ABDSC를 증폭 회로에 동작 가능하게 연결하는 변압기를 포함하고, 변압기는 분할기 모드에서, Tx 신호를 증폭 회로로부터 복수의 안테나 인터페이스로 전달하고, 결합기 모드에서, 복수의 Rx 신호를 결합된 Rx 신호로 결합하고, 결합된 Rx 신호를 증폭 회로에 제공하도록 구성된다.
하나의 예에서, 예 1422의 장치는 예를 들어, 예 1401, 1440, 1454, 1465, 1476, 1487, 1501, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1423은 ABDSC를 분할기 모드와 결합기 모드 사이에서 제어 가능하게 스위칭하는 제어기 회로를 포함하는 예 1422의 청구 대상을 포함한다.
예 1424는 예 1422 또는 1423의 청구 대상을 포함하며, 임의로, 복수의 안테나 인터페이스의 안테나 인터페이스는 제 1 트랜지스터 쌍의 트랜지스터에 의해, 분할기 모드에서 활성화되고 결합기 모드에서 비활성화되는 캐스코드 연결의 제 1 트랜지스터 쌍; 및 제 2 트랜지스터 쌍의 트랜지스터에 의해, 결합기 모드에서 활성화되고 분할기 모드에서 비활성화되는 캐스코드 연결의 제 2 트랜지스터 쌍을 포함한다.
예 1425는 예 1424의 청구 대상을 포함하며, 임의로, 제 1 트랜지스터 쌍은 제 1 쌍의 전계 효과 트랜지스터(FET)를 포함하고, 제 2 트랜지스터 쌍은 제 2 쌍의 FET를 포함한다.
예 1426은 예 1422 또는 예 1423의 청구 대상을 포함하며, 임의로, 복수의 안테나 인터페이스의 안테나 인터페이스는 결합기 모드에서 활성화되고, 분할기 모드에서 비활성화되는 공통 소스 연결을 갖는 제 1 트랜지스터; 및 분할기 모드에서 활성화되고, 결합기 모드에서 비활성화되는 공통 소스 연결을 갖는 제 2 트랜지스터를 포함할 수 있다.
예 1427은 예 1426의 청구 대상을 포함하며, 임의로, 제 1 트랜지스터 및 제 2 트랜지스터는 전계 효과 트랜지스터(FET)를 포함한다.
예 1428은 예 1422 또는 예 1423의 청구 대상을 포함하며, 임의로, 복수의 안테나 인터페이스의 안테나 인터페이스는 분할기 모드에서 트랜지스터의 소스에서의 소스 전압(Vs) 및 트랜지스터의 게이트에서의 게이트 전압(Vg)을 수신하고, 결합기 모드에서, 트랜지스터의 소스에서의 드레인 전압(Vd) 및 트랜지스터의 게이트에서의 소스 전압(Vs)을 수신하는 공통 게이트 연결을 갖는 트랜지스터를 포함한다.
예 1429은 예 1428의 청구 대상을 포함하며, 임의로, 트랜지스터는 전계 효과 트랜지스터(FET)를 포함한다.
예 1430은 예 1422 또는 예 1423의 청구 대상을 포함하며, 임의로, 복수의 안테나 인터페이스의 안테나 인터페이스는 결합기 모드에서 활성화되고, 분할기 모드에서 비활성화되는 공통 게이트 연결을 갖는 제 1 트랜지스터; 및 분할기 모드에서 활성화되고, 결합기 모드에서 비활성화되는 공통 소스 연결을 갖는 제 2 트랜지스터를 포함한다.
예 1431은 예 1430의 청구 대상을 포함하며, 임의로, 제 1 트랜지스터 및 제 2 트랜지스터는 전계 효과 트랜지스터(FET)를 포함한다.
예 1432은 예 1422-1431 중 어느 한 예의 청구 대상을 포함하며, 임의로, 복수의 안테나 인터페이스는 적어도 네 개의 안테나 인터페이스를 포함한다.
예 1433은 증폭 회로를 포함하는 예 1422-1432 중 어느 한 예의 청구 대상을 포함하며, 증폭 회로는 결합된 Rx 신호를 증폭된 Rx 신호로 증폭하고, 상향 변환된 Tx 신호를 증폭하여 Tx 신호를 생성하는 양방향 증폭기; 중간 주파수(IF) Tx 신호를 상향 변환된 Tx 신호로 상향 변환하고, 증폭된 Rx 신호를 IF Rx 신호로 하향 변환하는 믹서; 및 IF Rx 신호에 기초하여 제 1 디지털 신호를 생성하고, 제 2 디지털 신호에 기초하여 IF Tx 신호를 생성하는 IF 서브시스템을 포함한다.
예 1434는 ABDSC를 분할기 모드와 결합기 모드 사이에서 제어 가능하게 스위칭하는 제어기 회로를 포함하는 예 1433의 청구 대상을 포함하며, 제어기 회로는 ABDSC가 분할기 모드에 있을 때, 양방향 증폭기가 상향 변환된 Tx 신호를 증폭하도록 제어, 유발 및/또는 트리거하고, ABDSC가 결합기 모드에 있을 때, 양방향 증폭기가 결합된 Rx 신호를 증폭하도록 제어, 유발 및/또는 트리거한다.
예 1435는 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 송수신기를 포함하는 예 1422-1434 중 어느 한 예의 청구 대상을 포함한다.
예 1436은 예 1435의 청구 대상을 포함하며, 임의로, 송수신기는 60 GHz 주파수 대역을 통해 Tx 신호를 송신하고 Rx 신호를 수신하도록 구성된 60 기가헤르쯔(GHz) 송수신기를 포함한다.
예 1437은 예 1435 또는 예 1436 중 어느 한 예의 청구 대상을 포함하며, 임의로, 송수신기는 반이중 송수신기를 포함한다.
예 1438은 제 5 세대(5G) 셀룰러 송수신기를 포함하는 예 1435-1437 중 어느 한 예의 청구 대상을 포함한다.
예 1439는 하나 이상의 위상 배열 안테나를 포함하는 예 1422-1438 중 어느 한 예의 청구 대상을 포함한다.
예 1440은 디지털 제어 신호에 기초하여 입력 신호를 제어 가능하게 증폭 및 변조하는 디지털 전력 증폭기(PA)를 포함하는 장치를 포함하며, 디지털 PA는 디지털 제어 신호에 의해 제어 가능하고, 복수의 증폭된 변조된 신호를 제공하는 복수의 적층 게이트 제어 증폭기 - 복수의 적층 게이트 제어 증폭기의 적층 게이트 제어 증폭기는 입력 신호를 수신하는 제 1 입력, 디지털 제어 신호를 수신하는 제 2 입력 및 복수의 증폭된 변조된 신호의 증폭된 변조된 신호를 제공하는 출력을 포함함 - ; 및 복수의 증폭된 변조된 신호를 디지털 제어 신호에 기초한 출력 전력 레벨 및 변조를 갖는 결합기 출력 신호로 결합하는 결합기를 포함한다.
하나의 예에서, 예 1440의 장치는 예를 들어, 예 1401, 1422, 1454, 1465, 1476, 1487, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1441은 하나의 예 1440의 청구 대상을 포함하며, 임의로, 적층 게이트 제어 증폭기는 디지털 제어 신호에 기초하여 입력 신호를 증폭 및 변조함으로써 증폭된 변조된 신호를 제공하는 제 1 트랜지스터; 및 디지털 제어 신호에 기초하여 제 1 트랜지스터의 게이트를 디지털적으로 제어하는 제 2 트랜지스터를 포함한다.
예 1442는 예 1440 또는 예 1441의 청구 대상을 포함하며, 임의로, 제 2 트랜지스터는 디지털 제어 신호의 비트 값에 기초하여 적층 게이트 제어 증폭기를 온 상태와 오프 상태 사이에서 스위칭하도록 구성된다.
예 1443은 예 1440-1442 중 어느 한 예의 청구 대상을 포함하며, 임의로, 제 1 트랜지스터는 제 1 전계 효과 트랜지스터(FET)를 포함하고, 제 2 트랜지스터는 제 2 FET를 포함한다.
예 1444는 예 1440-1443 중 어느 한 예의 청구 대상을 포함하고, 임의로, 제 1 트랜지스터는 디지털 제어 신호의 비트에 기초하여 입력 신호를 2의 인자만큼 증폭하도록 구성된다.
예 1445는 예 1440-1444 중 어느 한 예의 청구 대상을 포함하며, 임의로, 디지털 PA는 변조 방식에 따라 디지털 제어 신호에 기초하여 입력 신호를 변조한다.
예 1446은 예 1440-1445 중 어느 한 예의 청구 대상을 포함하며, 임의로, 변조 방식은 직교 진폭 변조(QAM) 방식을 포함한다.
예 1447은 예 1446의 청구 대상을 포함하며, 임의로, QAM 방식은 64 QAM 방식을 포함한다.
예 1448은 예 1440-1447 중 어느 한 예의 청구 대상을 포함하며, 임의로, 디지털 신호는 6 비트를 포함한다.
예 1449는 예 1440-1448 중 어느 한 예의 청구 대상을 포함하며, 임의로, 복수의 적층 게이트 제어 증폭기는 여섯 개의 적층 게이트 제어 증폭기를 포함한다.
예 1450은 위상 데이터에 기초하여 입력 신호를 디지털 PA에 제공하는 위상 변조기; 및 위상 데이터에 기초하여 디지털 제어 신호를 디지털 PA에 제공하는 기저대역을 포함하는 예 1440-1449 중 어느 한 예의 청구 대상을 포함한다.
예 1451은 결합기 출력 신호를 송신하는 밀리미터 파 송신기를 포함하는 예 1440-1450 중 어느 한 예의 청구 대상을 포함한다.
예 1452는 디지털 PA에 동작 가능하게 연결된 하나 이상의 위상 배열 안테나를 포함하는 예 1440-1451 중 어느 한 예의 청구 대상을 포함한다.
예 1453은 디지털 PA에 동작 가능하게 연결된 하나 이상의 안테나를 포함하는 예 1440-1452 중 어느 한 예의 청구 대상을 포함한다.
예 1454는 2 스테이지 도허티 증폭기를 포함하는 장치를 포함하며, 2 스테이지 도허티 증폭기는 제 1 스테이지에서 드라이버 증폭된 입력 신호를 증폭하여 드라이버 무선 주파수(RF) 신호를 제공하는 적어도 하나의 드라이버 증폭기; 제 2 스테이지에서 드라이버 RF 신호를 증폭하여 메인 증폭기 신호를 제공하는 적어도 하나의 메인 증폭기; 드라이버 RF 신호의 레벨에 기초하여 온(On) 상태로 복귀되고, 온 상태에서, 드라이버 RF 신호를 증폭하여 피킹 증폭기 신호를 제공하는 적어도 하나의 제어 가능한 피킹 증폭기; 및 메인 증폭기 신호를 피크 증폭기 신호와 결합하는 서브-쿼터 파장(sub-quarter wavelength)(SQWL) 발룬을 포함하고, SQWL 발룬은 적어도 하나의 드라이버 증폭기의 적어도 하나의 출력과, 적어도 하나의 메인 증폭기의 적어도 하나의 입력과, 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 입력 사이의 임피던스를 매칭시키는 제 1 전송 선로를 포함하고, SQWL 발룬은 적어도 하나의 메인 증폭기의 적어도 하나의 출력과 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 출력 사이의 임피던스를 매칭시키는 제 2 전송 선로를 포함한다.
하나의 예에서, 예 1454의 장치는 예를 들어, 예 1401, 1422, 1440, 1465, 1476, 1487, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1455는 예 1454의 청구 대상을 포함하며, 임의로, SQWL 발룬은 제 1 임피던스를 갖는 제 3 전송 선로 및 복수의 스텁(stub)을 포함하고, 복수의 스텁의 각각의 스텁은 제 2 임피던스를 가지며, 복수의 스텁은 적어도 하나의 드라이버 증폭기의 적어도 하나의 입력을 제 3 전송 선로에 동작 가능하게 연결하고, 적어도 하나의 드라이버 증폭기의 적어도 하나의 출력을 상기 제 1 전송 선로에 동작 가능하게 연결하고, 적어도 하나의 메인 증폭기의 적어도 하나의 입력을 제 1 전송 선로에 동작 가능하게 연결하고, 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 입력을 제 1 전송 선로 동작 가능하게 연결하고, 적어도 하나의 메인 증폭기의 적어도 하나의 출력을 제 2 전송 선로에 연결하며, 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 출력을 제 2 전송 선로에 동작 가능하게 연결한다.
예 1456은 예 1455의 청구 대상을 포함하며, 임의로, 제 1 임피던스는 약 50 옴(Ohm)이고 제 2 임피던스는 약 25 옴이다.
예 1457은 예 1455 또는 1456의 청구 대상을 포함하며, 임의로, 스텁의 길이는 드라이버 RF 신호의 파장의 약 1/8에 기초한다.
예 1458은 예 1455-1457 중 어느 한 예의 청구 대상을 포함하고, 임의로, 제 2 전송 선로 및 복수의 스텁은 적어도 하나의 메인 증폭기의 적어도 하나의 출력에서 및 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 출력에서 직렬 부하를 제공하도록 구성된다.
예 1459는 예 1455-1458 중 어느 한 예의 청구 대상을 포함하며, 임의로, 적어도 하나의 드라이버 증폭기는 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함하는 제 1 매칭 네트워크; 복수의 스텁 중 제 2 스텁에 동작 가능하게 연결된 제 2 입력을 포함하는 제 2 매칭 네트워크 - 제 1 매칭 네트워크 및 제 2 매칭 네트워크는 제 1 스텁 및 제 2 스텁의 임피던스를 제 3 전송 선로의 임피던스와 매칭시킴 - ; 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결된 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결된 제 1 출력을 포함하는 제 1 전력 증폭기; 및 제 2 매칭 네트워크의 제 2 출력에 동작 가능하게 연결된 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결된 제 2 출력을 포함하는 제 2 전력 증폭기 - 제 3 및 제 4 스텁은 제 1 및 제 2 전력 증폭기의 제 1 및 제 2 출력과 제 1 전송 선로 사이의 임피던스를 매칭시킴 - 를 포함한다.
예 1460은 예 1455-1459 중 어느 한 예의 청구 대상을 포함하며, 임의로, 적어도 하나의 메인 증폭기는 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함하는 제 1 매칭 네트워크; 복수의 스텁 중 제 2 스텁에 동작 가능하게 연결된 제 2 입력을 포함하는 제 2 매칭 네트워크 - 제 1 매칭 네트워크 및 제 2 매칭 네트워크는 제 1 스텁 및 제 2 스텁의 임피던스를 제 1 전송 선로의 임피던스와 매칭시킴 - ; 및 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결된 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결된 제 1 출력을 포함하는 제 1 전력 증폭기; 및 제 2 매칭 네트워크의 제 2 출력에 동작 가능하게 연결된 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결된 제 2 출력을 포함하는 제 2 전력 증폭기 - 제 3 및 제 4 스텁은 제 1 및 제 2 전력 증폭기의 제 1 및 제 2 출력과 제 2 전송 선로 사이의 임피던스를 매칭시킴 - 를 포함한다.
예 1461은 예 1455-1460 중 어느 한 예의 청구 대상을 포함하고, 임의로, 적어도 하나의 제어 가능한 피킹 증폭기는 복수의 스텁 중 제 1 스텁에 동작 가능하게 연결된 제 1 입력을 포함하는 제 1 매칭 네트워크; 복수의 스텁 중 제 2 스텁에 동작 가능하게 결합된 제 2 입력을 포함하는 제 2 매칭 네트워크 - 제 1 및 제 2 매칭 네트워크는 제 1 및 제 2 스텁의 임피던스를 제 1 전송 선로의 임피던스와 매칭시킴 - ; 제 1 매칭 네트워크의 제 1 출력에 동작 가능하게 연결된 제 1 입력 및 복수의 스텁 중 제 3 스텁에 동작 가능하게 연결된 제 1 출력을 포함하는 제 1 전력 증폭기; 및 제 2 매칭 네트워크의 제 2 출력에 동작 가능하게 연결된 제 2 입력 및 복수의 스텁 중 제 4 스텁에 동작 가능하게 연결된 제 2 출력을 포함하는 제 2 전력 증폭기 - 제 3 및 제 4 스텁은 제 1 및 제 2 전력 증폭기의 제 1 및 제 2 출력과 제 2 전송 선로 사이의 임피던스를 매칭시킴 - 를 포함한다.
예 1462는 LO 신호를 생성하는 국부 발진기(local oscillator)(LO); LO 신호에 기초하여 I 신호를 생성하는 동 위상(I) 믹서 회로; LO 신호에 기초하여 Q 신호를 생성하는 직교 위상 믹서 회로; 및 I 신호와 Q 신호를 드라이버 증폭된 입력 신호로 결합하는 결합기 회로를 포함하는 예 1454-1461 중 어느 한 예의 청구 대상을 포함한다.
예 1463는 2 스테이지 도허티 증폭기에 동작 가능하게 연결된 하나 이상의 위상 배열 안테나를 포함하는 예 1454-1462 중 어느 한 예의 청구 대상을 포함한다.
예 1464는 2 스테이지 도허티 증폭기에 동작 가능하게 연결된 하나 이상의 안테나를 포함하는 예 1454-1463 중 어느 한 예의 청구 대상을 포함한다.
예 1465는 동 위상(I) 직교 위상(Q) (I/Q) 생성기 - I/Q 생성기는 LO 신호를 생성하는 국부 발진기(LO)를 포함함 - ; 송신(Tx) 모드에서, LO 신호에 기초하여 위상 변조된 Tx 신호를 생성하고, 수신(Rx) 모드에서, LO 신호에 기초하여 위상 변조된 I 신호를 생성하는 제 1 제어 가능한 위상 변조 체인 ; Rx 모드에서, LO 신호에 기초하여 위상 변조된 Q 신호를 생성하는 제 2 제어 가능한 위상 변조 체인; 및 Rx 모드에서, 하나 이상의 안테나 포트로부터의 Rx 신호를 변조된 I 신호에 기초하여 I-위상 변조된 하향 변환된 신호로 하향 변환하고, 위상 변조된 Q 신호에 기초하여 Q-위상 변조된 하향 변환된 신호로 변환하는 믹서 회로를 포함하는 장치를 포함한다.
하나의 예에서, 예 1465의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1476, 1487, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1466은 예 1465의 청구 대상을 포함하며, 임의로, 믹서 회로는 Rx 모드에서 수신된 신호를 위상 변조된 I 신호에 기초하여 I-위상 변조된 하향 변환된 신호로 하향 변환하는 제 1 믹서; 및 Rx 모드에서 수신된 신호를 위상 변조된 Q 신호에 기초하여 Q- 위상 변조된 하향 변환된 신호로 하향 변환하는 제 2 믹서를 포함한다.
예 1467은 예 1466의 청구 대상을 포함하며, 임의로, I/Q 생성기는 Rx 모드에서 제 1 제어 가능한 위상 변조 체인을 제 1 믹서에 연결하는 제 1 스위치; 및 Tx 모드에서 제 1 제어 가능한 위상 변조 체인을 전력 증폭기에 연결하는 제 2 스위치를 포함한다.
예 1468은 하나 이상의 위상 배열 안테나로부터 수신된 신호에 기초하여 수신된 신호를 생성하는 저잡음 증폭기(LNA)를 포함하는 예 1465의 청구 대상을 포함한다.
예 1469는 예 1465의 청구 대상을 포함하며, 임의로, I-위상 변조된 하향 변환된 신호 및 Q-위상 변조된 하향 변환된 신호는 기저대역 신호를 포함한다.
예 1470은 예 1465의 청구 대상을 포함하며, 임의로, I/Q 생성기는 국부 발진기 신호의 위상을 제 1 위상 변위만큼 변위하여 위상 배열 안테나의 요소에 적용하는 제 1 위상 변조기; 및 국부 발진기 신호의 위상을 제 1 위상 변위의 90도 회전을 포함하는 제 2 위상 변위만큼 변위하는 제 2 위상 변조기를 포함한다.
예 1471은 예 1470의 청구 대상을 포함하며, 임의로, 제 1 제어 가능한 위상 변조 체인은 제 1 위상 변조기; 및 Tx 모드에서 위상 변조된 Tx 신호의 위상 및 주파수를 3 배로 늘리고, Rx 모드에서 위상 변조된 Q 신호의 위상 및 주파수를 3 배로 늘리는 트리플러(tripler)를 포함한다.
예 1472는 예 1470의 청구 대상을 포함하며, 임의로, 제 2 제어 가능한 위상 변조 체인은 제 2 위상 변조기; 및 Rx 모드에서 위상 변조된 Q 신호의 위상 및 주파수를 3 배로 늘리는 트리플러를 포함한다.
예 1473은 예 1465의 청구 대상을 포함하며, 임의로, 국부 발진기 신호의 주파수는 캐리어 주파수 1/3(fcarier/3)이다.
예 1474는 하나 이상의 위상 배열 안테나를 포함하는 예 1465의 청구 대상을 포함한다.
예 1475은 반이중 송수신기를 포함하는 예 1435의 청구 대상을 포함한다.
예 1476은 무선 주파수(RF) 증폭기를 포함하는 장치를 포함하며, RF 증폭기는 제 1 입력 신호에 기초한 제 1 동 위상(I) 신호 및 제 2 입력 신호에 기초한 제 1 직교 위상(Q) 신호를 제공하는 제 1 아웃페이징(outphasing) 증폭 회로; 제 1 입력 신호에 기초한 제 2 I 신호 및 제 2 입력 신호에 기초한 제 2 Q 신호를 제공하는 제 2 아웃페이징 증폭기 회로; 제 3 입력 신호에 기초한 제 3 I 신호 및 제 4 입력 신호에 기초한 제 3 Q 신호를 제공하는 제 3 아웃페이징 증폭기 회로; 제 3 입력 신호에 기초한 제 4 I 신호 및 제 4 입력 신호에 기초한 제 4 Q 신호를 제공하는 제 4 아웃페이싱 증폭기 회로; 및 제 1 I 신호와 제 2 I 신호를 제 1 전송 선로에 연결하는 제 1 유도성 스텁, 제 3 I 신호와 제 4 I 신호를 제 2 전송 선로에 연결하는 제 2 유도성 스텁, 제 1 Q 신호와 제 2 Q 신호를 제 1 전송 선로에 연결하는 제 1 용량성 스텁 및 제 3 Q 신호와 제 4 Q 신호를 제 2 전송 선로에 연결하는 제 2 용량성 스텁을 포함하는 서브-쿼터 파장(SQWL) 4-방향 결합기 발룬을 포함하며, 제 1 전송 선로는 제 1 I 신호, 제 2 I 신호, 제 1 Q 신호 및 제 2 Q 신호의 결합에 기초하여 제 1 RF 신호를 제공하고, 제 2 전송 선로는 제 3 I 신호, 제 4 I 신호, 제 3 Q 신호 및 제 4 Q 신호의 결합에 기초하여 제 2 RF 신호를 제공한다.
하나의 예에서, 예 1476의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1487, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1477은 예 1476의 청구 대상을 포함하며, 임의로, 제 1 아웃 페이징 증폭기 회로는 제 1 유도성 스텁 및 제 1 용량성 스텁에 동작 가능하게 연결된 제 1 아웃페이징 증폭기를 포함하고, 제 2 아웃페이징 증폭기 회로는 제 1 유도성 스텁 및 제 1 용량성 스텁에 동작 가능하게 연결된 제 2 아웃페이징 증폭기를 포함하고, 제 3 아웃 페이징 증폭기 회로는 제 2 유도성 스텁 및 제 2 용량성 스텁에 동작 가능하게 연결된 제 3 아웃페이징 증폭기를 포함하며, 제 4 아웃페이징 증폭기 회로는 제 2 유도성 스텁 및 제 2 용량성 스텁에 동작 가능하게 연결된 제 4 아웃페이징 증폭기를 포함한다.
예 1478은 예 1477의 청구 대상을 포함하며, 임의로, 제 1, 제 2, 제 3 및 제 4 아웃페이징 증폭기의 각각의 아웃 페이징 증폭기는 국부 발진기(LO) I 신호에 기초하여 초기 I 신호를 생성하고, LO Q 신호에 기초하여 초기 Q 신호를 생성하는 I/Q 생성기; 아웃페이징 증폭기의 제 1 입력에 기초하여 초기 I 신호를 변조함으로써 위상 변조된 I 신호를 생성하고, 아웃페이징의 제 2 입력에 기초하여 초기 Q 신호를 변조함으로써 위상 변조된 Q 신호를 생성하는 위상 변조기 회로 증폭기; 위상 변조된 I 신호를 증폭함으로써 증폭된 I 신호를 출력하는 제 1 증폭기; 및 위상 변조된 Q 신호를 증폭함으로써 증폭된 Q 신호를 출력하는 제 2 증폭기를 포함한다.
예 1479는 예 1478의 청구 대상을 포함하며, 임의로, 제 1 유도성 스텁은 25 옴 임피던스를 아웃페이징 증폭기의 제 1 증폭기의 출력에 인가하고, 제 1 용량성 스텁은 25 옴 임피던스를 아웃 페이징 증폭기의 제 2 증폭기의 출력에 인가하는 것이다.
예 1480은 예 1478 또는 예 1479의 청구 대상을 포함하며, 임의로, 제 2 유도성 스텁은 25 옴 임피던스를 아웃페이징 증폭기의 제 1 증폭기의 출력에 인가하고, 제 2 용량성 스텁은 25 옴 임피던스를 아웃 페이징 증폭기의 출력에 인가하는 것이다.
예 1481은 LO I 신호 및 LO Q 신호를 생성하는 LO를 포함하는 예 1478-1480 중 어느 한 예의 청구 대상을 포함한다.
예 1482는 예 1476-1481 중 어느 한 예의 청구 대상을 포함하며, 임의로, SQWL 4-방향 결합기 발룬은 키렉스 결합기(Chireix combiner)를 포함한다.
예 1483은 예 1476-1481 중 어느 한 예의 청구 대상을 포함하며, 임의로, QWL 4-방향 결합기 발룬은 비 격리 결합기(non-isolating combiner)를 포함한다.
예 1484는 반이중 송수신기를 포함하는 예 1476-1483 중 어느 한 예의 청구 대상을 포함한다.
예 1485는 RF 증폭기에 동작 가능하게 연결된 하나 이상의 안테나를 포함하는 예 1476-1484 중 어느 한 예의 청구 대상을 포함한다.
예 1486은 RF 증폭기에 동작 가능하게 연결된 하나 이상의 위상 배열 안테나를 포함하는 예 1476-1485 중 어느 한 예의 청구 대상을 포함한다.
예 1487은 제어 가능한 위상 변위기를 포함하는 장치를 포함하며, 제어 가능한 위상 변위기는 I 신호 및 직교 위상(Q) 신호에 기초하여 위상 변위된 I 신호를 제공하는 동 위상(I) 변위 회로 - I 위상 변위 회로는 제 1 제어 신호에 따라 I 신호의 위상을 변위함으로써 제 1 변위된 I 신호를 제공하고, 제 2 제어 신호에 따라 Q 신호의 위상을 변위함으로써 제 1 변위된 Q 신호를 제공하고, 제 1 변위된 I 신호와 제 1 변위된 Q 신호를 결합함으로써 위상 변위된 I 신호를 제공함 - ; 및 Q 신호 및 I 신호에 기초하여 위상 변위된 Q 신호를 제공하는 Q 위상 변위 회로 - Q 위상 변위 회로는 제 3 제어 신호에 따라 I 신호의 위상을 변위함으로써 제 2 변위된 I 신호를 제공하고, 제 4 제어 신호에 따라 Q 신호의 위상을 변위함으로써 제 2 변위된 Q 신호를 제공하고, 제 2 변위된 I 신호를 제 2 변위된 Q 신호와 결합함으로써 위상 변위된 Q 신호를 제공하도록 구성됨 - 를 포함한다. 하나의 예에서, 예 1487의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1500, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, 및/또는 I/Q 생성기를 포함할 수 있다.
예 1488은 예 1487의 청구 대상을 포함하며, 임의로, I 위상 변위 회로는 제 1 제어 신호를 I 제어 전압으로 변환하는 제 1 전압 디지털-아날로그 변환기(voltage digital to analog converter)(VDAC) - I 위상 변위 회로는 I 제어 전압에 따라 I 신호의 위상을 변위시킴 - ; 및 제 2 제어 신호를 Q 제어 전압으로 변환하는 제 2 VDAC - I 위상 변위 회로는 Q 제어 전압에 따라 Q 신호의 위상을 변위시킴 - 를 포함한다.
예 1489는 예 1488의 청구 대상을 포함하며, 임의로, I 위상 변위 회로는 I 제어 전압에 따라 제 1 변위된 I 신호를 생성하는 캐스코드 게이트 배열 내의 제 1 복수의 트랜지스터; 및 Q 제어 전압에 따라 제 1 변위된 Q 신호를 생성하는 캐스코드 게이트 배열 내의 제 2 복수의 트랜지스터를 포함한다.
예 1490은 예 1487-1489 중 어느 한 예의 청구 대상을 포함하며, 임의로, Q 위상 변위 회로는 제 3 제어 신호를 I 제어 전압으로 변환하는 제 1 전압 디지털-아날로그 변환기(VDAC) - Q 위상 변위 회로는 I 제어 전압에 따라 I 신호의 위상을 변위시킴 - ; 및 제 4 제어 신호를 Q 제어 전압으로 변환하는 제 2 VDAC - Q 위상 변위 회로는 Q 제어 전압에 따라 Q 신호의 위상을 변위시킴 - 를 포함한다.
예 1491은 예 1490의 청구 대상을 포함하며, 임의로, Q 위상 변위 회로는 I 제어 전압에 따라 제 2 변위된 I 신호를 생성하는 캐스코드 게이트 배열 내의 제 1 복수의 트랜지스터; 및 Q 제어 전압에 따라 제 2 변위된 Q 신호를 생성하는 캐스코드 게이트 배열 내의 제 2 복수의 트랜지스터를 포함한다.
예 1492는 하나 이상의 안테나로부터 무선 주파수(RF) 신호를 증폭함으로써 수신(Rx) 신호를 제공하는 저잡음 증폭기(LNA); 제어 가능한 위상 변위기의 제 1 입력에 동작 가능하게 연결된 제 1 믹서 - 제 1 믹서는 사인 신호에 따라 Rx 신호를 혼합함으로써 I 신호를 생성함 - ; 및 전압 제어 위상 변위기의 제 2 입력에 동작 가능하게 연결된 제 2 믹서 - 제 2 믹서는 코사인 신호에 따라 Rx 신호를 혼합함으로써 Q 신호를 생성함 - 를 포함하는 예 1487-1491 중 어느 한 예의 청구 대상을 포함한다.
예 1493은 제어 가능한 위상 변위기의 제 1 출력에 동작 가능하게 연결된 제 1 믹서 - 제 1 믹서는 사인 신호에 따라 위상 변위된 I 신호를 혼합함으로써 제 1 무선 주파수(RF) 신호를 생성함 - ; 제어 가능한 위상 변위기의 제 2 출력에 동작 가능하게 연결된 제 2 믹서 - 제 2 믹서는 코사인 신호에 따라 위상 변위된 Q 신호를 혼합함으로써 제 2 RF 신호를 생성함 - ; 및 제 1 RF 신호 및 제 2 RF 신호를 증폭함으로써 송신(Tx) 신호를 하나 이상의 안테나에 제공하는 전력 증폭기(PA)를 포함하는 예 1487-1492 중 어느 한 예의 청구 대상을 포함한다.
예 1494는 미리 정의된 콘스텔레이션 포인트 맵(constellation-point map)에 기초하여 제어 가능한 위상 변위기의 선형성 및 분해능을 교정하도록 구성된 교정 서브시스템을 포함하는 예 1487의 청구 대상을 포함한다.
예 1495는 예 1494의 청구 대상을 포함하며, 임의로, 교정 서브시스템은 미리 결정된 콘스텔레이션 포인트 맵에 따라 각각의 복수의 콘스텔레이션 포인트에 대응하는 복수의 전압 값의 쌍을 포함하는 룩업 테이블(LTU)을 생성하며, 전압 값의 쌍은 제 1 제어 신호에 인가될 제 1 I 전압 값, 제 2 제어 신호에 인가될 제 1 Q 전압 값, 제 3 제어 신호에 인가될 제 2 I 전압 값 및 제 4 제어 신호에 인가될 제 2 Q 전압 값을 포함한다.
예 1496은 예 1494 또는 예 1495의 청구 대상을 포함하며, 임의로, 제 1 제어 신호는 미리 정의된 콘스텔레이션 포인트 맵에 기초하여 제 1 데이터를 I 위상 변위 회로에 인가하는 제 1 디지털 신호를 포함하고, 제 2 제어 신호는 미리 정의된 콘스텔레이션 포인트 맵에 기초하여 제 2 데이터를 I 위상 변위 회로에 인가하는 제 2 디지털 신호를 포함하고, 제 3 제어 신호는 미리 정의된 콘스텔레이션 포인트 맵에 기초하여 제 3 데이터를 Q 위상 변위 회로에 인가하는 제 3 디지털 신호를 포함하며, 제 4 제어 신호는 미리 정의된 콘스텔레이션 포인트 맵에 기초하여 제 4 데이터를 Q 위상 변위 회로에 인가하는 제 4 디지털 신호를 포함한다.
예 1497는 하나 이상의 위상 배열 안테나에 동작 가능하게 연결된 송수신기를 포함하는 예 1487-1495 중 어느 한 예의 청구 대상을 포함한다.
예 1498은 예 1497의 청구 대상을 포함하며, 임의로, 송수신기는 반이중 송수신기를 포함한다.
예 1499은 예 1497의 청구 대상을 포함하며, 임의로, 송수신기는 전이중 송수신기를 포함한다.
예 1500은 안테나 단자를 전력 증폭기(PA) 및 저잡음 증폭기(LNA)와 인터페이스하는 전력 증폭기(PA) 저잡음 증폭기(LNA) (PA-LNA) 인터페이스를 포함하는 장치를 포함하며, PA-LNA 인터페이스는 PA로부터의 송신(Tx) 신호에 기초하여 감지된 신호를 제공하는 센서; 감지된 신호의 위상을 회전시켜 위상 회전된 신호를 제공하는 위상 회전기; Tx 신호의 진폭에 기초하여 위상 회전된 신호를 증폭함으로써 Tx 누설 소거 신호를 제공하는 가변 이득 증폭기(variable gain amplifier)(VGA); 및 제 1 결합기 입력 신호와 제 2 결합기 입력 신호를 결합하는 결합기를 포함하고, 제 1 결합기 입력 신호는 Tx 누설 소거 신호를 포함하고, 제 2 결합기 입력 신호는 LNA로 향하는 Tx 신호로부터의 Tx 누설을 포함한다.
하나의 예에서, 예 1500의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1513, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1501은 예 1500의 청구 대상을 포함하며, 임의로, 수신(Rx) 모드에서, 제 2 결합기 입력 신호는 안테나 단자로부터의 수신(Rx) 신호와 LNA로 향하는 Tx 신호로부터의 Tx 누설의 조합을 포함한다.
예 1502는 예 1501의 청구 대상을 포함하며, 임의로, Rx 모드에서, 결합기는 제 1 결합기 입력 신호와 제 2 결합기 입력 신호의 합을 LNA에 제공하는 것이다.
예 1503는 예 1500-1502 중 어느 한 예의 청구 대상을 포함하며, 임의로, 위상 회전기는 감지된 신호의 위상을 180 도만큼 회전하도록 구성된다.
예 1504는 예 1500-1503 중 어느 한 예의 청구 대상을 포함하며, 임의로, 결합기는 윌킨슨 결합기(Wilkinson combiner)를 포함한다.
예 1505는 예 1500-1504 중 어느 한 예의 청구 대상을 포함하며, 임의로, 센서는 용량성 센서를 포함한다.
예 1506은 예 1500-1505 중 어느 한 예의 청구 대상을 포함하며, 임의로, PA-LNA 인터페이스는 Tx 모드에서 PA로부터 Tx 신호를 안테나 단자에 제공하고, 수신(Rx) 모드에서 안테나 단자로부터 Rx 신호를 LNA로 제공하도록 구성된다.
예 1507은 예 1506의 청구 대상을 포함하며, 임의로, PA-LNA 인터페이스는 Tx 모드에서 LNA의 입력에 높은 임피던스를 적용한다.
예 1508은 예 1506 또는 1507의 청구 대상을 포함하며, 임의로, PA-LNA 인터페이스는 Rx 모드에서 PA의 출력에서 높은 임피던스를 적용하는 것이다.
예 1509는 반이중 송수신기를 포함하는 예 1500-1508 중 어느 한 예의 청구 대상을 포함한다.
예 1510는 전이중 송수신기를 포함하는 예 1500-1509 중 어느 한 예의 청구 대상을 포함한다.
예 1511는 안테나 단자에 동작 가능하게 연결된 하나 이상의 안테나를 포함하는 예 1500-1510 중 어느 한 예의 청구 대상을 포함한다.
예 1512은 Tx 모드에서 Tx 신호를 송신하는 송신기 회로 및 수신(Rx) 모드에서 Rx 신호를 수신하는 수신기 회로를 포함하는 예 1500-1511 중 어느 한 예의 청구 대상을 포함한다.
예 1513은 적어도 하나의 동 위상(I) 직교 위상(Q) (In-phase (I) Quadrature phase (Q))(IQ) 생성기를 포함하는 국부 발진기(LO) 분배 네트워크 회로를 포함하는 장치를 포함하며, I/Q 생성기는 제 1 주파수를 갖는 LO 신호에 기초하여 제 1 위상 변위된 신호 및 제 2 위상 변위된 신호를 생성하는 위상 변위 회로 - 제 2 위상 변위된 신호의 위상은 제 1 위상 변위된 신호의 위상으로부터 30도만큼 변위됨 - ; 제 1 위상 변위된 신호의 위상을 3 배로 늘리고 제 1 위상 변위된 신호의 주파수를 3 배로 늘림으로써, 제 2 주파수를 갖는 I 신호를 생성하는 제 1 트리플러 회로; 및 제 2 위상 변위된 신호의 위상을 3 배로 늘리고 제 2 위상 변위된 신호의 주파수를 3 배로 늘림으로써, 제 2 주파수를 갖는 Q 신호를 생성하는 제 2 트리플러 회로를 포함한다.
하나의 예에서, 예 1513의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1526, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1514는 예 1513 또는 예 1514의 청구 대상을 포함하며, 임의로, 제 1 위상 변위된 신호는 제 1 I 위상 변위된 신호 및 제 2 I 위상 변위된 신호를 포함하고, 제 2 위상 변위된 신호는 제 1 Q 위상 변위된 신호 및 제 2 Q 위상 변위된 신호를 포함한다.
예 1515는 예 1514의 청구 대상을 포함하며, 임의로, 제 1 트리플러 회로는 제 2 Q 위상 변위된 신호에 따라 제 1 I 위상 변위된 신호의 진폭을 평형화하고, 제 1 Q 위상 변위된 신호에 따라 제 2 I 위상 변위된 신호의 진폭을 평형화하는 평형 및 진폭 회로를 포함한다.
예 1516은 예 1514 또는 예 1515의 청구 대상을 포함하며, 임의로, 제 2 트리플러 회로는 제 2 I 위상 변위된 신호에 따라 제 1 Q 위상 변위된 신호의 진폭을 평형화하고, 제 1 I 위상 변위된 신호에 따라 제 2 Q 위상 변위된 신호의 진폭을 평형화하는 불평형 및 진폭 회로를 포함한다.
예 1517은 예 1513-1515 중 어느 한 예의 청구 대상을 포함하며, 임의로, 위상 편위 회로는 수동 위상 편위 회로를 포함한다.
예 1518은 예 1513-1517 중 어느 한 예의 청구 대상을 포함하며, 임의로, 위상 변위 회로는 제 1 위상 변위된 신호를 생성하는 제 1 주입 LO(injection LO)(ILO) 회로; 및 제 2 위상 변위된 신호를 생성하는 제 2 ILO 회로를 포함한다.
예 1519는 예 1513-1518 중 어느 한 예의 청구 대상을 포함하며, 임의로, 적어도 하나의 IQ 생성기는 수신(Rx) IQ 생성기를 포함하고, 장치는 수신(Rx) 신호에 기초하여 증폭된 Rx 신호를 생성하는 하나 이상의 저잡음 증폭기(LNA); 및 I 신호 및 증폭된 Rx 신호에 기초하여 증폭된 Rx 신호를 하향 변환된 I 신호로 하향 변환하고, Q 신호 및 증폭된 Rx 신호에 기초하여 증폭된 Rx 신호를 하향 변환된 Q 신호로 하향 변환하는 Rx 믹서 회로를 포함한다.
예 1520은 예 1519의 청구 대상을 포함하며, 임의로, Rx 믹서 회로는 Rx 신호를 하향 변환된 I 신호로 하향 변환하는 제 1 믹서; Rx 신호를 하향 변환된 Q 신호로 하향 변환하는 제 2 믹서를 포함한다.
예 1521은 예 1513-1520 중 어느 한 예의 청구 대상을 포함하며, 임의로, 적어도 하나의 IQ 생성기는 송신(Tx) IQ 생성기를 포함하고, 장치는 I 신호에 기초하여 중간 주파수(IF) I 신호를 상향 변환된 I 신호로 상향 변환하고, Q 신호에 기초하여 IF Q 신호를 상향 변환된 Q 신호로 상향 변환하는 Tx 믹서 회로; 상향 변환된 I 신호와 상향 변환된 Q 신호를 Tx 신호로 결합하는 결합기; 및 Tx 신호를 증폭하는 전력 증폭기(PA)를 포함한다.
예 1522는 예 1521의 청구 대상을 포함하며, 임의로, Tx 믹서 회로는 IF I 신호를 상향 변환된 I 신호로 상향 변환하는 제 1 믹서; 및 IF Q 신호를 상향 변환된 Q 신호로 상향 변환하는 제 2 믹서를 포함한다.
예 1523은 예 1521-1522 중 임의의 하나의 청구 대상을 포함하며, 임의로, 적어도 하나의 IQ 생성기는 제 1 I 신호 및 제 1 Q 신호를 생성하는 제 1 IQ 생성기 및 제 2 I 신호 및 제 2 Q 신호를 생성하는 제 2 IQ 생성기를 포함한다.
예 1524는 하나 이상의 안테나에 동작 가능하게 연결된 송수신기를 포함하는 예 1513-1523 중 어느 한 예의 청구 대상을 포함한다.
예 1525은 예 1524의 청구 대상을 포함하며, 임의로, 송수신기는 반이중 송수신기를 포함한다.
예 1526은 광대역 증폭기 회로를 포함하는 장치를 포함하며, 광대역 증폭기 회로는 무선 주파수(RF) 입력 신호를 고주파수 대역 신호 및 저주파수 대역 신호로 분리하는 분할기 - 분할기는 RF 입력 신호로부터의 저주파수 대역 신호를 필터링하는 제 1 회로 및 RF 입력 신호로부터의 고주파수 대역 신호를 필터링하는 제 2 회로를 포함함 - ; 고주파수 대역 신호를 증폭하여 제 1 증폭된 신호를 제공하는 고대역 증폭기; 저주파수 대역 신호를 증폭하여 제 2 증폭된 신호를 제공하는 저대역 증폭기; 및 제 1 증폭된 신호와 제 2 증폭된 신호를 증폭된 RF 신호로 결합하는 결합기를 포함한다.
하나의 예에서, 예 1526의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1538 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1527은 예 1526의 청구 대상을 포함하며, 임의로, 광대역 증폭기 회로는 RF 입력 신호가 제 1 주파수 대역을 적어도 초과할 때 저대역 증폭기를 활성화하는 제 1 스위치; 및 RF 입력 신호가 제 1 주파수 대역보다 높은 제 2 주파수 대역을 적어도 초과할 때 고대역 증폭기를 활성화하는 제 2 스위치를 포함한다.
예 1528은 RF 입력 신호의 하나 이상의 주파수 대역에 기초하여 제 1 스위치 및 제 2 스위치를 제어 가능하게 활성화하는 기저대역 회로를 포함하는 예 1526 또는 예 1527의 청구 대상을 포함한다.
예 1529는 예 1526-1528 중 어느 한 예의 청구 대상을 포함하며, 임의로, 결합기는 변압기의 제 1 섹션에서 고대역 증폭기로부터 제 1 증폭된 신호를 수신하고 변압기의 제 1 섹션과 제 1 고대역 증폭기 사이의 임피던스를 매칭시키는 변압기를 포함하고, 변압기는 변압기의 제 2 섹션에서 저대역 증폭기로부터 제 2 증폭된 신호를 수신하고 변압기의 제 2 섹션과 저대역 증폭기 사이의 임피던스를 매칭시키고, 변압기는 변압기의 제 1 섹션으로부터의 제 1 증폭된 신호와 변압기의 제 2 섹션으로부터의 제 2 증폭된 신호를 증폭된 RF 신호로 결합하는 제 3 섹션을 포함한다.
예 1530은 예 1529의 청구 대상을 포함하며, 임의로, 변압기의 제 1 섹션의 물리적 사이즈는 변압기의 제 2 섹션의 물리적 사이즈보다 크다.
예 1531은 예 1526-1530 중 어느 한 예의 청구 대상을 포함하며, 임의로, 분할기는 변압기의 제 1 섹션에서, RF 입력 신호를 수신하고, 변압기의 제 2 섹션에 의해, 저주파수 대역 신호를 저대역 증폭기로 제공하고 변압기의 제 2 섹션과 저대역 증폭기 사이의 임피던스를 매칭시키며, 변압기의 제 3 섹션에 의해, 고주파수 대역을 고대역 증폭기에 제공하고 변압기의 제 3 섹션과 고대역 증폭기 사이의 임피던스를 매칭시키는 변압기를 포함한다.
예 1532는 예 1531의 청구 대상을 포함하며, 임의로, 제 1 회로는 변압기의 제 2 섹션 및 변압기의 제 1 섹션의 적어도 일부를 포함하고, 제 2 회로는 변압기의 제 3 섹션 및 변압기의 제 1 섹션의 적어도 일부를 포함한다.
예 1533은 중간 주파수(IF) 입력 신호를 생성하는 기저대역 회로; 및 IF 입력 신호를 상향 변환함으로써 RF 입력 신호를 생성하는 RF 회로를 포함하는 예 1526-1532 중 어느 한 예의 청구 대상을 포함한다.
예 1534은 예 1533의 청구 대상을 포함하며, 임의로, 기저대역 회로와 RF 회로는 RF 케이블에 의해 동작 가능하게 연결된다.
예 1535는 하나 이상의 위상 배열 안테나에 동작 가능하게 연결된 송신기를 포함하는 예 1526-1534 중 어느 한 예의 청구 대상을 포함한다.
예 1536은 광대역 증폭기에 동작 가능하게 연결된 하나 이상의 위상 배열 안테나를 포함하는 예 1526-1535 중 어느 한 예의 청구 대상을 포함한다.
예 1537은 예 1526-1536 중 어느 한 예의 청구 대상을 포함하며, 임의로, 광대역 증폭기는 60 Ghz 대역 증폭기를 포함한다.
예 1538은 모뎀 코어를 복수의 무선 코어 중 하나 이상의 무선 코어에 스위칭 가능하게 연결하는 복수의 임피던스 매칭 스위치를 포함하는 장치를 포함하며, 복수의 임피던스 매칭 스위치의 임피던스 매칭 스위치는 모뎀 코어에 동작 가능하게 연결된 제 1 단자; 복수의 무선 코어의 각각의 무선 코어에 동작 가능하게 연결된 제 2 단자; 및 복수의 임피던스 매칭 스위치에 의해 모뎀 코어에 연결될 하나 이상의 무선 코어의 카운트에 기초하여, 무선 코어와 모뎀 코어 사이의 임피던스를 제어 가능하게 매칭시키는 임피던스 매칭 회로를 포함한다.
하나의 예에서, 예 1538의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526 및/또는 1551과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 믹서, 양방향 분할기/결합기, PA, LNA, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1539는 예 1538의 청구 대상을 포함하며, 임의로, 임피던스 매칭 회로는 모뎀 코어로부터의 제어 신호에 따라 복수의 임피던스 매칭 모드 사이에서 스위칭 가능하며, 복수의 임피던스 매칭 모드는 모뎀 코어에 연결될 각각의 복수의 상이한 무선 코어 카운트에 대응한다.
예 1540은 예 1539의 청구 대상을 포함하며, 임의로, 임피던스 매칭 회로는 복수의 임피던스 매칭 모드의 임피던스 매칭 모드에서, 임피던스 매칭 모드에 대응하는 무선 코어 카운트에 기초하여 무선 코어와 모뎀 코어 사이의 임피던스를 매칭시키도록 구성된다
예 1541은 예 1539 또는 1540의 청구 대상을 포함하며, 임의로, 복수의 임피던스 매칭 모드는 임피던스 매칭 회로가 모뎀 코어와 하나의 무선 코어 사이의 임피던스를 매칭시키는 제 1 임피던스 매칭 모드; 임피던스 매칭 회로가 모뎀 코어와 두 개의 무선 코어 사이의 임피던스를 매칭시키는 제 2 임피던스 매칭 모드; 및 임피던스 매칭 회로가 모뎀 코어와 세 개의 무선 코어 사이의 임피던스를 매칭시키는 제 3 임피던스 매칭 모드를 포함한다.
예 1542는 예 1538 내지 1541 중 어느 한 예의 청구 대상을 포함하며, 임의로, 임피던스 매칭 회로는 모뎀 코어를 하나 이상의 무선 코어에 연결하는 복수의 트랜지스터를 포함한다.
예 1543은 복수의 무선 주파수(RF) 케이블을 포함하는 예 1538-1542 중 어느 한 예의 청구 대상을 포함하고, 복수의 RF 케이블의 RF 케이블은 복수의 임피던스 매칭 스위치의 각각의 임피던스 매칭 스위치를 복수의 무선 코어의 각각의 무선 코어에 연결한다.
예 1544는 예 1543의 청구 대상을 포함하며, 임의로, 복수의 RF 케이블 중 적어도 하나의 RF 케이블은 동축 케이블을 포함한다.
예 1545는 예 1538-1544 중 어느 한 예의 청구 대상을 포함하며, 임의로, 임피던스 매칭 스위치는 무선 코어와 하나 이상의 무선 코어 사이의 약 50 옴 임피던스를 유지하는 것이다.
예 1546는 복수의 무선 코어를 포함하는 예 1538-1545 중 어느 한 예의 청구 대상을 포함한다.
예 1547는 예 1546의 청구 대상을 포함하며, 임의로, 복수의 무선 코어 중 적어도 하나의 무선 코어는 반이중 송수신기를 포함한다.
예 1548는 모뎀 코어를 포함하는 기저대역 회로를 포함하는 예 1538-1547 중 어느 한 예의 청구 대상을 포함한다.
예 1549는 하나 이상의 안테나를 포함하는 예 1538-1548 중 어느 한 예의 청구 대상을 포함한다.
예 1550는 하나 이상의 위상 배열 안테나를 포함하는 예 1538-1549 중 어느 한 예의 청구 대상을 포함한다.
예 1551은 양방향 믹서를 포함하는 장치를 포함하며, 양방향 믹서는 무선 주파수(RF) 단자; 중간 주파수(IF) 단자; 제 1 전압 단자; 제 2 전압 단자; 및 제 1 바이어스 전압이 제 1 전압 단자에 인가되고 제 2 바이어스 전압이 제 2 전압 단자에 인가될 때 상향 변환 모드에서 동작하고, 제 2 바이어스 전압이 제 1 전압 단자에 인가되고 제 1 바어스 전압이 제 2 전압 단자에 인가될 때 하향 변환 모드에서 동작하도록 구성된 믹싱 회로를 포함하며, 믹싱 회로는 하향 변환 모드에서, RF 단자에서의 제 1 RF 신호를 제 1 IF 단자에서의 제 1 IF 신호로 하향 변환하고, 상향 변환 모드에서, IF 단자에서의 제 2 IF 신호를 RF 단자에서의 제 2 RF 신호로 상향 변환한다.
하나의 예에서, 예 1551의 장치는 예를 들어, 예 1401, 1422, 1440, 1454, 1465, 1476, 1487, 1500, 1513, 1526 및/또는 1538과 관련하여 설명되는 바와 같이, 예를 들어, 하나 이상의 추가 요소, 예를 들면, 양방향 증폭기, 양방향 분할기/결합기, PA, LNA, 하나 이상의 스위치, 하나 이상의 믹서, I/Q 생성기 및/또는 하나 이상의 위상 변위기를 포함할 수 있다.
예 1552는 예 1551의 청구 대상을 포함하며, 임의로, 믹싱 회로는 복수의 트랜지스터를 포함하는 길버트 셀(Gilbert-cell)을 포함하고, 복수의 트랜지스터는 상향 변환 모드에서 제 2 IF 신호를 제 2 RF 신호로 상향 변환하고, 하향 변환 모드에서 제 1 RF 신호를 제 1 IF 신호로 하향 변환한다.
예 1553은 예 1552의 청구 대상을 포함하며, 임의로, 믹싱 회로는 복수의 트랜지스터의 드레인을 RF 단자 및 제 1 전압 단자에 연결하는 제 1 변압기; 복수의 트랜지스터의 소스를 IF 단자 및 제 2 전압 단자에 연결하는 제 2 변압기; 및 LO 신호를 복수의 트랜지스터의 게이트에 연결하는 국부 발진기(LO) 단자를 포함한다.
예 1554는 예 1553의 청구 대상을 포함하며, 임의로, 상향 변환 모드에서, 제 2 변압기는 제 2 IF 신호 및 제 2 바이어스 전압을 복수의 트랜지스터의 소스에 제공하고, 길버트 셀은 제 2 IF 신호를 LO 신호와 혼합하여 혼합된 RF 신호를 복수의 트랜지스터의 드레인에 제공하는 것이다.
예 1555는 예 1554의 청구 대상을 포함하며, 임의로, 제 1 변압기는 복수의 트랜지스터의 드레인에서 혼합된 IF 신호를 제 1 RF 신호로 결합하는 것이다.
예 1556는 예 1553-1555 중 어느 한 예의 청구 대상을 포함하며, 임의로, 하향 변환 모드에서, 제 1 변압기는 제 1 RF 신호 및 제 2 바이어스 전압을 복수의 트랜지스터의 드레인에 제공하고, 길버트 셀은 제 2 RF 신호를 LO 신호와 혼합하여 혼합된 IF 신호를 복수의 트랜지스터의 소스에 제공하는 것이다.
예 1557는 예 1556의 청구 대상을 포함하며, 임의로, 제 2 변압기는 복수의 트랜지스터의 소스에서 혼합된 IF 신호를 제 2 IF 신호로 결합하는 것이다.
예 1558은 예 1552-1557 중 어느 한 예의 청구 대상을 포함하며, 임의로, 믹싱 회로는 상향 변환 모드에서, 제 1 바이어스 전압을 복수의 트랜지스터의 드레인에 연결하고, 하향 변환 모드에서, 제 2 바이어스 전압을 복수의 트랜지스터의 드레인에 연결하도록 제 1 전압 단자에 동작 가능하게 연결된 제 1 스위치; 및 상향 변환 모드에서, 제 2 바이어스 전압을 복수의 트랜지스터의 소스에 연결하고, 하향 변환 모드에서, 제 1 바이어스 전압을 복수의 트랜지스터의 소스에 연결하도록 제 2 전압 단자에 동작 가능하게 연결된 제 2 스위치를 포함한다.
예 1559는 제 1 바이어스 전압을 복수의 트랜지스터의 드레인에 인가하고 제 2 바이어스 전압을 복수의 트랜지스터의 소스에 인가함으로써, 양방향 믹서를 상향 변환 모드로 스위칭하는 제어기를 포함하는 예 1552-1558 중 어느 한 예의 청구 대상을 포함한다.
예 1560은 예 1559의 청구 대상을 포함하며, 임의로, 제어기는 제 1 바이어스 전압을 복수의 트랜지스터의 소스에 인가하고, 제 2 바이어스 전압을 복수의 트랜지스터의 드레인에 인가함으로써, 양방향 믹서를 하향 변환 모드로 스위칭하는 것이다.
예 1561은 예 1552-1560 중 어느 한 예의 청구 대상을 포함하며, 임의로, 복수의 트랜지스터는 하나 이상의 전계 효과 트랜지스터(FET)를 포함한다.
예 1562는 송신(Tx) 모드에서, 양방향 믹서로부터의 제 2 RF 신호를 Tx RF 신호로 증폭하고, 수신(Rx) 모드에서, Rx RF 신호를 증폭하여 제 1 RF 신호를 제 1 단자에 제공하는 양방향 RF 증폭기; 및 Tx 모드에서 제 1 기저대역 신호를 제 2 IF 신호로 증폭하고, Rx 모드에서, 양방향 믹서의 제 2 단자로부터 제 1 IF 신호를 제 2 기저대역 신호로 증폭하는 양방향 IF 증폭기를 포함하는 예 1551 내지 1561 중 어느 한 예의 청구 대상을 포함한다.
예 1563은 송신(Tx) 모드에서, 양방향 믹서로부터의 제 2 RF 신호를 Tx RF 신호로 증폭하는 제 1 RF 증폭기; 수신(Rx) 모드에서, Rx RF 신호를 양방향 믹서에 제공될 제 1 RF 신호로 증폭하는 제 2 RF 증폭기; Tx 모드에서 제 1 기저대역 신호를 양방향 믹서로 제공될 제 2 IF 신호로 증폭하는 제 1 IF 증폭기; 및 Rx 모드에서, 양방향 믹서로부터의 제 1 IF 신호를 제 2 기저대역 신호로 증폭하는 제 2 IF 증폭기를 포함하는 예 1551-1561 중 어느 한 예의 청구 대상을 포함한다.
예 1564는 예 1563의 청구 대상을 포함하며, 임의로, 제 1 RF 증폭기는 전력 증폭기를 포함하고, 제 2 증폭기는 저잡음 증폭기(LNA)를 포함한다.
예 1565는 예 1563 또는 예 1564의 청구 대상을 포함하며, 임의로, 제 1 IF 증폭기는 Tx IF 증폭기를 포함하고 제 2 IF 증폭기는 Rx IF 증폭기를 포함한다.
예 1566은 반이중 송수신기를 포함하는 예 1551-1565 중 어느 한 예의 청구 대상을 포함한다.
예 1567은 송수신기에 동작 가능하게 연결된 하나 이상의 안테나를 포함하는 예 1566의 청구 대상을 포함한다.
예 1568은 예 1567의 청구 대상을 포함하며, 임의로, 하나 이상의 안테나는 위상 배열 안테나를 포함한다.
예 1569에서, 예 1의 청구 대상은 선택적으로 이동 디바이스 용 장치를 포함하며, 장치는: 상부 층 및 하부 층을 포함하는 복수의 평행한 층을 포함하는 회로 기판; 회로 기판에 부착되고 집적 회로(integrated circuit)(IC)를 포함하는 라디오 프론트 엔드 모듈; 회로 기판에 부착된 접지된 실드(shield) - 접지된 실드는 IC를 간섭으로부터 차폐하도록 구성됨 - ; 방사 요소 및 기생 요소를 포함하는 적층 패치 지향성 안테나 - 적어도 기생 요소는 접지된 실드에 인접하여 위치되고, 방사 요소는 회로 기판의 상부 층 및 하부 층 이외의 층 중 하나의 층 상에 위치되고, IC에 연결된 피드 스트립(feed strip)을 포함하는 피드 메커니즘에 의해 급전됨 - 를 포함하고; 접지된 실드는 반사기로서 및 적층 패치 지향성 안테나 용의 접지 평면으로서 구성되고, 적층 패치 지향성 안테나는 제 1 편파의 신호를 엔드파이어 방향(endfire direction)으로 전파하고 제 2 편파 신호를 브로드사이드 방향(broadside direction)으로 전파하도록 구성되며, 제 1 및 제 2 편파는 직교 편파이다. 제 1 편파는 회로 기판의 층에 평행한 전기장을 갖는 신호를 포함하고, 제 2 편파는 회로 기판의 층에 수직인 신호를 포함한다.
예 1570에서, 예 1569의 청구 대상은 선택적으로 제 1 편파가 수평 편파이고 제 2 편파가 수직 편파인 것을 포함한다.
예 1571에서, 예 1569-1570 중 어느 하나 이상의 예의 청구 대상은 선택적으로 피드 메커니즘이 피드 라인과 방사 요소를 연결하는 비아를 더 포함하는 것을 포함한다.
예 1572에서, 예 1569-1571 중 어느 하나 이상의 예의 청구 대상은 선택적으로 송신이 엔드파이어 방향에 있을 때 적층 패치 지향성 안테나가 모노폴 안테나로서 동작하도록 구성되는 것을 포함한다.
예 1573에서, 예 1569-1572 중 어느 하나 이상의 예의 청구 대상은 선택적으로 접지된 실드가 직선형이고 복수의 제 1 면 및 복수의 제 1 면에 직교하는 제 2 면을 포함하고, 복수의 적층된 지향성 패치 안테나가 장치 내의 실드의 제 1 면 중 한 면에 위치된 안테나 배열을 포함하는 것을 포함한다.
예 1574에서, 예 1569-1573 중 어느 하나 이상의 예의 청구 대상은 선택적으로 접지된 실드가 직선형(rectilinear)이고 복수의 제 1 면 및 복수의 제 1 면에 직교하는 제 2 면을 포함하고, 복수의 적층 패치 안테나가 복수의 안테나 배열을 포함하고, 복수의 안테나 배열 중 적어도 제 1 안테나 배열이 장치 내의 접지된 실드의 제 1 면 중의 제 1 면에 위치되고, 복수의 안테나 배열 중 적어도 제 2 안테나 배열이 장치 내의 접지된 실드의 제 1 면 중의 제 2 면에 위치되는 것을 포함한다.
예 1575에서, 예 1569-1574 중 어느 하나 이상의 예의 청구 대상은 선택적으로 피드 메커니즘이 적층된 방향성 패치 안테나로의 피드 포인트를 포함하고 피드 포인트가 적층된 방향성 패치 안테나와 임피던스 매칭하도록 구성되는 것을 포함한다.
예 1576은 이동 디바이스의 장치이며, 장치는 상부 면 및 하부 면을 포함하는 인쇄 회로 보드; 회로 기판의 상부 면에 부착되고 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈; IC를 덮고 회로 기판의 상부 면에 부착된 전도성 실드 - 전도성 실드는 네 개의 면 및 상부를 포함하고, IC를 무선 주파수 간섭으로부터 보호하도록 구성됨 - ; 및 실드의 적어도 하나의 절개부(cut out section)에 의해 형성되는 적어도 하나의 지향성 안테나를 포함하고, 적어도 하나의 지향성 안테나는 회로 기판의 일부이고 IC에 연결된 적어도 하나의 피드 메커니즘에 의해 급전되며, 회로 기판은 적어도 하나의 지향성 안테나의 접지 평면을 포함하고, 적어도 하나의 지향성 안테나는 IC로부터 외측 방향으로 방사하도록 구성된다.
예 1577에서, 예 1576의 청구 대상은 선택적으로 적어도 하나의 지향성 안테나가 피드 메커니즘에서 종단하고 1/4 파장에서 공진하도록 구성된 평면 역 F 안테나(planar inverted F antenna)(PIFA)를 포함하는 것을 포함한다.
예 1578에서, 예 1576-1577 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 노치 안테나, 슬롯 안테나 또는 패치 안테나를 포함하는 것을 포함한다.
예 1579에서, 예 1576-1578 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 두 개의 안테나의 배열을 포함하고, 배열의 각각의 안테나가 서로 직교하는 실드 상에 위치되고, 적어도 하나의 피드 메커니즘이 각각 두 개의 안테나 중 하나에 각각 피드하는 두 개의 피드 메커니즘을 포함하고, 안테나 배열이 두 개의 상이한 편파를 지원하도록 구성되는 것을 포함한다.
예 1580에서, 예 1579의 청구 대상은 어레이의 두 개의 안테나 각각이 실드의 서로 다른 면 상에 구성되거나 두 개의 안테나 중 하나의 안테나가 실드의 네 개의 면 중 하나의 면 상에 구성되고 두 개의 안테나 중 제 2 안테나가 실드의 상부에 구성되는 것을 포함한다.
예 1581에서, 예 1576-1580 중 어느 하나 이상의 예의 청구 대상은 선택적으로 적어도 하나의 안테나가 두 개의 안테나의 어레이를 포함하고, 어레이의 각각의 안테나가 서로 직교하는 실드 상에 위치되고, 적어도 하나의 피드 메커니즘이 각각 두 개의 안테나 중 하나를 각각 피드하는 두 개의 피드 메커니즘을 포함하고, 라디오 프론트 엔드 모듈이 새로운 벡터 합계를 생성하기 위해 피드 메커니즘 각각에 동일한 신호를 제공하도록 또는 각각의 피드 메커니즘에 다중 입력 다중 출력(Multiple In Multiple Out)(MIMO) 모드의 동작을 위한 상이한 신호를 제공하도록 구성되는 것을 포함한다.
예 1582에서, 예 1579-1581 중 어느 하나 이상의 예의 청구 대상은 선택적으로 두 개의 피드 메커니즘의 각각이 상이한 시간에 두 개의 안테나를 활성화하여 두 개의 상이한 편파 중 제 1 편파를 두 개의 안테나 중 제 1 안테나에 제공하고 두 개의 상이한 편파 중 제 2 편파를 두 개의 안테나 중 제 2 안테나에 제공하도록 구성되는 것을 포함한다.
예 1583에서, 예 1582의 청구 대상은 선택적으로 라디오 프론트 엔드 모듈이 알고리즘 제어에 의해 활성화되도록 구성되고, 활성화가 수신 디바이스의 방향에 종속하고, 장치가 제 1 편파 또는 제 2 편파가 상이한 시간 중 주어진 시간에서, 수신 디바이스로부터 더 양호한 수신을 제공하는지를 지정하는 피드백 정보를 수신하고, 피드백 정보가 피드 라인의 활성화에 관한 알고리즘 제어를 포함하는 것을 포함한다.
예 1584는 이동 디바이스의 장치이며, 장치는: 장치 내의 기판 상에 위치된 송수신기; 송수신기에 연결되고 위상 배열이 스캔될 때 제 1 커버리지 각도 내에서 전파를 송신하도록 구성된 안테나 요소의 위상 배열; 및 위상 배열에 인접하게 위치되고 송신된 전파를 제 1 커버리지 각도보다 큰 제 2 커버리지 각도로 편향시키도록 구성된 렌즈를 포함한다.
예 1585에서, 예 1584의 청구 대상은 선택적으로 렌즈가 프리즘을 포함하는 것을 포함한다.
예 1586은 전파를 생성하도록 구성된 라디오 프론트 엔드 모듈; 반사기; 및 복수의 안테나 배열의 복수의 위상 배열을 포함하는 안테나 시스템이고, 각각의 배열은 반사기에 인접한 상이한 위치에 배치되고 생성된 전파를 반사기를 향해 송신하여 반사기의 초점을 전파로 조사하도록 구성되고, 상이한 위치에서 각각의 배열의 위치는 반사기로부터의 무선 주파수 방사를 복수의 좁은 빔으로 생성되게 하고, 각각의 빔은 상이한 빔-스캐닝 섹터를 스캐닝하기 위해 상이한 방향으로 기울어지며, 추가의 위상 배열을 복수의 위상 배열에 추가하면 추가의 빔 스캐닝 섹터가 형성된다.
예 1587에서, 예 1586의 청구 대상은 선택적으로 각 위상 배열이 반사기의 면 중 하나에 또는 하단에 위치하는 것을 포함한다.
예 1588에서, 예 1586-1587 중 어느 하나 이상의 예의 청구 대상은 선택적으로 각각의 위상 배열 안테나 요소가 두 개의 피드 메커니즘을 갖고, 두 개의 피드 메커니즘 중 제 1 피드 메커니즘이 생성된 전파를 제 1 편파에서 안테나 요소에 제공하도록 구성되고, 두 개의 피드 메커니즘 중 제 2 피드 메커니즘이 생성된 전파를 제 1 편파에 직교하는 제 2 편파에서 안테나 요소에 제공하도록 구성되는 것을 포함한다.
예 1589는 이동 디바이스의 장치이며, 장치는: 섀시; 섀시 내의 기판; 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기를 포함하는 순응적으로 차폐된 집적 회로(IC) 다이 - IC 다이는 섀시 내에서 기판에 연결됨 - ; 기판 외부의 섀시 상에 또는 새시 내의 하나 이상의 안테나 디렉터(director); 및 송수신기에 연결되고 RF 신호를 송신하여 하나 이상의 안테나 디렉터와 상호 작용하도록 구성된 안테나 배열을 포함하고, 안테나 배열은 기판의 제 1 면 내에 있거나, 기판의 제 2 면에 실장된 표면 실장 디바이스(surface mounted device)(SMD) 상에 있거나, 또는 기판의 제 2면에 실장된 SMD 내에 있으며, 하나 이상의 안테나 디렉터는 RF 신호를 지향시키도록 구성되는 것을 포함한다.
예 1590에서, 예 1589의 청구 대상은 선택적으로 순응성 실드가 안테나 배열 용의 접지 평면을 포함하는 것을 포함한다.
예 1591에서, 예 1589-1590 중 어느 하나 이상의 예의 청구 대상은 선택적으로 IC 다이로부터 다이 외부의 표면 상으로 열을 전도하도록 구성된 열 전도 메커니즘을 더 포함한다.
예 1592에서, 예 1589-1591 중 어느 하나 이상의 예의 청구 대상은 선택적으로 열 전도 메커니즘이 IC 다이에 연결된 히트 스프레더(heat spreader)인 것을 포함한다.
예 1593은 이동 디바이스의 장치이며, 장치는: 기판; 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기를 포함하는 집적 회로(IC) - IC는 기판에 연결됨 - ; 두 개의 수평 아암을 갖고 기판 내에 구성되는 다이폴 안테나; 및 수직 금속성 비아를 포함하는 표면 실장 디바이스(SMD)를 포함하고, SMD가 다이폴 안테나에 인접한 기판 상에 실장되고, 수직 금속성 비아가 다이폴 안테나의 두 개의 수평 아암 중 하나와 접촉하고, 수직 금속성 비아가 모노폴 안테나의 수직 아암을 포함하고, RF 신호가 급전될 때, 다이폴 안테나가 제 1 편파를 발휘하도록 구성되고, RF 신호가 급전될 때, 모노폴 안테나의 수직 아암이 제 2 편파를 발휘하도록 구성된다.
예 1594에서, 예 1593의 청구 대상은 선택적으로 수직 금속성 비아가 금속 트레이스를 포함하는 것을 포함한다.
예 1595에서, 예 1593-1594 중 어느 하나 이상의 예의 청구 대상은 선택적으로 수직 금속성 비아가 SMD의 상부까지 연장하고, 모노폴 안테나가 수직 SMD의 상부에 구성된 수평 금속 트레이스를 더 포함하고, 수평 금속 트레이스가 수직 금속성 비아와 접촉하고, 수직 금속성 비아에 수직이고 모노폴 안테나의 수직 아암의 일부를 포함하는 것을 포함한다.
예 1596은 다이폴 안테나의 수평 아암을 포함하는 기판; IC 다이를 덮고 기판에 연결된 집적 회로(IC) 실드; 및 IC 실드에 인접한 기판 상에 장착된 SMD를 포함하는 L-형상 다이폴 안테나이며, SMD는 다이폴 안테나의 수직 아암을 포함하고, 수직 아암은 SMD의 적어도 부분적으로 내부에 있고, IC 실드는 다이폴 안테나의 반사기로서 기능하고, 다이폴 안테나는 IC 다이로부터 피드 라인에 의해 급전되고, 다이폴 안테나의 수평 아암 및 다이폴 안테나의 수직 아암의 구성이 L-형상을 포함한다.
예 1597에서, 예 1596의 청구 대상은 선택적으로 수직 아암의 적어도 일부가 기판 내부에 존재하는 것을 포함한다.
예 1598에서, 예 1597의 청구 대상은 선택적으로 기판 내부에 존재하는 수직 아암의 적어도 일부가 금속화 비아를 포함하는 것을 포함한다.
예 1599에서, 예 1598의 청구 대상은 선택적으로 금속화 비아가 금속 트레이스를 포함하는 것을 포함한다.
예 1600에서, 예 1596-1599 중 어느 하나 이상의 예의 청구 대상은 선택적으로 SMD의 내부에 있는 수직 아암의 적어도 일부가 SMD를 통해 연장하고, 수평 금속 트레이스가 SMD의 상부에 구성되고, 수평 금속 트레이스는 수직 아암과 접촉하고, 수직 아암에 수직이고 수직 아암의 일부인 것을 포함한다.
예 1601은 이동 디바이스의 장치이며, 장치는: 기판; IC를 덮고 무선 주파수(RF) 체인을 생성하도록 구성된 집적 회로(IC) 실드 - 실드 및 IC는 둘 모두 기판에 연결됨 - ; 및 복수의 L-형상의 다이폴 안테나를 포함하는 안테나 배열을 포함하고, 각각의 다이폴 안테나는 IC 실드에 인접하여 위치되고, 각각의 다이폴 안테나는 IC로부터 RF 체인에 의해 급전되도록 구성되고, 각각의 다이폴 안테나는 수평 아암 및 수평 아암을 포함하고, 복수의 다이폴 안테나는 인접한 쌍으로 배열되고, 각각의 인접한 쌍의 수평 아암은 반대 방향으로 향하고 있다.
예 1602에서, 예 1601의 청구 대상은 선택적으로 각각의 다이폴 안테나가 IC로부터 RF 체인에 의해 급전될 때, 배열은 복수의 RF 체인을 제공하고, 제공된 각각의 RF 체인은 제 1 편파 및 제 1 편파에 직교하는 제 2 편파를 생성한다.
예 1603은 이동 디바이스 용 장치이며, 장치는: 상부 층 및 하부 층을 포함하는 인쇄 회로 보드(printed circuit board)(PCB); 상위 레벨 및 하위 레벨을 포함하는 집적 회로(IC) 칩 - IC 칩은 송수신기를 포함하고 IC 칩은 PCB의 상부 층에 연결됨 - ; PCB에 인접한 IC 칩의 하위 레벨 내에서 구성되고 송수신기에 연결된 피드 전송 선로에 의해 급전되는 복수의 안테나 요소를 포함하는 안테나 배열; 및 IC를 덮어 IC를 간섭으로부터 차폐하는 IC 실드를 포함하고, IC 실드 또는 PCB 내의 접지 층은 중 하나는 안테나 배열의 접지를 포함한다.
예 1604에서, 예 1603의 청구 대상은 선택적으로 적어도 하나의 안테나 요소가 PCB와 접촉하는 것을 방지하기 위해 PCB와 안테나 어레이 사이에 클리어런스 볼륨(clearance volume)을 더 포함하는 것을 포함한다.
예 1605에서, 예 1603-1604 중 어느 하나 이상의 예의 청구 대상은 선택적으로 전송 피드 라인이 금속 트레이스를 포함하는 것을 포함한다.
예 1606에서, 예 1603-1605 중 어느 하나 이상의 예의 청구 대상은 선택적으로 PCB가 마더 보드를 포함하는 것을 포함한다.
예 1607은 이동 디바이스의 장치이고, 장치는: 인쇄 회로 보드(PCB)에 연결된 집적 회로(IC) 상에 구성된 송수신기 - 송수신기는 제 1 주파수 대역 및 제 2 주파수 대역의 무선 주파수(RF) 신호를 생성하도록 구성됨 - ; PCB 내에 구성된 제 1 안테나 및 PCB 내에서 제 1 안테나와 동축 관계로 구성된 제 2 안테나; 송수신기 및 제 1 안테나에 연결된 제 1 피드 메커니즘 - 제 1 피드 메커니즘은 제 1 안테나에 제 1 주파수 대역의 RF 신호를 급전함 - ; 제 1 피드 메커니즘에 직교하여 위치된 제 2 피드 메커니즘을 포함하고, 제 2 피드 메커니즘은 송수신기 및 제 2 안테나에 연결되고, 제 2 피드 메커니즘은 제 2 안테나에 제 2 주파수 대역의 RF 신호를 급전하고, 프로세싱 회로는 상이한 시간에 각각의 피드 메커니즘을 동작시켜 상이한 시간에 제 1 안테나 및 제 2 안테나 각각을 활성화시키고, 제 1 시간에 활성화될 때 제 1 안테나는 제 1 주파수 대역의 RF 신호를 제 1 편파로 송신하고, 제 2 시간에 활성화될 때 제 2 안테나는 제 2 주파수 대역의 RF 신호를 제 1 편파에 직교하는 제 2 편파로 송신한다.
예 1608에서, 예 1607의 청구 대상은 선택적으로 제 1 안테나가 구동된 안테나 요소 및 기생 안테나 요소로서 구성된 패치 안테나이고, 제 2 안테나가 슬롯 안테나인 것을 포함한다.
예 1609에서, 예 1608의 청구 대상은 선택적으로 슬롯 안테나가 직선형 슬롯 안테나로서 구성되는 것을 포함한다.
예 1610에서, 예 1607 내지 1609 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 1 피드 메커니즘이 PCB 내에 구성되고 송수신기 및 제 1 안테나의 구동된 요소에 연결된 제 1 세트의 두 개의 직교 피드 라인을 포함하는 것을 포함한다.
예 1611에서, 예 1607-1610 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 피드 메커니즘이 PCB 내에 구성되고 송수신기 및 제 2 안테나에 연결된 제 2 세트의 두 개의 직교 피드 라인을 포함하고, 제 2 안테나가 근접 결합(proximity coupling)에 의해 두 개의 직교 피드 라인으로부터 급전되는 것을 포함한다.
예 1612에서, 예 1607-1611 중 어느 하나 이상의 예의 청구 대상은 선택적으로 제 2 안테나가 제 1 안테나의 접지를 포함하는 것을 포함한다.
예 1613은 이동 디바이스의 장치이며, 장치는: 기판; 기판에 연결된 집적 회로(IC); IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기; 기판에 연결되고, IC를 덮으며, IC를 간섭으로부터 보호하도록 구성된 전도성 실드; 기판 외부의 섀시 상에 또는 새시 내부에 구성된 안테나 디렉터; 표면 실장 디바이스(SMD) 상에 또는 SMD 내부에 구성된 안테나; 및 송수신기 및 안테나에 연결된 이중 직교 피드 메커니즘을 포함하고, 안테나는 RF 신호를 두 개의 직교 편파로 송신하여 안테나 디렉터와 상호 작용하며, 안테나 디렉터는 RF 신호를 지향시키도록 구성된다.
예 1614에서, 예 1613의 청구 대상은 선택적으로 전도성 실드가 안테나의 접지 평면을 포함하는 것을 포함한다.
예 1615에서, 예 1613-1614 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나가 이중 요소 패치 안테나를 포함하고, 이중 요소 중 제 1 이중 요소가 구동된 용량성 패치 안테나 요소이고 이중 요소 중 제 2 이중 요소가 기생 패치 안테나 요소인 것을 포함한다.
예 1616에서, 예 1615의 청구 대상은 선택적으로 안테나의 접지 평면을 더 포함하고, 접지 평면은 기판 내에 구성되는 것을 포함한다.
예 1617에서, 예 1613-1616 중 어느 하나 이상의 예의 청구 대상은 선택적으로 크로스 해치된 패터닝된 용량성 패치 안테나(cross-hatched patterned capacitive patch antenna) 및 크로스 해치된 패터닝된 용량성 패치 안테나 용 크로스 해치된 패터닝된 접지 평면을 더 포함하고, 크로스 해치된 패터닝된 용량성 패치 안테나 및 크로스 해치된 패터닝된 접지 평면은 SMD 상에 또는 SMD 내에 구성되는 것을 포함한다.
예 1618은 이동 디바이스의 장치이며, 장치는: 기판; 기판에 연결된 집적 회로(IC); IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기; 기판에 연결되고, IC를 덮으며, IC를 간섭으로부터 보호하도록 구성된 전도성 실드; 기판 외부의 섀시 상에 또는 새시 내부에 구성된 안테나 디렉터; 표면 실장 디바이스(SMD) 상에 또는 그 내부에 구성된 안테나; 및 송수신기 및 안테나에 연결된 단일 직교 피드 메커니즘을 포함하고, 안테나는 RF 신호를 단일 직교 편파로 송신하여 안테나 디렉터와 상호 작용하며, 안테나 디렉터는 RF 신호를 지향시키도록 구성된다.
예 1619에서, 예 1618의 청구 대상은 선택적으로 안테나가 SMD 내에 구성된 나선형 안테나를 포함하고, 나선형 안테나가 SMD상의 또는 SMD 내부에 연결된 트레이스 및 비아를 포함하고, 실드가 반사기로서 또는 나선형 안테나의 접지 평면으로서 구성되는 것을 포함한다.
예 1620에서, 예 1618-1619 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나가 SMD 상에 또는 SMD 내부에 구성된 패치 안테나를 포함하고, 실드가 반사기로서 및 패치 안테나용 접지 평면으로서 구성되는 것을 포함한다.
예 1621은 이동 디바이스의 장치이며, 장치는: 기판; 기판에 연결된 집적 회로(IC); IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기; 기판에 연결되고, IC를 덮으며, IC를 간섭으로부터 보호하도록 구성된 전도성 실드; 기판 외부의 섀시 상에 또는 새시 내부에 구성된 복수의 안테나 디렉터; 표면 실장 디바이스(SMD) 상에 또는 SMD 내부에 구성된 안테나 배열을 포함하는 복수의 안테나 요소; 및 송수신기 및 안테나 배열의 복수의 안테나 요소 각각에 연결된 단일 피드 메커니즘을 포함하고, 안테나 배열의 복수의 안테나 요소 각각은 RF 신호를 송신하여 복수의 안테나 디렉터와 상호 작용하며, 복수의 안테나 디렉터는 RF 신호를 지향시키도록 구성된다.
예 1622에서, 예 1621의 청구 대상은 선택적으로 피드 메커니즘이 복수의 안테나 요소에 단일 극성의 RF 신호를 급전하도록 구성된 복수의 피드 요소를 포함하고 안테나 배열이 RF 신호를 단일 극성으로 송신하는 것을 포함한다.
예 1623에서, 예 1621-1622 중 어느 하나 이상의 예의 청구 대상은 선택적으로 피드 메커니즘이 복수의 안테나 요소에 제 1 극성 및 제 1 극성과 직교하는 제 2 극성의 RF 신호를 급전하도록 구성된 복수의 이중 직교 피드 요소를 포함고, 안테나 배열이 제 1 극성 및 제 2 극성의 RF 신호를 송신하는 것을 포함한다.
예 1624는 이동 디바이스의 장치이며, 장치는: 제 1 층 및 제 2 층을 포함하는 기판; 기판의 제 1 층에 부착되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈(RFEM); IC를 덮고, 기판의 제 1 층에 부착되며, IC를 간섭으로부터 보호하도록 구성된 전도성 실드; 전도성 실드에 인접한 기판에 연결된 표면 실장 디바이스(SMD); 및 RFEM에 연결되고 기판에 수직하게 SMD 내로 연장되는 금속화 비아를 포함하는 제 1 아암을 포함하는 적어도 하나의 지향성 모노폴 안테나를 포함하고, 지향성 모노폴 안테나는 기판의 일부이고 IC에 연결된 적어도 하나의 피드 메커니즘에 의해 급전되고, 지향성 모노폴 안테나는 RFEM으로부터 외측 방향으로 제 1 극성의 RF 신호를 송신하도록 구성되며, 전도성 실드는 지향성 모노폴 안테나 용 반사기인 것을 포함한다.
예 1625에서, 예 1624의 청구 대상은 선택적으로 비아가 SMD를 통해 SMD의 상부까지 연장하는 것을 포함한다.
예 1626에서, 예 1625의 청구 대상은 선택적으로 제 1 아암이 SMD의 상부 층 상에 구성되고, SMD를 통해 SMD의 상부까지 연장하는 비아에 수직하고 비아에 연결된 금속 트레이스를 포함한다.
예 1627은 이동 디바이스의 장치이며, 장치는: 제 1 층 및 제 2 층을 포함하는 기판; 기판의 제 1 층에 부착되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC); IC를 덮고, 기판의 제 1 층에 부착되며, IC를 간섭으로부터 보호하도록 구성된 전도성 실드; 복수의 안테나 배열 - 각각의 안테나 배열은 지향성 모노폴 안테나 용 반사기인 전도성 실드에 인접한 복수의 지향성 모노폴 안테나 요소를 포함함 - ; 및 복수의 제 2 배열 - 각각의 제 2 배열은 복수의 지향성 다이폴 안테나의 접지 평면인 제 2 층에 평행한 복수의 지향성 다이폴 안테나 요소를 포함함 - 를 포함하고, 복수의 모노폴 안테나 요소 및 복수의 다이폴 안테나 요소는 각각 서로에 인접하게 배치되고, 복수의 모노폴 안테나 각각은 RF 신호를 제 1 편파로 송신하도록 구성되며, 복수의 다이폴 안테나 각각은 RF 신호를 제 1 편파에 직교하는 제 2 편파로 송신하도록 구성된다.
예 1628에서, 예 1627의 청구 대상은 선택적으로 복수의 모노폴 안테나 요소 각각이 기판에 수직인 각각의 표면 실장 디바이스(SMD) 내로 연장하는 금속화 비아를 포함하는 제 1 아암을 포함하고, 복수의 모노폴 안테나 각각이 기판의 일부로서 구성되고 IC에 연결되어 RF 신호를 복수의 모노폴 안테나에 급전하는 피드 메커니즘에 의해 급전되며, 지향성 다이폴 안테나가 기판의 일부로서 구성되고 IC에 연결되어 RF 신호를 복수의 다이폴 안테나에 급전하는 피드 메커니즘에 의해 급전되는 것을 포함한다.
예 1629에서, 예 1628의 청구 대상은 선택적으로 복수의 모노폴 안테나 중 적어도 하나의 수직 아암이 복수의 모노폴 안테나 중 적어도 하나의 각각의 SMD의 상부까지 연장하고, 복수의 모노폴 안테나 중 적어도 하나의 수직 아암이 금속화 비아에 수직이고 금속화 비아에 연결된 각각의 SMD의 상부에 구성된 금속 트레이스를 더 포함하는 것을 포함한다.
예 1630은 이동 디바이스 용 장치이며, 장치는: 기판; 기판에 연결된 집적 회로(IC) - IC는 제 1 무선 주파수(RF) 신호를 생성하도록 구성된 송신기(TX) 및 수신된 제 2 RF 신호를 처리하도록 구성된 수신기(RX)를 포함하는 송수신기를 포함하고, TX 및 RX는 상이한 시간에서 동작하고, TX는 제 1 피드 메커니즘에 연결된 전력 증폭기(PA)를 포함하고, RX는 제 2 피드 메커니즘에 연결된 저잡음 증폭기(LNA)를 포함함 -; 및 기판 상에 구성된 이중 피드 안테나를 포함하고, 이중 피드 안테나는 TX 피드라인 매칭 포인트 및 RX 피드라인 매칭 포인트를 포함하고, 제 1 피드 메커니즘은 이중 피드 안테나의 TX 피드라인 매칭 포인트에 직접 연결되고, 제 2 피드 메커니즘은 이중 피드 안테나의 RX 피드라인 매칭 포인트에 직접 연결되고, 제 1 RF 신호는 이중 피드 안테나에 의해 송신되고 제 2 RF 신호는 이중 피드 안테나에 의해 수신된다.
예 1631에서, 예 1630의 청구 대상은 선택적으로 이중 피드 안테나가 패치 안테나이고, 제 1 피드 메커니즘이 제 1 RF 신호를 단일 편파로 송신하도록 구성된 제 1 단일 편파 피드 라인이고, 제 2 피드 메커니즘이 제 2 RF 신호를 단일 편파로 수신하도록 구성된 제 2 단일 편파 피드 라인인 것을 포함한다.
예 1632에서, 예 1630-1631 중 어느 하나 이상의 예의 청구 대상은 선택적으로 이중 피드 안테나가 패치 안테나이고, 제 1 피드 메커니즘이 제 1 RF 신호를 이중 직교 편파로 송신하도록 구성된 제 1 이중 직교 피드 메커니즘을 포함하고, 제 2 피드 메커니즘이 제 2 RF 신호를 이중 직교 편파로 수신하도록 구성된 제 2 이중 직교 피드 메커니즘을 포함하는 것을 포함한다.
예 1633은 이동 디바이스의 장치이며, 장치는: 기판; 기판 상에 구성된 복수의 안테나 배열; 기판에 부착된 제 1 섹션 및 제 1 섹션에 연결된 커버를 포함하는 집적 회로(IC) 실드; 및 기판에 연결되고 제 1 섹션 내에 위치하는 IC를 포함하고, 커버의 영역은 안테나의 이득을 개선하기 위해 안테나 어레이의 반사기가 되도록 구성되고, 제 1 섹션의 일부는 커버 내의 공간을 통해 연장하여 안테나 어레이의 반사기가 되도록 구성된 커버 영역을 연장시키고, 연장된 영역은 복수의 안테나 배열 중 적어도 하나의 안테나 배열 용 반사기가 되도록 구성된다.
예 1634에서, 예 1633의 청구 대상은 선택적으로 복수의 안테나 배열이 복수의 패치 안테나 요자 및 복수의 다이폴 안테나 요소를 포함하는 것을 포함한다.
예 1635는 이동 디바이스의 장치이며, 장치는: 기판; 기판에 연결되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈(RFEM); IC에 연결된 피딩 메커니즘에 의해 급전되는 안테나 배열 - 안테나 배열은 RF 신호를 송신하도록 구성됨 - ; IC를 덮는 전도성 IC 실드; 안테나 배열 송신에 간섭하는 안테나 어레이에 인접한 장애물; 및 기판에 연결된 인터포저를 포함하고, 안테나 어레이 및 전도성 IC 실드는 인터포저 상에 장착되고, 인터포저는 안테나 배열 송신을 개선하는 높이를 제공한다.
예 1636에서, 예 1635의 청구 대상은 선택적으로 전도성 실드가 안테나 배열 용 반사기로서 구성되는 것을 포함한다.
예 1637에서, 예 1635-1636 중 어느 하나 이상의 예의 청구 대상은 선택적으로 안테나 배열이 복수의 패치 안테나를 포함하는 것을 포함한다.
예 1638에서, 예 1635의 청구 대상은 선택적으로 안테나 배열이 복수의 패치 안테나를 포함하는 것을 포함한다.
예 1639는 예 1569 내지 1639 중 어느 하나 이상의 예의 임의의 부분 또는 임의의 부분의 조합을 포함하거나 또는 임의의 부분 또는 임의의 부분의 조합과 선택적으로 조합되어, 예 1569 내지 예 1639의 임의의 하나 이상의 기능을 수행하기 위한 수단 또는 머신에 의해 수행될 때, 머신으로 하여금 예 1569 내지 예 1639의 임의의 하나 이상의 기능을 수행하게 하는 명령어를 포함하는 머신 판독 가능한 매체를 포함할 수 있는 청구 대상을 포함할 수 있다.
본 문헌에 언급된 간행물, 특허 및 특허 문헌은 개별적으로 참조 문헌으로 포함되는 것처럼, 그 전체가 본 명세서에 참조 문헌으로 포함된다. 본 문서와 이렇게 참조 문헌으로 포함되는 문서 간에 모순되는 사용이 있는 경우, 포함된 참조 문헌(들)의 사용은 본 문서의 사용에 보충적인 것으로 간주되어야 하고; 양립할 수 없는 모순이 있으면, 본 문서의 사용이 우선된다.
위의 설명은 예시적인 것이지, 제한적인 것은 아니다. 예를 들어, 위에서 설명한 예(또는 그 예의 하나 이상의 양태)는 서로 조합되어 사용될 수 있다. 위의 설명을 검토해 볼 때 예컨대 관련 기술분야의 통상의 기술자에 의해 다른 양태가 사용될 수 있다. 요약서는 독자가 기술의 개시내용의 본질을 신속하게 확인할 수 있도록 하는 것이다. 이것은 청구 범위의 범위 또는 의미를 해석하거나 제한하는데 사용되지 않을 것이라는 이해 하에 제출된다. 또한, 위의 상세한 설명에서, 다양한 특징이 함께 그룹화되어 본 개시내용을 간소화할 수 있다. 그러나, 양태는 상기 특징의 서브세트를 특징으로 할 수 있으므로 청구 범위는 본 명세서에 개시된 모든 특징을 제시하지 않을 수 있다. 또한, 양태는 특정 예에서 개시된 것보다 적은 양태를 포함할 수도 있다. 따라서, 다음의 청구 범위는 상세한 설명에 통합되며, 청구항은 별개의 양태로서 그 자체로 성립한다. 본 명세서에 개시된 양태의 범위는 첨부된 청구 범위를 참조하여, 그러한 청구 범위의 자격을 부여 받은 균등물의 전체 범위와 함께 결정될 수 있다.

Claims (94)

  1. 이동 디바이스의 장치로서,
    상부 층 및 하부 층을 포함하는 복수의 평행한 층을 포함하는 회로 기판과,
    상기 회로 기판에 부착되고 집적 회로(integrated circuit)(IC)를 포함하는 라디오 프론트 엔드 모듈과,
    회로 기판에 부착된 접지된 실드(shield) - 상기 접지된 실드는 상기 IC를 간섭으로부터 차폐하도록 구성됨 - 와,
    방사 요소 및 기생 요소를 포함하는 적층 패치 지향성 안테나(stacked patch directional antenna) - 상기 기생 요소는 상기 접지된 실드에 인접하여 배치되고, 상기 방사 요소는 상기 회로 기판 상에 배치되며, 상기 IC에 연결된 피드 스트립(feed strip)을 포함하는 피드 메커니즘에 의해 급전됨 - 를 포함하고,
    상기 접지된 실드는 상기 적층 패치 지향성 안테나의 반사기로서 및 접지 평면으로서 구성되고,
    상기 적층 패치 지향성 안테나는 제 1 편파(polarization) 신호 및 제 2 편파 신호를 전파하도록 구성되며, 상기 제 1 및 제 2 편파 신호는 직교 편파인
    이동 디바이스의 장치.
  2. 제 1 항에 있어서,
    상기 방사 요소는 상기 상부 층 또는 상기 하부 층 이외의 상기 회로 기판 상에 배치되는
    이동 디바이스의 장치.
  3. 제 1 항에 있어서,
    상기 적층 패치 지향성 안테나는 상기 제 1 편파 신호를 엔드파이어 방향(endfire direction)으로 전파하도록 구성되는
    이동 디바이스의 장치.
  4. 제 1 항에 있어서,
    상기 적층 패치 지향성 안테나는 브로드사이드 방향(broadside direction)으로 상기 제 2 편파 신호를 전파하도록 구성되는
    이동 디바이스의 장치.
  5. 이동 디바이스의 장치로서,
    상부 면 및 하부 면을 포함하는 인쇄 회로 보드와,
    상기 인쇄 회로 보드의 상부 면에 부착되고 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈과,
    상기 IC 위에 배치된 전도성 실드 - 상기 실드는 상기 실드의 적어도 일부가 상기 인쇄 회로 보드와 동일 평면이 되도록 상기 인쇄 회로 보드의 상부 측에 부착되며, 상기 전도성 실드는 복수의 면(side) 및 상부(top)를 포함하고, 무선 주파수 간섭으로부터 상기 IC를 보호하도록 구성됨 - 와,
    상기 전도성 실드의 적어도 하나의 절개부에 의해 형성된 적어도 하나의 지향성 안테나를 포함하고,
    상기 적어도 하나의 지향성 안테나는 상기 회로 기판의 일부인 적어도 하나의 피드 메커니즘에 의해 급전되고 상기 적어도 하나의 피드 메커니즘은 상기 IC에 연결되며,
    상기 인쇄 회로 보드는 상기 적어도 하나의 지향성 안테나의 접지 평면을 더 포함하고,
    상기 적어도 하나의 지향성 안테나는 상기 IC로부터 외측 방향으로 방사하도록 구성되는
    이동 디바이스의 장치.
  6. 이동 디바이스의 장치로서,
    상기 장치 내의 기판 상에 배치된 송수신기와,
    상기 송수신기에 연결된 안테나 요소의 위상 배열(phased array) - 상기 위상 배열은 상기 위상 배열이 스캔될 때 제 1 커버리지 각도 내에서 전파를 송신하도록 구성됨 - 과,
    상기 안테나 요소의 위상 배열에 인접하게 배치되고 송신된 전파를 상기 제 1 커버리지 각도보다 큰 제 2 커버리지 각도로 편향하도록 구성된 렌즈를 포함하는
    이동 디바이스의 장치.
  7. 안테나 시스템으로서,
    전파를 생성하도록 구성된 라디오 프론트 엔드 모듈과,
    반사기와,
    안테나 요소의 복수의 위상 배열 - 각각의 배열은 상기 반사기에 인접한 상이한 위치에 배치되고 상기 생성된 전파를 상기 반사기를 향해 송신하여 상기 반사기의 포커스를 상기 전파로 조사하도록 구성됨 - 을 포함하고,
    각각의 배열이 배치되는 상이한 위치는 상기 반사기로부터의 무선 주파수 방사를 다수의 좁은 빔으로 반사하며, 각각의 좁은 빔은 상이한 빔 스캐닝 섹터를 스캔하기 위해 상이한 방향으로 기울어져 있는,
    안테나 시스템.
  8. 제 7 항에 있어서,
    상기 복수의 위상 배열은 추가의 빔 스캐닝 섹터를 형성하기 위해 추가의 위상 배열을 포함하는
    안테나 시스템.
  9. 이동 디바이스의 장치로서,
    섀시(chassis)와,
    상기 섀시에 배치된 기판과,
    무선 주파수(radio frequency)(RF) 신호를 발생하도록 구성된 송수신기를 포함하는 등각적으로(conformably) 차폐된 집적 회로(IC) 다이 - 상기 IC 다이는 상기 새시 내 상기 기판에 연결됨 - 와,
    상기 섀시 상에 또는 상기 기판 외부의 상기 섀시 내에 배치된 하나 이상의 안테나 디렉터(antenna director)와,
    상기 송수신기에 연결되고 상기 RF 신호를 송신하여 상기 하나 이상의 안테나 디렉터와 상호 작용하도록 구성된 안테나 배열을 포함하고,
    상기 안테나 배열은 상기 기판의 제 1 면 내에 배치되거나, 또는 상기 기판의 제 2 면 상에 장착된 표면 실장 디바이스(surface mounted device)(SMD) 상에 또는 상기 SMD 내에 배치되며,
    상기 하나 이상의 안테나 디렉터는 상기 RF 신호를 지향시키도록 구성되는
    이동 디바이스의 장치.
  10. 이동 디바이스의 장치로서,
    기판과,
    무선 주파수(RF) 신호를 발생하도록 구성된 송수신기를 포함하는 집적 회로(IC) - 상기 IC는 상기 기판에 연결됨 - 와,
    복수의 수평 아암을 포함하고 상기 기판 내에 배치되는 다이폴 안테나와,
    수직 금속성 비아를 포함하는 표면 실장 디바이스(SMD)를 포함하고,
    상기 SMD는 상기 다이폴 안테나에 인접한 기판 상에 장착되고,
    상기 수직 금속성 비아는 상기 다이폴 안테나의 상기 복수의 수평 아암 중 하나의 수평 아암과 접촉하고,
    상기 수직 금속성 비아는 모노폴 안테나의 수직 아암을 포함하고,
    상기 RF 신호를 수신할 때, 상기 다이폴 안테나는 제 1 편파를 나타내도록 구성되고, 상기 모노폴 안테나의 수직 아암은 제 2 편파를 나타내도록 구성되는
    이동 디바이스의 장치.
  11. 다이폴 안테나로서,
    다이폴 안테나의 수평 아암을 포함하는 기판과,
    상기 기판에 연결되는, 집적 회로(IC) 다이 위의 IC 실드와,
    상기 IC 실드에 인접한 상기 기판 상에 실장된 표면 실장 디바이스(SMD)를 포함하고,
    상기 SMD는 상기 다이폴 안테나의 수직 아암을 포함하고,
    상기 수직 아암은 적어도 부분적으로 상기 SMD의 내부에 있고,
    상기 IC 실드는 상기 다이폴 안테나용 반사기를 형성하며,
    상기 다이폴 안테나는 상기 IC 다이로부터의 피드 라인에 의해 급전되는
    다이폴 안테나.
  12. 제 11 항에 있어서,
    상기 다이폴 안테나의 상기 수평 아암 및 상기 다이폴 안테나의 상기 수직 아암의 배열은 L-형상을 구성하는
    다이폴 안테나.
  13. 이동 디바이스의 장치로서,
    기판과,
    무선 주파수(RF) 체인을 생성하도록 구성된 집적 회로(IC) 위의 집적 회로(IC) 실드 - 상기 실드 및 상기 IC는 상기 기판에 연결됨 - 와,
    복수의 L-형상의 다이폴 안테나를 포함하는 안테나 배열 - 각각의 다이폴 안테나는 상기 IC 실드에 인접하여 위치되고, 각각의 다이폴 안테나는 상기 IC로부터 RF 체인에 의해 급전되도록 구성됨 - 을 포함하고,
    각각의 다이폴 안테나는 수평 아암 및 수직 아암을 포함하며,
    상기 복수의 다이폴 안테나는 인접한 쌍으로 배열되어 각각의 인접한 쌍의 수평 아암들은 반대 방향을 향하고 있는
    이동 디바이스의 장치.
  14. 제 13 항에 있어서,
    상기 복수의 다이폴 안테나는 복수의 L-형상의 안테나를 포함하는
    이동 디바이스의 장치
  15. 이동 디바이스의 장치로서,
    상부 층 및 하부 층을 포함하는 인쇄 회로 보드(printed circuit board)(PCB)와,
    상위 레벨 및 하위 레벨을 포함하는 집적 회로(IC) 칩 - 상기 IC 칩은 송수신기를 포함하고 상기 IC 칩은 상기 PCB의 상기 상부 층에 연결됨 - 과,
    상기 PCB에 인접한 상기 IC 칩의 상기 하위 레벨 내에 구성되고 상기 송수신기에 연결된 피드 전송 선로에 의해 급전되는 복수의 안테나 요소를 포함하는 안테나 배열과,
    상기 IC를 간섭으로부터 차폐하기 위해 상기 IC 위에 배치되고, 상기 PCB에 연결되는 IC 실드 - 상기 IC 실드 또는 상기 PCB 내의 접지 층 중 하나는 상기 안테나 배열의 접지를 구성함 - 를 포함하는
    이동 디바이스의 장치.
  16. 이동 디바이스의 장치로서,
    인쇄 회로 보드(PCB)에 연결된 집적 회로(IC) 상에 구성된 송수신기 - 상기 송수신기는 제 1 주파수 대역 및 제 2 주파수 대역의 무선 주파수(RF) 신호를 생성하도록 구성됨 - 와,
    상기 PCB 내에 배치된 제 1 안테나 및 상기 PCB 내에서 상기 제 1 안테나와 동축 관계로 배치된 제 2 안테나와,
    상기 송수신기 및 상기 제 1 안테나에 연결된 제 1 피드 메커니즘 - 상기 제 1 피드 메커니즘은 상기 제 1 안테나에 상기 제 1 주파수 대역의 RF 신호를 급전함 - 과,
    상기 제 1 피드 메커니즘에 직교하여 배치된 제 2 피드 메커니즘 - 상기 제 2 피드 메커니즘은 상기 송수신기 및 상기 제 2 안테나에 연결되고, 상기 제 2 피드 메커니즘은 상기 제 2 안테나에 상기 제 2 주파수 대역의 RF 신호를 급전함 - 과,
    상기 제 1 및 제 2 피드 메커니즘을 상이한 시간에 동작시키고 상기 제 1 안테나 및 상기 제 2 안테나의 각각을 상이한 시간에 활성화하도록 구성된 프로세싱 회로를 포함하고,
    상기 제 1 안테나는 제 1 시간에 활성화될 때, 상기 제 1 주파수 대역의 RF 신호를 제 1 편파로 송신하고, 상기 제 2 안테나는 제 2 시간에 활성화될 때, 상기 제 2 주파수 대역의 RF 신호를 상기 제 1 편파에 직교하는 제 2 편파로 송신하는
    이동 디바이스의 장치.
  17. 이동 디바이스의 장치로서,
    기판과,
    상기 기판에 연결된 집적 회로(IC)와,
    상기 IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기와,
    상기 기판에 연결되고, 상기 IC를 덮으며, 상기 IC를 간섭으로부터 보호하도록 구성된 전도성 실드와,
    상기 기판 외부의 섀시 상에 또는 새시 내부에 배치된 안테나 디렉터와,
    표면 실장 디바이스(SMD) 상에 또는 SMD 내부에 배치된 안테나와,
    상기 송수신기 및 상기 안테나에 연결된 이중 직교 피드 메커니즘 - 상기 안테나는 상기 RF 신호를 상이한 직교 편파로 송신하여 상기 안테나 디렉터와 상호 작용하도록 구성되며, 상기 안테나 디렉터는 상기 RF 신호를 지향시키도록 구성됨 - 를 포함하는
    이동 디바이스의 장치.
  18. 이동 디바이스의 장치로서,
    기판과,
    상기 기판에 연결된 집적 회로(IC)와,
    상기 IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기와,
    상기 기판에 연결되고, 상기 IC를 덮으며, 상기 IC를 간섭으로부터 보호하도록 구성된 전도성 실드와,
    상기 기판 외부의 섀시 상에 또는 새시 내부에 배치된 안테나 디렉터와,
    표면 실장 디바이스(SMD) 상에 또는 SMD 내부에 배치된 안테나와,
    상기 송수신기 및 상기 안테나에 연결된 단일 피드 메커니즘 - 상기 안테나는 상기 RF 신호를 단일 편파로 송신하여 상기 안테나 디렉터와 상호 작용하도록 구성되며, 상기 안테나 디렉터는 상기 RF 신호를 지향시키도록 구성됨 - 을 포함하는
    이동 디바이스의 장치.
  19. 이동 디바이스의 장치로서,
    기판과,
    상기 기판에 연결된 집적 회로(IC)와,
    상기 IC 내에서 무선 주파수(RF) 신호를 생성하도록 구성된 송수신기와,
    상기 기판에 연결되고, 상기 IC를 덮으며, 상기 IC를 간섭으로부터 보호하도록 구성된 전도성 실드와,
    상기 기판 외부의 섀시 상에 또는 새시 내부에 배치된 복수의 안테나 디렉터와,
    각각의 표면 실장 디바이스(SMD) 상에 또는 각각의 SMD 내부에 배치되거나, 또는 기판 상에 또는 기판 내에 배치된 안테나 배열을 구성하는 복수의 안테나 요소와,
    상기 송수신기 및 상기 안테나 배열의 상기 복수의 안테나 요소 각각에 연결된 피드 메커니즘을 포함하고,
    상기 안테나 배열의 상기 복수의 안테나 요소 각각은 상기 RF 신호를 송신하여 상기 복수의 안테나 디렉터와 상호 작용하도록 구성되며,
    상기 복수의 안테나 디렉터는 상기 RF 신호를 지향시키도록 구성되는
    이동 디바이스의 장치.
  20. 이동 디바이스의 장치로서,
    제 1 층 및 제 2 층을 포함하는 기판과,
    상기 기판의 제 1 층에 부착되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈(radio front end module)(RFEM);
    상기 IC를 덮고 상기 기판의 제 1 층에 부착되고 상기 IC를 간섭으로부터 보호하도록 구성된 전도성 실드와,
    상기 전도성 실드에 인접한 상기 기판에 연결된 표면 실장 디바이스(SMD)와,
    상기 RFEM에 연결되고 상기 기판에 수직하게 상기 SMD 내로 연장하는 금속화 비아를 포함하는 제 1 아암을 포함하는 적어도 하나의 지향성 모노폴 안테나를 포함하고,
    상기 지향성 모노폴 안테나는 상기 기판의 일부이고 상기 IC에 연결된 적어도 하나의 피드 메커니즘에 의해 급전되고,
    상기 지향성 모노폴 안테나는 상기 RF 신호를 제 1 극성으로 상기 RFEM로부터 외측 방향으로 송신하도록 구성되며,
    상기 전도성 실드는 상기 지향성 모노폴 안테나의 반사기인
    이동 디바이스의 장치.
  21. 이동 디바이스의 장치로서,
    제 1 층 및 제 2 층을 포함하는 기판과,
    상기 기판의 상기 제 1 층에 부착되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC)와,
    상기 IC를 덮고 상기 기판의 제 1 층에 부착되고 상기 IC를 간섭으로부터 보호하도록 구성된 전도성 실드와,
    복수의 제 1 안테나 배열 - 각각의 제 1 안테나 배열은 복수의 지향성 모노폴 안테나의 반사기인 상기 전도성 실드에 인접한 복수의 지향성 모노폴 안테나 요소를 포함함 - 과,
    복수의 제 2 안테나 배열 - 각각의 제 2 안테나 배열은 복수의 지향성 다이폴 안테나의 접지 평면인 상기 기판의 상기 제 2 층에 평행한 복수의 지향성 다이폴 안테나 요소를 포함함 -
    을 포함하고,
    상기 복수의 지향성 모노폴 안테나 요소와 상기 복수의 지향성 다이폴 안테나 요소는 각각 서로 인접하여 배치되고,
    상기 복수의 지향성 모노폴 안테나 각각은 상기 RF 신호를 제 1 편파로 송신하도록 구성되고, 상기 복수의 지향성 다이폴 안테나 각각은 상기 RF 신호를 상기 제 1 편파와 직교하는 제 2 편파로 송신하도록 구성되는
    이동 디바이스의 장치.
  22. 이동 디바이스의 장치로서,
    기판과,
    상기 기판에 연결된 집적 회로(IC) - 상기 IC는 제 1 무선 주파수(RF) 신호를 생성하도록 구성된 송신기(TX) 및 수신된 제 2 RF 신호를 처리하도록 구성된 수신기(RX)를 포함하는 송수신기를 포함하고, 상기 TX 및 RX는 상이한 시간에 동작함 - 와,
    상기 기판 상에 구성된 이중 피드 안테나 - 상기 이중 피드 안테나는 TX 피드라인 매칭 포인트(feedline matching point) 및 RX 피드라인 매칭 포인트를 포함하고, 상기 제 1 피드 메커니즘은 상기 이중 피드 안테나의 상기 TX 피드라인 매칭 포인트에 직접 연결되고, 상기 제 2 피드 메커니즘은 상기 이중 피드 안테나의 상기 RX 피드라인 매칭 포인트 직접 연결됨 - 를 포함하고,
    상기 제 1 RF 신호는 상기 이중 피드 안테나에 의해 송신되고 상기 제 2 RF 신호는 상기 이중 피드 안테나에 의해 수신되는
    이동 디바이스의 장치.
  23. 제 22 항에 있어서,
    상기 TX는 제 1 피드 메커니즘에 연결된 전력 증폭기(power amplifier)(PA)를 포함하고, 상기 RX는 제 2 피드 메커니즘에 연결된 저잡음 증폭기(low noise amplifier)(LNA)를 포함하는
    이동 디바이스의 장치.
  24. 이동 디바이스의 장치로서,
    기판과,
    상기 기판 상에 구성된 복수의 안테나 배열과,
    상기 기판에 부착된 제 1 섹션 및 상기 제 1 섹션에 연결된 커버를 포함하는 집적 회로(IC) 실드와,
    상기 기판에 연결되고 상기 제 1 섹션 내에 위치한 IC를 포함하고,
    상기 커버의 영역은 상기 안테나 배열의 반사기가 되어 상기 안테나의 이득을 개선하고,
    상기 제 1 섹션의 일부는 상기 커버의 공간을 통해 연장하여 상기 안테나 배열의 상기 반사기로서 상기 커버의 영역을 연장시키고,
    상기 연장된 영역은 상기 복수의 안테나 배열 중 적어도 하나의 안테나 배열용 반사기로서 구성되는
    이동 디바이스의 장치.
  25. 이동 디바이스의 장치로서,
    기판과,
    상기 기판에 연결되고 무선 주파수(RF) 신호를 생성하도록 구성된 집적 회로(IC)를 포함하는 라디오 프론트 엔드 모듈(RFEM)과,
    상기 IC에 연결된 피딩 메커니즘에 의해 급전되는 안테나 배열 - 상기 안테나 배열은 상기 RF 신호를 송신하도록 구성됨 - 과,
    상기 IC를 덮는 전도성 IC 실드와,
    안테나 배열 송신(antenna array transmission)에 간섭하는 안테나 배열에 인접한 장애물과,
    상기 기판에 연결된 인터포저(interposer)를 포함하고,
    상기 안테나 배열 및 상기 전도성 IC 실드는 상기 인터포저에 실장되고,
    상기 인터포저는 안테나 배열 송신을 개선하기 위해 높이를 증가시키는
    이동 디바이스의 장치.
  26. 통신 디바이스의 장치로서,
    디지털 극변조 송신기(digital polar transmitter)를 포함하고,
    상기 디지털 극변조 송신기는,
    직교좌표 입력 신호(rectangular input signal)에 기초하여 극좌표 출력 신호(polar output signal)를 제공하도록 구성된 직교좌표-극좌표 변환기(rectangular-to-polar converter)와,
    무선 주파수(RF) 발진기 신호를 수신하고, 상기 RF 발진기 신호의 수신에 응답하여 상기 극좌표 출력 신호에 기초한 디지털-시간 변환기(digital-to-time converter)(DTC) 출력 신호를 생성하도록 구성된 디지털-시간 변환기(DTC)와,
    상기 DTC 출력 신호 및 mmWave 주파수의 출력 발진기 신호를 수신하도록 구성되는 출력 발진기를 포함하는
    통신 디바이스의 장치.
  27. 수신기의 장치로서,
    피드 포워드 등화기(feedforward equalizer)(FFE)를 포함하고,
    상기 FFE는,
    직렬로 연결되고 수직 및 수평 편파된 병렬의 동 위상(I) 및 직교 위상(Q) 신호 입력을 포함하는 복수의 FFE 스테이지를 포함하고,
    각각의 FFE 스테이지는,
    복수의 지연과,
    상기 복수의 지연 각각에 인접한 탭에서 상기 수직 및 수평 편파된 I 및 Q 신호의 교차 결합(cross-coupling) - 상기 교차 결합은 교차 결합된 수직 및 수평 편파된 I 및 Q 신호를 생성하도록 구성됨 - 을 포함하는
    수신기의 장치.
  28. 수신기의 장치로서,
    결정 피드백 등화기(Decision Feedback Equalizer)(DFE)를 포함하고,
    상기 DFE는,
    직렬 체인 및 병렬 체인을 포함하는 경로 - 상기 직렬 체인은 적어도 1 비트 출력 및 2 비트의 최상위 비트(most significant bit)(MSB) 및 최하위 비트(least significant bit)(LSB) 출력을 생성하도록 구성됨 - 와,
    상기 직렬 체인과 상기 병렬 체인 중에서 선택하도록 구성된 선택기와,
    상기 경로를 따라 배치된 복수의 탭 - 상기 복수의 탭의 개수는 선택된 직렬 체인 및 병렬 체인에 종속하고, 상기 복수의 탭으로부터의 출력은 포스트 커서(post-cursor) 심볼 간 간섭(inter-symbol interference)(ISI)을 보상하도록 구성됨 - 을 포함하는
    수신기의 장치.
  29. mmWave 통신 디바이스의 장치로서,
    mmWave 빔포밍된 신호(beamformed signal)를 수신하도록 구성된 수신기 하이브리드 빔포밍 아키텍처 - 상기 수신기 하이브리드 빔포밍 아키텍처는 상이한 분해능을 갖는 상이한 개수의 아날로그-디지털 변환기(analog-to-digital converter)(ADC)를 갖는 아날로그 수신기 빔포밍 아키텍처 및 디지털 수신기 빔포밍 아키텍처를 포함함 - ; 또는
    mmWave 빔포밍 신호를 송신하도록 구성된 송신기 하이브리드 빔포밍 아키텍처 - 상기 송신기 하이브리드 빔포밍 아키텍처는 상이한 분해능을 갖는 상이한 개수의 디지털-아날로그 변환기(digital-to-analog converter)(DAC)를 갖는 아날로그 송신기 빔포밍 아키텍처 및 디지털 송신기 빔포밍 아키텍처를 포함함 -
    중적어도 하나를 포함하는
    mmWave 통신 디바이스의 장치.
  30. mmWave 통신 디바이스의 장치로서,
    mmWave 빔포밍된 신호를 수신하도록 구성된 수신기 빔포밍 아키텍처 - 상기 디지털 수신기 빔포밍 아키텍처는 가변 분해능 아날로그-디지털 변환기(ADC)를 포함함 - 와,
    mmWave 빔포밍된 신호를 송신하도록 구성된 송신기 빔포밍 아키텍처 - 상기 송신기 빔포밍 아키텍처는 가변 분해능 디지털-아날로그 변환기(DAC)를 포함함 - 를 포함하고,
    상기 ADC 또는 DAC의 분해능은 상기 수신기 또는 상기 송신기 빔포밍 아키텍처에서 사용되는 ADC 또는 DAC의 개수를 감소시키지 않고 미리 결정된 송수신기 전력 소산 제한까지 전력 소비를 제한하도록 적응되는
    mmWave 통신 디바이스의 장치.
  31. 통신 디바이스의 장치로서,
    빔포밍된 신호를 통신하도록 구성된 안테나에 대해 조향 각도(steering angle)를 설정하도록 구성된 복수의 위상 변위기를 포함하는 아날로그 또는 하이브리드 빔포밍 아키텍처와,
    프로세서를 포함하고,
    상기 프로세서는,
    상기 안테나에 빔 조향을 제공하는 코드북을 결정 - 상기 코드북은 상기 안테나의 조향 각도의 서브 세트로 제한됨 - 하고,
    상기 조향 각도의 서브세트 내의 제한된 조향 각도의 결정 및 상기 제한된 조향 각도를 특정 조향 각도로 변위시키는 정수 변위 값의 결정을 통해 상기 조향 각도의 서브세트 이외의 상기 특정 조향 각도를 설정하는 입력을 위상 변위기에 제공하도록 구성되는
    통신 디바이스의 장치.
  32. 전하 펌프의 장치로서,
    복수의 상이한 제어 신호에 의해 제어되는 복수의 스위치와,
    상기 복수의 스위치가 연결된 출력 캐패시터를 포함하고,
    상기 출력 캐패시터 상의 전압은 누설 캐패시턴스 및 상기 전하 펌프의 출력 전압이 전류 기준 또는 전하 축적 디바이스를 사용하지 않는 상기 스위치의 문턱 값 이하 주입(subthreshold injection)에 의해 제어되는
    전하 펌프의 장치.
  33. 통신 디바이스의 장치로서,
    수신기 회로를 포함하고,
    상기 수신기 회로는,
    빔포밍된 신호를 수신하도록 구성된 복수의 제 1 양자화기와,
    상기 빔포밍된 신호를 상기 양자화기에 공급하기 전에, 아날로그 보상된 신호를 상기 빔포밍된 신호에 제공하여 보상된 빔포밍된 신호를 형성하도록 구성된 피드포워드 루프를 포함하고,
    상기 빔포밍된 신호는 송신기로부터의 신호 및 간섭원 신호(interferer signal)를 포함하고, 상기 아날로그 보상 신호는 상기 간섭원 신호를 보상하도록 구성되는
    통신 디바이스의 장치.
  34. 통신 디바이스의 장치로서,
    수신기를 포함하고, 상기 수신기는,
    상기 수신기의 아날로그 도메인에서, 복수의 빔포밍 안테나 각각에서 수신된 무선 주파수(RF) 신호 내의 간섭을 보상하여 아날로그 보상된 신호를 생성하도록 구성된 보상 회로와,
    상기 보상 회로에 종속하는 아날로그 입력 신호를 양자화된 출력으로 변환하는 양자화기와,
    기저대역 프로세서를 포함하고,
    상기 프로세서는,
    상기 양자화된 출력에 종속하는 기저대역 입력 신호를 수신하고,
    상기 보상의 역(inversion)을 상기 기저대역 입력 신호에 적용하여 상기 RF 신호의 디지털 버전을 재구성하고,
    상기 RF 신호의 상기 디지털 버전에 대해 신호 처리를 수행하는
    통신 디바이스의 장치.
  35. 통신 디바이스의 장치로서,
    아날로그-디지털 변환기 시스템(analog to digital converter system)(ADCS)을 포함하고,
    상기 ADCS는,
    조절 가능한 ADC 구성 - 상기 ADC 구성은,
    평균화 모드에서의 병렬 동작과 시간 인터리빙된 모드에서의 직렬 동작 사이에서 조정 가능한 복수의 코어 ADC를 포함함 - 을 포함하고,
    상기 ADCS는 상기 통신 디바이스의 더 높은 분해능, 더 낮은 대역폭 동작을 위해 상기 평균화 모드로 설정되고 상기 통신 디바이스의 더 낮은 분해능, 더 높은 속도의 동작을 위해 상기 시간 인터리빙된 모드로 설정되는
    통신 디바이스의 장치.
  36. 통신 디바이스의 장치로서,
    빔포밍된 신호를 수신하도록 구성된 복수의 아날로그-디지털 변환기(ADC)를 포함하는 수신기 회로 - 상기 수신기 회로는 상기 빔포밍된 신호가 상기 ADC에 공급되기 전에 상기 빔포밍된 신호에 아날로그 보상을 제공함 - 를 포함하고,
    상기 빔포밍된 신호는 원하는 신호 및 간섭원 신호를 포함하고, 상기 보상은 상기 간섭원 신호를 보상하고 상기 ADC의 동적 이득을 감소시키도록 구성되는
    통신 디바이스의 장치.
  37. 아날로그-디지털 변환기(ADC)의 교정 회로로서,
    복수의 신호 채널 - 각각의 신호 채널은 무선 주파수 송수신기의 송신 경로에 있는 디지털-아날로그 변환기(DAC) 및 상기 송수신기의 수신 경로에 있는 클록에 의해 구동되는 ADC를 포함함 - 과,
    적어도 하나의 신호 채널의 송신 경로에서 기준 신호를 생성하는 기준 신호 생성기와,
    상기 기준 신호를 상기 적어도 하나의 신호 채널의 상기 송신 경로에 대응하는 상기 수신 경로로 송신하는 루프백 연결부와,
    상기 기준 신호와 연관된 추정된 시간 왜곡(time skew)을 결정하는 위상 추정기와,
    상기 추정된 시간 왜곡을 보상하기 위해 클록 타이밍을 제어하는 지연 보정 회로 - 상기 지연 보정 회로는 상기 추정된 시간 왜곡에 대한 입력을 포함함 - 를 포함하는
    아날로그-디지털 변환기용 교정 회로.
  38. 이득 보정 디바이스를 갖는 아날로그-디지털 변환기(ADC)로서,
    정상 동작 모드에서의 디바이스 입력과 교정 모드에서의 기준 전압 입력 사이를 스위칭하여 스위칭된 신호를 출력하는 스위치와,
    복수의 신호 채널 - 각각의 신호 채널은 스위칭된 신호의 슬라이스를 수신하고 디지털 출력 신호를 출력하는 아날로그-디지털 변환기(ADC)를 포함함 - 과,
    상기 ADC의 상기 디지털 출력 신호로부터 조합된 출력 신호를 선택하는 선택 회로와,
    상기 정상 동작 모드 동안 신호를 조정하여 이득 조정된 출력 신호를 생성하고 상기 교정 모드 동안 측정 신호를 생성하는 측정 및 보정 유닛과,
    상기 스위치와 상기 측정 및 보정 유닛을 상기 정상 동작 모드 또는 상기 교정 모드에서 동작하도록 제어하고, 상기 측정 신호 데이터를 메모리에 저장하여 상기 조합된 출력 신호를 조정하고, 상기 신호 채널의 인터리브 타이밍을 제어하는 제어기를 포함하는
    이득 보정 디바이스를 갖는 아날로그-디지털 변환기(ADC).
  39. 위상 배열 송신기(phased array transmitter)로서,
    복수의 송신 채널 - 각각의 송신 채널은 안테나 및 상기 안테나에 연결된 송신 증폭기를 포함함 - 과,
    출력 신호를, 각각의 안테나에서의 출력을 위한 상기 송신 채널 내의 상기 송신 증폭기로 향하는 복수의 출력 채널 신호로 분할하는 송신 전력 분할기(transmission power splitter)와,
    디지털 송신 데이터를 상기 복수의 출력 채널 신호로 분할되는 상기 출력 신호로 변환하는 변환 회로와,
    외부 위상 배열 송수신기(external phased array transceiver)(EPAT)의 전력 송신 신호 특성에 관한 신호의 비선형성 특성을 결정하고 상기 EFAT의 비선형성을 보정하기 위한 비선형성 데이터를 IF 송신기 스테이지에 제공하여 상기 EFAT로 송신하는 외부 비선형 데이터 프로세서를 포함하는
    위상 배열 송신기.
  40. 프로세서 및 메모리를 포함하는 수신기의 이득 제어 디바이스로서,
    상기 프로세서는,
    디더링 동작 모드(dithering operation mode)에서:
    제 1 신호 전력 레벨에서 제 1 입력 신호를 수신하고,
    스위치를 사용하여, 제 1 및 제 2 AGC 이득 설정을 개별적으로 상기 제 1 입력 신호에 적용하고 상기 제 1 및 제 2 AGC 이득 설정에 대한 제 1 및 제 2 신호 품질 측정치(signal quality measure)(SQM)를 각각 측정하고,
    상기 제 1 및 제 2 SQM에 기초하여 상기 제 1 AGC 이득 설정의 사용과 상기 제 2 AGC 이득 설정의 사용 사이를 스위칭하는 전력 레벨의 문턱 값을 결정하며,
    정상 동작 모드에서:
    상기 문턱 값에 기초하여 상기 제 1 신호 전력 레벨에서 제 2 입력 신호에 상기 제 1 또는 제 2 AGC 이득 설정을 사용할지를 결정하도록 구성되는
    수신기용 이득 제어 디바이스.
  41. 위상 배열 무선 송수신기(phased array radio transceiver)로서,
    복수의 셀 - 각각의 셀은,
    송신기와,
    수신기와,
    디지털 프로세싱 블록과,
    입력-출력 및 위상 결합 유닛과,
    인접 셀과 통신하는 복수의 셀 에지 각각마다의 멀티플렉서 및 디멀티플렉서를 포함함 - 과,
    상기 복수의 셀을 상호 연결하고, 상기 복수의 셀 사이에서 발진기 신호 및 제어 신호를 전달하는 버스를 포함하는
    위상 배열 무선 송수신기.
  42. 위상 배열 송수신기의 주입 고정 변조 회로(injection-locked modulation circuit)로서,
    용량성 디지털-아날로그 변환기(capacitive digital-to-analog converter)(CAP-DAC)에 연결된 인덕터를 포함하는 탱크 회로 - 상기 탱크 회로는 데이터 입력 신호에 의해 수정 가능한 주파수를 가짐 - 와,
    주입 주파수를 고정시켜 상기 탱크 회로의 출력 주파수를 출력 캐리어 주파수의 저조파(subharmonic)에서 고정하는 주입 회로와,
    상기 고정된 출력 주파수에 정수를 곱하여 캐리어 주파수를 생성하는 주파수 생성기를 포함하는
    위상 배열 송수신기의 주입 고정 변조 회로.
  43. 무선 수신기에서 무선 변조 신호에 대한 클록 및 데이터 복구(clock and data recover)(CDR)를 수행하기 위한 장치로서,
    상기 수신기에 의해 수신된 변조 신호를 처리하는 동 위상(I) 및 직교(Q) 채널과,
    복수의 모드 값을 조정 표시와 함께 저장하는 메모리와,
    프로세서를 포함하는 모드 유닛을 포함하고,
    상기 프로세서는,
    상기 I 및 Q 채널로부터 데이터를 수신하고,
    상기 메모리로부터 현재 모드를 판독하고,
    상기 현재 모드에 기초하여 상기 조정 표시와 일치하는 신호의 현재 샘플링 위상을 조정하는
    무선 수신기에서 무선 변조 신호에 대한 클록 및 데이터 복구(CDR)를 수행하기 위한 장치.
  44. 제 43 항에 있어서,
    상기 메모리는 모드 테이블을 포함하는
    무선 수신기에서 무선 변조 신호에 대한 클록 및 데이터 복구(CDR)를 수행하기 위한 장치.
  45. 프로세서 및 메모리를 포함하는 무선 주파수(RF) 수신기의 이득 제어(automatic gain control)(AGC) 회로로서,
    상기 프로세서는,
    직교 변조된 신호로부터 복수의 양자화된 신호를 수신하고,
    상기 복수의 양자화된 신호를 이들의 양자화된 전력 레벨에 따라 동 위상(I)/직교(Q) 양자화 빈(quantization bin)으로 구성된 콘스텔레이션 맵(constellation map)의 영역으로 할당하고,
    상기 할당된 양자화된 신호에 기초하여 최대 우도 추정기(maximum likelihood estimator)(MLE)를 결정하고,
    상기 MLE에 기초하여 전력을 추정하고,
    추정된 전력에 기초하여 추가의 수신 신호에 대한 가변 이득 증폭기를 조정하는
    무선 주파수(RF) 수신기의 이득 제어(AGC) 회로,
  46. 위상 배열 송수신기에서 안테나 배열을 제어하기 위한 디바이스로서,
    복수의 송수신기 슬라이스 - 각각의 송수신기 슬라이스는,
    상기 디바이스의 안테나 배열의 일부를 형성하는 안테나 요소와,
    송신 모드(transmit mode)(TM) 동작과 수신 모드(receive mode)(RM) 동작 사이에서 스위칭 가능한 송신 및 수신 스위치와,
    가변 저잡음 증폭기 및 위상 변위기를 포함하는 수신 경로 - 상기 수신 경로는 상기 수신 모드의 상기 안테나 요소에 연결됨 - 와,
    가변 전력 증폭기 및 위상 변위기를 포함하는 송신 경로 - 상기 송신 경로는 상기 송신 모드의 상기 안테나에 연결됨 - 를 포함함 - 와,
    활성화된 안테나 요소의 개수에 매핑되는 이득 조정 값을 포함하는 메모리와,
    상기 이득 조정 값에 기초하여 상기 안테나 배열의 최소 전류 드레인 설정을 구성하는 프로세서를 포함하는
    위상 배열 송수신기에서 안테나 배열을 제어하기 위한 디바이스.
  47. 제 46 항에 있어서,
    상기 메모리는 상기 이득 조정 값을 저장하는 이득 테이블을 포함하는
    위상 배열 송수신기에서 안테나 배열을 제어하기 위한 디바이스.
  48. 디지털-아날로그 회로 디바이스로서,
    전류 소스 및 상기 전류 소스를 드레인 쪽으로 향하게 하기 위한 제 1 복수의 스위칭 가능한 경로를 포함하는 제 1 구성요소와,
    상기 제 1 구성요소에 연결되고 상기 제 1 복수의 스위칭 가능한 경로와 연관되고, 스위치 온되는 경로의 제 1 개수의 경로에 기초하는 전압 기준 포인트와,
    상기 전압 기준 포인트에 연결된 제 2 구성요소 - 상기 제 2 구성요소는 제 2 복수의 스위칭 가능한 경로 및 상기 스위치 온되는 제 2 개수의 경로 및 상기 전압 기준 포인트에 기초하는 상기 제 2 구성 요소와 연관된 출력을 포함함 - 를 포함하는
    디지털-아날로그 회로 디바이스.
  49. 무선 주파수 수신기 디바이스의 등화기 디바이스로서,
    디지털 프로세싱부 - 복수의 입력은 수신기의 상기 디지털 프로세싱부 상의 동 위상(I) 신호 라인 및 직교(Q) 신호 라인에 연결됨 - 와,
    아날로그 프로세싱부와,
    상기 복수의 입력의 입력 신호에 대해 동작하여 복수의 출력에 대한 신호를 생성하는 복수의 필터 및 프로세싱 요소를 포함하고,
    상기 복수의 출력은 상기 수신기의 상기 디지털 프로세싱부 상의 I 신호 라인 및 Q 신호 라인에 연결되는
    무선 주파수 수신기 디바이스의 등화기 디바이스.
  50. 양방향 증폭기를 포함하는 장치로서,
    상기 양방향 증폭기는,
    송신(transmit)(Tx) 모드에서 Tx 신호를 증폭하여 증폭된 Tx 신호를 제공하는 제 1 증폭기와,
    수신(receive)(Rx) 모드에서 Rx 신호를 증폭하여 증폭된 Rx 신호를 제공하는 제 2 증폭기와,
    상기 Tx 모드에서 제 1 입력 또는 출력으로부터 상기 Tx 신호를 상기 제 1 증폭기로 제공하고, 상기 Rx 모드에서 상기 제 1 입력 또는 출력에서 상기 제 2 증폭기로부터 상기 증폭된 Rx 신호를 출력하는 제 1 변압기와,
    상기 Rx 모드에서 제 2 입력 또는 출력으로부터 Rx 신호를 상기 제 2 증폭기로 제공하고, 상기 Tx 모드에서 상기 제 2 입력 또는 출력에서 상기 제 1 증폭기로부터 상기 증폭 Tx 신호를 출력하는 제 2 변압기와,
    상기 Tx 모드에서, 복수의 활성화 전압을 상기 제 1 증폭기로 스위칭하고 복수의 비활성화 전압을 상기 제 2 증폭기로 스위칭하는 복수의 스위치 - 상기 복수의 스위치는 상기 Rx 모드에서 상기 복수의 활성화 전압을 상기 제 2 증폭기로 스위칭하고 상기 복수의 비활성화 전압을 상기 제 1 증폭기로 스위칭함 - 를 포함하는
    양방향 증폭기를 포함하는 장치.
  51. 결합기 모드와 분할기 모드 사이에서 스위칭 가능한 능동 양방향 분할기/결합기(active bi-directional splitter/combiner)(ABDSC)를 포함하는 장치로서,
    상기 ABDSC는,
    결합기 모드에서, 각각의 복수의 안테나 포트로부터 복수의 수신(Rx) 신호를 수신하고, 분할기 모드에서, 복수의 송신(Tx) 신호를 상기 각각의 복수의 안테나 포트로 출력하는 복수의 안테나 인터페이스와,
    상기 ABDSC를 증폭 회로에 동작 가능하게 연결하는 변압기 - 상기 변압기는 상기 분할기 모드에서, Tx 신호를 상기 증폭 회로로부터 상기 복수의 안테나 인터페이스로 전달하고, 상기 결합기 모드에서, 상기 복수의 RX 신호를 상기 증폭 회로에 제공될 결합된 Rx 신호로 결합함 - 를 포함하는
    결합기 모드와 분할기 모드 사이에서 스위칭 가능한 능동 양방향 분할기/결합기(ABDSC)를 포함하는 장치.
  52. 디지털 제어 신호에 기초하여 입력 신호를 제어 가능하게 증폭 및 변조하는 디지털 전력 증폭기(PA)를 포함하는 장치로서,
    상기 디지털 PA는,
    상기 디지털 제어 신호에 의해 제어 가능하며, 복수의 증폭된 변조된 신호를 제공하는 복수의 적층 게이트 제어 증폭기(stacked gate controlled amplifier) - 상기 복수의 적층 게이트 제어 증폭기의 적층 게이트 제어 증폭기는 상기 입력 신호를 수신하는 제 1 입력, 상기 디지털 제어 신호를 수신하는 제 2 입력 및 상기 복수의 증폭된 변조된 신호의 증폭된 변조된 신호를 제공하는 출력을 가짐 - 와,
    상기 복수의 증폭된 변조된 신호를 출력 전력 레벨 및 변조를 갖는 결합기 출력 신호로 결합하는 결합기 - 상기 출력 전력 레벨 및 변조는 상기 디지털 제어 신호에 기초함 - 를 포함하는
    디지털 제어 신호에 기초하여 입력 신호를 제어 가능하게 증폭 및 변조하는 디지털 전력 증폭기(PA)를 포함하는 장치.
  53. 2-스테이지 도허티 증폭기를 포함하는 장치로서,
    상기 2-스테이지 도허티 증폭기는,
    제 1 스테이지에서 드라이버 증폭된 입력 신호를 증폭하여 드라이버 무선 주파수(RF) 신호를 제공하는 적어도 하나의 드라이버 증폭기와,
    제 2 스테이지에서 상기 드라이버 RF 신호를 증폭하여 메인 증폭기 신호를 제공하는 적어도 하나의 메인 증폭기와,
    상기 드라이버 RF 신호의 레벨에 기초하여 온(On) 상태가 되고, 상기 온 상태에서, 상기 드라이버 RF 신호를 증폭하여 피킹 증폭기 신호를 제공하는 적어도 하나의 제어 가능한 피킹 증폭기와,
    상기 메인 증폭기 신호를 상기 피킹 증폭기 신호와 결합하는 서브-쿼터 파장(sub-quarter wavelength) 발룬 - 상기 SQWL 발룬은 상기 적어도 하나의 드라이버 증폭기의 적어도 하나의 출력과, 상기 적어도 하나의 메인 증폭기의 적어도 하나의 입력과, 상기 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 입력 사이의 임피던스를 매칭시키는 제 1 전송 선로를 포함하고, 상기 SQWL 발룬은 상기 적어도 하나의 메인 증폭기의 적어도 하나의 출력과 상기 적어도 하나의 제어 가능한 피킹 증폭기의 적어도 하나의 출력 사이의 임피던스를 매칭시키는 제 2 전송 선로를 포함함 - 을 포함하는
    2-스테이지 도허티 증폭기를 포함하는 장치.
  54. 동 위상(I) 직교 위상(Q)(in phase(I) quadrature phase(Q))(I/Q) 생성기를 포함하는 장치로서,
    상기 I/Q 생성기는,
    LO 신호를 생성하는 국부 발진기(local oscillator)(LO)와,
    송신(transmit)(Tx) 모드에서 상기 LO 신호에 기초하여 위상 변조된 Tx 신호를 생성하고, 수신(receive)(Rx) 모드에서 상기 LO 신호에 기초하여 위상 변조된 I 신호를 생성하는 제 1 제어 가능한 위상 변조 체인과,
    상기 Rx 모드에서, 상기 LO 신호에 기초하여 위상 변조된 Q 신호를 생성하는 제 2 제어 가능한 위상 변조 체인과,
    상기 Rx 모드에서, 하나 이상의 안테나 포트로부터의 Rx 신호를 상기 위상 변조된 I 신호에 기초하여 I-위상 변조된 하향 변환 신호로 하향 변환하고, 상기 위상 변조된 Q 신호에 기초하여 Q-위상 변조된 하향 변환 신호로 하향 변환하는 믹서 회로를 포함하는
    동 위상(I) 직교 위상(Q)(I/Q) 생성기를 포함하는 장치.
  55. 무선 주파수(RF) 증폭기를 포함하는 장치로서,
    상기 RF 증폭기는,
    제 1 입력 신호에 기초한 제 1 동 위상(I) 신호 및 제 2 입력 신호에 기초한 제 1 직교 위상(Q) 신호를 제공하는 제 1 아웃페이징 증폭기 회로와,
    상기 제 1 입력 신호에 기초한 제 2 I 신호 및 상기 제 2 입력 신호에 기초한 제 2 Q 신호를 제공하는 제 2 아웃페이징 증폭기 회로와,
    상기 제 3 입력 신호에 기초한 제 3 I 신호 및 상기 제 4 입력 신호에 기초한 제 3 Q 신호를 제공하는 제 3 아웃페이징 증폭기 회로와,
    제 3 입력 신호에 기초한 제 4 I 신호 및 제 4 입력 신호에 기초한 제 4 Q 신호를 제공하는 제 4 아웃페이징 증폭기 회로와,
    상기 제 1 I 신호 및 상기 제 2 I 신호를 제 1 전송 선로에 연결하는 제 1 유도성 스텁, 상기 제 3 I 신호 및 상기 제 4 I 신호를 제 2 전송 선로에 연결하는 제 2 유도성 스텁, 상기 제 1 Q 신호 및 상기 제 2 Q 신호를 상기 제 1 전송 라인에 연결하는 제 1 용량성 스텁 및 상기 제 3 Q 신호 및 상기 제 4 Q 신호를 상기 제 2 전송 라인에 연결하는 제 2 용량성 스텁을 포함하는 서브-쿼터 파장(SQWL) 4-방향 발룬 - 상기 제 1 전송 선로는 상기 제 1 I 신호, 상기 제 2 I 신호, 상기 제 1 Q 신호 및 상기 제 2 Q 신호의 결합에 기초한 제 1 RF 신호를 제공하고, 상기 제 2 전송 선로는 상기 제 3 I 신호, 상기 제 4 I 신호, 상기 제 3 Q 신호 및 상기 제 4 Q 신호의 결합에 기초한 제 2 RF 신호를 제공함 - 을 포함하는
    무선 주파수(RF) 증폭기를 포함하는 장치.
  56. 제어 가능한 위상 변위기를 포함하는 장치로서,
    상기 제어 가능한 위상 변위기는,
    동 위상(I) 신호 및 직교 위상(Q) 신호에 기초하여 위상 변위된 I 신호를 제공하는 I 위상 변위 회로 - 상기 I 위상 변위 회로는 제 1 제어 신호에 따라 상기 I 신호의 위상을 변위시킴으로써 제 1 변위된 I 신호를 제공하고, 제 2 제어 신호에 따라 상기 Q 신호의 위상을 변위시킴으로써 제 1 변위된 Q 신호를 제공하며, 상기 제 1 변위된 I 신호를 상기 제 1 변위된 Q 신호와 결합함으로써 상기 위상 변위된 I 신호를 제공하도록 구성됨 - 와,
    상기 Q 신호 및 상기 I 신호에 기초하여 위상 변위된 Q 신호를 제공하는 Q 위상 변위 회로 - 상기 Q 위상 변위 회로는 제 3 제어 신호에 따라 상기 I 신호의 위상을 변위시킴으로써 제 2 변위된 I 신호를 제공하고, 제 4 제어 신호에 따라 상기 Q 신호의 위상을 변위시킴으로써 제 2 변위된 Q 신호를 제공하며, 상기 제 2 변위된 I 신호를 상기 제 2 변위된 Q 신호와 결합함으로써 상기 위상 변위된 Q 신호를 제공하도록 구성됨 - 를 포함하는
    제어 가능한 위상 변위기를 포함하는 장치.
  57. 안테나 단자를 전력 증폭기(PA) 및 저전력 증폭기(LNA)와 인터페이스하는 PA LNA(PA-LNA) 인터페이스를 포함하는 장치로서,
    상기 PA-LNA 인터페이스는,
    상기 PA로부터의 송신(Tx) 신호에 기초하여 감지된 신호를 제공하는 센서와,
    상기 감지된 신호의 위상을 회전시켜 위상 회전된 신호를 제공하는 위상 회전기와,
    상기 Tx 신호의 진폭에 기초하여 상기 위상 회전된 신호를 증폭하여 Tx 누설 소거 신호를 제공하는 가변 이득 증폭기(variable gain amplifier)(VGA)와,
    제 1 결합기 입력 신호를 제 2 결합기 입력 신호와 결합하는 결합기 - 상기 제 1 결합기 입력 신호는 상기 Tx 누설 소거 신호를 포함하고, 상기 제 2 결합기 입력 신호는 상기 Tx 신호로부터 상기 LNA 로의 Tx 누설을 포함함 - 를 포함하는
    안테나 단자를 전력 증폭기(PA) 및 저전력 증폭기(LNA)와 인터페이스하는 PA LNA(PA-LNA) 인터페이스를 포함하는 장치.
  58. 적어도 하나의 동 위상(I) 직교 위상(Q) (IQ) 생성기를 포함하는 국부 발진기(LO) 분배 네트워크 회로를 포함하는 장치로서,
    상기 I/Q 생성기는,
    제 1 주파수를 갖는 LO 신호에 기초하여 제 1 위상 변위된 신호 및 제 2 위상 변위된 신호를 생성하는 위상 변위 회로 - 상기 제 2 위상 변위된 신호의 위상은 상기 제 1 위상 변위된 신호의 위상으로부터 약 30도만큼 변위됨 - 와,
    상기 제 1 위상 변위된 신호의 위상을 3 배 늘리고 상기 제 1 위상 변위된 신호의 주파수를 3 배 늘림(tripling)으로써, 제 2 주파수를 갖는 I 신호를 생성하는 제 1 트리플러 회로(triplier circuit)와,
    상기 제 2 위상 변위된 신호의 위상을 3 배 늘리고 상기 제 2 위상 변위된 신호의 주파수를 3 배 늘림으로써, 상기 제 2 주파수를 갖는 Q 신호를 생성하는 제 2 트리플러 회로를 포함하는
    적어도 하나의 동 위상(I) 직교 위상(Q) (IQ) 생성기를 포함하는 국부 발진기(LO) 분배 네트워크 회로를 포함하는 장치.
  59. 광대역 증폭기 회로를 포함하는 장치로서,
    상기 광대역 증폭기 회로는,
    무선 주파수(RF) 입력 신호를 고주파수 대역 신호 및 저주파수 대역 신호로 분할하는 분할기 - 상기 분할기는 상기 RF 입력 신호로부터 상기 저주파수 대역 신호를 필터링하는 제 1 회로 및 상기 RF 입력 신호로부터 상기 고주파수 대역 신호를 필터링하는 제 2 회로를 포함함 - 와,
    상기 고주파수 대역 신호를 증폭하여 제 1 증폭된 신호를 제공하는 고대역 증폭기와,
    상기 저주파수 대역 신호를 증폭하여 제 2 증폭된 신호를 제공하는 저대역 증폭기와,
    상기 제 1 증폭된 신호 및 상기 제 2 증폭된 신호를 증폭된 RF 신호로 결합하는 결합기를 포함하는
    광대역 증폭기 회로를 포함하는 장치.
  60. 모뎀 코어를 복수의 무선 코어의 하나 이상의 무선 코어에 스위칭 가능하게 연결하는 복수의 임피던스 매칭 스위치를 포함하는 장치로서,
    상기 복수의 임피던스 매칭 스위치의 임피던스 매칭 스위치는,
    상기 모뎀 코어에 동작 가능하게 연결되는 제 1 단자와,
    상기 복수의 무선 코어의 각각의 무선 코어에 동작 가능하게 연결되는 제 2 단자와,
    상기 복수의 임피던스 매칭 스위치에 의해 상기 모뎀 코어에 연결되는 상기 하나 이상의 무선 코어의 카운트에 기초하여, 상기 무선 코어와 상기 모뎀 코어 사이의 임피던스를 제어 가능하게 매칭시키는 임피던스 매칭 회로를 포함하는
    모뎀 코어를 복수의 무선 코어의 하나 이상의 무선 코어에 스위칭 가능하게 연결하는 복수의 임피던스 매칭 스위치를 포함하는 장치.
  61. 양방향 믹서를 포함하는 장치로서,
    상기 양방향 믹서는,
    무선 주파수(RF) 단자와,
    중간 주파수(intermediate frequency)(IF) 단자와,
    제 1 전압 단자와,
    제 2 전압 단자와,
    제 1 바이어스 전압이 상기 제 1 전압 단자에 인가되고 제 2 바이어스 전압이 상기 제 2 전압 단자에 인가될 때 상향 변환 모드에서 동작하고, 상기 제 2 바이어스 전압이 상기 제 1 전압 단자에 인가되고 상기 제 1 바이어스 전압이 상기 제 2 전압 단자에 인가될 때 하향 변환 모드에서 동작하도록 구성된 믹싱 회로 - 상기 믹싱 회로는 상기 하향 변환 모드에서 상기 RF 단자에서의 제 1 RF 신호를 상기 IF 단자에서의 제 1 IF 신호로 하향 변환하고, 상기 상향 변환 모드에서, 상기 IF 단자에서의 제 2 IF 신호를 상기 RF 단자에서의 제 2 RF 신호로 상향 변환함 - 를 포함하는
    양방향 믹서를 포함하는 장치.
  62. 인덕터 조립체로서,
    인쇄 회로 보드(PCB) 기판과,
    반도체 다이 - 상기 반도체 다이는 상기 다이의 표면에 부착되고 상기 다이를 상기 PCB 기판에 연결하는 복수의 금속성 필러를 포함하고, 상기 복수의 금속성 필러 각각은 복수의 중첩된 금속성 층을 포함함 - 와,
    유도성 구조 - 상기 유도성 구조는 상기 복수의 금속성 필러 중의 적어도 두 개의 금속성 필러 사이의 금속성 상호연결부 - 상기 금속성 상호연결부는 상기 복수의 금속성 층 중 하나의 일부임 - 를 포함하는
    인덕터 조립체.
  63. 안테나 구조로서,
    복수의 평행한 층을 포함하는 라미네이트 회로 기판과,
    상기 라미네이트 회로 기판 내의 캐버티(cavity)와,
    상기 캐버티 내에 구성된 무선 주파수 집적 회로(radio frequency integrated circuit)(RFIC)와,
    상기 RFIC의 실드 - 상기 실드는 상기 캐버티의 적어도 하나의 금속화 층을 포함함 - 와,
    상기 캐버티 외측의 상기 회로 기판의 적어도 하나의 층에 구성되고 상기 RFIC에 연결되어 상기 RFIC로부터 수신된 무선 주파수(RF) 신호의 방사를 위한 복수의 안테나 요소를 포함하는
    안테나 구조.
  64. 무선 주파수(RF) 프론트 엔드 모듈(radio frequency(RF) front-end module)(RFEM)로서,
    복수의 안테나를 포함하는 위상 안테나 배열과,
    상기 위상 안테나 배열을 통해 수신된 RF 신호를 처리하도록 구성된 RF 수신기 서브시스템과,
    중간 주파수(IF) 신호를 사용하여 RF 신호를 생성하도록 구성된 RF 송신기 서브시스템 - 상기 생성된 RF 신호는 상기 위상 안테나 배열을 통해 송신하기 위한 신호임 - 를 포함하고,
    상기 복수의 안테나 중 제 1 안테나 서브세트는 PCB 기판의 인쇄된 면에 배치되고, 상기 복수의 안테나 중 제 2 안테나 서브세트는 상기 PCB 기판의 구성요소 면에 배치되고,
    상기 제 1 안테나 서브세트는 상기 PCB 기판의 인쇄된 면상의 근접장 통신(near-field communication)(NFC) 안테나와 함께 배치되는
    무선 주파수(RF) 프론트 엔드 모듈(RFEM).
  65. 무선 통신 디바이스로서,
    위상 안테나 배열을 포함하는 PCB 기판과,
    상기 PCB 기판에 연결된 반도체 다이 - 상기 반도체 다이는 송수신기 배치로 배열된 복수의 동일한 송수신기 셀을 포함하고,
    상기 복수의 송수신기 셀의 송수신기 셀은,
    상기 위상 안테나 배열을 통해 무선 신호를 수신하도록 구성된 수신기 회로와,
    국부 발진기(LO) 신호를 생성하도록 구성된 국부 발진기 회로와,
    상기 LO 신호를 사용하여 기저대역 신호를 상향 변환하고, 상기 상향 변환된 신호를 상기 위상 안테나 배열을 통해 무선 송신하도록 구성된 송신기 회로와,
    위상 조정 신호를 사용하여 상기 수신된 무선 신호 또는 상기 상향 변환된 신호의 위상을 조정하도록 구성된 위상 조정 회로 - 상기 위상 조정 신호는 상기 위상 안테나 배열의 원하는 안테나 이득과 연관됨 - 를 포함하는
    무선 통신 디바이스.
  66. 다중 패키지 안테나 배열로서,
    복수의 평행한 층을 포함하는 제 1 기판, 상기 제 1 기판의 제 1 면을 포함하는 제 1 층 및 상기 제 1 기판의 제 2 면을 포함하는 제 2 층을 포함하는 제 1 전자 패키지와,
    상기 제 1 기판의 상기 제 1 면 상에 구성된 제 1 복수의 안테나와,
    상기 제 1 전자 패키지 상에 물리적으로 적층되고 상기 제 1 전자 패키지에 물리적으로 연결된 제 2 전자 패키지 - 상기 제 2 전자 패키지는 복수의 층을 포함하는 제 2 기판, 상기 제 2 기판의 제 1 면을 포함하는 제 1 층 및 상기 제 2 기판의 제 2 면을 포함하는 제 2 층을 포함함 - 와,
    상기 제 2 기판의 상기 제 1 면 상에 구성된 제 2 복수의 안테나와,
    상기 제 1 기판의 상기 제 2 면 또는 상기 제 2 기판의 상기 제 2 면 상에 구성되고 상기 제 1 복수의 안테나 및 상기 제 2 복수의 안테나에 연결되는 적어도 하나의 반도체 다이와,
    상기 제 1 기판의 상기 제 2 면 및 상기 제 2 기판의 상기 제 2 면과 전기적으로 접촉하는 복수의 조밀하게 패킹된 콘택 - 상기 복수의 조밀하게 패킹된 콘택은 상기 적어도 하나의 반도체 다이를 위한 무선 주파수 간섭 및 전자기 간섭(radio frequency interference and electromagnetic interference)(RFI/EMI) 실드로서 기능하도록 구성됨 - 을 포함하는
    다중 패키지 안테나 배열.
  67. 안테나 카드로서,
    내부 부분을 갖는 플러그-인 카드 - 상기 내부 부분의 제 1 부분은 비금속화되고, 상기 내부 부분의 제 2 부분은 금속화 전기 연결부를 가짐 - 와,
    상기 내부 부분에 설치된 기판상의 집적 회로와,
    상기 제 1 부분에 구성되고 무선 주파수(RF) 파의 방사를 위해 상기 집적 회로에 연결된 적어도 하나의 안테나를 포함하는
    안테나 카드.
  68. 안테나 구조로서,
    제 1 면 및 제 2 면을 포함하는 PCB - 상기 제 2 면은 콘택 없이 그리드의 특별하게 생성된 영역을 갖는 콘택의 그리드를 포함함 - 와,
    상기 PCB의 상기 제 1 면에 부착된 차폐된 무선 주파수 집적 회로(RFIC)와,
    콘택 없이 상기 그리드의 영역에서 상기 제 2 면상에 구성되고 무선 주파수(RF) 파의 방사를 위해 상기 RFIC에 연결된 적어도 하나의 제 1 안테나 요소와,
    상기 콘택의 그리드의 개개의 콘택을 통해 상기 PCB에 연결되고, 콘택 없이 실질적으로 상기 그리드의 영역 위에 절개부를 갖는 마더보드 - 적어도 하나의 제 1 안테나는 상기 절개부를 통해 방사할 수 있음 - 를 포함하는
    안테나 구조.
  69. 셀프 테스트 시스템으로서,
    테스트 중인 시스템을 장착하도록 구성된 테스트 베드 - 상기 테스트 중인 시스템은 송신기, 수신기, 상기 송신기에 연결되도록 구성된 복수의 송신(TX) 안테나 및 상기 수신기에 연결되도록 구성된 복수의 수신(RX) 안테나를 포함하는 복수의 전자 구성요소를 포함함 - 와,
    상기 테스터 상에 장착되고 TX 안테나를 통해 상기 송신기로부터 무선 주파수(RF) 신호를 수신하고 상기 RF 신호를 RX 안테나를 통해 상기 수신기로 반사하도록 구성된 반사기와,
    컴퓨터에 의해 실행될 때 미리 결정된 테스트에 따라 상기 테스트 중인 시스템을 테스트하는 컴퓨터 명령어를 저장 - 상기 테스트는 상기 테스트 중인 시스템의 루프백 테스트를 포함하고, 상기 루프백 테스트는 상기 송신기 및 TX 안테나를 포함하는 TX 요소로부터 상기 수신기 및 RX 안테나를 포함하는 RX 요소로 RF 신호의 송신을 포함하고, 상기 RF 신호는 상기 반사기에 의한 반사를 통해 수신됨 - 하고, 상기 루프백 테스트의 결과로부터 상기 테스트 중인 시스템의 특성을 결정하는 컴퓨터 판독 가능한 하드웨어 저장소를 포함하는
    셀프 테스트 시스템.
  70. 무선 통신 디바이스로서,
    기저대역 서브시스템(baseband sub-system)(BBS)을 포함하고,
    상기 BBS는,
    제 1 주파수에서 제 1 데이터 신호 및 상기 제 1 주파수와 중첩되지 않는 제 2 주파수에서 제 2 데이터 신호를 생성하도록 구성된 제 1 송수신기 회로와,
    제 3 주파수에서 LO 신호를 생성하도록 구성된 국부 발진기(LO) 생성기 - 상기 제 1 및 제 2 및 제 3 주파수는 중첩되지 않는 주파수임 - 와,
    단일 동축 케이블을 통해 상기 BBS와 연결된 무선 주파수(RF) 프론트 엔드 모듈(RFEM)을 포함하고,
    상기 RFEM은,
    복수의 안테나를 포함하는 위상 안테나 배열과,
    상기 LO 신호에 기초하여 상기 제 1 데이터 신호 및 상기 제 2 데이터 신호를 원하는 주파수로 변환하고, 상기 변환된 제 1 및 제 2 데이터 신호를 상기 위상 안테나 배열을 통해 송신하도록 구성된 제 2 송수신기 회로를 포함하고,
    상기 변환된 제 1 데이터 신호는 제 1 유형의 안테나 편파를 사용하여 상기 위상 안테나 배열의 제 1 서브 배열을 통해 송신되고, 상기 제 2 변환된 데이터 신호는 제 2 유형의 안테나 편파를 사용하여 상기 위상 안테나 배열의 제 2 서브 배열을 통해 송신되는
    무선 통신 디바이스.
  71. 무선 통신 디바이스로서,
    복수의 안테나를 포함하는 위상 안테나 배열과,
    단일 RF 신호를 생성하기 위해 상기 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하도록 구성된 무선 주파수(RF) 수신기 서브시스템과,
    단일 동축(coax) 케이블을 통해 상기 RF 수신기 서브시스템에 연결된 기저대역 서브시스템(BBS)을 포함하고,
    상기 BBS는,
    상기 단일 RF 신호에 기초하여 하향 변환된 신호를 생성하고,
    상기 하향 변환된 신호를 무선 모뎀에 의한 처리를 위해 디지털 데이터 신호로 변환하도록 구성되고,
    상기 BBS는 상기 동축 케이블을 통해 상기 RF 수신기 서브시스템으로부터 상기 RF 신호를 수신하고, 상기 RF 수신기 서브시스템은 상기 동축 케이블을 통해 상기 BBS로부터 DC 전력 신호를 수신하는
    무선 통신 디바이스.
  72. 전송 선로 회로로서,
    사용자 디바이스 내의 마더보드에 부착된 적어도 하나의 무선 주파수 집적 회로(RFIC) - 상기 사용자 디바이스는 힌지식 리드(hinged lid)를 가짐 - 와,
    적어도 하나의 도파관 또는 광섬유를 포함하는 전송 선로 - 상기 전송 선로는 상기 적어도 하나의 RFIC에 연결된 제 1 단부, 상기 리드의 길이 및 상기 리드 내 하나 이상의 안테나에 연결된 제 2 단부를 가짐 - 를 포함하는
    전송 선로 회로.
  73. 무선 통신 디바이스로서,
    기저대역 서브시스템(baseband sub-system)(BBS) -
    상기 BBS는,
    LO 신호를 생성하도록 구성된 국부 발진기(local oscillator)(LO) 생성기와,
    상기 LO 신호를 이용하여 제어 신호를 변조하여 변조된 신호를 생성하는 클록 확산 회로(clock spreading circuit)를 포함함 - 과,
    상기 변조된 신호 및 데이터 신호를 수신하는 단일 연결부를 통해, 상기 BBS와 연결된 무선 주파수(RF) 프론트 엔드 모듈(RFEM)을 포함하고,
    상기 RFEM은,
    상기 변조된 신호를 복조하여 상기 LO 신호 및 상기 제어 신호를 복원하도록 구성된 클록 역확산 회로(clock dispreading circuit)와,
    복수의 안테나를 포함하는 위상 안테나 배열과,
    상기 복원된 LO 신호에 기초하여 상기 데이터 신호를 원하는 무선 주파수(RF)로 상향 변환하여 RF 신호를 생성하고, 상기 제어 신호에 기초하여 송신 모드를 활성화하여 상기 위상 안테나 배열을 통해 상기 RF 신호를 송신하도록 구성된 송수신기 회로를 포함하는
    무선 통신 디바이스.
  74. 무선 통신 디바이스로서,
    복수의 안테나를 포함하는 위상 안테나 배열과,
    단일 RF 신호를 생성하기 위해 상기 위상 안테나 배열을 통해 수신된 복수의 RF 신호를 처리하도록 구성된 무선 주파수(RF) 수신기 서브시스템과,
    제 1 연결부를 통해 상기 RF 수신기 서브시스템에 연결된 보조 중간 주파수 서브시스템(supplemental intermediate frequency sub-system)(SIFS) - 상기 SIFS는 상기 단일 RF 신호에 기초하여 IF 신호를 생성하도록 구성됨 - 과,
    제 2 연결부를 통해 상기 SIFS에 연결된 기저대역 서브시스템(BBS)을 포함하고,
    상기 BBS는,
    상기 IF 신호에 기초하여 하향 변환된 신호를 생성하고,
    상기 하향 변환된 신호를 무선 모뎀에 의한 처리를 위해 디지털 데이터 신호로 변환하도록 구성되고,
    상기 SIFS는 상기 제 1 연결부를 통해 상기 RF 수신기 서브시스템으로부터 상기 단일 RF 신호를 수신하며, 상기 SIFS는 상기 단일 RF 신호를 상기 제 2 연결부를 통해 상기 BBS에 전달하는
    무선 통신 디바이스.
  75. 장치로서,
    반도체 다이 - 상기 반도체 다이는 대응하는 복수의 신호 라인을 통해 복수의 신호를 수신하고, 수신된 신호에 기초하여 복수의 증폭된 신호를 생성하도록 구성된 복수의 전력 증폭기를 포함함 - 와,
    상기 반도체 다이에 연결된 PCB 기판 - 상기 PCB 기판은 상기 복수의 전력 증폭기에 연결되고, 상기 복수의 증폭된 신호를 결합하여 송신을 위한 단일의 결합된 신호를 생성하도록 구성된 무선 주파수(RF) 전력 결합기를 포함함 - 을 포함하는
    장치.
  76. 저손실 무선 서브시스템으로서,
    미리 결정된 개수의 안테나의 동작을 위한 전자 신호의 생성을 위해 동작 가능한 전자 회로를 포함하도록 구성된 적어도 하나의 실리콘 다이와,
    복수의 평행한 층을 포함하는 층류 기판(laminar substrate) - 상기 적어도 하나의 실리콘 다이는 상기 층류 기판 내에 매립됨 - 과,
    상기 미리 결정된 개수의 안테나 - 상기 안테나는 상기 전자 신호에 따라 단독으로 동작하도록 구성되고, 상기 층류 기판의 제 1 층상에 또는 제 1 층 내부에 또는 상기 층류 기판의 상기 제 1 층 및 제 2 층 둘 모두의 위에 또는 모두의 내부에 구성됨 - 와,
    상기 적어도 하나의 실리콘 다이와 상기 미리 결정된 개수의 안테나 사이에 연결되고, 상기 전자 신호를 상기 미리 결정된 개수의 안테나에 급전하도록 구성된 전도성 신호 피드 구조를 포함하는
    저손실 무선 서브시스템.
  77. 다층 적층 링 공진기(multilayer stacked ring resonator)(SRR) 안테나 디바이스로서,
    다층 PCB 기판의 제 1 기판 층 상에 배치된 복수의 링 공진기와,
    상기 PCB 기판의 제 2 기판 층 상에 배치된 적어도 하나의 다른 링 공진기와,
    상기 PCB 기판의 제 3 기판 층 상에 배치된 안테나 피드를 포함하고,
    상기 안테나 피드는 상기 적어도 다른 하나의 다른 링 공진기에 갈바니 전기적으로(galvanically) 연결되고, 상기 복수의 링 공진기는 서로 용량성으로 연결되고 상기 적어도 하나의 다른 링 공진기에 용량성으로 연결되는
    다층 적층된 링 공진기(SRR) 안테나 디바이스.
  78. 디바이스로서,
    도파관과,
    PCB 기판 - 상기 PCB 기판은,
    상기 도파관을 이용하여 무선 신호를 송신 또는 수신하는 전송 선로와,
    상기 전송 선로에 연결되고 상기 무선 신호의 송신 또는 수신을 조작하도록 구성된 피드 프로브를 포함함 - 과,
    상기 PCB를 상기 도파관에 연결하는 도파관 어댑터를 포함하고,
    상기 도파관은 상기 무선 신호를 송신 또는 수신하는 안테나로서 작용하는 개방 단부를 포함하고,
    상기 피드 프로브는 상기 PCB 기판 및 상기 전송 선로를 통해 도금된 적어도 하나의 비아를 포함하는
    디바이스.
  79. 이중 편파된 안테나로서,
    제 1 다이폴 안테나와,
    제 2 다이폴 안테나를 포함하고,
    상기 제 1 다이폴 안테나 및 상기 제 2 다이폴 안테나 각각은 각자의 평면 아암을 가지며,
    상기 제 1 및 제 2 다이폴 안테나는 각각, 각자의 평면 아암에 실질적으로 수직인 아암을 가지며,
    각각의 다이폴 안테나는 각자의 평면 아암에 대해 45 도 기울어진 선형 편파를 생성하도록 구성되는
    이중 편파된 안테나.
  80. 무선 서브시스템으로서,
    제 1 기판 내에 내장된 다이와,
    상기 제 1 기판 상에 구성되고 상기 다이에 연결되는 적어도 하나의 제 1 안테나와,
    상기 제 1 기판에 연결된 표면 실장 디바이스 - 상기 표면 실장 디바이스는 적어도 하나의 제 2 안테나를 포함함 - 와,
    캐버티를 포함하는 제 2 기판 - 상기 제 2 기판은 상기 표면 실장 디바이스가 상기 캐버티에 의해 덮이도록 상기 제 1 기판에 연결됨 - 을 포함하는
    무선 서브시스템.
  81. 안테나 요소로서,
    복수의 평행한 층을 포함하는 PCB와,
    도파관을 포함하고,
    상기 도파관은,
    상기 PCB의 제 1 전도성 층에 부착된 유전체를 포함하는 도금된 표면 구성요소와,
    상기 도금된 표면 구성요소 내의 모노폴 안테나 - 상기 모노폴 안테나는 상기 PCB의 상기 제 1 전도성 층에 수직임 - 및
    상기 도금된 표면 구성요소에 부착되거나 상기 도금된 표면 구성요소의 일부를 형성하는 도금되지 않은 유전체 구성요소 - 상기 도금된 표면 구성요소 및 상기 도금되지 않은 유전체 구성요소는 미리 결정된 형상을 가지고, 상기 도금되지 않은 유전체 구성요소는 상기 도파관으로부터 공기로의 임피던스 매칭을 제공함 - 를 포함하는
    안테나 요소.
  82. 이중 편파된 차동 안테나(dual polarized differential antenna)로서,
    안테나 요소와,
    상기 안테나 요소의 여기를 위해 각각 구성된 네 개의 안테나 포트를 포함하고,
    상기 네 개의 포트 중 제 1 및 제 2 포트는 서로 대향하고 상기 네 개의 포트 중 상기 제 1 및 제 2 포트는 각각 제 1 극성의 신호 및 상기 제 1 극성의 역위상 신호(antiphase signal)에 의해 구동되도록 구성되며,
    상기 네 개의 포트 중 제 3 및 제 4 포트는 서로 대향하고 상기 네 개의 포트 중 상기 제 1 및 제 2 포트와 직교하여 배치되고, 상기 네 개의 포트 중 제 3 및 제 4 포트는 각각 제 2 극성의 신호 및 상기 제 2 극성의 역위상 신호에 의해 구동되도록 구성되는
    이중 편파된 차동 안테나.
  83. 밀리미터 파(millimeter wave)(mmWave) 통신 디바이스의 장치로서,
    복수의 위상 안테나 배열과,
    복수의 수신기를 포함하는 수신기 아키텍처 - 상기 수신기 아키텍처는 복수의 위상 안테나 배열 중 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 수신하도록 구성됨 - 와,
    복수의 송신기를 포함하는 송신기 아키텍처 - 상기 송신기 아키텍처는 상기 제 1 위상 안테나 배열을 통해 mmWave 빔포밍된 신호를 송신하도록 구성됨 - 를 포함하고,
    상기 복수의 수신기 중 제 1 수신기는 제 1 기지국으로부터 상기 mmWave 빔포밍된 신호를 수신하고, 상기 복수의 수신기 중 적어도 제 2 수신기는 제 2 기지국으로부터 mmWave 빔포밍된 신호를 스캐닝하는
    밀리미터 파(mmWave) 통신 디바이스의 장치.
  84. 안테나로서,
    복수의 유전체층을 포함하는 층류 기판과,
    상기 기판 내의 비아와,
    상기 비아에 연결된 피드 메커니즘 - 상기 피드 메커니즘은 상기 비아에 의한 송신을 위해 상기 비아에 무선 주파수(RF) 신호를 제공하도록 구성됨 - 을 포함하는
    안테나.
  85. 3 차원(three-dimensional)(3D) 안테나 요소로서,
    복수의 층을 포함하는 층류 기판과,
    상기 복수의 층 중 하나의 층 상의 3D 안테나와,
    상기 3D 안테나 아래에 구성되며, 수정된 접지 평면인 접지 평면을 포함하는
    3차원(3D) 안테나 요소.
  86. 수신기 장치로서,
    복수의 세그멘트화된 저잡음 증폭기(LNA) - 각각의 세그멘트화된 LNA는 입력 무선 주파수(RF) 신호를 증폭하여 증폭된 RF 신호를 생성하도록 구성된 복수의 LNA 슬라이스를 포함함 - 와,
    복수의 세그멘트화된 하향 변환 믹서 - 각각의 하향 변환 믹서는 전용의 국부 발진기(LO) 신호에 기초하여 상기 증폭된 RF 신호를 기저대역 신호로 하향 변환하도록 구성된 복수의 하향 변환 믹서 슬라이스를 포함함 - 와,
    제어 회로를 포함하고,
    상기 제어 회로는,
    상기 입력 RF 신호의 적어도 하나의 신호 특성의 표시를 수신하고,
    상기 수신된 표시에 기초하여 상기 복수의 LNA 슬라이스 중 적어도 하나의 LNA 슬라이스 및 상기 복수의 믹서 슬라이스 중 적어도 하나의 믹서 슬라이스를 활성화하도록 구성되는
    수신기 장치.
  87. 다중 패키지 안테나 배열로서,
    제 1 층류 기판을 포함하는 제 1 전자 패키지와,
    제 2 층류 기판을 포함하는 제 2 전자 패키지 - 상기 제 2 전자 패키지는 상기 제 1 전자 패키지 상에 적층되어 상기 제 1 전자 패키지와 물리적으로 접촉함 - 와,
    상기 제 1 층류 기판 상에 구성된 제 1 안테나 배열과,
    상기 제 2 층류 기판 상에 구성된 제 2 안테나 배열과,
    상기 제 1 전자 패키지 또는 상기 제 2 전자 패키지 중 하나의 전자 패키지 내에 내장된 적어도 하나의 프로세서 다이 - 상기 적어도 하나의 다이는 상기 제 1 안테나 배열 및 상기 제 2 안테나 배열에 전기적으로 연결되고, 상기 적어도 하나의 반도체 다이는 제 1 주파수 범위 및 제 2 주파수 범위에서 동작하도록 구성된 적어도 하나의 무선 송수신기를 포함함 - 를 포함하는
    다중 패키지 안테나 배열.
  88. 이중 송수신기 시스템으로서,
    복수의 기저대역 신호를 수신하고, 상기 복수의 기저대역 신호를 제 1 5G 주파수 대역의 수평 편파된 RF 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호로 상향 변환하고, 상기 상향 변환된 RF 신호들을 전송 선로를 통해 송신하는 제 1 송수신기와,
    상기 상향 변환된 RF 신호들을 상기 전송 선로를 통해 수신하고, 상기 제 1 5G 주파수 대역의 수평 편파된 RF 신호를 상기 제 2 주파수 대역의 수평 편파된 RF 신호로 상향 변환하고, 상기 제 2 주파수 대역의 상기 수평 편파된 RF 신호 및 상기 제 2 주파수 대역의 수직 편파된 5G RF 신호를 안테나 서브시스템으로 송신하도록 구성된 제 2 송수신기를 포함하는
    이중 송수신기 시스템.
  89. 이중 변환 무선 주파수(RF) 시스템으로서,
    광대역 신호를 공급하도록 구성된 디지털-아날로그 변환기(DAC)와,
    제 1 5G 주파수 대역의 RF 신호를 공급하도록 구성된 제 1 디지털 위상 고정 루프(digital phase locked loop)(DPLL)와,
    상기 제 1 5G 주파수 대역의 RF 신호를 상기 제 1 5G 주파수 대역보다 낮은 제 2 5G 주파수 대역의 RF 신호로 하향 변환하는 주파수 변환기와,
    상기 DAC 및 상기 주파수 변환기에 연결된 제 1 믹서와,
    상기 RF 이중 송수신기 시스템을 테스트 모드로 설정하도록 구성된 적어도 하나의 스위치 - 상기 적어도 하나의 스위치는 상기 제 2 5G 주파수 대역에서의 RF 신호 오류를 테스트하고 교정할 수 있게 함 - 와,
    제 3 5G 주파수 대역에서 RF 신호를 공급하도록 구성된 제 2 DPLL과,
    상기 제 1 믹서의 출력 및 상기 제 2 DPLL에 연결되고, 상기 제 2 5G 주파수 대역에서의 RF 신호 오류의 정정 이후에, 상기 제 2 5G 주파수 대역의 상기 RF 신호를 상기 제 2 5G 주파수 대역보다 높은 제 4 5G 주파수 대역의 RF 신호로 변환하도록 구성된 제 2 믹서를 포함하는
    이중 변환 무선 주파수(RF) 시스템.
  90. 복수의 주파수 대역에서 RF 신호를 안테나 서브시스템으로 송신하는 방법으로서,
    제 1 송수신기가 복수의 기저대역 신호를 수신하고, 상기 복수의 기저대역 신호를 제 1 5G 주파수 대역의 수평 편파된 제 1 무선 주파수(RF) 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호로 상향 변환하고, 상기 상향 변환된 RF 신호를 전송 선로를 통해 송신하도록 구성하는 단계와,
    제 2 송수신기가 상기 상향 변환된 RF 신호를 상기 전송 선로를 통해 수신하고, 상기 제 1 5G 주파수 대역의 상기 수평 편파된 RF 신호를 상기 제 2 5G 주파수 대역의 수평 편파된 RF 신호로 하향 변환하고, 상기 제 2 주파수 대역의 상기 수평 편파된 RF 신호 및 상기 제 2 주파수 대역의 수직 편파된 5G RF 신호를 안테나 서브시스템으로 송신하도록 구성하는 단계와,
    상기 전송 선로가 상기 제 1 송수신기로부터 상기 제 2 송수신기로의 상향 변환된 RF 신호의 단독 전도체가 되도록 구성하는 단계를 포함하는
    복수의 주파수 대역에서 RF 신호를 안테나 서브시스템으로 송신하는 방법.
  91. 무선 주파수(RF) 시스템에서 이중 변환의 방법으로서,
    디지털-아날로그 변환기(DAC)가 광대역 신호를 공급하도록 구성하는 단계와,
    제 1 디지털 위상 고정 루프(DPLL)가 제 1 5G 주파수 대역의 RF 신호를 공급하도록 구성하는 단계와,
    주파수 변환기가 상기 제 1 5G 주파수 대역의 상기 RF 신호를 상기 제 1 5G 주파수 대역보다 낮은 제 2 5G 주파수 대역의 RF 신호로 하향 변환하도록 구성하는 단계와,
    제 1 믹서를 상기 DAC 및 상기 주파수 변환기에 연결하는 단계와,
    상기 RF 시스템을 상기 제 2 5G 주파수 대역에서의 RF 신호 오류를 테스트하고 교정할 수 있게 하는 루프백 모드로 구성하는 단계와,
    제 2 DPLL이 제 3 주파수에서 RF 신호를 공급하도록 구성하는 단계와,
    제 2 믹서를 상기 제 1 믹서의 출력 및 상기 제 2 DPLL에 연결하는 단계와,
    상기 제 2 믹서가, 상기 제 2 5G 주파수 대역에서 RF 신호 오류의 고정 이후에, 상기 제 2 5G 주파수 대역의 상기 RF 신호를 상기 제 2 5G 주파수 대역보다 높은 제 4 5G 주파수 대역의 RF 신호로 변환하도록 구성하는 단계를 포함하는
    무선 주파수(RF) 시스템에서 이중 변환의 방법.
  92. 무선 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 비일시적 컴퓨터 판독 가능한 저장 매체로서,
    상기 명령어는 상기 하나 이상의 프로세서가 상기 디바이스로 하여금:
    제 1 송수신기가 복수의 기저대역 신호를 수신하고, 상기 복수의 기저대역 신호를 제 1 5G 주파수 대역의 수평 편파된 제 1 무선 주파수(RF) 신호 및 제 2 5G 주파수 대역의 수직 편파된 RF 신호로 상향 변환하고, 상기 상향 변환된 RF 신호를 전송 선로를 통해 송신하도록 구성하고,
    상기 제 2 송수신기가 상기 상향 변환된 RF 신호를 상기 전송 선로를 통해 수신하고, 상기 제 1 5G 주파수 대역의 상기 수평 편파된 RF 신호를 상기 제 2 5G 주파수 대역의 수평 편파된 RF 신호로 하향 변환하고, 상기 제 2 주파수 대역의 상기 수평 편파된 RF 신호 및 상기 제 2 주파수 대역의 수직 편파된 5G RF 신호를 안테나 서브시스템으로 송신하도록 구성하고,
    상기 전송 선로가 상기 제 1 송수신기로부터 상기 제 2 송수신기로의 상향 변환된 RF 신호의 단독 전도체가 되도록 구성하는
    비일시적 컴퓨터 판독 가능한 저장 매체.
  93. 무선 디바이스의 하나 이상의 프로세서에 의해 실행하기 위한 명령어를 저장하는 비일시적 컴퓨터 판독 가능한 저장 매체로서, 상기 명령어는 상기 하나 이상의 프로세서가 상기 디바이스로 하여금:
    디지털-아날로그 변환기(DAC)가 광대역 신호를 공급하도록 구성하고,
    제 1 디지털 위상 고정 루프(DPLL)가 제 1 5G 주파수 대역의 RF 신호를 공급하도록 구성하고,
    주파수 변환기가 상기 제 1 5G 주파수 대역의 상기 RF 신호를 상기 제 1 5G 주파수 대역보다 낮은 제 2 5G 주파수 대역의 RF 신호로 하향 변환하도록 구성하고,
    제 1 믹서를 상기 DAC 및 상기 주파수 변환기에 연결하도록 구성하고,
    상기 RF 시스템을 루프백 모드로 구성하여 상기 제 2 5G 주파수 대역에서의 RF 신호 오류를 테스트하고 교정할 수 있도록 구성하고,
    제 2 DPLL이 제 3 주파수에서 RF 신호를 공급하도록 구성하고,
    제 2 믹서를 상기 제 1 믹서의 출력 및 상기 제 2 DPLL에 연결하도록 구성하고,
    상기 제 2 믹서가, 상기 제 2 5G 주파수 대역에서 RF 신호 오류의 교정 이후에, 상기 제 2 5G 주파수 대역의 상기 RF 신호를 상기 제 2 5G 주파수 대역보다 높은 제 4 5G 주파수 대역의 RF 신호로 변환하도록 구성하는
    비일시적 컴퓨터 판독 가능한 저장 매체.
  94. 디바이스 또는 시스템을 본 명세서에 도시되고 및/또는 기재된 바와 같이 동작하게 하는 명령어를 포함하는 비일시적 컴퓨터 프로그램 매체.
KR1020197018815A 2016-12-21 2017-12-20 무선 통신 기술, 장치 및 방법 KR102635791B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201662437385P 2016-12-21 2016-12-21
US62/437,385 2016-12-21
US201762511398P 2017-05-26 2017-05-26
US62/511,398 2017-05-26
US201762527818P 2017-06-30 2017-06-30
US62/527,818 2017-06-30
US201762570680P 2017-10-11 2017-10-11
US62/570,680 2017-10-11
PCT/US2017/067739 WO2018119153A2 (en) 2016-12-21 2017-12-20 Wireless communication technology, apparatuses, and methods

Publications (2)

Publication Number Publication Date
KR20190089955A true KR20190089955A (ko) 2019-07-31
KR102635791B1 KR102635791B1 (ko) 2024-02-08

Family

ID=62627827

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018815A KR102635791B1 (ko) 2016-12-21 2017-12-20 무선 통신 기술, 장치 및 방법

Country Status (8)

Country Link
US (3) US11424539B2 (ko)
EP (1) EP3560111A4 (ko)
JP (3) JP7089519B2 (ko)
KR (1) KR102635791B1 (ko)
CN (2) CN110447146A (ko)
DE (1) DE112017006442T5 (ko)
TW (2) TW202345532A (ko)
WO (1) WO2018119153A2 (ko)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102121591B1 (ko) * 2019-05-21 2020-06-10 중앙대학교 산학협력단 안테나 어레이 보정 방법 및 이를 포함하는 전자 장치
KR20200070088A (ko) * 2018-12-07 2020-06-17 삼성전자주식회사 Gemm 데이터플로우 가속기 반도체 회로
KR102149887B1 (ko) * 2020-04-09 2020-09-01 주식회사 영윈 저전력 주파수 대역 감지회로
WO2021040339A1 (en) * 2019-08-23 2021-03-04 Samsung Electronics Co., Ltd. Device and method for upconverting signal in wireless communication system
WO2021100925A1 (ko) * 2019-11-22 2021-05-27 엘지전자 주식회사 5g 안테나 모듈과 통신 모듈을 구비하는 전자 기기
WO2021172614A1 (ko) * 2020-02-26 2021-09-02 엘지전자 주식회사 5g 통신 중계 장치
KR20210119878A (ko) * 2020-03-25 2021-10-06 가부시키가이샤 무라타 세이사쿠쇼 고주파 모듈 및 통신 장치
US11283175B2 (en) 2019-11-20 2022-03-22 Samsung Electro-Mechanics Co., Ltd. Antenna apparatus
US11303023B2 (en) 2019-08-30 2022-04-12 Samsung Electronics Co., Ltd. Antenna and electronic device including the same
KR20220067888A (ko) * 2020-11-18 2022-05-25 (주)에프알텍 O-RAN 프론트홀의 5G mmWave 광대역 빔포밍 MIMO 서비스 방법과 그 장치
KR102405623B1 (ko) * 2020-12-24 2022-06-07 아주대학교산학협력단 안테나 모듈 및 그 제어 방법
US11367945B2 (en) 2018-05-16 2022-06-21 Samsung Electronics Co., Ltd. Electronic device and method comprising antenna
WO2022154265A1 (ko) * 2021-01-15 2022-07-21 한국과학기술원 광대역 시스템의 단일 반송파 기반 하이브리드 빔포밍 방법 및 장치
US11462821B2 (en) 2020-03-23 2022-10-04 Samsung Electronics Co., Ltd. Electronic device with a plurality of antenna circuitries and a method for controlling the same
WO2022214974A1 (en) * 2021-04-05 2022-10-13 Radsee Technologies Ltd Antenna arrays
WO2022255699A1 (ko) * 2021-06-03 2022-12-08 삼성전자 주식회사 커넥터 구조체 및 이를 포함하는 전자 장치
KR20230003065A (ko) * 2020-04-27 2023-01-05 지티이 코포레이션 베어러 구성 방법 및 장치, 컨텍스트 정보 관리 방법 및 장치, 해제 방법 및 장치, 설비
KR102566968B1 (ko) * 2022-02-16 2023-08-14 한국과학기술원 단일 믹서를 구비한 무선 송수신기
WO2023204464A1 (ko) * 2022-04-22 2023-10-26 삼성전자 주식회사 안테나 어레이의 편파들 간 디커플링을 위한 정합 네트워크 및 이를 포함하는 전자 장치
WO2023229170A1 (ko) * 2022-05-23 2023-11-30 삼성전자 주식회사 무선 통신 시스템에서 도허티 전력 증폭기 및 이를 포함하는 전자 장치

Families Citing this family (388)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US10965164B2 (en) 2012-07-06 2021-03-30 Energous Corporation Systems and methods of wirelessly delivering power to a receiver device
US11502551B2 (en) 2012-07-06 2022-11-15 Energous Corporation Wirelessly charging multiple wireless-power receivers using different subsets of an antenna array to focus energy at different locations
US9515690B1 (en) * 2014-08-22 2016-12-06 Interstate Electronics Corporation Receiver with multi-spectrum parallel amplification
WO2016164970A1 (en) * 2015-04-13 2016-10-20 Rfid Technologies Pty Ltd Rfid tag and reader
US10523033B2 (en) 2015-09-15 2019-12-31 Energous Corporation Receiver devices configured to determine location within a transmission field
US11011853B2 (en) 2015-09-18 2021-05-18 Anokiwave, Inc. Laminar phased array with polarization-isolated transmit/receive interfaces
US10079515B2 (en) 2016-12-12 2018-09-18 Energous Corporation Near-field RF charging pad with multi-band antenna element with adaptive loading to efficiently charge an electronic device at any position on the pad
US10038332B1 (en) 2015-12-24 2018-07-31 Energous Corporation Systems and methods of wireless power charging through multiple receiving devices
US10923954B2 (en) 2016-11-03 2021-02-16 Energous Corporation Wireless power receiver with a synchronous rectifier
DE102017124343B4 (de) * 2016-12-16 2021-04-08 Infineon Technologies Ag Erzeugung schneller frequenzrampen
EP3560111A4 (en) 2016-12-21 2020-12-02 Intel Capital Corporation WIRELESS COMMUNICATION TECHNOLOGY, DEVICES, AND METHODS
DE102017130379A1 (de) * 2017-03-30 2018-10-04 Huf Hülsbeck & Fürst Gmbh & Co. Kg Sensoreinrichtung mit kapazitivem Sensor für Kraftfahrzeuge
JP6418366B1 (ja) * 2017-03-31 2018-11-07 三菱電機株式会社 アレイアンテナ装置、アンテナ測定装置およびフェーズドアレイアンテナの位相調整方法
WO2018183606A1 (en) * 2017-03-31 2018-10-04 Remote Sensing Solutions, Inc. Modular object-oriented digital sub-system architecture with primary sequence control and synchronization
US11462949B2 (en) 2017-05-16 2022-10-04 Wireless electrical Grid LAN, WiGL Inc Wireless charging method and system
JP2018207184A (ja) * 2017-05-30 2018-12-27 パナソニックIpマネジメント株式会社 施設内伝送システム、施設内伝送方法及び基地局
US10433260B1 (en) * 2018-07-02 2019-10-01 Arris Enterprises Llc Antenna-parameter control in a distributed system
CN107765104B (zh) * 2017-09-04 2020-02-14 华为技术有限公司 一种相控阵校测的方法以及校测装置
US10425190B2 (en) * 2017-09-08 2019-09-24 Huawei Technologies Co., Ltd. Channel encoding method and apparatus in wireless communications
US10063303B1 (en) * 2017-09-18 2018-08-28 Integrated Device Technology, Inc. Fast memory access control for phase and gain
EP3685516A1 (en) * 2017-09-19 2020-07-29 Telefonaktiebolaget LM Ericsson (publ) Beam assignment in a communications network
US20190103365A1 (en) * 2017-09-29 2019-04-04 Nxp Usa, Inc. Selectively shielded semiconductor package
WO2019069395A1 (en) * 2017-10-04 2019-04-11 Nec Corporation REMOTE RADIO HEAD, BEAM FORMING METHOD, AND INFORMATION CARRIER
WO2019079341A1 (en) * 2017-10-16 2019-04-25 Huawei Technologies Co., Ltd. METHOD AND APPARATUS FOR DETERMINING DIRECT VISIBILITY (LOS)
US10985471B2 (en) * 2017-11-27 2021-04-20 Panasonic Intellectual Property Management Co., Ltd. Radar device
JP6656494B2 (ja) * 2017-12-06 2020-03-04 三菱電機株式会社 信号伝送システム
WO2019126826A1 (en) 2017-12-24 2019-06-27 Anokiwave, Inc. Beamforming integrated circuit, aesa system and method
US10615647B2 (en) 2018-02-02 2020-04-07 Energous Corporation Systems and methods for detecting wireless power receivers and other objects at a near-field charging pad
US11177567B2 (en) 2018-02-23 2021-11-16 Analog Devices Global Unlimited Company Antenna array calibration systems and methods
US11923924B2 (en) * 2018-02-26 2024-03-05 Parallel Wireless, Inc. Miniature antenna array with polar combining architecture
US11159187B2 (en) * 2018-02-26 2021-10-26 Parallel Wireless, Inc. Microcomponent massive MIMO arrays
US10847478B2 (en) * 2018-02-27 2020-11-24 Amkor Technology Singapore Holding Pte. Ltd. Method of forming an electronic device structure having an electronic component with an on-edge orientation and related structures
DE102019203172A1 (de) * 2018-04-10 2019-10-10 Globalfoundries Inc. Multibandempfänger für Millimeterwellenvorrichtungen
EP3557786A1 (en) * 2018-04-16 2019-10-23 Samsung Electronics Co., Ltd. Method of testing rf integrated circuit
US11716558B2 (en) * 2018-04-16 2023-08-01 Charter Communications Operating, Llc Apparatus and methods for integrated high-capacity data and wireless network services
KR102468136B1 (ko) * 2018-04-23 2022-11-18 삼성전자 주식회사 안테나 장치 및 이를 포함하는 전자 장치
US10998640B2 (en) 2018-05-15 2021-05-04 Anokiwave, Inc. Cross-polarized time division duplexed antenna
US10475786B1 (en) * 2018-05-23 2019-11-12 Texas Instruments Incorporated Packaged semiconductor device
US11666086B2 (en) 2018-05-29 2023-06-06 Juul Labs, Inc. Vaporizer cartridge for a vaporizer
US10581164B2 (en) * 2018-06-07 2020-03-03 Futurewei Technologies, Inc. Hybrid millimeter wave FEM architecture for mobile devices
US11632151B2 (en) * 2018-06-20 2023-04-18 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for massive MU-MIMO
GB201810547D0 (en) * 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
US10496587B1 (en) * 2018-06-27 2019-12-03 Integrated Device Technology, Inc. Wide programmable gain receiver data path for single-ended memory interface application
GB201810548D0 (en) 2018-06-27 2018-08-15 Nordic Semiconductor Asa OFDM channel estimation
US11258524B2 (en) * 2018-06-28 2022-02-22 Qualcomm Incorporated Predictive link adaptation for V2X communications
CN112292840A (zh) 2018-06-29 2021-01-29 苹果公司 毫米波天线阵列的空间热密度降低
US11081453B2 (en) * 2018-07-03 2021-08-03 Mediatek Inc. Semiconductor package structure with antenna
US20200021010A1 (en) * 2018-07-13 2020-01-16 Qualcomm Incorporated Air coupled superstrate antenna on device housing
CN109041094B (zh) * 2018-07-18 2021-06-25 Oppo广东移动通信有限公司 射频电路调试方法及相关装置
WO2020022180A1 (ja) * 2018-07-23 2020-01-30 株式会社村田製作所 高周波モジュール
CN112470492B (zh) * 2018-07-23 2022-04-08 美商楼氏电子有限公司 麦克风装置及其制造方法
CN113169767A (zh) 2018-07-30 2021-07-23 盈诺飞公司 大规模mimo通信系统和方法
US11038267B2 (en) 2018-07-31 2021-06-15 Skyworks Solutions, Inc. Apparatus and methods for electromagnetic shielding using an outer cobalt layer
KR20200014601A (ko) * 2018-08-01 2020-02-11 삼성전자주식회사 안테나 어레이를 포함하는 전자 장치
SE542291C2 (en) * 2018-08-14 2020-04-07 Bluetest Ab A measurement device for antenna systems
JP7177247B2 (ja) 2018-08-14 2022-11-22 エイブイエックス・アンテナ・インコーポレーテッド モーダル・アンテナの制御方法およびシステム
US10944171B2 (en) * 2018-08-22 2021-03-09 Psemi Corporation Fast transceiver front end serial control
US11196142B2 (en) 2018-08-31 2021-12-07 Micron Technology, Inc. Millimeter wave antenna and EMI shielding integrated with fan-out package
KR102526400B1 (ko) * 2018-09-06 2023-04-28 삼성전자주식회사 5g 안테나 모듈을 포함하는 전자 장치
US10978785B2 (en) * 2018-09-10 2021-04-13 Samsung Electro-Mechanics Co., Ltd. Chip antenna module
JP7314257B2 (ja) * 2018-09-19 2023-07-25 アカーシュ・システムズ・インコーポレイテッド 衛星通信のためのシステム及び方法
US10588089B1 (en) * 2018-09-21 2020-03-10 Qualcomm Incorporated Mitigation of calibration errors
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
WO2020077346A1 (en) 2018-10-12 2020-04-16 Charter Communications Operating, Llc Apparatus and methods for cell identification in wireless networks
KR102537318B1 (ko) * 2018-10-19 2023-05-26 삼성전자 주식회사 회로 기판 어셈블리 및 그것을 포함하는 전자 장치
US11129098B2 (en) * 2018-10-26 2021-09-21 Silicon Laboratories Inc. Multiplexed signal processing system for bluetooth and WLAN transceiver
CN109244669B (zh) * 2018-10-26 2023-12-19 北京华镁钛科技有限公司 一种可重构相控阵天线的驱动系统、驱动方法及天线装置
WO2020093005A1 (en) 2018-11-01 2020-05-07 Innophase, Inc. Reconfigurable phase array
CN109408986B (zh) * 2018-11-01 2022-11-18 中国电子科技集团公司第五十四研究所 一种椭圆波束卡塞格伦天线的设计方法
US11108170B2 (en) 2018-11-01 2021-08-31 Qualcomm Incorporated Multi-band millimeter-wave (MMW) antenna array and radio-frequency integrated circuit (RFIC) module
CN111163019B (zh) * 2018-11-07 2022-10-28 中兴通讯股份有限公司 处理数据包的方法、装置和存储介质
KR102585305B1 (ko) * 2018-11-07 2023-10-05 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
DE102018219569B3 (de) * 2018-11-15 2020-02-20 Audi Ag Rundfunkempfangsvorrichtung für ein Fahrzeug
TWI681604B (zh) * 2018-11-16 2020-01-01 大陸商東莞寶德電子有限公司 可分離的充電板
CN109684665B (zh) * 2018-11-21 2024-02-02 浙江大学城市学院 基于FinFET的三值SRAM单元电路及控制方法
BE1026802B1 (de) * 2018-11-26 2020-06-25 Phoenix Contact Gmbh & Co Steckverbinder
KR20200063019A (ko) * 2018-11-27 2020-06-04 삼성전자주식회사 안테나 반사 계수를 측정하기 위한 방법 및 장치
EP3888251A1 (en) 2018-11-29 2021-10-06 Teknologian tutkimuskeskus VTT Oy Antenna assembly for wireless communication devices
US10630336B1 (en) * 2018-11-30 2020-04-21 Intel Corporation Apparatus and method for operating with a radio frequency circuitry and wireless transmission and reception in a millimeter wave range
KR102612360B1 (ko) * 2018-12-04 2023-12-12 삼성전자 주식회사 안테나를 통해 송신하고 수신된 신호에 기반하여 통신 회로의 성능을 확인하는 방법
GB201820161D0 (en) * 2018-12-11 2019-01-23 Nordic Semiconductor Asa Radio devices with switchable antennas
US10979117B2 (en) * 2018-12-15 2021-04-13 MMRFIC Technology Pvt. Ltd. Method, system and apparatus for beam forming in a radio frequency transceiver with reduced complexity
WO2020124979A1 (en) * 2018-12-21 2020-06-25 Huawei Technologies Co., Ltd. A portable, integrated antenna test bed with built-in turntable
CN110011027A (zh) * 2018-12-28 2019-07-12 瑞声科技(新加坡)有限公司 一种天线、天线阵列和基站
US11349217B2 (en) 2019-01-24 2022-05-31 Wispry, Inc. Method for integrating antennas fabricated using planar processes
US11171682B2 (en) * 2019-01-30 2021-11-09 Swiftlink Technologies Inc. Dual polarization millimeter-wave frontend integrated circuit
US11764868B2 (en) * 2019-01-31 2023-09-19 Mitsubishi Electric Corporation Satellite transmitter and relay satellite communication system
US10447510B1 (en) * 2019-02-04 2019-10-15 Globalfoundries Inc. On-demand feed forward equalizer with distributed arithmetic architecture and method
EP3921945A1 (en) 2019-02-06 2021-12-15 Energous Corporation Systems and methods of estimating optimal phases to use for individual antennas in an antenna array
US11037915B2 (en) * 2019-02-14 2021-06-15 Facebook Technologies, Llc Integrated display devices
US11169940B2 (en) * 2019-02-20 2021-11-09 Qualcomm Incorporated Trace length on printed circuit board (PCB) based on input/output (I/O) operating speed
US11137783B2 (en) * 2019-02-26 2021-10-05 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
US11281247B2 (en) * 2019-02-26 2022-03-22 Skyworks Solutions, Inc. Biasing scheme for power amplifiers
KR102597392B1 (ko) 2019-02-28 2023-11-03 삼성전자주식회사 이중 대역을 지원하는 안테나 모듈 및 이를 포함하는 전자 장치
WO2020179381A1 (ja) * 2019-03-07 2020-09-10 株式会社フェニックスソリューション Rfタグおよびrfタグ付き導体
US11404779B2 (en) * 2019-03-14 2022-08-02 Analog Devices International Unlimited Company On-chip phased array calibration systems and methods
US10985951B2 (en) 2019-03-15 2021-04-20 The Research Foundation for the State University Integrating Volterra series model and deep neural networks to equalize nonlinear power amplifiers
US10950542B2 (en) 2019-03-20 2021-03-16 Analog Devices, Inc. High-performance variable gain amplifier employing laminate transmission line structures
CN111725605B (zh) * 2019-03-20 2022-03-15 Oppo广东移动通信有限公司 毫米波模组和电子设备
US11777764B2 (en) * 2019-03-28 2023-10-03 Qualcomm Incorporated Sounding reference signal waveform design for wireless communications
US11569886B2 (en) * 2019-04-01 2023-01-31 Qualcomm Incorporated Network-sensitive transmit diversity scheme
CN114844532B (zh) * 2019-04-11 2024-06-07 奈克赛特公司 用于无线通信标签的电容器架构
US11018633B2 (en) * 2019-04-18 2021-05-25 Samsung Electronics Co., Ltd Method and apparatus for calibrating digital pre-distortion of cellular transmitter
US10903544B2 (en) 2019-04-25 2021-01-26 International Business Machines Corporation Magnetic balun/transformer with post processing adjustments
DE102019110716B3 (de) * 2019-04-25 2020-01-16 Semikron Elektronik Gmbh & Co. Kg Leistungshalbleitermodul mit Leistungshalbleiterschaltern
DE102019110840A1 (de) * 2019-04-26 2020-10-29 Infineon Technologies Ag Rf-vorrichtungen mit konformen antennen und verfahren zu deren herstellung
US11159197B2 (en) * 2019-04-29 2021-10-26 Qualcomm Incorporated Self-interference cancellation for in-band full-duplex wireless communication
JP2020184665A (ja) * 2019-05-07 2020-11-12 株式会社村田製作所 送受信回路
US10978793B2 (en) * 2019-05-09 2021-04-13 Harada Industry Of America, Inc. Antenna with gain reduction
EP3970289A4 (en) * 2019-05-14 2022-12-21 Telefonaktiebolaget LM Ericsson (publ) RADIO NODE WITH AUTOMATIC GAIN CONTROL ENHANCEMENT
US10778337B1 (en) * 2019-05-17 2020-09-15 Google Llc Phase noise tolerant coherent modulation formats for short reach optical communication systems
TWI773982B (zh) * 2019-05-22 2022-08-11 啟碁科技股份有限公司 波束成型裝置、用於其之校正方法及校正系統
EP3742555A1 (en) 2019-05-23 2020-11-25 Nokia Solutions and Networks Oy Apparatus comprising a plurality of antenna devices and method of operating such apparatus
CN112187309A (zh) * 2019-06-13 2021-01-05 中兴通讯股份有限公司 一种毫米波收发信机
CN110247674B (zh) * 2019-06-19 2021-06-29 成都星联芯通科技有限公司 基于电源电压编码调制的一线通射频前端控制方法及系统
CN110265787A (zh) * 2019-06-21 2019-09-20 西安电子科技大学 基于基片集成波导siw的背腔缝隙圆极化毫米波天线
US11240696B2 (en) 2019-07-15 2022-02-01 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
US11108672B2 (en) * 2019-07-15 2021-08-31 Qualcomm Incorporated Measuring and verifying layer 2 sustained downlink maximum data rate decoding performance
KR102308438B1 (ko) * 2019-07-18 2021-10-05 삼성전자 주식회사 밀리미터파 통신 시스템에서 i/q 불균형을 교정하기 위한 송수신기를 포함하는 전자 장치 및 그의 동작 방법
JP7315829B2 (ja) * 2019-07-18 2023-07-27 株式会社バッファロー 無線lanアクセスポイント
KR20210015262A (ko) * 2019-08-01 2021-02-10 삼성전자주식회사 안테나 모듈 및 그것을 포함하는 전자 장치
KR20220042123A (ko) 2019-08-01 2022-04-04 에이브이엑스 안테나 인코포레이티드 모달 안테나 제어 방법 및 시스템
CN112332077A (zh) * 2019-08-05 2021-02-05 三星电子株式会社 天线模块和使用其的电子装置
CN110534924B (zh) 2019-08-16 2021-09-10 维沃移动通信有限公司 天线模组和电子设备
WO2021032009A1 (en) * 2019-08-16 2021-02-25 Mediatek Inc. Automatic gain control for serving cell activation based on two different reference signals
US11088456B2 (en) * 2019-08-20 2021-08-10 Bae Systems Information And Electronic Systems Integration Inc. Cavity backed notch antenna with additively manufactured radome
US10886903B1 (en) * 2019-08-20 2021-01-05 Apple Inc. Programmable clock skewing for timing closure
US11637365B2 (en) 2019-08-21 2023-04-25 Ticona Llc Polymer composition for use in an antenna system
US11258184B2 (en) 2019-08-21 2022-02-22 Ticona Llc Antenna system including a polymer composition having a low dissipation factor
EP4018560A1 (en) * 2019-08-22 2022-06-29 Qualcomm Incorporated Wireless communication with enhanced maximum permissible exposure (mpe) compliance
JP7416915B2 (ja) * 2019-08-30 2024-01-17 華為技術有限公司 アンテナ較正装置およびアンテナ較正方法
US11474228B2 (en) 2019-09-03 2022-10-18 International Business Machines Corporation Radar-based detection of objects while in motion
US11005542B2 (en) * 2019-09-04 2021-05-11 Mano D. Judd Passive beam mechanics
US11335992B2 (en) 2019-09-05 2022-05-17 Apple Inc. Integrated millimeter wave antenna modules
US11912817B2 (en) 2019-09-10 2024-02-27 Ticona Llc Polymer composition for laser direct structuring
US11555113B2 (en) 2019-09-10 2023-01-17 Ticona Llc Liquid crystalline polymer composition
US11381118B2 (en) 2019-09-20 2022-07-05 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
EP4032166A4 (en) 2019-09-20 2023-10-18 Energous Corporation SYSTEMS AND METHODS FOR PROTECTING WIRELESS POWER RECEIVERS USING MULTIPLE RECTIFIER AND ESTABLISHING IN-BAND COMMUNICATIONS USING MULTIPLE RECTIFIER
WO2021055898A1 (en) 2019-09-20 2021-03-25 Energous Corporation Systems and methods for machine learning based foreign object detection for wireless power transmission
US11917753B2 (en) 2019-09-23 2024-02-27 Ticona Llc Circuit board for use at 5G frequencies
US10749248B1 (en) 2019-09-23 2020-08-18 Qualcomm Incorporated Antenna module placement and housing for reduced power density exposure
US11646760B2 (en) 2019-09-23 2023-05-09 Ticona Llc RF filter for use at 5G frequencies
JP2022549968A (ja) * 2019-09-30 2022-11-29 マクドナルド,デットワイラー アンド アソシエイツ コーポレーション 直接放射アレイアンテナ
US10693529B1 (en) * 2019-09-30 2020-06-23 Aeroantenna Technology, Inc. Method and apparatus for multiplexing several antenna subsystem signals onto a single RF coaxial cable
MA56735B1 (fr) * 2019-10-10 2024-02-29 Galactic Telecom Group Llc Carte d'interface réseau à large bande sans fil mobile (mwbnic) et k-net
US20220299594A1 (en) * 2019-10-14 2022-09-22 Google Llc Millimeter wave radar on flexible printed circuit board
US11323164B2 (en) 2019-10-24 2022-05-03 Electronics And Telecommunications Research Institute Communication method and apparatus in cloud radio access network
US12009605B2 (en) * 2019-11-08 2024-06-11 The Aerospace Corporation Methods and systems for reducing spherical aberration
US11721888B2 (en) 2019-11-11 2023-08-08 Ticona Llc Antenna cover including a polymer composition having a low dielectric constant and dissipation factor
CN110795864B (zh) * 2019-11-13 2022-10-14 成都天锐星通科技有限公司 一种iq电压计算实现方法、电子设备及系统
EP3823162B1 (en) 2019-11-14 2022-07-27 Imec VZW Digital rf circuitry
US11477664B2 (en) * 2019-11-27 2022-10-18 Qualcomm Incorporated Dynamic beam sweep procedure
DE102019132332B3 (de) * 2019-11-28 2021-01-28 Infineon Technologies Ag Verfahren zum Herstellen eines Moduls, Lötkörper mit einem erhöhten Rand zum Herstellen eines Moduls und Verwenden des Lötkörpers zum Herstellen eines Leistungsmoduls
US11165416B2 (en) 2019-12-03 2021-11-02 Apple Inc. Duty cycle and skew measurement and correction for differential and single-ended clock signals
KR20210070137A (ko) * 2019-12-04 2021-06-14 에스케이하이닉스 주식회사 반도체장치 및 반도체시스템
US11476894B2 (en) 2019-12-10 2022-10-18 AR & NS Investment, LLC Edge communication system with cascaded repeater devices over wired medium
US11038666B1 (en) * 2019-12-11 2021-06-15 Qualcomm Incorporated Open-loop, super fast, half-rate clock and data recovery for next generation C-PHY interfaces
CN112997198B (zh) * 2019-12-12 2022-07-15 支付宝(杭州)信息技术有限公司 确定执行设备的动作选择方针
KR20210074739A (ko) 2019-12-12 2021-06-22 삼성전자주식회사 무선 통신 시스템에서 복수의 대역들에서 신호들을 송신 및 수신하기 위한 장치 및 방법
US10778148B1 (en) * 2019-12-13 2020-09-15 Raytheon Company Gain and sensitivity in a Gilbert switch stage
EP4073905A4 (en) 2019-12-13 2024-01-03 Energous Corporation CHARGING PAD WITH GUIDING CONTOURS FOR ALIGNING AN ELECTRONIC DEVICE ON THE CHARGING PAD AND FOR EFFICIENTLY TRANSMITTING NEAR FIELD HIGH FREQUENCY ENERGY TO THE ELECTRONIC DEVICE
US11581640B2 (en) 2019-12-16 2023-02-14 Huawei Technologies Co., Ltd. Phased array antenna with metastructure for increased angular coverage
RU200964U1 (ru) * 2019-12-17 2020-11-20 Федеральное государственное бюджетное образовательное учреждение высшего образования "Владимирский Государственный Университет имени Александра Григорьевича и Николая Григорьевича Столетовых" (ВлГУ) Корректор межсимвольных искажений цифровых сигналов
RU200553U1 (ru) * 2019-12-18 2020-10-29 Федеральное государственное бюджетное учреждение науки Институт проблем передачи информации им. А.А. Харкевича Российской академии наук (ИППИ РАН) Устройство для передачи сигналов с помощью неортогонального множественного доступа с фазовым вращением в wi-fi сетях
US11296040B2 (en) * 2019-12-19 2022-04-05 Intel Corporation Electrostatic discharge protection in integrated circuits
CN110968714B (zh) * 2019-12-23 2020-11-06 自然资源部国土卫星遥感应用中心 一种卫星遥感影像即时服务方法及即时服务平台
CN110839204B (zh) * 2019-12-23 2020-10-16 华中科技大学 一种irs辅助通信系统的通信优化方法及装置
EP3843098A1 (en) 2019-12-23 2021-06-30 IMEC vzw Sign switching circuitry
CN111077521B (zh) * 2019-12-25 2023-07-18 中国科学院上海微系统与信息技术研究所 一种动态检测对象的成像补偿方法、装置、设备和介质
CN111146536B (zh) * 2019-12-25 2021-02-02 南通大学 一种低通道频率固定高通道频率可调的双工器及设计方法
US11424783B2 (en) * 2019-12-27 2022-08-23 Mediatek Inc. Transceiver having radio-frequency front-end circuit, dedicated radio-frequency front-end circuit, and switchable matching circuit integrated in same chip
CN114546029B (zh) * 2019-12-30 2022-12-02 珠海极海半导体有限公司 控制芯片、mcu芯片、mpu芯片及dsp芯片
US10985617B1 (en) 2019-12-31 2021-04-20 Energous Corporation System for wirelessly transmitting energy at a near-field distance without using beam-forming control
CN113067665B (zh) * 2020-01-02 2022-03-11 海思光电子有限公司 一种编码方法、解码方法和装置
US11095014B2 (en) * 2020-01-07 2021-08-17 Aptiv Technologies Limited Waveguide antenna with integrated temperature management
EP4066314A4 (en) 2020-01-24 2024-01-17 Kyocera Avx Components (San Diego), Inc. RADIO FREQUENCY (RF) AMPLIFIER CIRCUIT FOR ANTENNA SYSTEMS HAVING A MODAL ANTENNA
US11430710B2 (en) 2020-01-27 2022-08-30 International Business Machines Corporation Lid/heat spreader having targeted flexibility
US10680694B1 (en) * 2020-01-29 2020-06-09 The Florida International University Board Of Trustees Cross-mixing beamformer
US11374686B2 (en) * 2020-02-04 2022-06-28 Qualcomm Incorporated Parity check bits for non-coherent communication
WO2021156663A1 (en) * 2020-02-05 2021-08-12 Zeku Inc. Radio frequency chips having waveform generators for self-testing
US10892794B1 (en) * 2020-02-06 2021-01-12 Global Unichip Corporation Multi-channel transmission device
US11140633B2 (en) * 2020-02-10 2021-10-05 Samsung Electronics Co., Ltd. Method and apparatus for loopback gain step calibration on RF chain with phase shifter
CN111294003B (zh) * 2020-02-17 2023-05-12 电子科技大学 一种新型宽可变增益低相移可变增益放大器
EP3866356B1 (en) * 2020-02-17 2023-08-23 Rohde & Schwarz GmbH & Co. KG Method of measuring a total radiated power of a device under test as well as test system
TWI773966B (zh) * 2020-02-20 2022-08-11 瑞昱半導體股份有限公司 運作方法以及接收裝置
US11265055B2 (en) * 2020-02-21 2022-03-01 Qualcomm Incorporated Flexible beamforming using frequency-division multiplexing
US11450952B2 (en) 2020-02-26 2022-09-20 Analog Devices International Unlimited Company Beamformer automatic calibration systems and methods
CN115700014A (zh) 2020-02-26 2023-02-03 提克纳有限责任公司 电路结构
CN116667968A (zh) * 2020-03-02 2023-08-29 加特兰微电子科技(上海)有限公司 自动增益控制方法、传感器及无线电器件
CN111327317B (zh) * 2020-03-02 2023-03-28 天津智模科技有限公司 一种数模混合神经元电路
TW202135173A (zh) * 2020-03-13 2021-09-16 力成科技股份有限公司 具局部外金屬層的半導體封裝結構及其製法
CN115244858A (zh) * 2020-03-18 2022-10-25 瑞典爱立信有限公司 天线系统中无源互调的移除
US11703881B2 (en) * 2020-03-19 2023-07-18 Logistics And Supply Chain Multi Tech R&D Centre Limited Method of controlling a guide machine and a navigation system
JP7368291B2 (ja) * 2020-03-27 2023-10-24 京セラ株式会社 通信モジュール、通信システム及び通信モジュールの制御方法
JP2021164022A (ja) * 2020-03-31 2021-10-11 株式会社村田製作所 高周波モジュール及び通信装置
JP7512410B2 (ja) * 2020-04-02 2024-07-08 テレフオンアクチーボラゲット エルエム エリクソン(パブル) アンテナアレイのグループ化
CN115398816A (zh) * 2020-04-02 2022-11-25 瑞典爱立信有限公司 用于模拟波束转向的方法和发射机
CN111490959B (zh) * 2020-04-03 2020-12-01 北京力通通信有限公司 宽带多通道射频收发机iq失衡校正方法、装置及电路
CN111343642A (zh) * 2020-04-03 2020-06-26 广州市瀚云信息技术有限公司 一种5g信号射频变频分布系统
US11837457B2 (en) 2020-09-11 2023-12-05 Wolfspeed, Inc. Packaging for RF transistor amplifiers
US11670605B2 (en) 2020-04-03 2023-06-06 Wolfspeed, Inc. RF amplifier devices including interconnect structures and methods of manufacturing
US11356070B2 (en) * 2020-06-01 2022-06-07 Wolfspeed, Inc. RF amplifiers having shielded transmission line structures
US11799324B2 (en) 2020-04-13 2023-10-24 Energous Corporation Wireless-power transmitting device for creating a uniform near-field charging area
WO2021211666A1 (en) 2020-04-14 2021-10-21 Johnstech International Corporation Over the air (ota) chip testing system
US20230204703A1 (en) * 2020-04-17 2023-06-29 Hewlett-Packard Development Company, L.P. Beacon transmission
US11422774B2 (en) 2020-04-21 2022-08-23 International Business Machines Corporation Multiply and accumulate using current division and switching
US11985641B2 (en) 2020-04-22 2024-05-14 Charter Communications Operating, Llc Node apparatus and methods for providing high-capacity data services via a content delivery network architecture
US11625707B1 (en) * 2020-04-27 2023-04-11 Amazon Technologies, Inc. Mitigating near-field-communication (NFC) antenna interference
CN112929902B (zh) * 2020-04-28 2024-07-05 南京中科源享软件有限公司 一种采用通信联网平台的指定范围信号控制系统
CN111504347B (zh) * 2020-04-28 2021-12-17 中国电子科技集团公司第二十八研究所 一种低噪声信号检测系统
US20240106123A1 (en) * 2020-04-28 2024-03-28 Northrop Grumman Systems Corporation Rf modules with an enclosure having a micromachined interior using semiconductor fabrication
US11470695B2 (en) * 2020-04-28 2022-10-11 Northrop Grumman Systems Corporation Filter with an enclosure having a micromachined interior using semiconductor fabrication
KR20210133799A (ko) 2020-04-29 2021-11-08 삼성전자주식회사 클럭 및 데이터 복구 장치를 포함하는 데이터 송수신 시스템 및 이의 동작방법
CN115004479A (zh) * 2020-04-30 2022-09-02 京瓷Avx元器件(圣地亚哥)有限公司 用于控制天线阵列的方法和系统
US11652266B2 (en) * 2020-04-30 2023-05-16 Spire Global Subsidiary, Inc. Calibration system for satellite radio receivers operation
CN113594687B (zh) * 2020-04-30 2022-10-28 Oppo广东移动通信有限公司 天线模组及电子设备
KR20210135098A (ko) * 2020-05-04 2021-11-12 삼성전자주식회사 고주파 안테나 모듈 및 이를 이용하는 전자 장치
US11329857B2 (en) * 2020-05-05 2022-05-10 Nanya Technologies Corporation Cellular network
CN113645670A (zh) * 2020-05-11 2021-11-12 苹果公司 5g新无线电的组播广播服务
US11293968B2 (en) 2020-05-12 2022-04-05 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
CN111404785A (zh) * 2020-05-13 2020-07-10 袁野 基于云计算的5g移动网络检测系统
US11317502B2 (en) * 2020-05-15 2022-04-26 Raytheon Company PCB cavity mode suppression
US11962098B2 (en) * 2020-05-21 2024-04-16 Qualcomm Incorporated Wireless communications using multiple antenna arrays and a lens array
EP4158792A2 (en) * 2020-05-28 2023-04-05 Starry, Inc. Nodes for high frequency fixed wireless access network
CN111769840B (zh) * 2020-06-04 2022-03-29 广州慧智微电子股份有限公司 具有多种工作模式的射频信号处理电路和射频前端单元
US11581648B2 (en) 2020-06-08 2023-02-14 The Hong Kong University Of Science And Technology Multi-port endfire beam-steerable planar antenna
US11018688B1 (en) 2020-06-08 2021-05-25 Samsung Electronics Co., Ltd. DTC device and method based on capacitive DAC charging
CN116472646B (zh) * 2020-06-11 2024-04-05 斯凯吉格有限责任公司 用于无线收发器用多波束波束成形前端架构的系统及方法
TWI743841B (zh) * 2020-06-17 2021-10-21 啟碁科技股份有限公司 抑制無線功率變化之方法及其裝置
CN111756418B (zh) * 2020-06-17 2021-10-26 电子科技大学 一种基于接收空间调制的无线数能同传方法
CN111786082B (zh) * 2020-06-19 2021-09-07 深圳国人通信技术服务有限公司 一种小型化5g基站
CN111756897A (zh) * 2020-06-22 2020-10-09 联想(北京)有限公司 一种电子设备
US11711126B2 (en) * 2020-06-24 2023-07-25 AR & NS Investment, LLC Wireless communication system based on mmWave RF repeaters
US11989965B2 (en) 2020-06-24 2024-05-21 AR & NS Investment, LLC Cross-correlation system and method for spatial detection using a network of RF repeaters
US11283510B2 (en) * 2020-06-24 2022-03-22 AR & NS Investment, LLC Phase noise removal in a network of radio frequency (RF) repeaters
US11177872B1 (en) 2020-06-24 2021-11-16 AR & NS Investment, LLC Managing a network of radio frequency (RF) repeater devices
EP3930204A1 (en) * 2020-06-27 2021-12-29 Pharrowtech BV A structure for distributing radio frequency signals
TWI742755B (zh) * 2020-07-09 2021-10-11 台達電子工業股份有限公司 波束成形系統及波束產生器
US11373965B2 (en) 2020-07-17 2022-06-28 Northrop Grumman Systems Corporation Channelized filter using semiconductor fabrication
US20220029688A1 (en) * 2020-07-24 2022-01-27 Qualcomm Incorporated Switching between beamforming modes
CN111834735B (zh) * 2020-07-28 2022-09-27 武汉虹信科技发展有限责任公司 一种阵列天线及基站设备
JP7322832B2 (ja) 2020-07-29 2023-08-08 信越半導体株式会社 量子コンピュータ用半導体装置の製造方法
JP7500323B2 (ja) * 2020-07-30 2024-06-17 株式会社ジャパンディスプレイ フェーズドアレイアンテナの駆動方法及び反射板の駆動方法
CN111816234B (zh) * 2020-07-30 2023-08-04 中科南京智能技术研究院 一种基于sram位线同或的电压累加存内计算电路
CN112165350B (zh) * 2020-08-24 2022-04-12 中国电子科技集团公司第二十九研究所 一种面向中低轨卫星下行相控阵捷变波束控制装置及方法
CN114143705B (zh) * 2020-09-02 2024-03-26 蓝色创源(北京)科技有限公司 测向方法、设备、系统及存储介质
CN111913162B (zh) * 2020-09-04 2023-02-24 内蒙古工业大学 射频干扰检测方法及装置、射频干扰抑制方法及装置
US11706828B2 (en) 2020-09-15 2023-07-18 Electronics And Telecommunications Research Institute Method and apparatus for supporting terminal relay
CN114285683B (zh) 2020-09-18 2023-03-24 华为技术有限公司 一种批量配置家居设备的方法及设备
US11700530B2 (en) * 2020-09-21 2023-07-11 Cogitari, Inc. Radio frequency threat detection
US11984661B2 (en) 2020-09-21 2024-05-14 Apple Inc. Electronic devices having millimeter wave and ultra-wideband antenna modules
US11296802B1 (en) 2020-09-24 2022-04-05 Apple Inc. Wireless circuitry with self-calibrated harmonic rejection mixers
US11502391B2 (en) 2020-09-24 2022-11-15 Apple Inc. Electronic devices having differentially-loaded millimeter wave antennas
CN116235413A (zh) * 2020-09-28 2023-06-06 华为技术有限公司 带混频器的三相/六相lo发生器
CN112182892B (zh) * 2020-09-30 2024-01-02 西安空间无线电技术研究所 一种基于分段仿射的功率放大器行为建模方法及装置
US11863224B2 (en) 2020-10-02 2024-01-02 Apple Inc. Multi-layer matching structures for high frequency signal transmission
US11588225B2 (en) * 2020-10-14 2023-02-21 Bae Systems Information And Electronic Systems Integration Inc. Low profile antenna
KR20220050364A (ko) 2020-10-16 2022-04-25 한국전자통신연구원 셀-탈피 대규모 mimo 전송 방법 및 이를 위한 장치
TWI738551B (zh) * 2020-10-28 2021-09-01 中華汽車工業股份有限公司 可插拔的車載控制裝置、車輛控制系統及車輛控制方法
CN112350065B (zh) * 2020-10-30 2024-06-14 昆山国显光电有限公司 显示面板、显示装置和显示面板的制作方法
US11431422B2 (en) * 2020-11-05 2022-08-30 Electronics And Telecommunications Research Institute Calibration method for cooperative transmission of cell-free wireless network, and apparatus therefor
US20230231597A1 (en) * 2020-11-06 2023-07-20 Dejero Labs Inc. System and method for housing antennas
CN112421240B (zh) * 2020-11-09 2022-03-25 重庆两江卫星移动通信有限公司 一种基于法拉第旋转的单通道波束扫描装置及方法
US20220272701A1 (en) * 2020-11-09 2022-08-25 Commscope Technologies Llc Systems and methods for planning and operating radios controlled by a spectrum access system
CN112380077A (zh) * 2020-11-16 2021-02-19 成都海光微电子技术有限公司 测试方法、装置、测试设备、存储介质及rtc集成模组
CN112467399B (zh) * 2020-11-18 2021-12-28 厦门大学 正馈激励多频点新型圆极化毫米波宽带平面反射阵列天线
KR20220069587A (ko) * 2020-11-20 2022-05-27 삼성전자주식회사 복수의 안테나를 포함하는 전자 장치 및 그 운용 방법
US11539383B2 (en) * 2020-11-23 2022-12-27 Swiftlink Technologies Co., Ltd. Bidirectional image-rejection active array with reduced LO requirement
FI130399B (en) 2020-11-23 2023-08-14 Saab Ab Receiver system
CN112532307B (zh) * 2020-11-30 2022-07-29 中国空间技术研究院 一种高通量卫星有效载荷在轨测试系统及方法
WO2022120461A1 (en) * 2020-11-30 2022-06-16 Macdonald, Dettwiler And Associates Corporation Direct radiating array ("dra") antenna, method of assembling a dra antenna, and system for managing heat generated by a dra antenna
CN116547542A (zh) * 2020-12-02 2023-08-04 特克特朗尼克公司 相控阵空中测试
KR20220078331A (ko) * 2020-12-03 2022-06-10 삼성전자주식회사 안테나 모듈을 포함하는 전자 장치
CN113113774A (zh) * 2020-12-04 2021-07-13 西安电子科技大学 一种宽带波束扫描反射阵天线
US11218225B1 (en) * 2020-12-07 2022-01-04 Marvell Asia Pte, Ltd. Analog receiver equalizer architectures for high-speed wireline and optical applications
CN112615646B (zh) * 2020-12-09 2022-06-17 中国科学院新疆天文台 一种射电天文接收机使用的四通道中频均衡放大模块
CN112492648B (zh) * 2020-12-18 2021-07-02 深圳市微网力合信息技术有限公司 一种数据丢包处理方法、系统及终端
US20220200838A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Method and apparatus for in-phase and quadrature imbalance correction in a frequency domain
TWI819264B (zh) 2020-12-25 2023-10-21 立積電子股份有限公司 射頻裝置及其電壓產生與諧波抑制器
CN112738841B (zh) * 2020-12-28 2022-05-13 四川天邑康和通信股份有限公司 一种5g基站中ssb波束动态配置方法及5g基站
US11973550B2 (en) * 2020-12-29 2024-04-30 Skyworks Solutions, Inc. Beamforming communication system with flexibility and modularity
US11070351B1 (en) * 2020-12-31 2021-07-20 Faraday Technology Corp. Controller and method for data communication
US11381266B1 (en) * 2020-12-31 2022-07-05 Iridium Satellite Llc Wireless communication with interference mitigation
JP2022107522A (ja) * 2021-01-08 2022-07-21 三星ディスプレイ株式會社 位相調整方法およびシステム
JP2022112306A (ja) 2021-01-21 2022-08-02 富士通株式会社 無線通信装置
US11480992B1 (en) * 2021-01-21 2022-10-25 Qualcomm Incorporated Techniques for phase shift reduction in a single crystal multiple output clock system
IT202100001301A1 (it) * 2021-01-25 2022-07-25 St Microelectronics Srl Dispositivo a semiconduttore e procedimento di fabbricazione corrispondente
CN112671383B (zh) * 2021-01-31 2022-08-23 山西大学 一种频率可连续调谐的激光相位快速锁定装置
TWI774231B (zh) * 2021-02-05 2022-08-11 瑞昱半導體股份有限公司 聲音輸入輸出系統及噪音消除電路
US11374614B1 (en) * 2021-02-12 2022-06-28 Charter Communications Operating, Llc In-device coexistence for new radio
US11728559B2 (en) * 2021-02-18 2023-08-15 Ticona Llc Polymer composition for use in an antenna system
KR20230147152A (ko) * 2021-02-18 2023-10-20 티코나 엘엘씨 안테나 시스템에 사용하기 위한 중합체 조성물
TWI754551B (zh) * 2021-02-24 2022-02-01 友達光電股份有限公司 主動相位陣列
EP4298737A1 (en) * 2021-02-24 2024-01-03 BlueHalo LLC System and method for a digitally beamformed phased array feed
CN112910513B (zh) * 2021-03-03 2022-04-15 立讯精密工业(昆山)有限公司 智能天线系统和通信方法
CN113028238B (zh) * 2021-03-03 2022-06-14 操璐 一种无线路由器增强定向信号传送的支架
US12022608B2 (en) 2021-03-11 2024-06-25 Northrop Grumman Systems Corporation Radio frequency crossover with high isolation in microelectronics H-frame device
WO2022191855A1 (en) * 2021-03-12 2022-09-15 Johnstech International Corporation Integrated circuit testing for integrated circuits with antennas
US20220303106A1 (en) * 2021-03-18 2022-09-22 National Taiwan University Scalable phased-array system for wireless systems
US11843175B2 (en) 2021-03-19 2023-12-12 Google Llc Three-dimensional antenna module for transmitting and receiving electromagnetic millimeter waves
US20220311131A1 (en) * 2021-03-29 2022-09-29 M2SL Corporation Communication system with portable interface mechanism and method of operation thereof
WO2022209737A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
WO2022209750A1 (ja) * 2021-03-31 2022-10-06 株式会社村田製作所 高周波モジュール及び通信装置
CN113504508B (zh) * 2021-04-13 2023-11-17 惠州市德赛西威智能交通技术研究院有限公司 一种改善雷达低频包络及rcta镜像目标检测的方法
EP4075334B1 (en) * 2021-04-14 2023-11-08 Yocto Technologies, S.L. Method for wirelessly receiving and transmitting electromagnetic radiation, and electronic device therefor
US11368277B1 (en) 2021-04-21 2022-06-21 Apple Inc. Accurate sign change for radio frequency transmitters
TWI763457B (zh) * 2021-04-23 2022-05-01 新唐科技股份有限公司 波形產生電路
CN113156225B (zh) * 2021-04-25 2022-05-27 上海航天测控通信研究所 一种深空高增益天线在轨指向校准方法
KR20220149273A (ko) * 2021-04-30 2022-11-08 삼성전자주식회사 무선 주파수 집적 회로 및 상기 집적 회로를 포함하는 통신 장치
CN113282524B (zh) * 2021-05-08 2022-08-16 重庆大学 一种缓存分片的配置方法、装置以及存储介质
CN113300717B (zh) * 2021-05-19 2022-06-10 西南交通大学 一种基于码率自适应的高效化ldpc编码器电路
US11411596B1 (en) 2021-05-24 2022-08-09 Apple Inc. Transmit-receive switch with harmonic distortion rejection and electrostatic discharge protection
CN113311397B (zh) * 2021-05-25 2023-03-10 西安电子科技大学 基于卷积神经网络的大型阵列快速自适应抗干扰方法
CN113471186B (zh) * 2021-05-31 2023-08-29 西南电子技术研究所(中国电子科技集团公司第十研究所) 卫星通信多通道射频收发接口单元3d集成封装架构
US11646501B2 (en) 2021-06-03 2023-05-09 Apple Inc. Electronic devices having antennas with hybrid substrates
US11923621B2 (en) 2021-06-03 2024-03-05 Apple Inc. Radio-frequency modules having high-permittivity antenna layers
US20230396405A1 (en) * 2021-06-14 2023-12-07 David E. Newman Polarization Encoding for High-Density 5G/6G Communication
US20220407516A1 (en) * 2021-06-17 2022-12-22 Texas Instruments Incorporated Soft handover in a coupled dual-oscillator system
CN113552539B (zh) * 2021-06-22 2024-06-04 珠海市海米软件技术有限公司 一种提高毫米波雷达收发隔离度的谐振电磁解耦构件
KR20230003970A (ko) 2021-06-30 2023-01-06 삼성전자주식회사 오정렬 보상 방법 및 장치
CN113590418A (zh) * 2021-07-06 2021-11-02 合肥联宝信息技术有限公司 一种螺丝锁附状态的检测方法、装置及存储介质
CN113688589B (zh) * 2021-07-15 2023-05-02 电子科技大学 一种电磁热可靠性寿命分析方法
CN115642928A (zh) * 2021-07-19 2023-01-24 华为技术有限公司 射频收发信机、射频收发信机用连接组件及射频收发系统
TWI807914B (zh) * 2021-07-19 2023-07-01 創未來科技股份有限公司 射頻傳輸器與用於發射射頻輸出訊號的方法
US20230057702A1 (en) * 2021-08-19 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI777741B (zh) * 2021-08-23 2022-09-11 欣興電子股份有限公司 內埋元件基板及其製作方法
US20230070175A1 (en) * 2021-09-09 2023-03-09 Mobix Labs, Inc. Dual-polarized magneto-electric dipole with simultaneous dual-band operation capability
CN113708785B (zh) * 2021-09-15 2023-06-16 许昌学院 一种大数据网络传输抗干扰系统
CN118216047A (zh) * 2021-09-22 2024-06-18 捷普有限公司 用于简化的毫米波相控阵天线的喇叭孔
CN118202522A (zh) * 2021-09-22 2024-06-14 捷普有限公司 用于低成本pcb mmwave相控阵天线的双背钻过孔
WO2023048613A1 (en) * 2021-09-24 2023-03-30 Beammwave Ab An electronic device, a method, a computer program product, and a single-chip radio
WO2023057884A2 (en) * 2021-10-05 2023-04-13 Divya Gudapati Dual polarized sub-ghz 5g base station antenna for low mobility n-urban applications
CN114256603A (zh) * 2021-10-14 2022-03-29 南京理工大学 频率可调自振荡有源天线
TW202316824A (zh) 2021-10-14 2023-04-16 財團法人工業技術研究院 類比式陣列天線波束成型器及其運作方法
CN114171885B (zh) * 2021-11-30 2023-06-27 南京国睿防务系统有限公司 一种高机动的大口径米波超轻型展开天线
US11687483B1 (en) * 2021-12-05 2023-06-27 Western Digital Technologies, Inc. Embedded physical layers with passive interfacing for configurable integrated circuits
CN113866708B (zh) * 2021-12-06 2022-03-25 青岛鼎信通讯股份有限公司 一种基于智能断路器的电能表计量误差分析方法
TWI790857B (zh) 2021-12-15 2023-01-21 財團法人工業技術研究院 差動式電容裝置與差動式電容校準方法
CN114510268B (zh) * 2021-12-24 2022-09-20 中国人民解放军战略支援部队航天工程大学 一种基于gpu实现下变频中单精度浮点数累积误差控制方法
TWI833142B (zh) 2021-12-24 2024-02-21 啓碁科技股份有限公司 通訊裝置
US11916398B2 (en) 2021-12-29 2024-02-27 Energous Corporation Small form-factor devices with integrated and modular harvesting receivers, and shelving-mounted wireless-power transmitters for use therewith
CN114334919A (zh) * 2021-12-29 2022-04-12 中国工程物理研究院电子工程研究所 一种基于晶圆级封装工艺的波导过渡结构
US11901977B2 (en) * 2022-01-14 2024-02-13 Bae Systems Information And Electronic Systems Integration Inc. Delay compensated analog beam forming network
CN114580144B (zh) * 2022-01-17 2024-05-17 西南交通大学 近场动力学问题的gpu并行实施方法
US11891808B2 (en) 2022-01-19 2024-02-06 Oatey Co. Roof flashing
WO2023146441A1 (en) * 2022-01-26 2023-08-03 Telefonaktiebolaget Lm Ericsson (Publ) Calibration for wireless communication network
JPWO2023149042A1 (ko) * 2022-02-03 2023-08-10
US11736320B2 (en) * 2022-02-14 2023-08-22 Ultralogic 6G, Llc Multiplexed amplitude-phase modulation for 5G/6G noise mitigation
TWI792922B (zh) * 2022-02-16 2023-02-11 和碩聯合科技股份有限公司 測試系統
WO2023158842A1 (en) * 2022-02-18 2023-08-24 Georgia Tech Research Corporation Hybrid complementary bi-directional amplifier and device
WO2023155018A1 (en) * 2022-02-18 2023-08-24 Macdonald, Dettwiler And Associates Corporation Direct radiating array antenna assembly
CN114500200B (zh) * 2022-02-22 2023-01-17 苏州大学 数字信号处理方法、动态均衡方法、装置、介质以及设备
CN114553153A (zh) * 2022-02-28 2022-05-27 电子科技大学 一种幅相独立可调的模拟预失真线性化器
US11953639B2 (en) * 2022-03-17 2024-04-09 Halliburton Energy Services, Inc. Cross-component response interpolation for coaxially oriented antennas in an electromagnetic tool
US11515993B1 (en) * 2022-03-18 2022-11-29 UTVATE Corporation Antenna lattice for single-panel full-duplex satellite user terminals
CN114710121B (zh) * 2022-03-25 2024-01-26 北京中科飞鸿科技股份有限公司 一种超宽带小型化上下变频器
CN117157885A (zh) * 2022-03-29 2023-12-01 吉欧平台有限公司 大规模mimo无线电单元的高速收发器板(hstb)系统及设计方法
CN117157893A (zh) * 2022-03-29 2023-12-01 吉欧平台有限公司 大规模mimo无线电单元的rf前端模块的系统及设计方法
CN117158019A (zh) * 2022-03-31 2023-12-01 吉欧平台有限公司 集成式宏下一代无线电单元的系统和设计方法
TWI806542B (zh) * 2022-04-11 2023-06-21 晶豪科技股份有限公司 用於聲音訊號處理之雜訊抑制的設備
CN114726425B (zh) * 2022-04-14 2023-06-09 哈尔滨工业大学(深圳) 基于移相器开关控制的波束成形方法、装置、无线通信系统及存储介质
CN114978384B (zh) * 2022-04-29 2023-05-30 南京邮电大学 一种超高频频段室内办公室无线信道小尺度参数建模方法
TWI822030B (zh) * 2022-05-05 2023-11-11 律芯科技股份有限公司 雜訊整型式數位自動增益控制系統
US11901909B2 (en) 2022-05-20 2024-02-13 Qualcomm Incorporated Dynamic range adjustment for analog-to-digital converter (ADC)
TWI804325B (zh) * 2022-05-20 2023-06-01 國立勤益科技大學 可變資料之窄頻多通道通訊系統
DE102022205483A1 (de) 2022-05-31 2023-11-30 Rolls-Royce Deutschland Ltd & Co Kg Schnelles Schalten von Transistoren in einem Wandler mit begrenzter Kapazität
CN115209519B (zh) * 2022-06-02 2024-01-26 四川大学 短帧开环结构的无线时间同步设备
WO2023245274A1 (en) * 2022-06-22 2023-12-28 Huawei Technologies Canada Co., Ltd. Tightly-coupled antenna array and method thereof
CN115225114B (zh) * 2022-07-11 2024-03-22 北京航天科工世纪卫星科技有限公司 一种弹载跳频通信体制全向电扫描射频组件
CN115133280B (zh) * 2022-07-27 2024-04-19 重庆邮电大学 一种差分宽带滤波天线
CN115455886B (zh) * 2022-08-05 2023-04-11 上海移柯通信技术股份有限公司 Pcb板设计方法、pcb板、电子设备、存储介质及终端
CN115117583B (zh) * 2022-08-09 2023-09-19 广东环波新材料有限责任公司 一种基于ltcc的小型化超宽带巴伦
CN115549789B (zh) * 2022-08-12 2023-05-12 广州致远仪器有限公司 基于光纤的信号传输系统及测量设备
CN115348689B (zh) * 2022-08-19 2024-04-30 江西山水光电科技股份有限公司 一种5g基站
CN115279038B (zh) * 2022-09-26 2022-12-27 深圳国人无线通信有限公司 一种适用于高速信号传输的布线方法和pcb板
WO2024074852A1 (en) * 2022-10-07 2024-04-11 Argo Semiconductors SA Analog front end for massive multiple input, multiple output (mmimo) communication systems
CN118102397A (zh) * 2022-11-17 2024-05-28 华为技术有限公司 通信方法和通信装置
WO2024113318A1 (zh) * 2022-12-01 2024-06-06 华为技术有限公司 信号处理系统及相关装置
TWI823731B (zh) * 2022-12-28 2023-11-21 國立清華大學 前饋等化器及使用其的電壓模態信號發射器
CN116500549B (zh) * 2023-06-28 2023-11-07 南京邮电大学 一种基于ltcc的四通道x波段三维堆叠结构tr组件
CN116707575B (zh) * 2023-07-27 2023-09-29 为准(北京)电子科技有限公司 射频端口电路和通信装置
CN117200980B (zh) * 2023-09-08 2024-04-05 广州天力能科技有限公司 一种电数字数据传输芯片电路
CN116914447B (zh) * 2023-09-14 2023-12-22 成都锐芯盛通电子科技有限公司 一种双频段多通道sip模块
CN117039459B (zh) * 2023-10-09 2023-12-12 成都智芯雷通微系统技术有限公司 一种用于毫米波有源相控阵的高集成度t/r组件
CN117543225B (zh) * 2024-01-08 2024-04-12 成都恪赛科技有限公司 一种毫米波tr组件、吸波材料切割装置及方法
CN117792538B (zh) * 2024-02-27 2024-05-10 青岛未来网络创新技术有限公司 一种车载天线的信号接收性能测试方法
CN117908141B (zh) * 2024-03-19 2024-05-14 成都鹰谷米特科技有限公司 基于双波段毫米波一体化的目标确定方法及近炸引信

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002033419A (ja) * 2000-07-14 2002-01-31 Sharp Corp 高周波モジュールおよび高周波モジュールの製造方法
US20120306698A1 (en) * 2011-06-02 2012-12-06 Brigham Young University Planar array feed for satellite communications
KR20140005339A (ko) * 2011-05-05 2014-01-14 인텔 코오퍼레이션 고성능 유리계 60 ghz/mm-파 위상 어레이 안테나들 및 그 제조 방법들

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR408E (fr) 1902-02-08 1902-12-29 Driancourt Georges Appareil continu pour la fabrication des vins et cidres mousseux
US4838475A (en) 1987-08-28 1989-06-13 Motorola, Inc. Method and apparatus for EMI/RFI shielding an infrared energy reflow soldered device
GB9016854D0 (en) * 1990-08-01 1994-09-21 Secr Defence Radiation sensor
US5231406A (en) 1991-04-05 1993-07-27 Ball Corporation Broadband circular polarization satellite antenna
NO179972C (no) 1991-11-12 1997-01-22 Dyno Nobel Inc Tennsatsmateriale, samt fremgangsmåte for fremstilling derav
US6215454B1 (en) 1998-02-20 2001-04-10 Qualcomm, Inc. Multi-layered shielded substrate antenna
JP2000307322A (ja) 1999-04-20 2000-11-02 Murata Mfg Co Ltd 高周波回路装置およびそれを用いた通信機
US7994996B2 (en) * 1999-11-18 2011-08-09 TK Holding Inc., Electronics Multi-beam antenna
WO2002065578A2 (en) * 2001-02-14 2002-08-22 Comsat Corporation Wide-band modular mems phased array
JP2003309423A (ja) 2002-04-15 2003-10-31 Murata Mfg Co Ltd アンテナ一体型高周波回路モジュール
AU2003245383A1 (en) 2002-06-03 2003-12-19 Mendolia, Greg, S. Combined emi shielding and internal antenna for mobile products
DE10242526B4 (de) * 2002-09-12 2004-12-09 Daimlerchrysler Ag Fahrzeugteile aus Kunststoff mit integrierten Antennenelementen sowie Verfahren zu deren Herstellung und Verwendung der Fahrzeugteile
KR100846872B1 (ko) 2006-11-17 2008-07-16 한국전자통신연구원 유전체 도파관 대 전송선의 밀리미터파 천이 장치
KR20100015387A (ko) * 2007-04-05 2010-02-12 텔레호낙티에볼라게트 엘엠 에릭슨(피유비엘) 편파 의존 빔 폭 조정기
SE532279C2 (sv) * 2008-04-11 2009-12-01 Powerwave Technologies Sweden Förbättrad antennisolation
WO2012125186A1 (en) * 2011-03-15 2012-09-20 Intel Corporation Conformal phased array antenna with integrated transceiver
US8759950B2 (en) 2011-05-05 2014-06-24 Intel Corporation Radio- and electromagnetic interference through-silicon vias for stacked-die packages, and methods of making same
JP5730159B2 (ja) 2011-08-25 2015-06-03 京セラ株式会社 アンテナ基板およびアンテナモジュール
US8818457B2 (en) 2011-09-21 2014-08-26 Broadcom Corporation Antenna having polarization diversity
US20130293420A1 (en) * 2012-05-07 2013-11-07 Wilocity Ltd. Techniques for maximizing the size of an antenna array per radio module
US9325056B2 (en) 2012-09-11 2016-04-26 Alcatel Lucent Radiation efficient integrated antenna
US9196951B2 (en) 2012-11-26 2015-11-24 International Business Machines Corporation Millimeter-wave radio frequency integrated circuit packages with integrated antennas
TWI652857B (zh) 2013-07-08 2019-03-01 高通公司 於毫米波無線電模組中操作相控陣列天線之技術
US10516214B2 (en) * 2013-11-05 2019-12-24 Si2 Technologies, Inc. Antenna elements and array
EP3080841A4 (en) 2013-12-09 2017-08-23 Intel Corporation Antenna on ceramics for a packaged die
CN203617426U (zh) * 2013-12-17 2014-05-28 北京无线电计量测试研究所 一种用于近距离主动式毫米波成像系统的天线阵列
US9620464B2 (en) * 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
US9917707B2 (en) 2014-09-11 2018-03-13 The Hong Kong University Of Science And Technology Adaptive cascaded equalization circuits with configurable roll-up frequency response for spectrum compensation
CN107078406B (zh) 2014-10-31 2021-07-23 株式会社村田制作所 天线模块以及电路模块
US9461001B1 (en) 2015-07-22 2016-10-04 Advanced Semiconductor Engineering, Inc. Semiconductor device package integrated with coil for wireless charging and electromagnetic interference shielding, and method of manufacturing the same
US9806040B2 (en) * 2015-07-29 2017-10-31 STATS ChipPAC Pte. Ltd. Antenna in embedded wafer-level ball-grid array package
US20170141938A1 (en) 2015-11-12 2017-05-18 Futurewei Technologies, Inc. High Performance PIM Cancellation With Feed Forward Structure
CN105356051B (zh) * 2015-11-16 2018-02-23 中国电子科技集团公司第十研究所 大功率导引头瓦式有源相控阵天线
EP3560111A4 (en) 2016-12-21 2020-12-02 Intel Capital Corporation WIRELESS COMMUNICATION TECHNOLOGY, DEVICES, AND METHODS

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002033419A (ja) * 2000-07-14 2002-01-31 Sharp Corp 高周波モジュールおよび高周波モジュールの製造方法
KR20140005339A (ko) * 2011-05-05 2014-01-14 인텔 코오퍼레이션 고성능 유리계 60 ghz/mm-파 위상 어레이 안테나들 및 그 제조 방법들
US20120306698A1 (en) * 2011-06-02 2012-12-06 Brigham Young University Planar array feed for satellite communications

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11367945B2 (en) 2018-05-16 2022-06-21 Samsung Electronics Co., Ltd. Electronic device and method comprising antenna
US11749879B2 (en) 2018-05-16 2023-09-05 Samsung Electronics Co., Ltd. Electronic device and method comprising antenna
KR20200070088A (ko) * 2018-12-07 2020-06-17 삼성전자주식회사 Gemm 데이터플로우 가속기 반도체 회로
KR102121591B1 (ko) * 2019-05-21 2020-06-10 중앙대학교 산학협력단 안테나 어레이 보정 방법 및 이를 포함하는 전자 장치
WO2021040339A1 (en) * 2019-08-23 2021-03-04 Samsung Electronics Co., Ltd. Device and method for upconverting signal in wireless communication system
US11431358B2 (en) 2019-08-23 2022-08-30 Samsung Electronics Co., Ltd. Device and method for upconverting signal in wireless communication system
US11303023B2 (en) 2019-08-30 2022-04-12 Samsung Electronics Co., Ltd. Antenna and electronic device including the same
US11283175B2 (en) 2019-11-20 2022-03-22 Samsung Electro-Mechanics Co., Ltd. Antenna apparatus
WO2021100925A1 (ko) * 2019-11-22 2021-05-27 엘지전자 주식회사 5g 안테나 모듈과 통신 모듈을 구비하는 전자 기기
WO2021172614A1 (ko) * 2020-02-26 2021-09-02 엘지전자 주식회사 5g 통신 중계 장치
US11462821B2 (en) 2020-03-23 2022-10-04 Samsung Electronics Co., Ltd. Electronic device with a plurality of antenna circuitries and a method for controlling the same
KR20210119878A (ko) * 2020-03-25 2021-10-06 가부시키가이샤 무라타 세이사쿠쇼 고주파 모듈 및 통신 장치
KR102149887B1 (ko) * 2020-04-09 2020-09-01 주식회사 영윈 저전력 주파수 대역 감지회로
KR20230003065A (ko) * 2020-04-27 2023-01-05 지티이 코포레이션 베어러 구성 방법 및 장치, 컨텍스트 정보 관리 방법 및 장치, 해제 방법 및 장치, 설비
KR20220067888A (ko) * 2020-11-18 2022-05-25 (주)에프알텍 O-RAN 프론트홀의 5G mmWave 광대역 빔포밍 MIMO 서비스 방법과 그 장치
KR102405623B1 (ko) * 2020-12-24 2022-06-07 아주대학교산학협력단 안테나 모듈 및 그 제어 방법
WO2022154265A1 (ko) * 2021-01-15 2022-07-21 한국과학기술원 광대역 시스템의 단일 반송파 기반 하이브리드 빔포밍 방법 및 장치
KR20220103353A (ko) * 2021-01-15 2022-07-22 한국과학기술원 광대역 시스템의 단일 반송파 기반 하이브리드 빔포밍 방법 및 장치
WO2022214974A1 (en) * 2021-04-05 2022-10-13 Radsee Technologies Ltd Antenna arrays
WO2022255699A1 (ko) * 2021-06-03 2022-12-08 삼성전자 주식회사 커넥터 구조체 및 이를 포함하는 전자 장치
KR102566968B1 (ko) * 2022-02-16 2023-08-14 한국과학기술원 단일 믹서를 구비한 무선 송수신기
WO2023204464A1 (ko) * 2022-04-22 2023-10-26 삼성전자 주식회사 안테나 어레이의 편파들 간 디커플링을 위한 정합 네트워크 및 이를 포함하는 전자 장치
WO2023229170A1 (ko) * 2022-05-23 2023-11-30 삼성전자 주식회사 무선 통신 시스템에서 도허티 전력 증폭기 및 이를 포함하는 전자 장치

Also Published As

Publication number Publication date
WO2018119153A2 (en) 2018-06-28
US20230145401A1 (en) 2023-05-11
EP3560111A2 (en) 2019-10-30
JP7441269B2 (ja) 2024-02-29
TW202345532A (zh) 2023-11-16
JP2024059722A (ja) 2024-05-01
WO2018119153A3 (en) 2019-03-14
DE112017006442T5 (de) 2019-09-19
US20200091608A1 (en) 2020-03-19
KR102635791B1 (ko) 2024-02-08
JP7089519B2 (ja) 2022-06-22
JP2020507230A (ja) 2020-03-05
TW201838352A (zh) 2018-10-16
CN110447146A (zh) 2019-11-12
US11424539B2 (en) 2022-08-23
TWI782936B (zh) 2022-11-11
EP3560111A4 (en) 2020-12-02
JP2022130459A (ja) 2022-09-06
US20220384956A1 (en) 2022-12-01
CN118117305A (zh) 2024-05-31
US11955732B2 (en) 2024-04-09

Similar Documents

Publication Publication Date Title
JP7441269B2 (ja) 無線通信技術、装置及び方法
Huo et al. 5G cellular user equipment: From theory to practical hardware design
US9912071B2 (en) Quasi-yagi-type antenna
Rappaport et al. State of the art in 60-GHz integrated circuits and systems for wireless communications
Sadhu et al. A 250-mW 60-GHz CMOS transceiver SoC integrated with a four-element AiP providing broad angular link coverage
Li et al. Design and implementation of an active array antenna with remote controllable radiation patterns for mobile communications
US12009321B2 (en) Package system and package
US20220320712A1 (en) Package Antenna Apparatus and Wireless Communication Apparatus
EP4033608A1 (en) Antenna structure and electronic device comprising same
De Domenico et al. Making 5G millimeter-wave communications a reality [Industry Perspectives]
US20240243477A1 (en) Wireless communication technology, apparatuses, and methods
Jianping et al. Technical challenges for high-frequency wireless communication
Asbeck et al. Integrated Circuits for Wireless Communications: Research Activities at the University of California, San Diego: Circuits Research for Wireless Communications at the University of California, San Diego
Charles A calibrated phase and amplitude control system for phased-array transmitters
EP4216241A1 (en) Transformer for low loss, and device comprising same
US20230299491A1 (en) Antenna module and manufacturing method thereof
Gupta et al. System Budget to System Realization-A 5G mm-wave Beamformer Perspective
Biglarbegian Integrated Antennas and Active Beamformers Technology for mm-Wave Phased-Array Systems
KR20230036791A (ko) 안테나 모듈 및 안테나 모듈을 포함하는 전자 장치
Krishnaswamy Architectures and integrated circuits for RF and mm-wave multiple-antenna systems on silicon
Lepage et al. DISSEMINATION LEVEL

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant