JP2006515955A - シリコン化合物によるシリコン含有層の堆積 - Google Patents

シリコン化合物によるシリコン含有層の堆積 Download PDF

Info

Publication number
JP2006515955A
JP2006515955A JP2004545570A JP2004545570A JP2006515955A JP 2006515955 A JP2006515955 A JP 2006515955A JP 2004545570 A JP2004545570 A JP 2004545570A JP 2004545570 A JP2004545570 A JP 2004545570A JP 2006515955 A JP2006515955 A JP 2006515955A
Authority
JP
Japan
Prior art keywords
silicon
compound
halogen
hydrogen
germanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004545570A
Other languages
English (en)
Inventor
コーシャル, ケイ. シング
ポール, ビー. コミタ,
ランス, エー. スカッド−デル,
デイヴィッド, ケイ. カールソン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006515955A publication Critical patent/JP2006515955A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0896Compounds with a Si-H linkage
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/08Compounds containing halogen
    • C01B33/107Halogenated silanes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Silicon Compounds (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本発明の実施形態は、一般的には、シリコン化合物の合成物及びシリコン含有膜を堆積させるためにシリコン化合物を用いる方法を提供する。本方法は、シリコン化合物を基板表面に導入するステップとシリコン化合物の一部、シリコンモチーフをシリコン含有膜として堆積させるステップとを用いる。リガンドはシリコン化合物の他の部分であり、インサイチュエッチング剤として遊離する。インサイチュエッチング剤は、選択的シリコンエピタキシーの成長を支持する。シリコン化合物は、SiRX6、Si2RX6、Si2RX8(ここで、Xは独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムである。)を含んでいる。シリコン化合物は、また、3つのシリコン原子と、4つのカーボン、シリコン又はゲルマニウム原子と、水素又はハロゲンと少なくとも1つのハロゲン原子とを含む化合物、また、4つのシリコン原子と、5つのカーボンと、シリコン又はゲルマニウム原子と、水素又はハロゲンと少なくとも1つのハロゲン原子とを含む化合物を含んでいる。

Description

関連出願の説明
[0001]本出願は、2002年10月18日出願の米国仮特許出願第60/419,376号、2002年10月18日出願の米国仮特許出願第60/419,426号、及び2002年10月18日出願の米国仮特許出願第60/419,504号の恩典を主張し、これらの開示内容は本明細書に援用されている。
本発明の背景
本発明の分野
[0002]本発明の実施形態は、一般的には、シリコン含有膜の堆積に関し、更に詳細には、シリコン化合物の合成物及びシリコン含有膜を堆積させる関連した方法に関する。
関連技術の説明
[0003]原子層エピタキシー(ALE)は、単一原子層を結晶格子上に成長させることによって膜厚の正確な制御を与える。ALEは、多くのIV族半導体材料、例えば、シリコン、ゲルマニウム、シリコンゲルマニウム、シリコンカーボン及びシリコンゲルマニウムカーボンを開発するために使われている。ALEによって製造されるシリコン系物質は、半導体材料として用いるのに興味深いものである。シリコン系物質は、選択可能な濃度でゲルマニウム及び/又はカーボンを含むことができ、ポリシリコン膜、アモルファス膜又は単結晶膜として成長する。シリコン含有膜がエピタキシャル成長する、シリコン-ALEは2つのステップからなる。
[0004]部分的に分解した供給源ガス分子(例えば、SiH4又はSiH2Cl2)の単層は、基板又は表面上で吸着される。吸着質は、シリコン原子及びシリコンと結合した少なくとも他の種類の原子、例えば、塩素、水素又はメチル(例えば、SiCln、SiHn又はSiMen、n=1-4)からなることができる。吸着質は分解して表面上にシリコン吸着原子を形成する。吸着原子は、シリコン結晶の空の格子部位に表面上で移動又は拡散する。吸着原子が結晶表面上で生成され格子に取込まれるにつれて結晶が形成され成長し続ける。副生成物除去が達成され、新しい表面が単層上に作られる。次のサイクルで単層成長が可能になる。
[0005]シリコン堆積の間に用いられる供給源ガスは、低級シラン(例えば、シラン、ジクロロシラン及びテトラクロロシラン)、高級シラン(例えば、ジシラン、ヘキサクロロジシラン及びトリシラン)を含んでいる。シランやジクロロシランは、米国特許公表第20020052077号に記載されるように、Si-ALEの間に用いられる最も一般的な供給源ガスである。これらの低級シランは、しばしば800‐1,000℃の範囲の高温で維持される基板を必要とする。高級シランは、Si-ALEの間に必要とされる温度を低下させる供給源ガスとして用いられている。ジシランは、Suda, et al., J. Vac. Sci. 8(1990)61やLubben,et al., J. Vac. Sci. 9(1991)3003に示されているように、180-400℃の温度範囲で紫外光励起ALEによってシリコンを成長させるために用いられる。更に、トリシランは、Imai, et al., Jpn. J. Appl. Phys.,30(1991)3646に報告されているように、約380℃でSi-ALEの間に供給源ガスとして用いられる。
[0006]補助的エッチング剤によるSi-ALEも実現した。米国特許公表第20020127841号には、選択性シリコン成長を達成するためにジクロロシランと塩化水素の組合わせが教示されている。補助的エッチング剤は、通常は、高反応性を必要とするハロゲン化及び/又はラジカル化合物(例えば、HCl又は・Cl)である。それ故、エッチング剤使用には危険で有毒な状態をしばしば伴う。
[0007]それ故、シリコン堆積のための供給源化学剤とエッチング剤としての供給源化学剤双方を与えるシリコン含有化合物を供給することが求められている。シリコン含有化合物は、種々のシリコン堆積技術において適用するのに有用でなければならない。
本発明の概要
[0008]一実施形態においては、本発明は、一般的には、シリコン含有膜を堆積させる方法であって、基板表面にシリコン化合物を分配するステップとシリコン化合物を反応させて基板表面上にシリコン含有膜を堆積させるステップとを含む、前記方法を提供する。シリコン化合物は下記構造を含んでいる。
Figure 2006515955
(式中、X1-X6は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X6は少なくとも1つの水素と少なくとも1つのハロゲンを含む。)
[0009]他の実施形態においては、本発明は、一般的には、下記構造を含む合成物を提供する。
Figure 2006515955
(式中、X1-X6は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X6は少なくとも1つの水素と少なくとも1つのハロゲンを含むが、X4、X5、X6がフッ素である場合にはRはカーボンではない。)
[0010]他の実施形態においては、本発明は、一般的には、下記構造を含む合成物を提供する。
Figure 2006515955
(式中、X1-X6は独立して水素又はハロゲンであり、Rはゲルマニウムである。)
[0011]他の実施形態においては、本発明は、一般的には、シリコン含有膜を堆積させる方法であって、基板表面にシリコン化合物を分配するステップとシリコン化合物を反応させて基板表面上にシリコン含有膜を堆積させるステップを含む、前記方法を提供する。シリコン化合物は下記構造を含んでいる。
Figure 2006515955
(式中、X1-X8は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X8は少なくとも1つのハロゲンを含む。)
[0012]他の実施形態においては、本発明は、一般的には、下記構造を含む合成物を提供する。
Figure 2006515955
(式中、X1-X8は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X8は少なくとも1つのハロゲンを含む。)
[0013]他の実施形態においては、本発明は、一般的には、下記構造を含む合成物を提供する。
Figure 2006515955
(式中、X1-X8は独立して水素又はハロゲンであり、Rはゲルマニウムである。)
[0014]他の実施形態においては、本発明は、一般的には、シリコン含有膜を堆積させる方法であって、基板表面にシリコン化合物を分配するステップとシリコン化合物を反応させて基板表面上にシリコン含有膜を堆積させるステップとにより提供する。いくつかの実施形態においては、シリコン化合物は3つのシリコン原子と、カーボン、シリコン又はゲルマニウムの4番目の原子と、水素又はハロゲンの原子と少なくとも1つのハロゲンとを含んでいる。他の実施形態においては、シリコン化合物は、4つのシリコン原子と、カーボン、シリコン又はゲルマニウムの5番目の原子と、水素又はハロゲン原子と少なくとも1つのハロゲンとを含んでいる。いくつかの実施形態においては、シリコン含有膜は、シリコン、シリコンゲルマニウム、シリコンカーボン及びシリコンゲルマニウムカーボンからなる群より選ばれる。
[0015]他の実施形態においては、本発明は、一般的には、3つのシリコン原子と、カーボン、シリコン又はゲルマニウムの4番目の原子と、水素又はハロゲン原子と少なくとも1つのハロゲンとを含む合成物を提供する。他の実施形態においては、本発明は、一般的には、4つのシリコン原子と、カーボン、シリコン又はゲルマニウムの5番目の原子と、水素及び/又はハロゲン原子を含む合成物を提供する。
好適実施形態の詳細な説明
[0016]本発明の実施形態は、基板上に所望の厚さのシリコン含有膜をエピタキシャル成長堆積させる方法に関する。本方法は、一般的には、同じ分子内に、シリコン源を含むシリコン化合物と、エッチング剤源を含んでいる。シリコン源は、少なくとも1つのシリコン原子から5つまでのシリコン原子を含む化合物である。エッチング剤源は、エッチング剤特性を備えた少なくとも1つの官能基を含む化合物である。いくつかの実施形態においては、シリコンゲルマニウム源又はシリコンカーボン源を含む分子が用いられる。
[0017]一態様においては、本発明の実施形態は、下記の構造を含むシリコン化合物に関する。
Figure 2006515955
(式中、X1-X6は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X6は少なくとも1つの水素と少なくとも1つのハロゲンを含む。)
[0018]シリコン源は、Cl3SiSiCl2H、Cl3SiSiClH2、Cl3SiSiH3、HCl2SiSiH3、H2ClSiSiH3、HCl2SiSiCl2H、H2ClSiSiClH2のような式を有する。他のシリコン源は、少なくとも1つのH原子及び/又は少なくとも1つのCl原子をフッ素のような他のハロゲンで置換することによって得られる。従って、シリコン源は、Cl3SiSiF2H、F3SiSiClH2、F3SiSiH3、F3SiSiCl3、HFClSiSiF3、H2ClSiSiH3、FCl2SiSiF2H、H2ClSiSiClF2のような化学式を有してもよい。同様にハロゲン化した他のシリコン源も本方法を可能にする。
[0019]シリコンゲルマニウム源は、Cl3SiGeCl3、H3SiGeH3、Cl3SiGeCl2H、Cl3SiGeClH2、Cl3SiGeH3、HCl2SiGeH3、H2ClSiGeH3、HCl2SiGeCl2H、H2ClSiGeClH2、Cl3GeSiCl2H、Cl3GeSiClH2、Cl3GeSiH3、HCl2GeSiH3、H2ClGeSiH3、HCl2GeSiCl2H、H2ClGeSiClH2のような式を有してもよい。他のシリコンゲルマニウム源は、少なくとも1つのH原子及び/又は少なくとも1つのCl原子をフッ素のような他のハロゲンで置換することによって得られる。それ故、シリコンゲルマニウム源は、F3SiGeCl3、F3SiGeH3、F3GeSi3、F3GeSiH3、H3SiGeCl3、H3SiGeHCl2、F3SiGeCl2H、F3SiGeClH2、HCl2SiGeH3、H2ClSiGeF3、FCl2SiGeCl2H、H2ClSiGeClH2、F3GeSiCl2H、F3GeSiClH2、H2FGeSiClH2のような化学式を有してもよい。同様にハロゲン化した他のシリコンゲルマニウム源も本方法を可能にする。
[0020]シリコンカーボン源は、H3SiCH3、Cl3SiCCl3、Cl3SiCCl2H、Cl3SiCClH2、Cl3SiCH3、HCl2SiCH3、H2ClSiCH3、HCl2SiCCl2H、H2ClSiCClH2、Cl3CSiCl2H、Cl3CSiClH2、Cl3CSiH3、HCl2CSiH3、H2ClCSiH3、HCl2CSiCl2H、H2ClCSiClH2のような式を有してもよい。他のシリコンカーボン源は、少なくとも1つのH原子及び/又は少なくとも1つのCl原子をフッ素のような他のハロゲンで置換することによって得られる。それ故、シリコンカーボン源は、Cl3SiCF2H、Cl3SiCFH2、F3SiCH3、FCl2SiCH3、H2FSiCH3、FCl2SiCCl2H、FH2ClSiCClH2、FCl3CSiCl2H、Cl3CSiClHF、F3CSiH3、F3CSiCl3、H3CSiF3、Cl3CSiF3、FCl2CSiH3、H2FCSiH3、FCl2CSiCl2H、H2ClCSiFH2のような化学式を有してもよい。他の同様にハロゲン化されたシリコンカーボン源も本方法を可能にする。
[0021]シリコン化合物は、分子内に含まれるシリコンモチーフ(例えば、Rがシリコン、ゲルマニウム又はカーボンの場合にはSi-R)を堆積させるために用いることができる。水素及び/又はハロゲンは、シリコンモチーフが還元及び堆積されるにつれて分子から除去されるリガンドである。その堆積によって、操作の間にシリコン含有膜が形成される。そのリガンドは、遊離した水素及び/又はハロゲンからインサイチュエッチング剤を形成することができる。インサイチュエッチング剤は、H、H2、HX、X、X2、XX’(ここで、XとX’は異なるが、共にハロゲンである。)、ラジカル又はイオン化学種(例えば、・H又は・X)を含む水素分子とハロゲン分子の他の組合わせを含んでいる。ここで、ハロゲンという語は、フッ素、塩素、臭素、ヨウ素、そのラジカル、そのイオン、その組合わせを含んでいる。
[0022]他の態様においては、本発明の実施形態は、下記の構造を含むシリコン化合物に関する。
Figure 2006515955
(式中、X1-X8は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X8は少なくとも一つのハロゲンを含む。)いくつかの実施形態においては、シリコン含有膜は、シリコン、シリコンゲルマニウム、シリコンカーボン及びシリコンゲルマニウムカーボンからなる群より選ばれる。
[0023]他のシリコン化合物は、分子内に含まれるシリコンモチーフ(例えば、Rがシリコン、ゲルマニウム又はカーボンである場合にはSi-Si-R又はSi-R-Si)を堆積させるために用いられる。シリコン源は、H3SiSiH2SiH2Cl、H3SiSiH2SiHCl2、H3SiSiH2SiCl3、H3SiSiHClSiH2Cl、H3SiSiHClSiHCl2、H3SiSiHClSiCl3、H3SiSiCl2SiH2Cl、H3SiSiCl2SiHCl2、H3SiSiCl2SiCl3、HCl2SiSiH2SiH2Cl、HCl2SiSiH2SiHCl2、Cl3SiSiH2SiCl3、HCl2SiSiCl2SiH2Cl、H2ClSiSiHClSiHCl2、Cl3SiSiH2SiCl3、Cl3SiSiHClSiCl3、HCl2SiSiCl2SiHCl2、H3SiSiCl2SiH3のような式を有してもよい。他のシリコン源は、少なくとも1つのH原子及び/又は少なくとも1つのCl原子をフッ素のようなほかのハロゲンで置換することによって得られる。それ故、シリコン源は、F3SiSiH2SiH3、F3SiSiH2SiCl3、H3SiSiH2SiH2F、H3SiSiH2SiHF2、H3SiSiH2SiF3、H3SiSiHFSiH2Cl、F3SiSiHClSiHF2、H3SiSiFHSiCl3、H3SiSiF2SiH2F、H3SiSiCl2SiFCl2、H3SiSiF2SiCl3のような式を有してもよい。他の同様にハロゲン化されたシリコン源も本方法を可能にする。更に、環状トリシランや環状ハロトリシランも本発明の範囲内で用いられる。
[0024]シリコンゲルマニウム源は、H3SiSiH2GeH2Cl、H3SiSiH2GeH3、H3SiSiH2GeHCl2、H3SiSiH2GeCl3、H3SiSiHClGeH2Cl、H3SiSiHClGeHCl2、H3SiGeHClSiCl3、H3SiGeCl2SiH2Cl、H3SiGeCl2SiHCl2、H3SiGeCl2SiCl3、HCl2SiGeH2SiH2Cl、HCl2SiSiH2GeHCl2、Cl3SiSiH2GeCl3、HCl2SiGeCl2SiH2Cl、H2ClSiGeHClSiHCl2、Cl3SiGeH2SiCl3、Cl3SiSiHClGeCl3、HCl2SiGeCl2SiH3、H3GeSiCl2SiH3のような式を有してもよい。他のシリコンゲルマニウム源は、少なくとも1つのH原子及び/又は少なくとも1つのCl原子をフッ素のようなほかのハロゲンで置換することによって得られる。それ故、シリコンゲルマニウム源は、F3SiSiH2GeH3、F3SiSiH2GeCl3、F3GeSiH2SiH3、F3GeSiH2SiCl3、F3SiGeH2SiH3、F3SiGeH2SiCl3、F3SiSiH2GeCl2H、H3SiSiF2GeH2Cl、F3SiSiH2GeHCl2、H3SiSiF2GeCl3、H3SiSiCl2GeH2Cl、H3SiSiHClGeHF2、H3SiGeH2SiCl3、H3SiGeCl2SiH2Cl、F3SiGeCl2SiHCl2、H3SiGeF2SiCl3のような式を有する。他の同様にハロゲン化されたシリコンゲルマニウム源も本方法を可能にする。更に、環状ゲルマニウムシランや環状ハロゲルマニウムシランも本発明の範囲内で用いられる。
[0025]シリコンカーボン源は、H3SiSiH2CH2Cl、H3SiSiH2CHCl2、H3SiSiH2CCl3、H3SiSiHClCH2Cl、H3SiSiHClCHCl2、H3SiCHClSiCl3、H3SiCCl2SiH2Cl、H3SiCCl2SiHCl2、H3SiCCl2SiCl3、HCl2SiCH2SiH2Cl、HCl2SiSiH2CHCl2、Cl3SiSiH2CCl3、HCl2SiCCl2SiH2Cl、H2ClSiCHClSiHCl2、Cl3SiCH2SiCl3、Cl3SiSiHClCCl3、HCl2SiCCl2SiH3、H3CSiCl2SiH3のような式を有してもよい。他のシリコンカーボン源は、少なくとも一つのH原子及び/又は少なくとも1つのCl原子をフッ素のような他のハロゲンで置換することによって得られる。それ故、シリコンカーボン源は、F3SiSiH2CH3、F3SiSiH2CCl3、F3CSiH2SiH3、F3CSiH2SiCl3、F3SiCH2SiH3、F3SiCH2SiCl3、F3SiSiH2CCl2H、H3SiSiF2CH2Cl、F3SiSiH2CHCl2、H3SiSiF2CCl3、H3SiSiHFCH2Cl、H3SiSiHClCHF2、H3SiCHFSiCl3、H3SiCCl2SiH2F、F3SiCCl2SiHCl2、H3SiCF2SiCl3のような式を有する。他の同様にハロゲン化されたシリコンカーボンも本方法を可能にする。更に、環状カーボンシラン及び環状ハロカーボンシランも本発明の範囲内で用いられる。
[0026]他の態様においては、本発明の実施形態は、下記の代表的な構造を有するシリコン化合物、化合物1-8に関する。
Figure 2006515955
(式中、X1-X10は独立して水素又はフッ素、塩素、臭素又はヨウ素のようなハロゲンであり、Rはカーボン、シリコン又はゲルマニウムである。)
[0027]他の態様においては、本発明の実施形態は、下記の代表的な構造を有するシリコン化合物、化合物9-32に関する。
Figure 2006515955
Figure 2006515955
(式中、X1-X12は独立して水素又はフッ素、塩素、臭素又はヨウ素のようなハロゲンであり、Rはカーボン、シリコン又はゲルマニウムである。)化合物1-32の構造が代表的であり、具体的な異性体を示していない。ここで、あらゆる元素名又は化学記号には、それぞれの元素同位体の使用が考えられている。例えば、水素(1H又はH)の使用には重水素(2H又はD)とトリチウム(3H又はT)が含まれている。
[0028]それ故、シリコン化合物は分子内に含まれるシリコンモチーフ(例えば、Rがシリコン、ゲルマニウム又はカーボンの場合にはSi3R又はSi4R)を堆積させるために用いることができる。化合物1-8のシリコンモチーフはSi3Rで示され、化合物9-32のシリコンモチーフはSi4Rで示される。水素及び/又はハロゲンは、シリコンモチーフが還元され堆積されるにつれて分子から除去されるリガンドである。その堆積を堆積プロセスの間にシリコン含有膜を形成する。
[0029]シリコン源は、Xが独立して水素又はハロゲンといる場合には、式Si48、Si410、Si510及びSi512を有する化合物を含むことができる。水素及び/又は塩素を含有するシリコン源は、式Si48-nCln、Si410-mClm,Si510-pClp及びSi512-qClq(式中、n=1-8、m=1-10、p=1-10、q=1-12)を有する化合物を含むことができる。シリコン源は、Si49Cl、Si48Cl2、Si47Cl3、Si46Cl4、Si45Cl5、Si44Cl6、Si43Cl7、Si42Cl8、Si4HCl9、Si4Cl10、Si511Cl、Si510Cl2、Si59Cl3、Si58Cl4、Si57Cl5、Si56Cl6、Si55Cl7、Si54Cl8、Si53Cl9、Si52Cl10、Si5HCl11、Si5Cl12を含むことができる。他のシリコン源は、少なくとも1つのCl原子をフッ素、臭素又はヨウ素のような他のハロゲンで置換することによって得られ、本方法を可能にする。一例としては、イソテトラシラン (SiH3)3SiHはシリコン供給源化合物である。他の例としては、ネオペンタシラン (SiH3)4Siはシリコン供給源化合物である。更に、環状テトラシラン、環状ハロテトラシラン、環状ペンタシラン、環状ハロペンタシランは、本発明の範囲内で使用される。
[0030]シリコンゲルマニウム源は、Si3GeX8、Si3GeX10、Si4GeX10、Si4GeX12(式中、Xは独立して水素又はハロゲンである。)を有する化合物を含むことができる。水素及び/又は塩素を含有するシリコンゲルマニウム源は、式Si3GeH8-nCln、Si3GeH10-mClm、Si4GeH10-pClp、Si4GeH12-qClq(式中、n=1-8、m=1-10、p=1-10、q=1-12)を有する化合物を含むことができる。シリコンゲルマニウム源は、Si3GeH9Cl、Si3GeH8Cl2、Si3GeH7Cl3、Si3GeH6Cl4、Si3GeH5Cl5、Si3GeH4Cl6、Si3GeH3Cl7、Si3GeH2Cl8、Si3GeHCl9、Si3GeCl10、Si4GeH11Cl、Si4GeH10Cl2、Si4GeH9Cl3、Si4GeH8Cl4、Si4GeH7Cl5、SiGeH6Cl6, SiGeH5Cl7,Si4GeH4Cl8、Si4GeH3Cl9、Si4GeH2Cl10、Si4GeH4Cl11、Si4GeH4Cl12を含むことができる。他のシリコンゲルマニウム源は、フッ素、臭素又はヨウ素のような他のハロゲンを備えた少なくとも一つのCl原子の置換によって得られ、そのプロセスを可能にさせる。更に、環式ゲルマニウムシラン及び環式ハロゲルマニウムシランは、本発明の範囲内で用いられる。
[0031]シリコンカーボン源は、式Si3CX8、Si3CX10、Si4CX10、Si4CX12(式中、Xは独立して水素又はハロゲンである。)を有する化合物を含むことができる。水素及び/又は塩素を含有するシリコンカーボン源は、式Si3CH8-nC1n、Si3CH10-mClm、Si4CH10-pClp、Si4CH12-qClq(式中、n=1-8、m=1-10、p=1-10、q=1-12)を有する化合物を含むことができる。シリコンカーボン源は、Si3CH9Cl、Si3CH8Cl2、Si37Cl3、Si3CH6Cl4、Si35Cl5、Si3CH4Cl6、Si3CH3Cl7、Si3CH2Cl8、Si3CHCl9、Si3CCl10、Si4CH11Cl、Si4CH10Cl2、Si4CH9Cl3、Si4CH8Cl4、Si4CH7Cl5、Si4CH6Cl6、Si4CH5Cl7、Si4CH4Cl8、Si4CH3Cl9、Si4CH2Cl10、Si4CHCl11、Si4CCl12を含むことができる。他のシリコンカーボン源は、少なくとも1つのCl原子をフッ素、臭素又はヨウ素のような他のハロゲンで置換することによって得られ、本方法を可能にする。更に、環状カーボンシランや環状ハロカーボンシランも本発明の範囲内で用いられる。
[0032]シリコン化合物の多くは、周囲圧、周囲温度でガス又は液体の状態にある。しかしながら、堆積プロセスの間、シリコン化合物は固体、液体、ガス又はプラズマ状態の物質、ラジカル又はイオンであることができる。一般的には、シリコン化合物は、キャリアガスによって基板表面に分配することができる。キャリア又はパージガスは、N2、H2、Ar、He、形成ガス、その組合わせを含むことができる。
[0033]シリコン化合物は、様々な合成物でシリコン含有膜を堆積させるために、単独又は他のシリコン化合物を含む化合物と組み合わせて用いることができる。一例としては、Cl3SiSiH2SiH2SiH3のようなシリコン化合物を、基板表面をエッチングするために、また、基板上に結晶シリコン膜をエピタキシャル成長させるために用いられる。他の例としては、基板表面は前例と異なるエッチング剤を必要としてもよい。それ故、H2ClSiSiH2SiH2SiH3は堆積プロセスで用いられるが、Cl3SiSiH2SiCl2SiH2Fはエッチングプロセスで用いられる。他の例としては、H3SiSiH2SiH2GeHCl2のようなシリコンゲルマニウム源は、堆積プロセスを継続させシリコン膜上にシリコンゲルマニウム膜を成長させるために用いられる。
[0034]他の実施形態においては、RF3断片(式中、R=Si、Ge又はCである。)は分子へ取込まれ得る。RF3は、R-F結合が強力なために熱力学的安定である。F3CSiH2SiH3SiH3のような分子はシリコン含有膜を堆積させるために分解するが、CF3断片は揮発性生成物の一部として生成される。RF3断片を有するシリコン化合物は、揮発性(蒸気圧や沸点)のような好都合な特性を有し得る。
[0035]シリコン化合物は、バイポーラ(ベース、エミッタ、コレクタ、エミッタ端子)、BiCMOS(ベース、エミッタ、コレクタ、エミッタ端子)及びCMOS(チャンネル、ソース/ドレイン、ソース/ドレイン拡張、高ソース/ドレイン、基板、歪みシリコン、絶縁物上のシリコン、絶縁、端子プラグ)に用いられるシリコン含有膜を堆積させる方法の実施形態の範囲内で用いられる。他の実施形態の方法は、ゲート、ベース端子、コレクタ端子、エミッタ端子、高ソース/ドレイン及び他の使用として用い得るシリコン含有膜の成長を教示するものである。
[0036]本発明の実施形態は、選択的なシリコン膜又は包括的なシリコン膜を成長させる方法を教示するものである。基板又は表面が酸化物又は窒化物特徴部を有する結晶シリコン表面のような1以上の物質を含む場合、選択的なシリコン膜成長が一般に行なわれる。通常、これらの特徴部は誘電物質である。結晶シリコン表面に選択的なエピタキシャル成長が達成されるが、特徴部が、一般的には、エッチング剤(例えば、HCl)の使用において露出したままになる。エッチング剤は、基板から結晶シリコン成長を取り除くよりも速く特徴部からアモルファスシリコン又はポリシリコン成長を取り除くので、選択的なエピタキシャル成長が達成される。いくつかの実施形態においては、シリコン含有膜の選択的エピタキシャル成長は、エッチング剤を使用せずに達成される。包括的なシリコンエピタキシャル成長の間、膜は具体的な表面特徴部や合成物と関係なく基板全体に成長する。
[0037]本発明の実施形態は、エッチング剤源とシリコン源がシリコン化合物へ取込まれた方法を用いることができる。堆積プロセスは、シリコン含有膜を形成し、シリコン化合物からリガンドを遊離させる。リガンド、水素及び/又はハロゲンはインサイチュエッチング剤である。インサイチュエッチング剤は、H、H2、HX、X、X2及びXX’(式中、Xはハロゲンであり、X’はXと異なるハロゲンである。)、ラジカル化学種又はイオン化学種を含む水素とハロゲン分子のあらゆる他の組合わせを含んでいる。しかしながら、補助的エッチング剤は、シリコン化合物と共に用いることができ、本発明の様々な実施形態で示されている。補助的エッチング剤は、CHF3、CF4、C48、CH22、ClF3、Cl2、F2、Br2、NF3、HCl、HF、HBr、XeF2、NH4F、 (NH4)(HF2)及びSF6を含むことができる。例えば、H3SiSiH2SiH2SiCl2H及びHClは、シリコン含有膜の成長の間に用いられる。
[0038]いくつかのプロセスにおいては、シリコン化合物は加熱された(例えば、500℃)基板の表面に導入され、シリコンモチーフがシリコン含有膜として堆積される。シリコン化合物の遊離したリガンドは、インサイチュエッチング剤に変換される。インサイチュエッチング剤は、結晶シリコンを基板から取り除くより速い速度で基板形状(例えば、酸化物又は窒化物)からアモルファスシリコン又はポリシリコンを取り除くことによって選択的シリコンエピタキシャル成長を支持する。それ故、結晶シリコンは基板特徴部の周りに成長する。
[0039]還元剤は、化合物間に電子を移動するために本発明の種々の実施形態で用いることができる。一般的に、シリコン化合物は堆積の間に元素膜に還元され、リガンド(例えば、水素又はハロゲン)はシリコンモチーフから離れる。還元剤は、特に単原子や二原子の水素、ボラン、ジボラン、アルキルボラン(例えば、Me3B又はEt3B)、金属、有機金属化合物を含むことができる。一例としては、シリコン含有膜は原子の水素によるF3SiSiH2SiH2CH3の交互パルスによって堆積される。
[0040]プロセスの実施形態は、多くの基板と表面上にシリコン含有物質を堆積させる。本発明の実施形態が用い得る基板を、半導体ウエハ、例えば、結晶シリコン(例えば、Si<100>及びSi<111>)、基板上のシリコン、酸化シリコン、シリコンゲルマニウム、ドープ又は未ドープウエハ、パターン形成又はパターン形成されていないウエハを含むがこれらに限定されない。表面は、ウエハ、膜、層及び誘電性、導電性及び障壁性を備えた材料を含み、ポリシリコン、絶縁物上のシリコン(SOI)、歪み及び歪んでいない格子を含んでいる。いくつかの基板表面は、活性化(例えば、Pd)ガラス基板のような、ガラスを含むことができる。表面の前処理は、研磨、エッチング、活性化、還元、酸化、ヒドロキシル化、アニール及びベーキングを含んでいる。一実施形態においては、ウエハを1%HF溶液内に浸漬し、乾燥し、800℃の水素雰囲気中でベークする。
[0041]プロセスの実施形態は、結晶シリコン膜、アモルファスシリコン膜又はポリシリコン膜を含む、多くの合成物と特性を有するシリコン含有膜を成長するために用いることができる。シリコン含有膜は、本発明の実施形態によって形成された種々の生成物の合成物を記載するために本明細書で用いられる用語である。いくつかのシリコン含有膜は、結晶シリコン又は純粋なシリコン、シリコンゲルマニウム、シリコンカーボン、シリコンゲルマニウムカーボンを含んでいる。他のシリコン含有膜は、epi-SiGe、epi-SiGeC、epi-SiC、poly-SiGe、poly-SiGeC、poly-SiC、α-Si、窒化シリコン、オキシ窒化シリコン、酸化シリコン、ケイ酸金属塩(例えば、金属がチタン、ジルコニウム、ハフニウムを含む場合)を含んでいる。シリコン含有膜は、歪んだ又は歪んでいない層を含んでいる。
[0042]シリコン含有膜は、約0原子パーセントから約95原子パーセントまでの範囲内のゲルマニウム濃度を含むことができる。他の態様においては、ゲルマニウム濃度は、約1原子パーセントから約30原子パーセントまでの範囲内にある。シリコン含有膜は、約0原子パーセントから約5原子パーセントまでのカーボン濃度を含むことができる。他の態様においては、カーボン濃度は、約200ppmから約2原子パーセントまでの範囲内にある。
[0043]シリコン膜への塩素及び水素の取り込みによって、低級シラン、低級ハロシラン又はヘキサクロロジシランの使用が先行技術を悩ませてきた。本発明のいくつかのプロセスは、水素、ハロゲン及び他の元素のような不純物含むことができるシリコン含有膜を堆積させる。しかしながら、ハロゲン不純物(例えば、F)は堆積したシリコン含有膜内に生じ、約3×1016原子/cm3未満で許容できる。一般的には、本発明の実施形態は、単一原子層程度の厚さ、約2.5オングストロームと、約120μm程度の厚さ、好ましくは厚さが約2.5オングストロームから約10μmまでの範囲にあるシリコン含有膜を成長させることができる。種々の本発明の実施形態は、約10オングストロームから約100オングストロームまで、約100オングストロームから約1,000オングストロームまで、約1,000オングストロームから約1μmまで、約1μmから約4μmまで、約4μmから約50μmまで、約50μmから約120μmまでの範囲の厚さで膜の成長を教示している。他の実施形態においては、膜厚は、約2.5オングストロームから約120μmまで、約2.5オングストロームから約4μmまで、約2.5オングストロームから約100オングストロームまでの範囲にある。
[0044]本発明のプロセスによって作られたシリコン含有膜はドープすることができる。一実施形態においては、選択的エピタキシャルシリコン層が、例えば、約1015原子/cm3から約1020原子/cm3までの範囲の濃度でホウ素を加えるためにジボランを用いることにより、P型にドープされる。他の実施形態においては、ポリシリコン層は、例えば、約1019原子/cm3から約1021原子/cm3の範囲の濃度にリンをイオン注入することにより、N+型にドープされる。他の実施形態においては、選択的なエピタキシャルシリコン層は、例えば、約1015原子/cm3から約1019原子/cm3の範囲の濃度にヒ素又はリンを拡散することにより、N-型にドープされる。
[0045]ゲルマニウム及び/又はカーボンのシリコン含有膜は、本発明の種々のプロセスによって製造され、一貫した、散発的な、又は段階的な元素濃度を有し得る。段階的シリコンゲルマニウム膜は、アプライドマテリアルズ社に譲渡された米国特許公表第20020174826号と同第20020174827号に開示され、段階的シリコン含有膜を堆積させる方法を説明するために本明細書に全体で援用されている。一実施形態においては、シリコンゲルマニウム源(例えば、Cl3SiSiH2SiCl2GeH3)はシリコンゲルマニウム含有膜を堆積させるために用いられる。他の実施形態においては、シリコン源(例えば、Cl3SiSiH2SiH2SiH3)及び代替的なゲルマニウム源(例えば、GeH4又はGe26)は、シリコンゲルマニウム含有膜を堆積させるために用いられる。この実施形態においては、シリコン源とゲルマニウム源の比率は、段階的膜を成長させつつ、元素濃度を制御させるために変化させることができる。
[0046]他の実施形態においては、シリコンカーボン源(例えば、Cl3SiSiH2SiH2CH3)がシリコンカーボン含有膜を堆積させるために用いられる。他の実施形態においては、シリコン源(例えば、Cl3SiSiH2SiH2SiH3)と代替的なカーボン源(例えば、C24)がシリコンカーボン含有膜を堆積させるために用いられる。シリコン源とカーボン源の比率は、均一な又は段階的な膜を成長させつつ、元素濃度を制御させるために変化させることができる。
[0047]更に、他の実施形態においては、シリコンカーボン源(例えば、Cl3SiSiH2SiH2GeH3)と代替的なゲルマニウム源(例えば、GeH4又はGe26)は、シリコンゲルマニウムカーボン含有膜を堆積させるために用いられる。シリコンカーボン源及びゲルマニウム源の量は、段階的膜を成長させつつ、元素濃度を制御させるために変化させることができる。他の実施形態においては、シリコンゲルマニウム源(例えば、Cl3SiSiH2SiH2GeH3)と代替的なカーボン源(例えば、C24)は、シリコンゲルマニウムカーボン含有膜を堆積させるために用いられる。シリコンゲルマニウム源とカーボン源の比率は、段階的膜を成長させつつ、元素濃度を制御させるために変化させることができる。他の実施形態においては、シリコンゲルマニウムカーボン含有膜は、シリコン源とシリコンゲルマニウム源及び/又は代替的なゲルマニウム源及び/又はシリコンカーボン源及び/又は代替的なカーボン源の混合物を組み合わせることによって堆積される。それ故、あらゆるシリコン化合物、シリコン源、シリコンゲルマニウム源、シリコンカーボン源、代替的なシリコン源、代替的なゲルマニウム源及び代替的なカーボン源は、シリコン含有膜を堆積させるために単独で又は組み合わせて用いることができる。
[0048]代替的なシリコン源は、シラン(例えば、SiH4)、ハロゲン化シラン(例えば、H4-nSiXn、ここで、Xは独立してF、Cl、Br又はIであり、n=1-4である。)、例えば、ClSiH3、Cl2SiH2、Cl3SiH及びCl4Siを含むことができる。代替的なゲルマニウム源はゲルマン(例えば、GeH4、Ge26、Ge38又はGe410)、ハロゲン化したゲルマン(例えば、H4-nGeXn、ここで、Xは独立してF、Cl、Br又はIであり、n=1-4である。)を含むことができる。代替的なカーボン源は、アルカン(例えば、CH4、C26、C38、C410)、ハロゲン化アルカン(例えば、H4-nCXn、ここで、Xは独立してF、Cl、Br又はIであり、n=1-4である。)、アルケン(例えば、C24)、アルキン(例えば、C22)を含むことができる。
[0049]シリコン化合物は、ほぼ周囲温度(例えば、23℃)から約1,200℃の範囲の温度で本発明の様々な堆積プロセスに用いることができる。プロセスチャンバや前駆体源とプロセスチャンバと液体で連通している分配ラインのような堆積プロセス全体に複数の温度範囲を制御することができる。例えば、分配ラインの温度がほぼ周囲温度から約250℃までの範囲にある間、約100℃から約1,000℃までの範囲にある温度でプロセスチャンバで堆積プロセスが行われる。他の実施形態においては、プロセス温度を約700℃未満であり、しばしば約500℃未満である。いくつかの実施形態においては、補助的還元剤は、シリコン含有膜を堆積しつつ用いることができる。他の実施形態においては、シリコン含有膜はシリコン化合物の熱分解によって堆積される。
[0050]本発明のプロセスにおいては、シリコン含有膜は、化学気相堆積(CVD)によって成長され、ALEや原子層堆積(ALD)を含んでいる。化学気相堆積をプラズマ援助CVD(PA-CVD)、熱誘起CVD、原子層CVD(ALCVD)、有機金属又は金属有機CVD(OMCVD又はMOCVD)、レーザ援助CVD(LA-CVD)、紫外光CVD(UV-CVD)、熱線CVD(HWCVD)、減圧CVD(RP-CVD)、超高真空CVD(UHV-CVD)等の多くの技術の使用を含んでいる。
[0051]本発明のいくつかの実施形態においては、シリコン含有膜は、ALDによって堆積させることができる。例えば、ALDプロセスは、シリコン化合物のパルス、基板又は表面上のシリコン化合物の吸着、反応チャンバのパージ、吸着したシリコン化合物の還元、反応チャンバのパージを含む連続サイクルによって行われる。或いは、還元ステップが原子の水素のような還元剤パルスを含む場合、そのサイクルは、還元剤化合物のパルス、基板又は表面上の還元剤化合物の吸着、反応チャンバのパージ、シリコン化合物のパルス、シリコン化合物の還元、反応チャンバのパージを含んでいる。
[0052]各シリコン化合物のパルス持続時間、各還元剤パルスの持続時間及び還元剤パルス間のパージガスの継続時間は変化させることができ、用いられる堆積チャンバの容積能力やそれに結合される真空システムに依存する。例えば、(1)チャンバ内の低いガス圧は長いパルス時間を必要とする;(2)少ないガス流量は、チャンバ圧の上昇と安定化に長い時間を必要とし、長いパルス時間を必要とする;(3)大容積チャンバは充填に長くかかり、チャンバ圧の安定化に長くかかるので、長いパルス時間を必要とする。同様に、各パルス間の時間も変化させることができ、そこに繋げられる真空システムだけでなく、プロセスチャンバの容積能力に依存する。一般的に、シリコン化合物パルス又は還元剤パルスの持続時間は、化合物を吸着するのに十分長くなければならない。一例においては、還元剤パルスが入るとき、シリコン化合物パルスがまだチャンバ内にあってもよい。一般的に、パージガスの持続は、シリコン化合物と還元剤化合物のパルスが混合ゾーン内で混合することを防止するのに十分長くなければならない。
[0053]一般的に、シリコン化合物に対する約1.0秒以内のパルス時間と還元剤に対する約1.0秒以内のパルス時間は、典型的には、基板又は表面上の還元剤の量を交互に吸着させるのに十分である。シリコン化合物と還元剤のパルス間の約1.0秒以内の時間は、典型的には、反応ゾーンにおいて混合することをシリコン化合物と還元剤のパルスをパージガスが防止するのに十分である。勿論、還元剤の長いパルス時間はシリコン化合物と還元剤の吸着を確実にするために用いることができ、還元剤のパルス間の長い時間は反応副生成物の除去を確実にするために用いることができる。
[0054]本発明のプロセスは、ALE、CVD及びALDの技術において既知の装置で行うことができる。その装置は、供給源をシリコン含有膜が成長する基板と接触させる。そのプロセスは、個々の堆積プロセスやハードウェアに依存して、約1mTorrから約2,300Torrまでの圧力の範囲で作動させることができる。例えば、シリコン含有膜は、約0.1Torrから約760Torrまでの範囲にある圧力でCVDプロセスによって堆積させることができる。他の例としては、シリコン含有膜は約760Torrから約1,500Torrまでの範囲にある圧力でALDプロセスによって堆積させることができる。シリコン含有膜を堆積させるために用いることができるハードウェアは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手することができるEpi Centura(登録商標)システム、Poly Gen(登録商標)システムを含んでいる。シリコン含有膜を堆積させるために用いることができるALD装置は、共同譲渡された米国特許出願第20030079686号に開示され、装置を説明するために本明細書に全体で援用されている。他の装置は、当該技術において既知のバッチ、高温炉を含んでいる。
[0055]本発明の他の実施形態は、SiRX6、Si2RX6、Si2RX8を含むシリコン化合物、化合物1-8と化合物9-32(ここで、Xは独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムである。)を合成する方法を教示する。ハロゲン化されていない高級シランの不均化反応は、米国特許第6,027,705号のように当該技術において既知であり、シリコン化合物の合成を説明するために本明細書に全体で援用されている。シラン、ハロシラン、ゲルマン、ハロゲルマン、アルキル及びハロアルキルは、シリコン化合物を形成する出発物質として用いることができる。いくつかの実施形態においては、シリコン化合物は、他のシリコン化合物出発物質として用いることができる。出発物質は、種々の方法によってラジカル化合物に作ることができ、熱分解又はプラズマ励起を含んでいる。出発物質のラジカルは、組合わせてシリコン化合物を形成する。一例としては、・SiH2SiH3と・SiCl2SiCl3は、それぞれジシランとヘキサクロロジシランから作られ、組合わせてH3SiSiH2SiCl2SiCl3を形成する。他の例としては、・SiH2SiH2SiH3と・GeCl3は、それぞれトリシラン及びテトラクロロゲルマンから作られ、併せてH3SiSiH2SiH2GeCl3を形成する。他の例としては、・GeH3と・SiCl2SiCl2SiCl3は、それぞれゲルマンとオクタクロロトリシランから作られ、併せてH3GeSiCl2SiCl2SiCl3を形成する。他の例としては、・CF3と・SiH2SiH2SiH3は、それぞれテトラフルオロメタン及びトリシランから作られ、合わせてF3CSiH2SiH2SiH3を形成する。他の例としては、・SiH2SiH2SiH3と・SiCl2SiCl3は、それぞれトリシランとヘキサクロロジシランから作られ、合わせてH3SiSiH2SiH2SiCl2SiCl3を形成する。他の例としては、・SiH2SiH2SiH2SiH3と・GeCl3は、それぞれテトラシランとテトラクロロゲルマンから作られ、合わせてH3SiSiH2SiH2SiH2GeCl3を形成する。他の例としては、・GeH3と・SiCl2SiCl2SiCl2SiCl3は、それぞれゲルマンとデカクロロテトラシランから作られ、合わせてH3GeSiCl2SiCl2SiCl2SiCl3を形成する。他の例としては、・CF3と・SiH2SiH2SiH2SiH3は、それぞれテトラフルオロメタンとテトラシランから作られ、合わせてF3CSiH2SiH2SiH2SiH3を形成する。
シリコン化合物SiRX 6 をむ理論実験1-17
[0056]実施例1:選択的CVDによる単結晶シリコン:基板、Si<100>を用いてCVDによる選択的単結晶膜成長を調べた。酸化シリコン特徴部は、ウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることによって調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、30sccmのCl3SiSiH3を10Torr、750℃でチャンバに分配した。基板を750℃に維持した。堆積を3分間行いシリコン表面上に400オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[0057]実施例2:包括的CVDによる単結晶シリコン:基板、Si<100>を用いて、CVDによる包括的単結晶膜成長を調べた。酸化シリコン特徴部は、ウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることによって調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、50sccmのCl3SiSiH3を、100Torr、650℃でチャンバに加えた。基板を650℃に維持した。堆積を4分間行って1,600オングストロームのエピタキシャル層を形成した。
[0058]実施例3:CVDによるポリシリコン:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Poly Gen(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのHF2SiSiClH2を、80Torr、550℃でチャンバに加えた。基板を550℃に維持した。堆積を3分間行って1,200オングストローム層を形成した。
[0059]実施例4:CVDによるアモルファスシリコン:二酸化シリコン層のウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、200sccmのHCl2SiSiH3を200Torr、40℃でチャンバに加えた。基板を40℃に維持した。堆積を3分間行い200オングストロームの層を形成した。
[0060]実施例5:CVDによるシリコンゲルマニウム:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiGeH3を、100Torr、650℃でチャンバに加えた。基板を650℃に維持した。堆積を5分間行って600オングストロームのエピタキシャル層を形成した。
[0061]実施例6:CVDによるシリコンカーボン:実施例2ように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリアガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2CSiH3を、100Torr、500℃でチャンバに加えた。基板を500℃に維持した。堆積を15分間行って、1,400オングストロームのエピタキシャル層を形成した。
[0062]実施例7:CVDによるシリコンゲルマニウムカーボン:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiGeH3を100Torr、550℃のチャンバに加えた。シリコン化合物、H3CSiH3も2sccmでチャンバに加えた。基板を550℃に維持した。堆積を10分間行って、2,100オングストロームのエピタキシャル層を形成した。
[0063]実施例8:ドープされたシリコンCVD:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのCl3SiSiH3を、100Torr、750℃でチャンバに加えた。ドーパント化合物、1sccmの1000ppmB26/H2もチャンバに加えた。基板を750℃に維持した。堆積を3分間行って600オングストロームのドープされたエピタキシャル層を形成した。
[0064]実施例9:CVDによる段階的シリコンゲルマニウム:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアガスに加えた。シリコン化合物、50sccmのHCl2SiSiH3を10Torr、650℃のチャンバに加えた。シリコン化合物、HCl2SiGeH3の225sccmから5sccmに下げたフローを更に堆積ステップの間にチャンバに加えた。流量を時間に対して非直線的に変化させて堆積される膜において直線段階的最終ゲルマニウム含量を得た。基板を550℃に維持した。堆積を5分間行って1,200オングストロームのエピタキシャル層を形成した。
[0065]実施例10:CVDによる段階的シリコンゲルマニウムカーボン:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのHCl2SiCH3を10Torr、650℃のチャンバに加えた。更に、10sccmの5%H3CSiH3をチャンバに加えた。シリコン化合物、HCl2SiGeH3の350sccmから5sccmに下げたフローを更に堆積ステップの間にチャンバに加えた。流量を非直線的に変化させて堆積される膜において直線段階的最終ゲルマニウム含量を得た。基板を550℃に維持した。堆積を5分間行って1,300オングストロームのエピタキシャル層を形成した。
[0066]実施例11:HClの使用を伴うCVDによるの選択的単結晶シリコン:実施例1のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH3を10Torr、600℃のチャンバに加えた。5sccmフローの塩化水素をチャンバに分配した。基板を600℃に維持した。堆積を8分間行ってシリコン表面上に500オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[0067]実施例12:ALDによる段階的シリコンゲルマニウム:実施例2のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガス、アルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。H原子はタングステンの熱線を経て生成される。ALDサイクルAは、HCl2SiSiH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。ALDサイクルBは、HCl2SiGeH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。段階的膜は、10A、1B、5A、1B、1A、1B、1A、5B、1A、10Bのような、一連のサイクルを繰り返すことによって成長する。基板を300℃に維持した。堆積を40分間行って2,200オングストロームの層を形成した。
[0068]実施例13:ALDによる段階的シリコンゲルマニウムカーボン:実施例2のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガス、アルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。ALDサイクルAは、HCl2SiCH3(0.8s)、パージ(1.0s)、HCl2SiGeH3(0.8s)、パージ(1.0s)が含まれたものである。膜は、所望の膜厚にサイクルを繰り返すことによって成長する。基板を500℃に維持した。堆積を40分間行って2,000オングストロームの層を形成した。
[0069]実施例14:H 3 SiSiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。シランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とH3SiSiCl3を含むシリコン化合物の収量を求めた。
[0070]実施例15:H 3 SiGeCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。シランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロゲルマンを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とH3SiGeCl3を含むシリコン化合物の収量を求めた。
[0071]実施例16:H 3 GeSiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ゲルマンを、リアクタ1に15リットル/分の流量で供給した。テトラクロロシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とH3GeSiCl3を含むシリコン化合物の収量を求めた。
[0072]実施例17:F 3 CSiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。テトラフルオロメタンを、リアクタ1に15リットル/分の流量で供給した。テトラクロロシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とF3CSiCl3を含むシリコン化合物の収量を求めた。
シリコン化合物Si 2 RX 8 を含む理論実験18-34
[0073]実施例18:選択的CVDによる単結晶シリコン:基板、Si<100>をCVDによる選択的な単結晶膜成長を調べるために用いた。酸化シリコン特徴部はウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることにより調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、30sccmのCl3SiSiH2SiH3を10Torr、750℃のチャンバに分配した。基板を750℃に維持した。堆積を3分間行ってシリコン表面上に400オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[0074]実施例19:包括的なCVDによる単結晶シリコン:基板、Si<100>をCVDによる包括的な単結晶膜成長を調べるために用いた。酸化シリコン特徴部はウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることにより調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、50sccmのCl3SiSiH2SiH3を100Torr、650℃でチャンバに分配した。基板を650℃に維持した。堆積を4分間行ってシリコン表面上に1,600オングストロームのエピタキシャル層を形成した。
[0075]実施例20:CVDによるポリシリコン:実施例19のように基板を調製した。ウエハを、堆積チャンバ(Poly Gen(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。100sccmのHF2SiSiH2SiClH2であるシリコン化合物を、80Torr、550℃のチャンバに加えた。基板を550℃に維持した。堆積を3分間行って1,200オングストロームの層を形成した。
[0076]実施例21:CVDによるアモルファスシリコン:二酸化シリコンを加層したウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、200sccmのHCl2SiSiH2SiH3を200Torr、40℃のチャンバに加えた。基板を40℃に維持した。堆積を3分間行って200オングストロームの層を形成した。
[0077]実施例22:CVDによるシリコンゲルマニウム:実施例19のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2GeH3を100Torr、650℃のチャンバに加えた。基板を650℃に維持した。堆積を5分間行って600オングストロームのエピタキシャル層を形成した。
[0078]実施例23:CVDによるシリコンカーボン:実施例19のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2CSiH2SiH3を100Torr、500℃でチャンバに加えた。基板を500℃に維持した。堆積を15分間行って1,400オングストロームのエピタキシャル層を形成した。
[0079]実施例24:CVDによるシリコンゲルマニウムカーボン:基板を実施例19のように調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2GeH3を100Torr、550℃でチャンバに加えた。シリコン化合物、H3CSiH2SiH3も2sccmでチャンバに加えた。基板を550℃に維持した。堆積を10分間行って2,100オングストロームのエピタキシャル層を形成した。
[0080]実施例25:ドープされたシリコンCVD:実施例19のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのCl3SiSiH2SiH3を100Torr、750℃でチャンバに加えた。ドーパント化合物、1sccmの1000ppmB26/H2もチャンバに加えた。基板を750℃に維持した。堆積を3分間行って600オングストロームのドープされたエピタキシャル層を形成した。
[0081]実施例26:CVDによる段階的シリコンゲルマニウム:実施例19のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアガスに加えた。シリコン化合物、50sccmのHCl2SiSiH2SiH3を10Torr、650℃でチャンバに加えた。シリコン化合物、HCl2SiSiH2GeH3の225sccmから5sccmに下げたフローを堆積ステップの間にチャンバに加えた。流量を時間について非直線的に変化させて、堆積される膜における直線段階的最終ゲルマニウム濃度を得た。基板を550℃に維持した。堆積を1,200オングストロームのエピタキシャル層を形成するために5分間実行した。
[0082]実施例27:CVDによる段階的シリコンゲルマニウムカーボン:基板を実施例19のように調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのHCl2SiSiH2CH3を10Torr、650℃でチャンバに加えた。更に、10sccmの5%H3CSiH2SiH3をチャンバに加えた。シリコン化合物、HCl2SiSiH2GeH3の350sccmから5sccmに下げたフローを更に堆積ステップの間チャンバに加えた。流量を、時間について非直線的に変化させて堆積される膜における直線段階的最終ゲルマニウム含量を得た。基板を550℃に維持した。堆積を5分間行って1,300オングストロームのエピタキシャル層を形成した。
[0083]実施例28:HClの使用を伴うCVDによる選択的単結晶シリコン:実施例18のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2SiH3を10Torr、600℃でチャンバに加えた。5sccmフローの塩化水素をチャンバに分配した。基板を600℃に維持した。堆積を8分間行ってシリコン表面上に500オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[0084]実施例29:ALDによる段階的シリコンゲルマニウム:実施例2のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガスであるアルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。H原子はタングステン熱線によって生成される。ALDサイクルAは、HCl2SiSiH2SiH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。ALDサイクルBは、HCl2SiSiH2GeH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。段階的膜は、10A、1B、5A、1B、1A、1B、1A、5B、1A、10Bのような一連のサイクルを繰り返すことによって成長する。基板を300℃に維持した。堆積を40分間行って2,200オングストロームの層を形成した。
[0085]実施例30:ALDによる段階的シリコンゲルマニウムカーボン:実施例19のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガス、アルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。H原子はタングステンの熱線によって生成される。ALDサイクルAは、HCl2SiSiH2CH3(0.8s)、パージ(1.0s)、HCl2SiSiH2GeH3(0.8s)、パージ(1.0s)が含まれたものである。膜は、所望の膜厚にサイクルを繰り返すことによって成長する。基板を500℃に維持した。堆積を40分間行って2,000オングストロームの層を形成した。
[0086]実施例31:H 3 SiSiH 2 SiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ジシランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とH3SiSiH2SiCl3を含むシリコン化合物の収量を求めた。
[0087]実施例32:H 3 SiSiH 2 GeCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ジシランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロゲルマンを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とH3SiSiH2GeCl3を含むシリコン化合物の収量を求めた。
[0088]実施例33:H 3 GeSiCl 2 SiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ゲルマンを、リアクタ1に15リットル/分の流量で供給した。ヘキサクロロジシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とH3GeSiCl2SiCl3を含むシリコン化合物の収量を求めた。
[0089]実施例34:F 3 CSiH 2 SiH 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。テトラフルオロメタンを、リアクタ1に15リットル/分の流量で供給した。ジシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とF3CSiH2SiH3を含むシリコン化合物の収量を求めた。
化合物1-32からのシリコン化合物を含む理論実験35-56
[0090]実施例35:選択的CVDによる単結晶シリコン:基板であるSi<100>をCVDによる選択的単結晶膜成長を調べるために用いた。酸化シリコン特徴部はウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることにより調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、30sccmのCl3SiSiH2SiH2SiH3を10Torr、750℃でチャンバに分配した。基板を750℃に維持した。堆積を3分間行ってシリコン表面上に400オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[0091]実施例36:包括的なCVDによる単結晶シリコン:基板、Si<100>をCVDによる包括的な単結晶膜成長を調べるために用いた。酸化シリコン特徴部はウエハの表面上に存在した。ウエハを、30秒間0.5%HFに浸し、続いて60秒間750℃でベークすることにより調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、50sccmのCl3SiSiH2SiH2SiH3を100Torr、650℃でチャンバに分配した。基板を650℃に維持した。堆積を4分間行ってシリコン表面上に1,600オングストロームのエピタキシャル層を形成した。
[0092]実施例37:CVDによるポリシリコン:基板を実施例36の条件で準備された。ウエハを、堆積チャンバ(Pol Gen(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのHF2SiSiH2SiH2SiH2SiClH2を80Torr、550℃でチャンバに加えた。基板を550℃に維持した。堆積を3分間行って1,200オングストロームの層を形成した。
[0093]実施例38:CVDによるアモルファスシリコン:二酸化シリコンを加層したウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、200sccmのHCl2SiSiH2SiH2SiH2SiH3を200Torr、40℃でチャンバに加えた。基板を40℃に維持した。堆積を3分間行って200オングストロームの層を形成した。
[0094]実施例39:CVDによるシリコンゲルマニウム:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、1分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2SiH2SiH2GeH3を100Torr、650℃でチャンバに加えた。基板を650℃に維持した。堆積を5分間行って600オングストロームの層を形成した。
[0095]実施例40:CVDによるシリコンカーボン:実施例2のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2CSiH2SiH2SiH2SiH3を100Torr、500℃でチャンバに加えた。基板を500℃に維持した。堆積を15分間行って1,400オングストロームのエピタキシャル層を形成した。
[0096]実施例41:CVDによるシリコンゲルマニウムカーボン:実施例36のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2SiH2GeH3を100Torr、550℃でチャンバに加えた。シリコン化合物、H3CSiH2SiH2SiH3も2sccmでチャンバに加えた。基板を550℃に維持した。堆積を10分間行って2,100オングストロームのエピタキシャル層を形成した。
[0097]実施例42:ドープされたシリコンCVD:実施例36のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのCl3SiSiH2SiH2SiH3を100Torr、750℃でチャンバに加えた。ドーパント化合物である1sccmの1000ppmB26/Hもチャンバに加えた。基板を750℃に維持した。堆積を3分間行って600オングストロームのドープされたエピタキシャル層を形成した。
[0098]実施例43:CVDによる段階的シリコンゲルマニウム:実施例36のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアガスに加えた。シリコン化合物、50sccmのHCl2SiSiH2SiH2SiH3を10Torr、650℃でチャンバに加えた。シリコン化合物、HCl2SiSiH2GeH3の225sccmから5sccmに下げたフローを更に堆積ステップの間チャンバに加えた。流量を時間について非直線的に変化させて、堆積される膜において直線段階的最終ゲルマニウム含量を得た。基板を550℃に維持した。堆積を5分間行って1,200オングストロームのエピタキシャル層を形成した。
[0099]実施例44:CVDによる段階的シリコンゲルマニウムカーボン:実施例36のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、100sccmのHCl2SiSiH2SiH2GeH3を10Torr、650℃でチャンバに加えた。更に、10sccmの5%H3CSiH2SiH2SiH3をチャンバに加えた。シリコン化合物、HCl2SiSiH2SiH2GeH3の350sccmから5sccmに下げたフローを堆積ステップの間にチャンバに加えた。流量を非直線的に変化させて、堆積される膜において直線段階的最終ゲルマニウム含量を得た。基板を550℃に維持した。堆積を5分間行って1,300オングストロームのエピタキシャル層を形成した。
[00100]実施例45:HClの使用を伴うCVDによる選択的単結晶シリコン:実施例35のように基板を調製した。ウエハを、堆積チャンバ(Epi Centura(登録商標)チャンバ)に装填し、2分間水素パージに供した。キャリヤガスフロー、水素を基板方向に向け、供給源化合物をキャリアフローに加えた。シリコン化合物、10sccmのHCl2SiSiH2SiH2SiH3を10Torr、600℃でチャンバに加えた。5sccmフローの塩化水素をチャンバに分配した。基板を600℃に維持した。堆積を8分間行ってシリコン表面上に500オングストロームのエピタキシャル層を形成したが、二酸化シリコン表面上にエピタキシャル成長は起こらなかった。
[00101]実施例46:ALDによる段階的シリコンゲルマニウム:実施例36のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガス、アルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。H原子はタングステンの熱線をによって生成される。ALDサイクルAは、HCl2SiSiH2SiH2SiH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。ALDサイクルBは、HCl2SiSiH2SiH2SiH2GeH3(0.8s)、パージ(1.0s)、H原子(1.2s)、パージ(1.0s)が含まれたものである。段階的膜は、10A、1B、5A、1B、1A、1B、1A、5B、1A、10Bのような一連のサイクルを繰り返すことによって成長する。基板を300℃に維持した。堆積を40分間行って2,200オングストロームの層を形成した。
[00102]実施例47:ALDによる段階的シリコンゲルマニウムカーボン:実施例36のように基板を調製した。ウエハを、堆積チャンバに装填し、10分間水素パージに供した。キャリアガス、アルゴンフローを基板方向に向け、供給源化合物をこのフローへパルスした。ALDサイクルAは、HCl2SiSiH2SiH2GeH3(0.8s)、パージ(1.0s)、HCl2SiSiH2SiH2CH3(0.8s)、パージ(1.0s)が含まれたものである。膜は、所望の膜厚にサイクルを繰り返すことによって成長する。基板を500℃に維持した。堆積を40分間行って2,000オングストロームの層を形成した。
[00103]実施例48:H 3 SiSiH 2 SiCl 2 SiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ジシランを、リアクタ1に15リットル/分の流量で供給した。ヘキサクロロジシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とH3SiSiH2SiCl2SiCl3を含むシリコン化合物の収量を求めた。
[00104]実施例49:H 3 SiSiH 2 SiH 2 GeCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。トリシランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロゲルマンを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とH3SiSiH2SiH2GeCl3を含むシリコン化合物の収量を求めた。
[00105]実施例50:Cl 3 SiSiCl 2 SiCl 2 GeH 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。ゲルマンを、リアクタ1に15リットル/分の流量で供給した。オクタクロロトリシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とCl3SiSiCl2SiCl2GeH3を含むシリコン化合物の収量を求めた。
[00106]実施例51:F 3 CSiH 2 SiH 2 SiH 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。テトラフルオロメタンを、リアクタ1に15リットル/分の流量で供給した。トリシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析して、シラン化合物とF3CSiH2SiH2SiH3を含むシリコン化合物の収量を求めた。
[00107]実施例52:H 3 SiSiH 2 SiH 2 SiCl 2 SiCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。トリシランを、リアクタ1に15リットル/分の流量で供給した。ヘキサクロロジシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とH3SiSiH2SiH2SiCl2SiCl3を含むシリコン化合物の収量を求めた。
[00108]実施例53:H 3 SiSiH 2 SiH 2 SiH 2 GeCl 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。テトラシランを、リアクタ1に15リットル/分の流量で供給した。テトラクロロゲルマンを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とH3SiSiH2SiH2SiH2GeCl3を含むシリコン化合物の収量を求めた。
[00109]実施例54:Cl 3 SiSiCl 2 SiCl 2 SiCl 2 GeH 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。デカクロロテトラシランを、リアクタ1に15リットル/分の流量で供給した。ゲルマンを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とCl3SiSiCl2SiCl2SiCl2GeH3を含むシリコン化合物の収量を求めた。
[00110]実施例55:F 3 CSiH 2 SiH 2 SiH 2 SiH 3 の合成:2.5リットルのSUS(リアクタ1)と5リットルのSUS(リアクタ2)を直列に接続し、リアクタ1の内部温度を450℃に設定し、リアクタ2の内部温度を350℃に設定した。圧力を0.13MPaに設定した。テトラフルオロメタンを、リアクタ1に15リットル/分の流量で供給した。テトラシランを、リアクタ1に15リットル/分の流量で供給した。リアクタ2の流出ガスを分析してシラン化合物とF3CSiH2SiH2SiH2SiH3を含むシリコン化合物の収量を求めた。
[00111]上記は本発明の好適実施形態に関するが、本発明の基本的な範囲から逸脱することなく更に多くの本発明の実施形態を講じることができ、本発明の範囲は、特許請求の範囲によって決定される。

Claims (66)

  1. シリコン含有膜を堆積させる方法であって、
    シリコン化合物を基板表面に分配するステップと、
    該シリコン化合物を反応させて該シリコン含有膜を該基板表面上に堆積させるステップと、
    を含み、該シリコン化合物が下記構造を含んでいる、前記方法。
    Figure 2006515955

    (式中、X1-X6は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X6は少なくとも1つの水素と少なくとも1つのハロゲンを含む。)
  2. Rがシリコンであり、X1-X6が独立して水素又は塩素である、請求項1記載の方法。
  3. 該シリコン含有膜が、シリコン、シリコンゲルマニウム、シリコンカーボン又はシリコンゲルマニウムカーボンからなる群より選ばれた物質を含んでいる、請求項1記載の方法。
  4. 該シリコン含有膜が、ホウ素、リン又はヒ素からなる群より選ばれた元素でドープされている、請求項3記載の方法。
  5. 該シリコン含有膜が、原子層エピタキシー、原子層堆積又は化学気相堆積によって堆積される、請求項3記載の方法。
  6. 該シリコン含有膜を堆積させつつ補助的エッチング剤が用いられ、該補助的エッチング剤がHCl、Cl2、HF、HBr、XeF2、NH4F、 (NH4)(HF2)、NF3及びその組合わせからなる群より選ばれる、請求項5記載の方法。
  7. 該シリコン含有膜の厚さが約2.5オングストロームから約10μmまでの範囲にある、請求項5記載の方法。
  8. 該少なくとも1つのハロゲンがインサイチュエッチング剤に変換される、請求項7記載の方法。
  9. 下記構造を含む合成物。
    Figure 2006515955

    (式中、X1-X6は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X6は少なくとも1つの水素と少なくとも1つのハロゲンとを含むが、X4、X5、X6がフッ素である場合にはRはカーボンではない。)
  10. Rがシリコンであり、X1-X6が独立して水素又は塩素である、請求項9記載の合成物。
  11. 1-X6が少なくとも3つの水素を含んでいる、請求項9記載の合成物。
  12. 1-X6が少なくとも3つのハロゲンを含んでいる、請求項9記載の合成物。
  13. 1-X6が少なくとも1つの塩素と、フッ素及び臭素からなる群より選ばれた少なくとも1つの原子とを含んでいる、請求項9記載の合成物。
  14. 1-X6が少なくとも3つのハロゲンを含んでいる、請求項13記載の合成物。
  15. 下記構造を含む合成物。
    Figure 2006515955

    (式中、X1-X6は独立して水素又はハロゲンであり、Rはゲルマニウムである。)
  16. 1-X6が各々水素である、請求項15記載の合成物。
  17. シリコン含有膜を堆積させる方法であって、
    シリコン化合物を基板表面に分配するステップと、
    該シリコン化合物を反応させて該基板表面上に該シリコン含有膜を堆積させるステップと、
    を含み、該シリコン化合物が下記構造を含んでいる、前記方法。
    Figure 2006515955

    (式中、X1-X8は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X8は少なくとも1つのハロゲンを含む。)
  18. Rがシリコンであり、X1-X8が独立して水素又は塩素である、請求項17記載の方法。
  19. 該シリコン含有膜が、シリコン、シリコンゲルマニウム、シリコンカーボン又はシリコンゲルマニウムカーボンからなる群より選ばれた物質を含んでいる、請求項17記載の方法。
  20. 該シリコン含有膜が、ホウ素、リン又はヒ素からなる群より選ばれた元素でドープされている、請求項19記載の方法。
  21. 該シリコン含有膜が、原子層エピタキシー、原子層堆積又は化学気相堆積によって堆積する、請求項19記載の方法。
  22. 1-X8が少なくとも3つの水素原子を含んでいる、請求項17記載の方法。
  23. 1-X8が少なくとも3つのハロゲン原子を含んでいる、請求項17記載の方法。
  24. 該シリコン含有膜を堆積させつつ補助的エッチング剤が用いられ、該補助的エッチング剤がHCl、Cl2、HF、HBr、XeF2、NH4F、 (NH4)(HF2)、NF3及びその組合わせからなる群より選ばれる、請求項21記載の方法。
  25. 該シリコン含有膜の厚さが約2.5オングストロームから約10μmまでの範囲にある、請求項21記載の方法。
  26. 該少なくとも1つのハロゲンがインサイチュエッチング剤に変換される、請求項25記載の方法。
  27. 下記構造を含む合成物。
    Figure 2006515955

    (式中、X1-X8は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X8は少なくとも1つのハロゲンを含む。)
  28. Rがシリコンであり、X1-X8が独立して水素又は塩素である、請求項27記載の合成物。
  29. 1-X8が少なくとも3つの水素を含んでいる、請求項27記載の合成物。
  30. 1-X8が少なくとも3つのハロゲンを含んでいる、請求項27記載の合成物。
  31. 1-X8が少なくとも1つの塩素と、フッ素及び臭素からなる群より選ばれた少なくとも1つの原子とを含んでいる、請求項27記載の合成物。
  32. 1-X8が少なくとも3つのハロゲンを含んでいる、請求項31記載の合成物。
  33. 下記構造を含む合成物。
    Figure 2006515955

    (式中、X1-X8は独立して水素又はハロゲンであり、Rはゲルマニウムである。)
  34. 1-X8が各々水素である、請求項33記載の合成物。
  35. シリコン含有膜を堆積させる方法であって、
    シリコン化合物を基板表面に分配するステップと、
    該シリコン化合物を反応させて該シリコン含有膜を該基板表面上に堆積させるステップと、
    を含み、該シリコン化合物が化合物1-8(ここで、X1-X10は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムであり、X1-X10は少なくとも1つのハロゲンを含む。)の構造を含んでいる、前記方法。
  36. Rがシリコンであり、X1-X10が独立して水素、塩素又はフッ素である、請求項35記載の方法。
  37. 該シリコン含有膜が、シリコン、シリコンゲルマニウム、シリコンカーボン又はシリコンゲルマニウムカーボンからなる群より選ばれた物質を含んでいる、請求項35記載の方法。
  38. 該シリコン含有膜が、ホウ素、リン又はヒ素からなる群より選ばれた元素でドープされている、請求項37記載の方法。
  39. 該シリコン含有膜が、原子層エピタキシー、原子層堆積又は化学気相堆積によって堆積する、請求項37記載の方法。
  40. 該シリコン含有膜を堆積させつつ補助的エッチング剤が用いられ、該補助的エッチング剤がHCl、Cl2、HF、HBr、XeF2、NH4F、 (NH4)(HF2)、NF3及びその組合わせからなる群より選ばれる、請求項39記載の方法。
  41. 該シリコン含有膜の厚さが約2.5オングストロームから約10μmまでの範囲にある、請求項39記載の方法。
  42. 該少なくとも1つのハロゲンがインサイチュエッチング剤に変換される、請求項41記載の方法。
  43. 化合物1-8の構造を含む合成物であって、X1-X10が独立して水素又はハロゲンであり、Rがカーボン、シリコン又はゲルマニウムであり、X1-X10が少なくとも1つのハロゲンを含んでいる、前記合成物。
  44. Rがシリコンであり、X1-X10が独立して水素又は塩素である、請求項43記載の合成物。
  45. 1-X10が少なくとも1つの塩素と、フッ素及び臭素からなる群より選ばれた少なくとも1つの原子とを含んでいる、請求項43記載の合成物。
  46. 1-X10が少なくとも3つのハロゲンを含んでいる、請求項45記載の合成物。
  47. 化合物1-8の構造を含む合成物であって、X1-X10が独立して水素又はハロゲンであり、Rがゲルマニウムである、前記合成物。
  48. 1-X10が各々水素である、請求項47記載の合成物。
  49. シリコン含有膜を堆積させる方法であって、
    シリコン化合物を基板表面に分配するステップと、
    該シリコン化合物を反応させて該シリコン含有膜を該基板表面上に堆積させるステップと、
    を含み、該シリコン化合物が化合物9-32(ここで、X1-X12は独立して水素又はハロゲンであり、Rはカーボン、シリコン又はゲルマニウムである。)の構造を含んでいる、前記方法。
  50. Rがシリコンであり、X1-X12が独立して水素または塩素である、請求項49記載の方法。
  51. 該シリコン含有膜が、シリコン、シリコンゲルマニウム、シリコンカーボン又はシリコンゲルマニウムカーボンからなる群より選ばれた物質を含んでいる、請求項49記載の方法。
  52. 該シリコン含有膜が、ホウ素、リン又はヒ素からなる群より選ばれた元素でドープされている、請求項51記載の方法。
  53. 該シリコン含有膜が、原子層エピタキシー、原子層堆積又は化学気相堆積によって堆積する、請求項51記載の方法。
  54. 1-X12が少なくとも3つの水素原子を含んでいる、請求項49記載の方法。
  55. 1-X12が少なくとも3つのハロゲン原子を含んでいる、請求項49記載の方法。
  56. 該シリコン含有膜を堆積させつつ補助的エッチング剤が用いられ、該補助的エッチング剤がHCl、Cl2、HF、HBr、XeF2、NH4F、 (NH4)(HF2)、NF3及びその組合わせからなる群より選ばれる、請求項53記載の方法。
  57. 該シリコン含有膜の厚さが約2.5オングストロームから約10μmまでの範囲にある、請求項53記載の方法。
  58. 該少なくとも1つのハロゲンがインサイチュエッチング剤に変換される、請求項57記載の方法。
  59. 化合物9-32の構造を含む合成物であって、X1-X12が独立して水素又はハロゲンであり、Rがカーボン、シリコン又はゲルマニウムであり、X1-X12が少なくとも1つのハロゲンを含んでいる、前記合成物。
  60. Rがシリコンであり、X1-X12が独立して水素又は塩素である、請求項59記載の合成物。
  61. 1-X12が少なくとも3つの水素を含んでいる、請求項59記載の合成物。
  62. 1-X12が少なくとも3つのハロゲンを含んでいる、請求項59記載の合成物。
  63. 1-X12が少なくとも1つの塩素と、フッ素及び臭素からなる群より選ばれた少なくとも1つの原子とを含んでいる、請求項59記載の合成物。
  64. 1-X12が少なくとも3つのハロゲンを含んでいる、請求項63記載の合成物。
  65. 化合物9-32の構造を含む合成物であって、X1-X12が独立して水素又はハロゲンであり、Rがゲルマニウムである、前記合成物。
  66. 1-X12が各々水素である、請求項65記載の合成物。
JP2004545570A 2002-10-18 2003-10-20 シリコン化合物によるシリコン含有層の堆積 Pending JP2006515955A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US41937602P 2002-10-18 2002-10-18
US41950402P 2002-10-18 2002-10-18
US41942602P 2002-10-18 2002-10-18
US10/688,797 US7540920B2 (en) 2002-10-18 2003-10-17 Silicon-containing layer deposition with silicon compounds
PCT/US2003/033263 WO2004036631A2 (en) 2002-10-18 2003-10-20 Silicon-containing layer deposition with silicon compounds

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010133104A Division JP5593129B2 (ja) 2002-10-18 2010-06-10 シリコン化合物によるシリコン含有層の堆積

Publications (1)

Publication Number Publication Date
JP2006515955A true JP2006515955A (ja) 2006-06-08

Family

ID=32111050

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2004545570A Pending JP2006515955A (ja) 2002-10-18 2003-10-20 シリコン化合物によるシリコン含有層の堆積
JP2010133104A Expired - Fee Related JP5593129B2 (ja) 2002-10-18 2010-06-10 シリコン化合物によるシリコン含有層の堆積
JP2013203938A Withdrawn JP2014027294A (ja) 2002-10-18 2013-09-30 シリコン化合物によるシリコン含有層の堆積

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010133104A Expired - Fee Related JP5593129B2 (ja) 2002-10-18 2010-06-10 シリコン化合物によるシリコン含有層の堆積
JP2013203938A Withdrawn JP2014027294A (ja) 2002-10-18 2013-09-30 シリコン化合物によるシリコン含有層の堆積

Country Status (6)

Country Link
US (3) US7540920B2 (ja)
EP (1) EP1563529B1 (ja)
JP (3) JP2006515955A (ja)
KR (1) KR101144366B1 (ja)
AU (1) AU2003301382A1 (ja)
WO (1) WO2004036631A2 (ja)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
JP2010507559A (ja) * 2006-10-24 2010-03-11 ダウ・コーニング・コーポレイション ネオペンタシランを含む組成物及びその製造方法
JP2010517922A (ja) * 2007-02-14 2010-05-27 エボニック デグサ ゲーエムベーハー 高次シランの製造法
JP2013222725A (ja) * 2012-04-12 2013-10-28 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014112681A (ja) * 2007-04-02 2014-06-19 Arizona Board Of Regents A Body Corporate Acting On Behalf Of Arizona State Univ ハロシリルゲルマンの新規な製造方法および使用方法
JPWO2013161768A1 (ja) * 2012-04-23 2015-12-24 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
JP2017005095A (ja) * 2015-06-10 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
KR20180131981A (ko) * 2017-06-01 2018-12-11 에보니크 데구사 게엠베하 신규한 클로로실릴아릴게르만, 그것의 제조 방법 및 그것의 용도
JP2018203738A (ja) * 2017-06-01 2018-12-27 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH 新規なハロゲルマニドおよびその製造方法
JP2020522509A (ja) * 2017-06-01 2020-07-30 エボニック オペレーションズ ゲーエムベーハー ゲルマニウムシリコン層の製造のためのトリフェニルゲルミルシランおよびトリクロロシリルトリクロロゲルマン、ならびにトリクロロシリルトリフェニルゲルマンからのその製造方法
JP2020525433A (ja) * 2017-06-29 2020-08-27 ダウ シリコーンズ コーポレーション 1,1,1−トリクロロジシランの合成
JP2022507441A (ja) * 2018-11-14 2022-01-18 エボニック オペレーションズ ゲーエムベーハー テトラキス(トリクロロシリル)ゲルマン、その製造方法およびその使用

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7468311B2 (en) * 2003-09-30 2008-12-23 Tokyo Electron Limited Deposition of silicon-containing films from hexachlorodisilane
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR101292435B1 (ko) * 2004-09-14 2013-07-31 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 기판상의 Si-Ge 반도체 소재 및 소자의 성장 방법
JP4970267B2 (ja) 2004-09-14 2012-07-04 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 珪素およびゲルマニウムの核原子付き水素化合物、および同化合物の合成法
US20060071213A1 (en) * 2004-10-04 2006-04-06 Ce Ma Low temperature selective epitaxial growth of silicon germanium layers
US7015153B1 (en) * 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100585175B1 (ko) * 2005-01-31 2006-05-30 삼성전자주식회사 화학 기상 증착법에 의한 GeSbTe 박막의 제조방법
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
KR100642646B1 (ko) * 2005-07-08 2006-11-10 삼성전자주식회사 고진공 화학기상증착 기술을 사용하여 에피택시얼반도체층을 선택적으로 형성하는 방법들 및 이에 사용되는배치형 고진공 화학기상증착 장비들
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20090087967A1 (en) * 2005-11-14 2009-04-02 Todd Michael A Precursors and processes for low temperature selective epitaxial growth
KR101014143B1 (ko) * 2005-11-23 2011-02-14 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 규소-게르마늄 수소화물 및 그의 제조 및 사용 방법
WO2007062096A2 (en) * 2005-11-23 2007-05-31 The Arizona Board Of Regents, A Body Corporate Acting On Behalf Of Arizona State University Silicon-germanium hydrides and methods for making and using same
KR100695168B1 (ko) * 2006-01-10 2007-03-14 삼성전자주식회사 상변화 물질 박막의 형성방법, 이를 이용한 상변화 메모리소자의 제조방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
DE102006034061A1 (de) * 2006-07-20 2008-01-24 REV Renewable Energy Ventures, Inc., Aloha Polysilanverarbeitung und Verwendung
DE102006043929B4 (de) * 2006-09-14 2016-10-06 Spawnt Private S.À.R.L. Verfahren zur Herstellung von festen Polysilanmischungen
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US7915104B1 (en) 2007-06-04 2011-03-29 The Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Methods and compositions for preparing tensile strained Ge on Ge1-ySny buffered semiconductor substrates
TWI347000B (en) * 2007-06-11 2011-08-11 Xintec Inc Integrated circuit package and operation, fabrication method thereof
KR101028416B1 (ko) * 2007-08-20 2011-04-13 재단법인서울대학교산학협력재단 박막 제조 방법 및 박막 제조 장치
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP4933399B2 (ja) * 2007-10-25 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造方法および半導体製造装置
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
DE102009056436B4 (de) * 2009-12-02 2013-06-27 Spawnt Private S.À.R.L. Chloridhaltiges Silicium
DE102010002405A1 (de) 2010-02-26 2011-09-01 Evonik Degussa Gmbh Verfahren zur Oligomerisierung von Hydridosilanen, die mit dem Verfahren herstellbaren Oligomerisate und ihre Verwendung
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
CN102822985B (zh) 2010-04-06 2016-08-03 薄膜电子有限公司 外延结构、其形成方法及包含该结构的器件
US8916425B2 (en) 2010-07-26 2014-12-23 Semiconductor Energy Laboratory Co., Ltd. Method for forming microcrystalline semiconductor film and method for manufacturing semiconductor device
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8900979B2 (en) 2011-11-23 2014-12-02 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
JP2015053382A (ja) * 2013-09-06 2015-03-19 株式会社日本触媒 シリコン含有エピタキシャル膜およびその製造方法ならびに半導体装置
US20150303060A1 (en) * 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
JP6689886B2 (ja) 2015-05-22 2020-04-28 ダウ シリコーンズ コーポレーション ペンタクロロジシラン
TWI808473B (zh) * 2015-06-05 2023-07-11 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
KR102352232B1 (ko) 2015-06-15 2022-01-17 삼성전자주식회사 콘택 구조체들을 갖는 반도체 소자의 제조 방법
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102441431B1 (ko) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
CN109715850A (zh) * 2016-09-26 2019-05-03 美国陶氏有机硅公司 三氯二硅烷
US11011635B2 (en) 2016-12-12 2021-05-18 Applied Materials, Inc. Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device
CN116978862A (zh) * 2017-05-02 2023-10-31 应用材料公司 形成钨支柱的方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102346832B1 (ko) * 2018-05-23 2022-01-03 삼성에스디아이 주식회사 실리콘 질화막 식각용 조성물 및 이를 이용한 식각 방법
EP3587348B1 (en) * 2018-06-29 2021-08-11 Evonik Operations GmbH Partially hydrogenated chlorosilanes and methods for preparing same by selective hydrogenation
DE112019003547T5 (de) * 2018-07-12 2021-03-25 Lotus Applied Technology, Llc Wasserunempfindliche verfahren zum bilden von metalloxidfilmen und damit in zusammenhang stehenden produkten
EP3653577B1 (de) 2018-11-14 2021-10-06 Evonik Operations GmbH Tris(trichlorsilyl)dichlorogallylgerman, verfahren zu dessen herstellung und dessen verwendung
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
US20230219982A1 (en) 2020-06-05 2023-07-13 Johann Wolfgang Goethe-Universität Silylated oligogermanes and polycyclic silicon-germanium compounds, processes for their preparation and their use for the preparation of a si- and ge-containing solid
DE102020114994A1 (de) 2020-06-05 2021-12-09 Johann Wolfgang Goethe-Universität Frankfurt am Main Stiftung des öffentlichen Rechts Silylierte Oligogermane, Verfahren zur Herstellung derselben sowie die Verwendung derselben zum Herstellen eines Si- und Ge-enthaltenden Festkörpers
US20240025926A1 (en) 2020-11-27 2024-01-25 Johann Wolfgang Goethe-Universität Polycyclic silicon-germanium compounds, processes for their preparation and their use for preparing a si- and ge-containing solid
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62149879A (ja) * 1985-12-24 1987-07-03 Canon Inc 堆積膜形成法
JPH03185817A (ja) * 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH0485818A (ja) * 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0832085A (ja) * 1994-05-09 1996-02-02 Showa Denko Kk 薄膜トランジスタの製造方法
JPH11260729A (ja) * 1998-01-08 1999-09-24 Showa Denko Kk 高次シランの製造法
JP2000114190A (ja) * 1998-10-08 2000-04-21 Sony Corp 気相成長方法および半導体装置の製造方法
JP2001291671A (ja) * 2000-04-10 2001-10-19 Mitsubishi Materials Silicon Corp 半導体製造方法
JP2001352087A (ja) * 2000-06-07 2001-12-21 Tokuyama Corp シリコン膜及びその製造方法
JP2002100576A (ja) * 2000-09-26 2002-04-05 Jsr Corp ホウ素でドープされたシリコン膜の形成方法
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5294285A (en) * 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US4690830A (en) 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPS63234513A (ja) * 1987-03-24 1988-09-29 Canon Inc 堆積膜形成法
US4762808A (en) * 1987-06-22 1988-08-09 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of fluorohydridodisilanes
JPS6483510A (en) * 1987-09-28 1989-03-29 Ibiden Co Ltd Production of beta type silicon carbide powder
US5194950A (en) * 1988-02-29 1993-03-16 Mitsubishi Denki Kabushiki Kaisha Vector quantizer
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
TW337513B (en) 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5512126A (en) * 1994-03-11 1996-04-30 Polaroid Corporation Optical laminator
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
JP3286522B2 (ja) 1996-03-14 2002-05-27 日立ビアメカニクス株式会社 プリント基板加工装置
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
JP3462976B2 (ja) * 1997-07-31 2003-11-05 シャープ株式会社 シリコン粒子の製造方法及びシリコン膜の形成方法
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
KR100652909B1 (ko) 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2001024194A (ja) * 1999-05-06 2001-01-26 Toshiba Corp 半導体装置の製造方法及び半導体装置
US6305531B1 (en) * 1999-05-25 2001-10-23 Michael A. Wilkman Reduced cost impregnated wipes
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP2002009035A (ja) * 2000-06-26 2002-01-11 Toshiba Corp 基板洗浄方法及び基板洗浄装置
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6319772B1 (en) 2000-10-30 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method for making low-leakage DRAM structures using selective silicon epitaxial growth (SEG) on an insulating layer
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6603413B2 (en) * 2001-02-07 2003-08-05 Canon Kabushiki Kaisha Variable-length decoding apparatus and method
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP2002270685A (ja) 2001-03-08 2002-09-20 Mitsubishi Electric Corp 半導体装置の製造方法
KR100500013B1 (ko) * 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
WO2002097864A2 (en) 2001-05-30 2002-12-05 Asm America, Inc Low temperature load and bake
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030197831A1 (en) * 2002-04-12 2003-10-23 Kim Hyoung Sik Lens fastening device for frameless spectacles
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62149879A (ja) * 1985-12-24 1987-07-03 Canon Inc 堆積膜形成法
JPH03185817A (ja) * 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH0485818A (ja) * 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0832085A (ja) * 1994-05-09 1996-02-02 Showa Denko Kk 薄膜トランジスタの製造方法
JPH11260729A (ja) * 1998-01-08 1999-09-24 Showa Denko Kk 高次シランの製造法
JP2000114190A (ja) * 1998-10-08 2000-04-21 Sony Corp 気相成長方法および半導体装置の製造方法
JP2001291671A (ja) * 2000-04-10 2001-10-19 Mitsubishi Materials Silicon Corp 半導体製造方法
JP2001352087A (ja) * 2000-06-07 2001-12-21 Tokuyama Corp シリコン膜及びその製造方法
JP2002100576A (ja) * 2000-09-26 2002-04-05 Jsr Corp ホウ素でドープされたシリコン膜の形成方法
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
JP2010507559A (ja) * 2006-10-24 2010-03-11 ダウ・コーニング・コーポレイション ネオペンタシランを含む組成物及びその製造方法
JP2010517922A (ja) * 2007-02-14 2010-05-27 エボニック デグサ ゲーエムベーハー 高次シランの製造法
KR101468755B1 (ko) * 2007-02-14 2014-12-03 에보니크 데구사 게엠베하 고급 실란의 제조 방법
JP2014112681A (ja) * 2007-04-02 2014-06-19 Arizona Board Of Regents A Body Corporate Acting On Behalf Of Arizona State Univ ハロシリルゲルマンの新規な製造方法および使用方法
JP2013222725A (ja) * 2012-04-12 2013-10-28 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JPWO2013161768A1 (ja) * 2012-04-23 2015-12-24 東京エレクトロン株式会社 成膜方法、成膜装置、及び、成膜システム
JP2017005095A (ja) * 2015-06-10 2017-01-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2018524808A (ja) * 2015-06-16 2018-08-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ハライドシラン化合物及び組成物並びにそれを使用してケイ素含有膜を堆積するためのプロセス
JP2020522509A (ja) * 2017-06-01 2020-07-30 エボニック オペレーションズ ゲーエムベーハー ゲルマニウムシリコン層の製造のためのトリフェニルゲルミルシランおよびトリクロロシリルトリクロロゲルマン、ならびにトリクロロシリルトリフェニルゲルマンからのその製造方法
TWI781176B (zh) * 2017-06-01 2022-10-21 德商贏創運營有限公司 新穎的氯矽烷基芳基鍺烷、其製備方法及用途
JP2018203738A (ja) * 2017-06-01 2018-12-27 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH 新規なハロゲルマニドおよびその製造方法
KR20180131981A (ko) * 2017-06-01 2018-12-11 에보니크 데구사 게엠베하 신규한 클로로실릴아릴게르만, 그것의 제조 방법 및 그것의 용도
JP2018203737A (ja) * 2017-06-01 2018-12-27 エボニック デグサ ゲーエムベーハーEvonik Degussa GmbH 新規なクロロシリルアリールゲルマン、その製造方法およびその使用
KR102529558B1 (ko) 2017-06-01 2023-05-09 에보니크 오퍼레이션즈 게엠베하 신규한 클로로실릴아릴게르만, 그것의 제조 방법 및 그것의 용도
TWI782028B (zh) * 2017-06-01 2022-11-01 德商贏創運營有限公司 新穎之鹵鍺化物和其製備方法
JP7014677B2 (ja) 2017-06-01 2022-02-01 エボニック オペレーションズ ゲーエムベーハー 新規なクロロシリルアリールゲルマン、その製造方法およびその使用
JP6991250B2 (ja) 2017-06-01 2022-02-15 エボニック オペレーションズ ゲーエムベーハー ゲルマニウムシリコン層の製造のためのトリフェニルゲルミルシランおよびトリクロロシリルトリクロロゲルマン、ならびにトリクロロシリルトリフェニルゲルマンからのその製造方法
JP7085905B2 (ja) 2017-06-01 2022-06-17 エボニック オペレーションズ ゲーエムベーハー 新規なハロゲルマニドおよびその製造方法
JP2020525433A (ja) * 2017-06-29 2020-08-27 ダウ シリコーンズ コーポレーション 1,1,1−トリクロロジシランの合成
JP7132397B2 (ja) 2017-06-29 2022-09-06 ナタ セミコンダクター マテリアルズ カンパニー リミテッド 1,1,1-トリクロロジシランの合成
JP2021121578A (ja) * 2017-06-29 2021-08-26 ナタ セミコンダクター マテリアルズ カンパニー リミテッド 1,1,1−トリクロロジシランの合成
JP2022507441A (ja) * 2018-11-14 2022-01-18 エボニック オペレーションズ ゲーエムベーハー テトラキス(トリクロロシリル)ゲルマン、その製造方法およびその使用
JP7379485B2 (ja) 2018-11-14 2023-11-14 エボニック オペレーションズ ゲーエムベーハー テトラキス(トリクロロシリル)ゲルマン、その製造方法およびその使用

Also Published As

Publication number Publication date
JP5593129B2 (ja) 2014-09-17
WO2004036631A3 (en) 2004-06-24
EP1563529B1 (en) 2013-12-18
US7645339B2 (en) 2010-01-12
EP1563529A2 (en) 2005-08-17
KR101144366B1 (ko) 2012-05-21
AU2003301382A1 (en) 2004-05-04
KR20050074965A (ko) 2005-07-19
WO2004036631A2 (en) 2004-04-29
US20040224089A1 (en) 2004-11-11
US7758697B2 (en) 2010-07-20
US20080102218A1 (en) 2008-05-01
JP2014027294A (ja) 2014-02-06
AU2003301382A8 (en) 2004-05-04
US20070240632A1 (en) 2007-10-18
US7540920B2 (en) 2009-06-02
JP2010232674A (ja) 2010-10-14

Similar Documents

Publication Publication Date Title
JP5593129B2 (ja) シリコン化合物によるシリコン含有層の堆積
JP7320544B2 (ja) Si含有膜形成組成物およびその使用方法
CN102176411B (zh) 在硅外延薄膜形成时使用氯气和/或氯化氢
US8093154B2 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
TWI738200B (zh) 摻雜碳的矽氧化物的沉積
JP2019501528A (ja) 五置換ジシランを使用するケイ素含有フィルムの蒸着
CN101401202A (zh) 选择性沉积
CN100471991C (zh) 采用硅化合物进行的含硅层沉积
KR102272287B1 (ko) 실리콘 칼코겐산 전구체, 실리콘 칼코겐산 전구체를 형성하는 방법, 및 질화규소와 반도체 구조를 형성하는 관련된 방법
KR20180010994A (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP6689886B2 (ja) ペンタクロロジシラン
KR100938301B1 (ko) 기판 표면 및 챔버 표면을 위한 식각액 처리 공정
EP3307744B1 (en) Vapor deposition processes for forming silicon- and oxygen-containing thin films
TWI798765B (zh) 用於鍺種子層的組合物及使用其的方法
KR101176668B1 (ko) Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장
EP3307745B1 (en) Vapor deposition processes for forming silicon- and nitrogen-containing thin films
JP2002280383A (ja) 絶縁膜形成材料及び絶縁膜の形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060913

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100106

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100114

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100122

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100610

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100623

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20101112

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120131

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120206