JP2006270107A5 - - Google Patents

Download PDF

Info

Publication number
JP2006270107A5
JP2006270107A5 JP2006083846A JP2006083846A JP2006270107A5 JP 2006270107 A5 JP2006270107 A5 JP 2006270107A5 JP 2006083846 A JP2006083846 A JP 2006083846A JP 2006083846 A JP2006083846 A JP 2006083846A JP 2006270107 A5 JP2006270107 A5 JP 2006270107A5
Authority
JP
Japan
Prior art keywords
manufacturing
fet according
layer
channel region
fet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2006083846A
Other languages
English (en)
Other versions
JP2006270107A (ja
Filing date
Publication date
Priority claimed from KR1020050024543A external-priority patent/KR100594327B1/ko
Application filed filed Critical
Publication of JP2006270107A publication Critical patent/JP2006270107A/ja
Publication of JP2006270107A5 publication Critical patent/JP2006270107A5/ja
Ceased legal-status Critical Current

Links

Claims (17)

  1. 半導体基板上にソース及びドレイン領域を形成する工程と、
    前記ソース及びドレイン領域の間に連結される複数の予備チャンネル領域を形成する工程であって、(i)チャンネル層と前記チャンネル層に垂直に隣接した犠牲層とを形成する工程と、(ii)前記予備チャンネル領域のうち少なくとも一つの正面が前記ソース及びドレイン領域の正面に垂直の方向に前記ソース及びドレイン領域の正面に対してオフセッされるように、前記チャンネル層を所望の寸法でトリミングする工程と、を含む前記複数の予備チャンネル領域を形成する工程と、
    前記予備チャンネル領域をエッチングする工程と、
    前記エッチングされた予備チャンネル領域をアニーリングして、実質的に円形の断面形状を有するFETチャンネル領域を形成する工程と、を含むことを特徴とするFETの製造方法。
  2. 前記予備チャンネル領域は、実質的に長方形の断面形状を有することを特徴とする請求項に記載のFETの製造方法。
  3. 前記予備チャンネル領域は、その断面にコーナーを有することを特徴とする請求項1または2に記載のFETの製造方法。
  4. 前記予備チャンネル領域を形成した後、その結果物から酸化膜を除去するために前記結果物を洗浄する工程をさらに含むことを特徴とする請求項1から3の何れか一項に記載のFETの製造方法。
  5. 前記チャンネル層及び前記犠牲層は、エピタキシャル方法で形成されることを特徴とする請求項1から4の何れか一項に記載のFETの製造方法。
  6. 前記チャンネル層は、シリコン層であることを特徴とする請求項1から5の何れか一項に記載のFETの製造方法。
  7. 前記犠牲層は、SiGe層であることを特徴とする請求項1から6の何れか一項に記載のFETの製造方法。
  8. 前記トリミング工程では、前記チャンネル層をエッチングすることを特徴とする請求項1から7の何れか一項に記載のFETの製造方法。
  9. 前記チャンネル層は、CDE方法でエッチングすることを特徴とする請求項1から8の何れか一項に記載のFETの製造方法。
  10. 前記複数の予備チャンネル領域を形成する工程は、前記チャンネル層に垂直に隣接した複数の犠牲層を形成する工程を含むことを特徴とする請求項1から9の何れか一項に記載のFETの製造方法。
  11. 前記犠牲層は、SiGeからなることを特徴とする請求項1から10の何れか一項に記載のFETの製造方法。
  12. 前記犠牲層は、下部犠牲層と、前記下部犠牲層より低いGe濃度を有する上部犠牲層とを備えることを特徴とする請求項1から11の何れか一項に記載のFETの製造方法。
  13. 前記予備チャンネル領域のエッチング工程と前記エッチングされた予備チャンネル領域のアニーリング工程との間に、工程チャンバをパージする工程をさらに含むことを特徴とする請求項1から12の何れか一項に記載のFETの製造方法。
  14. 前記FETチャンネル領域上にゲート絶縁層を形成する工程をさらに含むことを特徴とする請求項1から13の何れか一項に記載のFETの製造方法。
  15. 前記FETチャンネル領域を取り囲むゲートを形成する工程をさらに含むことを特徴とする請求項1から14の何れか一項に記載のFETの製造方法。
  16. 前記ゲートは、ポリシリコンからなることを特徴とする請求項15に記載のFETの製造方法。
  17. 前記ゲートは、金属からなることを特徴とする請求項15に記載のFETの製造方法。
JP2006083846A 2005-03-24 2006-03-24 ラウンド状のナノワイヤートランジスタチャンネルを備える半導体素子及びその製造方法 Ceased JP2006270107A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020050024543A KR100594327B1 (ko) 2005-03-24 2005-03-24 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US11/303,408 US7642578B2 (en) 2005-03-24 2005-12-16 Semiconductor device having a round-shaped nano-wire transistor channel and method of manufacturing same

Publications (2)

Publication Number Publication Date
JP2006270107A JP2006270107A (ja) 2006-10-05
JP2006270107A5 true JP2006270107A5 (ja) 2009-04-16

Family

ID=37035753

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006083846A Ceased JP2006270107A (ja) 2005-03-24 2006-03-24 ラウンド状のナノワイヤートランジスタチャンネルを備える半導体素子及びその製造方法

Country Status (6)

Country Link
US (2) US7642578B2 (ja)
JP (1) JP2006270107A (ja)
KR (1) KR100594327B1 (ja)
CN (1) CN1855390B (ja)
DE (1) DE102006012416B4 (ja)
TW (1) TWI305385B (ja)

Families Citing this family (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005026228B4 (de) * 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
KR100618831B1 (ko) * 2004-06-08 2006-09-08 삼성전자주식회사 게이트 올 어라운드형 반도체소자 및 그 제조방법
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
US7341916B2 (en) * 2005-11-10 2008-03-11 Atmel Corporation Self-aligned nanometer-level transistor defined without lithography
KR100707208B1 (ko) * 2005-12-24 2007-04-13 삼성전자주식회사 Gaa 구조의 핀-펫 및 그 제조 방법
FR2895835B1 (fr) * 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100712543B1 (ko) * 2005-12-31 2007-04-30 삼성전자주식회사 다중채널을 갖는 반도체소자 및 그 제조방법
KR100801063B1 (ko) * 2006-10-02 2008-02-04 삼성전자주식회사 게이트 올 어라운드형 반도체 장치 및 그 제조 방법
US7846786B2 (en) 2006-12-05 2010-12-07 Korea University Industrial & Academic Collaboration Foundation Method of fabricating nano-wire array
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
KR101227144B1 (ko) 2006-12-13 2013-01-28 엘지디스플레이 주식회사 박막 트랜지스터 및 이의 제조 방법
CN100536113C (zh) * 2007-04-27 2009-09-02 北京大学 一种体硅纳米线晶体管器件的制备方法
KR101375833B1 (ko) * 2007-05-03 2014-03-18 삼성전자주식회사 게르마늄 나노로드를 구비한 전계효과 트랜지스터 및 그제조방법
KR101356694B1 (ko) * 2007-05-10 2014-01-29 삼성전자주식회사 실리콘 나노와이어를 이용한 발광 다이오드 및 그 제조방법
US7492624B2 (en) * 2007-06-29 2009-02-17 Stmicroelectronics S.R.L. Method and device for demultiplexing a crossbar non-volatile memory
KR101406224B1 (ko) * 2007-10-26 2014-06-12 삼성전자주식회사 나노 와이어 트랜지스터 및 그 제조 방법
FR2923652B1 (fr) * 2007-11-09 2010-06-11 Commissariat Energie Atomique Procede de fabrication de nanofils paralleles a leur substrat support
WO2009098548A1 (en) * 2008-02-08 2009-08-13 Freescale Semiconductor, Inc. Intermediate product for a multichannel fet and process for obtaining an intermediate product
US20110018065A1 (en) * 2008-02-26 2011-01-27 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
US8273591B2 (en) 2008-03-25 2012-09-25 International Business Machines Corporation Super lattice/quantum well nanowires
JP5553266B2 (ja) * 2008-06-09 2014-07-16 独立行政法人産業技術総合研究所 ナノワイヤ電界効果トランジスタの作製方法
KR101471858B1 (ko) 2008-09-05 2014-12-12 삼성전자주식회사 바 타입의 액티브 패턴을 구비하는 반도체 장치 및 그 제조방법
KR101539669B1 (ko) 2008-12-16 2015-07-27 삼성전자주식회사 코어-쉘 타입 구조물 형성방법 및 이를 이용한 트랜지스터 제조방법
US7981772B2 (en) * 2008-12-29 2011-07-19 International Business Machines Corporation Methods of fabricating nanostructures
JP4724231B2 (ja) * 2009-01-29 2011-07-13 株式会社東芝 半導体装置およびその製造方法
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
JP2011003797A (ja) * 2009-06-19 2011-01-06 Toshiba Corp 半導体装置及びその製造方法
CN101958328B (zh) * 2009-07-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 Cmos器件及其制造方法
JP4922373B2 (ja) * 2009-09-16 2012-04-25 株式会社東芝 半導体装置およびその製造方法
JP4991814B2 (ja) * 2009-09-16 2012-08-01 株式会社東芝 半導体装置およびその製造方法
CN102034863B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
US9373694B2 (en) 2009-09-28 2016-06-21 Semiconductor Manufacturing International (Shanghai) Corporation System and method for integrated circuits with cylindrical gate structures
CN101719499B (zh) * 2009-12-01 2012-09-26 中国科学院上海微系统与信息技术研究所 混合材料积累型圆柱体全包围栅cmos场效应晶体管
US8384065B2 (en) * 2009-12-04 2013-02-26 International Business Machines Corporation Gate-all-around nanowire field effect transistors
US8143113B2 (en) * 2009-12-04 2012-03-27 International Business Machines Corporation Omega shaped nanowire tunnel field effect transistors fabrication
US8129247B2 (en) 2009-12-04 2012-03-06 International Business Machines Corporation Omega shaped nanowire field effect transistors
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US8173993B2 (en) * 2009-12-04 2012-05-08 International Business Machines Corporation Gate-all-around nanowire tunnel field effect transistors
US8207453B2 (en) 2009-12-17 2012-06-26 Intel Corporation Glass core substrate for integrated circuit devices and methods of making the same
US9420707B2 (en) 2009-12-17 2016-08-16 Intel Corporation Substrate for integrated circuit devices including multi-layer glass core and methods of making the same
US8344425B2 (en) * 2009-12-30 2013-01-01 Intel Corporation Multi-gate III-V quantum well structures
US8722492B2 (en) 2010-01-08 2014-05-13 International Business Machines Corporation Nanowire pin tunnel field effect devices
US8324940B2 (en) 2010-04-13 2012-12-04 International Business Machines Corporation Nanowire circuits in matched devices
US8377784B2 (en) * 2010-04-22 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a semiconductor device
US8361907B2 (en) 2010-05-10 2013-01-29 International Business Machines Corporation Directionally etched nanowire field effect transistors
US8324030B2 (en) 2010-05-12 2012-12-04 International Business Machines Corporation Nanowire tunnel field effect transistors
US8835231B2 (en) 2010-08-16 2014-09-16 International Business Machines Corporation Methods of forming contacts for nanowire field effect transistors
US8536563B2 (en) 2010-09-17 2013-09-17 International Business Machines Corporation Nanowire field effect transistors
CN102446952B (zh) * 2010-09-30 2014-01-29 中国科学院微电子研究所 一种半导体结构及其形成方法
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
CN102129981B (zh) * 2010-12-30 2013-06-05 北京大学深圳研究生院 一种纳米线及纳米线晶体管的制作方法
CN102157556B (zh) * 2011-01-27 2012-12-19 北京大学 基于氧化分凝的埋沟结构硅基围栅晶体管及其制备方法
CN102157557B (zh) * 2011-01-27 2012-07-25 北京大学 一种基于纳米线器件的耐高压横向双向扩散晶体管
JP5271372B2 (ja) 2011-03-18 2013-08-21 株式会社東芝 半導体装置の製造方法
KR101813173B1 (ko) * 2011-03-30 2017-12-29 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
CN102315170B (zh) * 2011-05-26 2013-07-31 北京大学 一种基于湿法腐蚀制备硅纳米线场效应晶体管的方法
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
CN102969222B (zh) * 2011-09-01 2015-03-18 上海华力微电子有限公司 与cmos工艺兼容的硅纳米线器件的制作方法
FR2980918B1 (fr) * 2011-10-04 2014-03-07 Univ Granada Point memoire ram a un transistor
CN102509694B (zh) * 2011-10-25 2015-04-01 上海华力微电子有限公司 保留部分无定形碳层的方法
CN102544073A (zh) * 2011-12-16 2012-07-04 北京大学深圳研究生院 无结纳米线场效应晶体管
US9123567B2 (en) * 2011-12-19 2015-09-01 Intel Corporation CMOS implementation of germanium and III-V nanowires and nanoribbons in gate-all-around architecture
CN104011868B (zh) 2011-12-19 2017-02-15 英特尔公司 Ⅲ族‑n纳米线晶体管
US9608059B2 (en) * 2011-12-20 2017-03-28 Intel Corporation Semiconductor device with isolated body portion
DE112011105995B4 (de) * 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
CN104137237B (zh) * 2011-12-23 2018-10-09 英特尔公司 具有非分立的源极区和漏极区的纳米线结构
KR101631778B1 (ko) * 2011-12-23 2016-06-24 인텔 코포레이션 랩-어라운드 컨택트들을 가진 나노와이어 구조들
CN103258741B (zh) * 2012-02-20 2016-02-17 中芯国际集成电路制造(上海)有限公司 纳米线场效应晶体管及其形成方法
WO2013133827A1 (en) 2012-03-07 2013-09-12 Intel Corporation Glass clad microelectronic substrate
CN102646624B (zh) * 2012-03-31 2014-04-16 上海华力微电子有限公司 基于SOI的三维阵列式后栅型Si-NWFET制造方法
CN102623321B (zh) * 2012-03-31 2015-01-28 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623383A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于体硅的纵向堆叠式后栅型SiNWFET制备方法
CN102623338B (zh) * 2012-03-31 2014-11-26 上海华力微电子有限公司 基于soi的纵向堆叠式硅纳米线场效应晶体管制备方法
CN102623322B (zh) * 2012-03-31 2014-07-16 上海华力微电子有限公司 基于体硅的纵向堆叠式SiNWFET制备方法
CN102623347B (zh) * 2012-03-31 2014-10-22 上海华力微电子有限公司 基于体硅的三维阵列式SiNWFET制备方法
FR2989515B1 (fr) * 2012-04-16 2015-01-16 Commissariat Energie Atomique Procede ameliore de realisation d'une structure de transistor a nano-fils superposes et a grille enrobante
US20130285019A1 (en) * 2012-04-26 2013-10-31 Postech Academy-Industry Foundation Field effect transistor and method of fabricating the same
CN102646643B (zh) * 2012-05-03 2014-03-12 上海华力微电子有限公司 基于SOI的积累型Si-NWFET制备方法
CN102709245B (zh) * 2012-05-04 2014-06-04 上海华力微电子有限公司 制备双层SOI混合晶向后栅型反型模式SiNWFET的方法
US9484447B2 (en) 2012-06-29 2016-11-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
KR101928371B1 (ko) 2012-07-18 2018-12-12 삼성전자주식회사 나노공진기 및 그의 제조 방법
US9001520B2 (en) 2012-09-24 2015-04-07 Intel Corporation Microelectronic structures having laminated or embedded glass routing structures for high density packaging
US8735869B2 (en) 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US8785909B2 (en) * 2012-09-27 2014-07-22 Intel Corporation Non-planar semiconductor device having channel region with low band-gap cladding layer
US8823059B2 (en) 2012-09-27 2014-09-02 Intel Corporation Non-planar semiconductor device having group III-V material active region with multi-dielectric gate stack
US9041106B2 (en) 2012-09-27 2015-05-26 Intel Corporation Three-dimensional germanium-based semiconductor devices formed on globally or locally isolated substrates
CN103854971B (zh) * 2012-12-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 纳米线的制造方法、纳米线场效应晶体管的制造方法
US8927405B2 (en) * 2012-12-18 2015-01-06 International Business Machines Corporation Accurate control of distance between suspended semiconductor nanowires and substrate surface
US9136343B2 (en) * 2013-01-24 2015-09-15 Intel Corporation Deep gate-all-around semiconductor device having germanium or group III-V active layer
US9831345B2 (en) * 2013-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with rounded source/drain profile
WO2014142856A1 (en) * 2013-03-14 2014-09-18 Intel Corporation Leakage reduction structures for nanowire transistors
CN104143513B (zh) * 2013-05-09 2016-12-28 中芯国际集成电路制造(上海)有限公司 纳米真空场效应电子管及其形成方法
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US11404325B2 (en) 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US9252016B2 (en) 2013-09-04 2016-02-02 Globalfoundries Inc. Stacked nanowire
US9362397B2 (en) 2013-09-24 2016-06-07 Samsung Electronics Co., Ltd. Semiconductor devices
EP3050111A4 (en) * 2013-09-27 2017-06-07 Intel Corporation Improved cladding layer epitaxy via template engineering for heterogeneous integration on silicon
KR102083494B1 (ko) 2013-10-02 2020-03-02 삼성전자 주식회사 나노와이어 트랜지스터를 포함하는 반도체 소자
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9048301B2 (en) 2013-10-16 2015-06-02 Taiwan Semiconductor Manufacturing Company Limited Nanowire MOSFET with support structures for source and drain
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US10553718B2 (en) * 2014-03-14 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US9893167B2 (en) * 2014-03-24 2018-02-13 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
WO2015147784A1 (en) * 2014-03-24 2015-10-01 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
US9528194B2 (en) * 2014-03-31 2016-12-27 Taiwan Semiconductor Manufacturing Company Limited & National Taiwan University Systems and methods for forming nanowires using anodic oxidation
US9953989B2 (en) 2014-03-31 2018-04-24 Taiwan Semiconductor Manufacturing Company Limited and National Taiwan University Antifuse array and method of forming antifuse using anodic oxidation
CN104979388B (zh) * 2014-04-01 2018-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体装置及其制造方法
KR102083632B1 (ko) 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2015190852A1 (en) * 2014-06-11 2015-12-17 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet strained channel fets and methods of fabricating the same
US9502518B2 (en) * 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US9647098B2 (en) * 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10396152B2 (en) 2014-07-25 2019-08-27 International Business Machines Corporation Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
US9853166B2 (en) 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
CN105374679B (zh) 2014-08-26 2019-03-26 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9871101B2 (en) 2014-09-16 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
EP3195366B1 (en) 2014-09-19 2020-10-21 Intel Corporation Apparatus and methods to create an indium gallium arsenide active channel having indium rich surfaces
JP6555624B2 (ja) * 2014-09-19 2019-08-07 インテル・コーポレーション マイクロ電子トランジスタ内の漏洩を低減するバッファを作成するための装置及び方法
CN104282575B (zh) * 2014-09-26 2017-06-06 北京大学 一种制备纳米尺度场效应晶体管的方法
US9312186B1 (en) * 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
US9741811B2 (en) 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
US9449820B2 (en) 2014-12-22 2016-09-20 International Business Machines Corporation Epitaxial growth techniques for reducing nanowire dimension and pitch
CN105810734B (zh) * 2014-12-29 2018-09-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US9882026B2 (en) 2015-01-13 2018-01-30 Tokyo Electron Limited Method for forming a nanowire structure
CN105870183B (zh) * 2015-01-19 2019-07-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9397179B1 (en) 2015-02-17 2016-07-19 Samsung Electronics Co., Ltd. Semiconductor device
US9502673B2 (en) * 2015-03-31 2016-11-22 International Business Machines Corporation Transistor devices with tapered suspended vertical arrays of carbon nanotubes
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
WO2016209285A1 (en) 2015-06-26 2016-12-29 Intel Corporation Pseudomorphic ingaas on gaas for gate-all-around transistors
US9425259B1 (en) * 2015-07-17 2016-08-23 Samsung Electronics Co., Ltd. Semiconductor device having a fin
US9614068B2 (en) * 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN113611610A (zh) 2015-09-10 2021-11-05 英特尔公司 具有腔间隔器的半导体纳米线装置和制造半导体纳米线装置的腔间隔器的方法
KR102373620B1 (ko) * 2015-09-30 2022-03-11 삼성전자주식회사 반도체 장치
JP6928763B2 (ja) 2015-11-03 2021-09-01 東京エレクトロン株式会社 マイクロ波プラズマによりナノワイヤの角を丸め、調整する方法
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10217817B2 (en) * 2016-01-27 2019-02-26 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETs
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR101802055B1 (ko) 2016-02-16 2017-11-27 한국과학기술원 수직 집적 전면-게이트 다층 나노선 채널 기반의 무접합 트랜지스터 및 그 제작 방법
CN107132942A (zh) * 2016-02-26 2017-09-05 鸿富锦精密工业(深圳)有限公司 触控显示装置
US9755017B1 (en) * 2016-03-01 2017-09-05 International Business Machines Corporation Co-integration of silicon and silicon-germanium channels for nanosheet devices
KR102340313B1 (ko) 2016-03-02 2021-12-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102413610B1 (ko) 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
KR20170124284A (ko) * 2016-05-02 2017-11-10 삼성전자주식회사 반도체 장치
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11004985B2 (en) 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
KR102429611B1 (ko) * 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
US9905643B1 (en) * 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US9620590B1 (en) * 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation
US10177226B2 (en) * 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
US10199485B2 (en) * 2017-01-18 2019-02-05 United Microelectronics Corp. Semiconductor device including quantum wires
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10106737B2 (en) 2017-03-22 2018-10-23 Lam Research Ag Liquid mixture and method for selectively wet etching silicon germanium
KR102318560B1 (ko) 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10636796B2 (en) * 2017-08-02 2020-04-28 Winbond Electronics Corp. Dynamic random access memory and method of fabricating the same
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
CN109904074B (zh) * 2017-12-11 2022-04-08 中芯国际集成电路制造(北京)有限公司 全包围栅场效应晶体管及其制造方法
WO2019116827A1 (ja) * 2017-12-12 2019-06-20 ソニーセミコンダクタソリューションズ株式会社 半導体装置及びその製造方法
CN108470771A (zh) * 2018-04-11 2018-08-31 北京邮电大学 一种纳米线晶体管
CN110571192A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11031239B2 (en) * 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US11417775B2 (en) * 2018-07-24 2022-08-16 Intel Corporation Nanowire thin film transistors with textured semiconductors
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
KR20200141697A (ko) 2019-06-11 2020-12-21 삼성전자주식회사 반도체 장치
TWI791871B (zh) * 2019-07-19 2023-02-11 力晶積成電子製造股份有限公司 通道全環繞半導體裝置及其製造方法
US11456368B2 (en) * 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11282967B2 (en) * 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure field-effect transistor device and method of forming
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3613594B2 (ja) * 1993-08-19 2005-01-26 株式会社ルネサステクノロジ 半導体素子およびこれを用いた半導体記憶装置
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP4318768B2 (ja) * 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
JP3600591B2 (ja) * 2002-03-28 2004-12-15 沖電気工業株式会社 半導体装置の製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
KR100958055B1 (ko) * 2003-05-02 2010-05-13 삼성전자주식회사 게이트에 의해 둘러싸인 카본나노튜브 전계효과트랜지스터및 그 제조방법
US6919250B2 (en) * 2003-05-21 2005-07-19 Advanced Micro Devices, Inc. Multiple-gate MOS device and method for making the same
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
US7087471B2 (en) * 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
SE527205C2 (sv) * 2004-04-14 2006-01-17 Denso Corp Förfarande för tillverkning av halvledaranordning med kanal i halvledarsubstrat av kiselkarbid
KR100625177B1 (ko) * 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100532564B1 (ko) * 2004-05-25 2005-12-01 한국전자통신연구원 다중 게이트 모스 트랜지스터 및 그 제조 방법
KR100585157B1 (ko) 2004-09-07 2006-05-30 삼성전자주식회사 다수의 와이어 브릿지 채널을 구비한 모스 트랜지스터 및그 제조방법
US7435665B2 (en) * 2004-10-06 2008-10-14 Okmetic Oyj CVD doped structures
JP2006128233A (ja) * 2004-10-27 2006-05-18 Hitachi Ltd 半導体材料および電界効果トランジスタとそれらの製造方法
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
US20060246234A1 (en) * 2005-04-20 2006-11-02 Yazaki Corporation Photomask assembly incorporating a metal/scavenger pellicle frame
KR100755367B1 (ko) * 2005-06-08 2007-09-04 삼성전자주식회사 실린더형 게이트를 갖는 나노-라인 반도체 소자 및 그제조방법
KR100707208B1 (ko) * 2005-12-24 2007-04-13 삼성전자주식회사 Gaa 구조의 핀-펫 및 그 제조 방법

Similar Documents

Publication Publication Date Title
JP2006270107A5 (ja)
JP5744145B2 (ja) フィン型電界効果トランジスタおよびその製造方法
JP5795735B2 (ja) チャネル領域への減少させられたオフセットを有する埋め込みSi/Ge材質を伴うトランジスタ
TWI384614B (zh) 形成鰭狀場效電晶體裝置中之結構的方法
JP2006013487A5 (ja)
JP2009514220A5 (ja)
JP2006210555A5 (ja)
TW200536122A (en) Finfet transistor device on soi and method of fabrication
TW200840049A (en) Transistor and method for fabricating the same
JP2007013145A5 (ja)
KR20080015891A (ko) 향상된 팁 프로파일을 갖는 트랜지스터 및 그 제조방법
KR100647457B1 (ko) 반도체 소자 및 그 제조방법
JP2012516555A5 (ja)
JP2007518272A5 (ja)
CN104616979B (zh) 半导体器件的形成方法
US9502244B2 (en) Manufacturing method for forming semiconductor structure
JP2009076857A5 (ja)
JP2009130009A (ja) 半導体装置およびその製造方法
DE602006001828D1 (de) Verfahren zum Herstellen eines Transistors mit selbst justierten Doppel-Gates durch Schrumpfung der Gatestruktur
KR20090078151A (ko) 반도체 소자의 제조방법
US7338910B2 (en) Method of fabricating semiconductor devices and method of removing a spacer
JP2005109389A5 (ja)
JP2009520364A5 (ja)
JP4863093B2 (ja) ケイ化ニッケルおよびケイ化コバルトをエッチングする方法ならびに導電線を形成する方法
US8536053B2 (en) Method for restricting lateral encroachment of metal silicide into channel region