DE69730097T2 - Verfahren und Vorrichtung zur Behandlung von Wafers - Google Patents
Verfahren und Vorrichtung zur Behandlung von Wafers Download PDFInfo
- Publication number
- DE69730097T2 DE69730097T2 DE69730097T DE69730097T DE69730097T2 DE 69730097 T2 DE69730097 T2 DE 69730097T2 DE 69730097 T DE69730097 T DE 69730097T DE 69730097 T DE69730097 T DE 69730097T DE 69730097 T2 DE69730097 T2 DE 69730097T2
- Authority
- DE
- Germany
- Prior art keywords
- processing
- chamber
- wafer
- wafers
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Description
- Die vorliegende Erfindung bezieht sich auf ein Verfahren und eine Vorrichtung zum gleichzeitigen Verarbeiten von mehreren Wafern in der Herstellung von integrierten Schaltungen.
- Der Ausdruck „Clusterwerkzeug" bezieht sich im Allgemeinen auf ein modulates, Mehrkammer-, integriertes Verarbeitungssystem, welches ein zentrales Wafer-Handhabungsvorrichtungsmodul und eine Vielzahl von peripheren Verarbeitungskammern hat. Clusterwerkzeuge wurden im Allgemeinen als effektive und effiziente Ausrüstung zur Herstellung moderner mikroelektronischer Bauteile akzeptiert. Wafer werden in ein Clusterwerkzeug eingeführt, wo sie eine Serie von Verarbeitungsschritten aufeinanderfolgend in verschiedenen Verarbeitungskammern erfahren, um integrierte Schaltungen zu bilden. Der Transfer der Wafer zwischen den Verarbeitungskammern wird typischerweise durch ein Wafer-Handhabungsvorrichtungsmodul ermöglicht, welches in einem zentralen Transferbereich angeordnet ist. Typischerweise sind Clusterwerkzeuge aus zwei unterschiedlichen Arten: Einzelwafer-Verarbeitung oder Stapelwafer-Verarbeitung. Einzelwafer-Verarbeitung bezieht sich im Allgemeinen auf eine Kammerkonfiguration, in welcher ein einzelner Wafer zur Verarbeitung angeordnet ist. Stapelwafer-Verarbeitung bezieht sich im Allgemeinen auf eine Kammerkonfiguration, in welcher mehrere Wafer auf einer Drehscheibe positioniert sind und an verschiedenen Positionen innerhalb der Kammer verarbeitet werden, während sich die Drehscheibe um 360° dreht. Ein für Stapelverarbeitung konfiguriertes Clusterwerkzeug erlaubt es mehreren Wafern, typischerweise von vier (4) bis sieben (7) Wafern, gleichzeitig in einer einzelnen Kammer verarbeitet zu werden.
- Die
1 und2 zeigen Beispiele von kommerziell erhältlichen Stapelverarbeitungssystemen10 .1 ist eine schematische Draufsicht eines radialen Clusterwerkzeuges zur Stapelverarbeitung, welches von Novellus Corporation erhältlich ist. Dieses Clusterwerkzeug beinhaltet zwei Stapelverarbeitungskammern12 ,13 , welche jede sechs Wafer14 zur Verarbeitung halten. Ein einzelner Wafer-Handhabungsvorrichtungsroboter16 , der in einer Transferkammer18 angeordnet ist, wird verwendet, um Wafer von einer Lade-Verriegelungskammer20 zu einer ersten Stapelverarbeitungskammer12 eines nach dem anderen zu transferieren, wo die Wafer aufeinanderfolgend auf einer Drehscheibe22 aufgenommen werden, bevor sie die gleichen Verarbeitungsschritte erhalten. Die Wafer können dann transferiert werden, eines nach dem anderen, zu einer zweiten Stapelverarbeitungskammer13 , wo die Wafer zusätzliche Verarbeitungsschritte durchlaufen. Typischerweise werden die Wafer in das System einer nach dem anderen geladen und in eine Kammer bewegt, wo sie partielle Verarbeitung an verschiedenen Positionen erhalten, während die Wafer auf der Drehscheibe um 360° rotiert werden. -
2A und2B sind schematische Drauf- und Seitenansichten eines Clusterwerkzeuges10 zur Stapelverarbeitung, welches von Mattson Technology erhältlich ist. Die Lade-Verriegelungskammer20 und die Transferkammer18 haben eine gemeinsame Wafer-Hebevorrichtung19 , welches es den Wafern erlaubt, innerhalb der Transferkammer bereitgestellt zu sein. Ein Transferroboter16 transportiert die Wafer zu der Verarbeitungskammer, wie zum Beispiel eine chemische Aufdampfungs-(CVD)Kammer, welcher bis zu vier Wafern festhält. Die Wafer werden dann zu der Wafer-Hebevorrichtung zurückgeführt und eventuell von dem Werkzeug abgestoßen. - Ein Nachteil der Stapelverarbeitung, welche die in den oben beschriebenen Clusterwerkzeugen durchgeführte Verarbeitung beinhaltet, ist der, dass Stapelverarbeitung häufig eine geringfügige Ablagerungsgleichheit von der Mitte des Wafers bis zu der Kante des Wafers bietet. Die Verarbeitungsgleichheit ist wichtig, um die Gleichheit der Ablagerung auf dem Wafer zu erhalten. Die geringfügige Gleichheit der Stapelverarbeitungssysteme ist ein unmittelbares Ergebnis davon, dass mehrere Wafer teilweise an mehreren Stationen innerhalb einer einzelnen Kammer verarbeitet werden.
- Ein alternativer Lösungsansatz, um die Verarbeitungsgleichförmigkeit zu steigern, ist die Verwendung von einzelnen Wafer-Verarbeitungskammern. Einzelne Wafer-Verarbeitung wird im Allgemeinen in Betracht gezogen, um einen höheren Grad der Kontrolle über die Verarbeitungsgleichförmigkeit zu bieten, da ein einzelner Wafer in einer Verarbeitungskammer positioniert ist, wo er einen vollständigen Verarbeitungsschritt durchläuft, wie zum Beispiel ein Ablagerungsschritt oder ein Ätzschritt, ohne dass er zu einer unterschiedlichen Position bewegt werden muss. Weiterhin können die Komponenten einer einzelnen Wafer-Verarbeitungskammer konzentrisch oder anderweitig in Bezug auf den einzelnen Wafer positioniert werden.
-
3 zeigt eine schematische Draufsicht eines Clusterwerkzeuges10 , welches mehrere einzelne Wafer-Verarbeitungskammern12 darauf montiert hat. Ein zu dem in3 gezeigtes Clusterwerkzeug ist von Applied Materials, Inc. of Santa Clara, Kalifornien erhältlich. Das Werkzeug beinhaltet eine Lade-Verriegelungskammer20 und eine Transferkammer18 , welches ein Wafer-Handhabungsmodul16 zur Bewegung des Wafers von Ort zu Ort innerhalb des Systems, im Speziellen zwischen den mehreren einzelnen Wafer-Verarbeitungskammern12 hat. Dieses spezielle Werkzeug ist gezeigt, um bis zu vier (4) einzelne radial um die Transferkammer angeordnete Wafer-Verarbeitungskammern12 aufzunehmen. Ein ähnliches Werkzeug ist in US-A-5611861 gezeigt, welches nach dem Prioritätstag der vorliegenden Erfindung veröffentlicht ist. - Die
DE 44 12 915 A1 zeigt ein Plasma-Verarbeitungssystem, welches geeignet ist, um eine Vielzahl von Werkstücken parallel in einem Plasma in einem Vakuum zu verarbeiten. eine Vielzahl von Plasma-Verarbeitungskammern werden aufeinandergestapelt. Zwei Stapel von solchen Plasma-Ver arbeitungskammern sind in dem System vorgesehen, und die Plasma-Verarbeitungskammern des Stapels sind durch ein Handhabungssystem, welches in einer Lade-Verriegelungskammer vorgesehen ist, erreichbar. Jede Verarbeitungskammer hat eine Gasversorgung, eine RF-Stromversorgung und eine Pumpausgang in einem Pumpsystem. Die Werkstücke, in diesem Fall aktive Flachbildschirme, sind auf Lagerfingern gelagert. Die Vielzahl von Verarbeitungskammern können durch Dichtungsplatten abgedichtet werden, welche auf einem bewegbaren Lagerfeil angeordnet sind. - Es ist ein Ziel der Erfindung, ein Verfahren und eine Vorrichtung zur Verarbeitung von Wafern in einem Vakuum-Verarbeitungssystem vorzusehen, welche beides gleichförmige Wafer-Verarbeitung und einen hohen Durchsatz bieten. Im Spezielleren ist es das Ziel der Erfindung, für ein integriertes System und Verarbeitungskammern zu sorgen, welche in Kooperation mit der enthaltenen einzelnen Waferarchitektur mit Stapel-Waferhandhabungstechniken arbeitet.
- Um das obige Problem zu lösen, bietet die vorliegende Erfindung ein Verfahren und eine Vorrichtung zur Verarbeitung von Wafern in einem Vakuum-Verarbeitungssystem, wie in den unabhängigen Ansprüchen 1 und 6 entsprechend definiert. Die Erfindung bietet eine Vorrichtung zur Verarbeitung von Wafern in einem Vakuum-Verarbeitungssystem mit einem kleinen Platzbedarf/Arbeitsflächenbedarf und welche eine niedrige Kapitalinvestition und Bedienungskosten als typische Clusterwerkzeuge benötigt.
- Weitere bevorzugte Ausführungsformen der Erfindung sind in den beigefügten Unteransprüchen gegeben.
- Bevorzugte Ausführungsformen der Erfindung werden nun im Detail in Verbindung mit den begleitenden Zeichnungen beschrieben, in welchen:
-
1 eine schematische Draufsicht eines radialen Clusterwerkzeuges zur Stapelverarbeitung ist, welches von Novellus Corporation erhältlich ist; -
2A und2B schematische Drauf- und Seitenansichten eines linearen Clusterwerkzeuges zur Stapelverarbeitung sind, welche von Mattson Technology erhältlich sind; -
3 eine schematische Draufsicht eines Clusterwerkzeuges ist, welches eine Vielzahl von einzelnen Wafer-Verarbeitungskammern hat; -
4 eine perspektivische Ansicht einer Ausführungsform der Vorrichtung der vorliegenden Erfindung ist; -
5 eine schematische Draufsicht einer Ausführungsform der Vorrichtung der vorliegenden Erfindung ist; -
6 eine perspektivische Ansicht eines Vorderseiten-Ladungssystems, welches mit der Vorrichtung verwendet werden kann, der vorliegenden Erfindung ist; -
7 ein substantieller perspektivischer Aufriss der Innenseite einer Ausführungsform der Lade-Verriegelungskammer der vorliegenden Erfindung ist; -
8 eine Draufsicht einer Ausführungsform der vorliegenden Erfindung ist, die eine Transferkammer zeigt, die ein darin angeordnetes Transfer-Wafer-Handhabungsbauteil hat und eine Vorderseiten-Plattform hat, die zwei Waferkassetten und ein darauf montiertes Vorderseiten-Wafer-Handhabungsbauteil zur Waferabbildung und Zentrierung hat; -
9 eine teilweise Querschnittsansicht der Ausführungsform einer Transferkammer der vorliegenden Erfindung ist; -
10 eine Draufsicht einer Transferkammer und einer Verarbeitungsform ist, die eine Ausführungsform des Wafer-Handhabungsbauteiles der vorliegenden Erfindung zeigt, welches in der Transferkammer und in einer zurückgezogenen Position fertig zur Drehung innerhalb der Transferkammer oder zur Ausdehnung in eine andere Kammer montiert ist; -
11 eine perspektivische Ansicht einer Ausführungsform einer Verarbeitungskammer der vorliegenden Erfindung ist; -
12 eine teilweise Querschnittsansicht einer Ausführungsform der Verarbeitungskammer der vorliegenden Erfindung ist; -
13 eine auseinandergezogene Darstellung der Gasverteilereinrichtung ist; -
14 eine Draufsicht einer Ausführungsform einer Verarbeitungskammer der vorliegenden Erfindung mit dem entfernten Deckel ist; -
15 ein schematisches Diagramm eines Vakuumsystems einer Ausführungsform der vorliegenden Erfindung ist; -
16 eine perspektivische Ansicht einer entfernten Plasmakammer ist, welche auf einer Verarbeitungskammer montiert ist; und -
17 eine teilweise Querschnittsansicht einer entfernten Plasmakammer ist, die auf eine Verarbeitungskammer montiert ist. -
4 und5 stellen das Verarbeitungssystem100 der vorliegenden Erfindung schematisch dar. Das System100 ist ein abgeschlossenes System, welches die notwendigen auf einer Hauptrahmenstruktur101 gelagerten Verarbeitungseinrichtungen hat, welche einfach installiert werden können und welche einen schnellen Betriebsanlauf bieten. Das System100 beinhaltet im Allgemeinen vier unterschiedliche Bereiche, nämlich einen Vorderseiten-Gerüstbereich102 , wo Waferkassetten109 (gezeigt in6 ) gelagert sind und wo Wafer in einer und von einer Lade-Verriegelungskammer112 geladen werden, eine Transferkammer104 , die eine Wafer-Handhabungsvorrichtung beinhaltet, eine Serie von Tandem-Verarbeitungskammern106 , die auf der Transferkammer104 montiert sind, und eine Rückseite108 , welche die Lagereinrichtungen, die für die Bedienung des Systems100 benötigt werden, beinhaltet, wie zum Beispiel ein Gaspaneel103 , ein Stromverteilerpaneel105 und Stromgeneratoren107 . Das System kann geeignet sein, um verschiedene Vorgänge und Lagerkammerhardware, wie zum Beispiel CVD, PVD und Ätzen unterzubringen. Die unten beschriebene Ausführungsform wird auf ein System gerichtet sein, welches ein DCVD-Verfahren, wie zum Beispiel ein Silan-Verfahren, um Siliconoxide abzulagern, anwendet. Jedoch ist es verständlich, dass diese anderen Verfahren durch die vorliegende Erfindung betrachtet werden. -
6 zeigt den Vorderseiten-Gerüstbereich102 des Systems100 , welches eine Gerüstplattform110 beinhaltet, welche eine oder mehrere Waferkassetten-Drehteller111 hat, die drehbar durch die Plattform110 montiert ist, um eine oder mehrere Waferkassetten109 zur Verarbeitung zu lagern. In den Waferkassetten109 beinhaltete Wafer werden in das System100 durch eine oder mehrere durch einen Vorderdeckel angeordnete Türen137 geladen. Eine Vorderseiten-Wafer-Handhabungsvorrichtung113 , wie zum Beispiel ein Roboter, ist auf der Gerüst-Plattform110 direkt neben den Waferkassetten-Drehtellern111 und der Lade-Verriegelungskammertür montiert. Vorzugsweise beinhaltet die Vorderseiten-Wafer-Handhabungsvorrichtung113 ein Wafer-Abbildungssystem, um die Wafer in jeder Waferkassette109 zur Vorbereitung für das Laden der Wafer in einer in der Lade-Verriegeiungskammer112 angeordneten Lade-Verriegelungskassette zu registrieren. Eine Wafer-Handhabungsvorrichtung, die verwendet wird, um in dem vorliegenden System zu nutzen, welches ein Wafer-Abbildungssystem beinhaltet, ist von Equippe Technologies, Sunnyvale, Kalifornien, wie Modell Nrn. ATM107 oder105 erhältlich. Der Wafer-Abbildungssensor verifiziert die Anzahl der Wafer und die Orientierung der Wafer in der Kassette109 vor der Positionierung der Wafer in der Lade-Verriegelungskammer112 zur Verarbeitung. Ein Abgasfilter ist auf dem Boden eines Lagergestelles115 über der Plattform110 montiert, um eine Partikelkontrolle auf der Vorderseite des Systems zu bieten. Ein Computerbildschirm117 ist auf einem Bildschirmgestell119 über dem Lagergestell115 gelagert, um eine Tastkontrolle für einen Bediener zu bieten. -
6 zeigt den Wafer-Handhabungsroboter113 auf der Vorderseite102 des Systems100 , welcher einen Wafer-Transferarm zum Transferieren der Wafer von den Waferkassetten109 in und aus der Lade-Verriegelungskammer112 beinhaltet. Die Wafer liegen nicht immer in genau der gleichen Position innerhalb jeder Waferkassette109 und, daher, sind sie nicht identisch auf dem Arm positioniert, wenn sie in die Lade-Verriegelungskassette218 transferiert werden. Folglich, bevor der Wafer in die Lade-Verriegelungskassette geladen wird, muss der genaue Ort des Wafers auf dem Roboterarm bestimmt werden und zu einem Steuerungscomputer geliefert werden. Das Kennen der genauen Mitte des Wafers erlaubt es dem Computer, sich auf die variable Position jedes Wafers auf dem Arm einzustellen und den Wafer genau in der erwünschten Position in einer Lade-Verriegelungskassette218 abzugeben, so dass letztendlich die Wafer-Handhabungsvorrichtung in der Transferkammer die Wafer in den Verarbeitungskammern106 genau positionieren kann. - Ein optisches Sensorsystem
170 , welches Wafer-Positionsdaten (vorzugsweise die Mittelkoordinate des Wafers) liefert, um den Roboter zu befähigen, die Wafer in die Lade-Verriegelungskassette218 genau zu positionieren, ist direkt neben dem Kassetten-Drehteller111 auf der Vorderseite102 vorgesehen. Jedes System umfasst drei optische Sensoren172 , die auf dem unteren Lager173 einer C-Klemme174 direkt neben dem Kassetten-Drehteller111 entlang einer Linie senkrecht zu den Pfaden des Roboterarms montiert, und drei optische Sender176 , die auf dem oberen Lager177 der C-Klemme174 positioniert ist, ausgerichtet mit den entsprechenden Sensoren, so dass die Sensoren die Lichtstrahlen von den entsprechenden Sendern auffangen. Typischerweise umfasst jedes Paar einen herkömmlichen infraroten Sender und Sensor. -
7 zeigt eine wesentliche perspektivische Seitenansicht einer Ausführungsform einer Lade-Verriegelungskammer112 der vorliegenden Erfindung. Die Lade-Verriegelungskammer112 beinhaltet eine Seitenwand202 , einen Boden204 und einen Deckel206 . Die Seitenwand202 definiert eine Lade-Verriegelungs-Ladeöffnung208 zum Laden der Wafer in und zum Entladen der Wafer aus dem Vakuumsystem100 . Durchgänge210 und212 sind in der Seitenwand202 gegenüber der Ladeöffnung208 angeordnet, um es zu ermöglichen, dass Wafer von der Lade-Verriegelungskammer112 in die Transferkammer104 (nicht gezeigt) bewegt zu werden. Schlitzventile und Schlitzventilauslöser werden verwendet, um die Durchgänge210 und212 abzudichten, wenn eine Isolation oder bereitgestelltes Vakuum erwünscht ist. Eine Bedienungsöffnung214 und eine Bedienungstür oder Fenster216 sind an einem Ende der Lade-Verriegelungskammer112 angeordnet, um einen Bedienungs- und visuellen Zugriff auf die Lade-Verriegelungskammer112 zu bieten. - Eine Lade-Verriegelungskassette
218 ist innerhalb der Lade-Verriegelungskammer112 angeordnet, um die Wafer in einem unter Abstand angeordneten Verhältnis in der Lade-Verriegelungskammer112 zu lagern, so dass eine Wafer-Handhabungsvorrichtung zwischen den Wafern hindurchtreten kann, um die Wafer von der Lade-Verriegelungskassette218 zu platzieren und zu entfernen. Die Lade-Verriegelungskassette218 lagert vorzugsweise zwei oder mehrere Wafer in einer Anordnung nebeneinander auf den Waferaufnahmen220 . Die Waferaufnahmen220 sind auf den Kassettenplatten222 ausgebildet, welche in einem unter Abstand angeordneten Verhältnis auf einer bewegbaren Welle224 gelagert sind. Vorzugsweise sind die Platten222 aus anodisierten Aluminium gemacht und können bis zu ungefähr 14 unter Abstand angeordneten, vertikal getrennt durch ungefähr 0,6 inch (1 inch = 2,54 cm) Wafern handhaben. In der in7 gezeigten Ausführungsform sind sechs Reihen von Wa feraufnahmen220 vorgesehen, um eine Summe von zwölf (12) Wafern zu lagern. - Jede Waferaufnahme
220 definiert zumindest zwei Nuten226 , in welchen eine Halteschiene228 angeordnet ist, um einen Wafer über der Waferaufnahme220 zu lagern, um einen Kühlgas-Durchlass unterhalb des Wafers zu bieten. In einer bevorzugten Ausführungsform sind zumindest zwei Schienen228 , die aus Keramik gemacht sind, vorgesehen, um die Wafer zu lagern, aber mehrere Schienen können verwendet werden. Die Wafer sind ungefähr 1 bis ungefähr 15 mils (1 mil = 25,4 Mikrometer) über den Waferaufnahmen220 auf den keramischen Schienen228 gelagert, um eine gleichmäßige Kühlung der Wafer zu bieten. - Die Welle
224 ist durch den Boden204 der Lade-Verriegelungskammer112 angeordnet und lagert die Kassettenplatten222 innerhalb der Lade-Verriegelungskammer112 . Ein Motor, wie zum Beispiel ein Schrittmotor oder andere Aufzugsysteme, ist unterhalb des Bodens204 der Lade-Verriegelungskammer112 angeordnet und bewegt die Welle224 aufwärts und abwärts innerhalb der Lade-Verriegelungskammer112 , um ein Paar von Wafern in Ausrichtung mit einer Wafer-Handhabungsvorrichtung zu finden, um die Wafer von der Lade-Verriegelungskammer112 zu laden oder zu entladen. - Die Transferkammer
104 wird vorzugsweise durch die Lade-Verriegelungskammer112 durch das Öffnen von ein Paar von Schlitzventilen-Abdichtungsdurchgängen210 ,212 nach unten gepumpt und die Gase durch die Abgasöffnung280 , die in der Lade-Verriegelungskammer112 angeordnet ist, nach draußen pumpend: Gas-gebundene Partikel werden durch den kontinuierlichen Ausstoß von Gasen aus das System heraus durch die Lade-Verriegelungskammer112 davon abgehalten, in die Transferkammer104 geschwappt zu werden. Ein Gasdiffuser231 ist eine Leitung, die in der Lade-Verriegelungskammer angeordnet ist und die mit einer Gas-Reinigungsleitung, wie zum Beispiel einer N2-Reinigungsgasleitung, verbunden ist. Der Gasdiffuser231 verteilt das Reinigungsgas entlang eines größeren Oberflächenbereiches durch eine Vielzahl von Löchern233 , die entlang der Länge des Diffusers angeordnet ist, hierbei die Zeit verringernd, die gebraucht wird, um die Kammer bis zur Atmosphäre zu entlüften. -
8 zeigt eine Draufsicht einer Ausführungsform der vorliegenden Erfindung. Der Transferkammerkörper beinhaltet Seitenwände302 und einen Boden304 und ist vorzugsweise maschinell hergestellt oder anders aus einem Stück eines Materials, wie zum Beispiel Aluminium, hergestellt. Ein Deckel (nicht gezeigt) ist an den Seitenwänden302 während der Bedienung gelagert, um eine Vakuumkapselung zu bilden. Die Seitenwand302 der Transferkammer104 lagert die Verarbeitungskammern106 und die Verriegelungskammer112 . Die Seitenwand302 definiert zumindest zwei Durchgänge310 auf jeder Seite, durch welche ein Zugriff auf die anderen Kammern des Systems geboten ist. Jede der Verarbeitungskammern106 und der Lade-Verriegelungskammer112 beinhalten eine oder mehrere Schlitzventilöffnungen und Schlitzventile, welche die Kommunikation zwischen den Verarbeitungskammern, der Lade-Verriegelungskammer und der Transferkammer ermöglichen, während auch die Vakuumisolation der Umgebungen innerhalb jeder dieser Kammern geboten ist, um ein bereitgestelltes Vakuum innerhalb des Systems zu ermöglichen. Der Boden304 der Transferkammer104 definiert einen Mitteldurchgang306 , in welchen sich eine Wafer-Handhabungsvorrichtung500 , wie zum Beispiel eine Roboteranordnung, erstreckt und auf dem Boden der Transferkammer montiert ist. Zusätzlich definiert der Boden304 eine Vielzahl von Durchgängen308 , durch welche sich eine oder mehrere Schlitzventil-Auslöser erstrecken und dichtbar montiert sind, Ein Gasreinigungsloch309 ist durch den Boden304 der Transferkammer104 angeordnet, um eine Gasreinigung während dem Abpumpen zu bieten. -
9 zeigt die Transferkammer104 in teilweisem Querschnitt. Die Durchgänge301 , welche durch die Seitenwände302 angeordnet sind, können unter Verwendung zweier individueller Schlitzventile oder einer Tandem-Schlitzventilanordnung geöffnet und geschlossen werden. Die Durchgänge301 gehören mit den Wafer-Durchgängen610 in den Verarbeitungsbereichen618 ,620 (in10 gezeigt) zusammen, um den Eintritt der Wafer502 in die Verarbeitungsbereiche618 ,620 in den Kammern106 zur Positionierung auf der Wafer-Heizhalterung628 zu erlauben. -
10 zeigt eine schematische Draufsicht eines magnetisch verbundenen Roboters500 einer Ausführungsform des vorliegenden Erfindung in einer auseinandergezogenen Position zur freien Drehung innerhalb der Transferkammer104 . Ein Roboter, der duale Wafer-Handhabungsvorrichtungsarme520 ,522 hat, ist innerhalb der Transferkammer104 angeordnet, um die Wafer502 von einer Kammer zur anderen zu transferieren. Der magnetisch verbundene Roboter500 umfasst eine Froschschenkel-artige Anordnung, die zwischen zwei Vakuumnaben (auch Bezug nehmend als magnetische Klammern) und dualen Waferarmen520 ,522 verbunden sind, um beides eine radiale und eine abwechselnde Bewegung der Roboterarme innerhalb einer fixierten Ebene zu bieten. Radiale und abwechselnde Bewegungen können koordiniert oder kombiniert werden, um zwei Wafer von einem Ort innerhalb des Systems100 zu einem anderen aufzunehmen, zu transferieren und zu liefern, wie zum Beispiel von einer Verarbeitungskammer106 zu einer anderen Kammer. - Der Roboter beinhaltet eine erste Verstrebung
504 , die fest mit einer ersten Magnetklammer524 an dem Punkt524 befestigt ist, und eine zweite Verstrebung506 , die fest mit einer zweiten Magnetklammer526 (konzentrisch unterhalb der ersten Magnetklammer524 angeordnet) an dem Punkt527 befestigt ist. Eine dritte Verstrebung508 ist durch einen Zapfen510 an die Verstrebung504 und durch einen Zapfen512 an die Waferarm-Anordnung540 befestigt. Eine vierte Verstrebung514 ist durch einen Zapfen516 an die Verstrebung506 und durch einen Zapfen518 an die Waferarm-Anord nung540 befestigt. Die Struktur der Verstrebungen504 ,508 ,506 ,514 und der Zapfen510 ,512 ,516 ,518 bilden eine „Froschschenkel-artige Verbindung" zwischen der Waferarm-Anordnung540 und der magnetischen Klammern524 ,526 . - Wenn sich die magnetischen Klammern
524 ,526 in die gleiche Richtung mit der gleichen Winkelgeschwindigkeit drehen, dreht sich dann der Roboter500 auch um die Achse A in der gleichen Richtung mit der gleichen Geschwindigkeit. Wenn sich die Magnetklammern524 ,526 in entgegengesetzten Richtungen mit der gleichen absoluten Winkelgeschwindigkeit drehen, gibt es dann keine Drehung der Anordnung500 , aber statt dessen gibt es eine lineare radiale Bewegung der Waferarm-Anordnung540 . - Zwei Wafer
502 sind auf der Waferarm-Anordnung540 geladen gezeigt, um darzustellen, dass sich die individuellen Waferarme520 ,522 durch individuelle Wafer-Durchgänge310 in der Seitenwand302 der Transferkammer104 erstrecken können, um die Wafer502 in oder aus den Verarbeitungsbereichen618 ,620 der Kammern106 zu transferieren. Der magnetisch verbundene Roboter500 wird durch die relative abwechselnde Bewegung der Magnetklammern524 ,526 entsprechend zu der relativen Geschwindigkeit der zwei Motoren gesteuert. Ein erster Bedienungsmodus ist vorgesehen, in welchem beide Motoren die Magnetklammern524 ,526 veranlassen, in die gleiche Richtung mit gleicher Geschwindigkeit zu drehen. Da dieser Modus keine relative Bewegung der Magnetklammern verursacht, wird sich der Roboter lediglich um eine Mittelachse A drehen, typischerweise von einer Position geeignet für den Waferaustausch mit einem Paar von Verarbeitungsbereichen618 ,620 zu einer Position geeignet zum Waferaustausch mit einem anderen Paar von Verarbeitungsbereichen. Weiterhin, wie der vollständig ausgezogene Roboter um die Mittelachse A gedreht wird, definieren die äußerten radialen Punkte548 entlang der Kante der Wafer einen minimalen zirkulären Bereich550 , der benötigt wird, um den Roboter zu drehen. Der magnetisch verbundene Roboter bietet auch einen zweiten Modus, in welchen beide Motoren die Magnetklammern524 ,526 veranlassen, in entgegengesetzten Richtungen mit der gleichen Geschwindigkeit zu drehen. Dieser zweite Modus wird verwendet, um die Waferarme520 ,522 der Waferarm-Anordnung540 durch die Durchgänge310 und in den Verarbeitungsbereichen618 ,620 zu erstrecken oder entgegengesetzt, die Arme davon zurückzuziehen. Andere Kombinationen der Motordrehung können verwendet werden, um eine simultane Ausstreckung oder Zurückziehung der Waferarm-Anordnung540 zu bieten, während der Roboter500 um die Achse A gedreht wird. - Um die Waferarme
520 ,522 der Waferarm-Anordnung540 radial weg von der drehbaren Achse A gerichtet zu halten, wird ein Verriegelungsmechanismus zwischen den Zapfen oder Klammern512 ,518 verwendet, um eine gleiche und entgegengesetzte Winkeldrehung jedes Zapfens sicherzu stellen. Der Verriegelungsmechanismus kann in vielen Ausgestaltungen gemacht werden, einschließlich vermaschter Vorrichtungen oder Riemen, die um die Zapfen in einem8 -Muster oder ein Äquivalent gezogen sind. Ein bevorzugter Verriegelungsmechanismus ist ein Paar von Metallriemen542 und554 , welche mit den Zapfen512 ,518 der Waferarm-Anordnung540 verbunden sind und sich zwischen diesen erstrecken. Die Riemen542 ,544 kooperieren, um eine8 um die Zapfen512 ,518 zu bilden. Jedoch wird es bevorzugt, dass die Riemen542 ,544 individuell einstellbar sind und eine über den anderen positioniert sind. Zum Beispiel, ein erstes Ende des ersten Riemens542 kann um die Rückseite des Zapfens512 führen und daran fixiert verbunden sein, während ein zweites Ende um die Vorderseite des Zapfens518 führt und daran einstellbar verbunden ist. Gleichermaßen kann ein erstes Ende des zweiten Riemens544 um die Rückseite des Zapfens518 führen und daran fest verbunden sein, während ein zweites Ende um die Vorderseite des Zapfens512 führt und daran einstellbar verbunden ist. Die einstellbaren Verbindungen zwischen den Riemen und den Vorderseiten der Zapfen512 ,518 sind vorzugsweise mit einer Feder vorgesehen, welche einen genauen Zug auf den Riemen ausführt. Sobald der Zug aufgebaut ist, wird das Ende des Riemens fest in Position mit einer Schraube oder einer anderen Befestigung gehalten. In10 sind die Riemen auch um eine Stange546 auf der Basis des U-förmigen dualen Armes laufend gezeigt. -
11 zeigt eine perspektivische Ansicht eines Beispiels einer Tandem-Verarbeitungskammer106 entsprechend einer Ausführungsform der vorliegenden Erfindung. Der Kammerkörper602 ist montiert oder andererseits verbunden mit der Transferkammer104 und beinhaltet zwei Verarbeitungsbereiche, in welchen individuelle Wafer gleichzeitig verarbeitet werden. Der Kammerkörper602 lagert einen Deckel604 , welcher aufklappbar an den Kammerkörper602 befestigt ist und beinhaltet eine oder mehrere Gasverteilungssysteme608 , die dadurch zur Lieferung gasförmiger Reaktionspartner und Reinigungsgase in mehrere Verarbeitungsbereiche angeordnet sind. -
12 zeigt eine schematische Querschnittsansicht der Kammer106 , zwei Verarbeitungsbereiche618 ,620 definierend. Der Kammerkörper602 beinhaltet eine Seitenwand612 , eine Innenseitenwand614 und eine Bodenwand618 , welche die zwei Verarbeitungsbereiche618 ,620 definieren. Die Bodenwand616 definiert in jedem Verarbeitungsbereich618 ,620 zumindest zwei Durchgänge622 ,624 , durch welche ein Schaft626 einer Sockelheizung628 und einer Stange630 einer Waferaufzugs-Zapfenanordnung entsprechend angeordnet sind. Eine Sockelaufzugsanordnung und der Waferaufzug wird im Detail unten beschrieben. - Die Seitenwand
612 und die Innenseitenwand614 definieren zwei zylindrische ringförmige Verarbeitungsbereiche618 ,620 . Ein umlaufender Pumpkanal625 ist in der Kammerwand ausgebildet, um die zylindrischen Verarbeitungsbereiche618 ,620 zum Aussaugen der Gase von den Verarbei tungsbereichen618 ,620 und zur Steuerung des Druckes innerhalb jedes Bereiches618 ,620 zu definieren. Ein Kammerinnenstück oder Einsatz627 , vorzugsweise aus Keramik oder ähnlichem gemacht, ist in jedem Verarbeitungsbereich618 ,620 angeordnet, um die laterale Grenze jedes Verarbeitungsbereiches zu definieren und die Kammerwände612 ,614 vor der korrosiven Verarbeitungsumgebung zu schützen und um eine elektrisch isolierte Plasma-Umgebung zwischen den Elektroden zu erhalten. Das Innenstück627 ist in der Kammer auf einer Leiste629 gelagert, die in den Wänden612 ,614 von jedem Verarbeitungsbereich618 ,620 ausgebildet ist. Das Innenstück beinhaltet eine Vielzahl von Auslasslöchern631 oder umtaufenden Schützen, die dadurch und in Verbindung mit dem Pumpkanal625 , der in den Kammerwänden ausgebildet ist, angeordnet sind. Vorzugsweise gibt es ungefähr 24 Löcher631 , die durch jedes Innenstück627 angeordnet sind, welche unter Abstand getrennt durch ungefähr 15° angeordnet sind und welche um die Peripherie der Verarbeitungsbereiche618 ,620 angeordnet sind. Während24 Löcher bevorzugt sind, kann irgendeine Anzahl verwendet werden, um die erwünschte Pumprate und Gleichförmigkeit zu erreichen. Zusätzlich zu der Anzahl der Löcher wird die Höhe der Löcher in Bezug auf die Oberflächenplatte des Gasverteilungssystems gesteuert, um ein optimales Gasflussmuster über die Wafer während der Verarbeitung zu bieten. -
14 zeigt einen sektionalen Querschnitt der Kammer, welche das Abgassystem einer Ausführungsform der vorliegenden Erfindung darstellt. Die Pumpkanäle625 jedes Verarbeitungsbereiches618 ,620 sind vorzugsweise mit einer gemeinsamen Abgaspumpe über einen gemeinsamen Abgaskanal619 verbunden. Der Abgaskanal619 ist mit dem Pumpkanal625 jedes Bereiches618 ,620 durch die Abgasleitungen621 verbunden. Der Abgaskanal619 ist mit einer Abgaspumpe über eine Abgasleitung (nicht gezeigt) verbunden. Jeder Bereich wird vorzugsweise auf einen ausgewählten Druck durch die Pumpe hinuntergepumpt und das verbundene Abgassystem erlaubt den Ausgleich des Druckes innerhalb jeden Bereiches. - Zurück bezugnehmend auf
12 , beinhalten jede der Verarbeitungsbereiche618 ,620 auch vorzugsweise eine Gasverteileranordnung608 , die durch den Kammerdeckel604 angeordnet ist, um Gase in die Verarbeitungsbereiche618 ,620 vorzugsweise von der gleichen Gasquelle zu liefern. Das Gasverteilungssystem608 von jedem der Verarbeitungsbereiche beinhalten einen Gaseinlassdurchgang640 , welcher Gas in eine Duschkopf-Anordnung642 liefert. Die Duschkopf-Anordnung642 ist von einer ringförmigen Basisplatte648 umfasst, die eine Sperrplatte644 hat, die zwischen einer Oberflächenplatte646 angeordnet ist. Eine RF-Durchkontaktierung liefert ein Vorspannungspotential an die Duschkopf-Anordnung, um die Erzeugung eines Plasmas zwischen der Oberflächenplatte646 der Duschkopf-Anordnung und des Heizungssockels628 zu erleichtern. Ein Kühlungskanal652 ist in einer Basisplatte648 jedes Gasverteilungssystems608 ausgebildet, um die Platte während der Bedienung zu kühlen. Ein Einlass655 liefert eine Kühlflüssigkeit, wie zum Beispiel Wasser oder ähnliches, in die Kanäle652 , welche mit jedem anderen durch Kühlungsleitungen657 verbunden sind. Die Kühlungsflüssigkeit verlässt den Kanal durch einen Kühlungsauslass659 . Alternativ wird die Kühlungsflüssigkeit durch das Verteilerrohr zirkuliert. - Der Kammerkörper
602 definiert eine Vielzahl von vertikalen Gasdurchgängen für jeden gasförmigen Reaktionspartner und Reinigungsgas geeignet für die ausgewählten Verfahren, um in die Kammer durch das Gasverteilungssystem geliefert zu werden. Gaseinlassverbindungen641 sind an dem Boden der Kammer106 angeordnet, um die in der Kammer ausgebildeten Gasdurchgänge mit den Gaseinlassleitungen639 zu verbinden. Ein O-Ring ist um jeden durch die Kammerwand ausgebildeten Gasdurchgang an der oberen Oberfläche der Kammerwand vorgesehen, um Dichtverbindungen mit dem Deckel zu bieten, wie in14 gezeigt. Der Decke beinhaltet Anpassungsdurchgänge, um das Gas von dem unteren Abschnitt der Kammerwand in einem Gaseinlassverteilerrohr670 , der oben auf dem Kammerdeckel positioniert ist, wie in13 gezeigt, zu liefern. Die gasförmigen Reaktionspartner werden durch eine Spannungsgradienten-Durchgangskontaktierung672 und in ein Gasausgangsverteilerrohr674 , welches mit einer Gasverteilungseinrichtung verbunden ist, geliefert. - Das Gaseingangsverteilerrohr
670 schleust Prozessgase von den Kammergas-Durchgangskontaktierungen in die konstanten Spannungsgradienten-Gas-Durchgangskontaktierungen, welche geerdet sind. Gaseingangsrohre (nicht gezeigt) liefern oder führen die Prozessgase durch die Spannungsgradienten-Gas-Durchgangskontaktierungen672 und in das Ausgangsverteilerrohr674 . Widerstandsfähige Manschetten umgeben die Gaseinlassrohre, um einen linearen Spannungsabfall über der Durchgangskontaktierung zu verursachen, um ein Plasma in der Kammer daran zu hindern, die Gaseinlassrohre nach oben zu bewegen. Die Gaseinlassrohre sind vorzugsweise aus Quarz gemacht und die Manschetten sind vorzugsweise aus einer Verbundkeramik gemacht. Die Gaseinlassrohre sind innerhalb eines Isolationsblocks angeordnet, welcher Kühlungskanäle beinhaltet, um die Temperatur zu kontrollieren und um Hitzestrahlung zu verhindern und auch die Verflüssigung des Prozessgases zu verhindern. Vorzugsweise ist der Isolationsblock aus Delrin gemacht. Die Quarz-Einlassrohre liefern Gas in ein Gasausgangsverteilerrohr674 , welches die Prozessgase zu der Sperrplatte644 und in die Gasverteilerplatte646 schleust. - Das Gaseinlassverteilerrohr
670 (siehe13 ) definiert auch einen Durchgang, welcher Reinigungsgas von einer Kammer-Gas-Durchgangskontaktierung in die entfernte Plasmaquelle liefert. Diese Gase umgehen die Spannungsgradienten-Durchgangskontaktierungen und werden in eine entfernte Plasmaquelle eingespeist, wo die Gase in verschiedenen angeregten Arten aktiviert werden. Die angeregten Arten werden dann zu der Gasverteilerplatte zu einem Punkt gerade unterhalb der Sperrplatte durch eine Leitung, die in dem Gaseinlassdurchgang640 angeordnet ist, geliefert. Die entfernte Plasmaquelle und die Lieferung von Reaktant-Reinigungsgasen wird im Detail unten beschrieben werden. - Die Gasleitungen
639 , weiche Gas in die Gasverteilungssysteme jedes Verarbeitungsbereiches liefern, sind vorzugsweise mit einer einzelnen Gasquellenleitung verbunden und sind dafür verteilt oder gemeinsam gesteuert zur Lieferung von Gas an jeden Verarbeitungsbereich618 ,620 , Die Gasleitung(en), die die Prozessgase an die Multi-Zonenkammer speisen, sind aufgeteilt, um die mehreren Verarbeitungsbereiche durch eine T-förmige Kopplung zu speisen, Um den Fluss in die individuellen Leitungen, die jeden Verarbeitungsbereich speisen, zu vereinfachen, ist ein Filter, wie zum Beispiel ein gesinterter Nickelfilter, erhältlich von PALL oder Millipore, in der Gasleitung stromaufwärts von dem Verteiler angeordnet. Der Filter steigert die gleichförmige Verteilung und Fluss der Gase in die separaten Gaseinlassleitungen. - Das Gasverteilersystem umfasst eine Basisplatte, die eine direkt neben seiner unteren Oberfläche angeordnete Sperrplatte hat. Eine Vorderseitenplatte ist unterhalb der Sperrplatte angeordnet, um die Gase in die Verarbeitungsbereiche zu liefern. In einer Ausführungsform definiert die Basisplatte einen Gasdurchgang dadurch, um die Prozessgase zu einem Bereich gerade über der Sperrplatte zu liefern. Die Sperrplatte verteilt die Prozessgase über seine obere Oberfläche und liefert die Gase über die Vorderseitenplatte. Die Löcher in der Sperrplatte können groß sein und können positioniert sein, um die Mischung der Prozessgase und die Verteilung über die Vorderseitenplatte zu verbessern. Die zu der Vorderseitenplatte gelieferten Gase werden dann in die Verarbeitungsbereiche in einer gleichförmigen Art und Weise über einen zur Verarbeitung positionierten Wafer geliefert.
- Ein Gaszuleitungsrohr ist in dem Gasdurchgang positioniert und ist mit einem Ende an eine Ausgangsleitung von einer entfernten Plasmaquelle verbunden. Ein Ende des Gaszuleitungsrohres erstreckt sich durch das Gasauslassverteilerrohr, um die Gase von der entfernten Plasmaquelle zu liefern. Das andere Ende des Gaszuleitungsrohres ist durch die Sperrplatte angeordnet, um Gase über die Sperrplatte zu dem Bereich gerade über der Vorderseitenplatte zu liefern. Die Vorderseitenplatte verteilt die durch das Gaszuleitungsrohr gelieferten Gase und liefert dann die Gase in die Verarbeitungsbereiche.
- Während dies ein bevorzugtes Gasverteilersystem ist, können die Gase von der entfernten Plasmaquelle in die Verarbeitungsbereiche durch ein durch die Kammerwand vorgesehenes Loch eingeleitet werden. Zusätzlich könnten die Prozessgase durch irgendein Gasverteilersystem geliefert werden, welches gegenwärtig erhältlich ist, wie zum Beispiel das Gasverteilersystem, erhältlich von Applied Materials, Inc. of Santa Clara, Kalifornien.
-
12 zeigt einen Heizsockel628 , welcher bewegbar in jeden Verarbeitungsbereich618 ,620 durch einen Schaft626 angeordnet ist, welcher mit der Unterseite der Lagerplatte verbunden ist und sich durch den Boden des Kammerkörpers602 erstreckt, wo er mit einem Antriebssystem603 verbunden ist. Der Schaft626 ist vorzugsweise ein ringförmiges, rohrförmiges Aluminiumteil, das ein in Lagerkontakt mit der Unterseite des Heizsockels628 angeordnetes oberes Ende und ein mit einer Deckplatte abgeschlossenes unteres Ende hat. Das untere Ende des Schaftes wird in einer tassenförmigen Manschette empfangen, welche die Verbindung des Schaftes zu dem Antriebssystem bildet. Der Schaft626 positioniert den Heizsockel628 innerhalb des Verarbeitungsbereiches mechanisch und bildet auch einen Umgebungsdurchgang, durch welchen sich eine Vielzahl von Heizplattenverbindungen erstrecken. Jeder Heizsockel628 kann Heizelemente beinhalten, um einen darauf positionierten Wafer auf eine erwünschte Prozesstemperatur zu erhitzen. Die Heizelemente können zum Beispiel ein widerstandsfähiges Heizelement beinhalten. Alternativ kann der Heizsockel durch ein Außen-Heizelement, wie zum Beispiel eine Lampe, erhitzt werden. Ein Sockel, welcher als ein Vorteil in der vorliegenden Erfindung verwendet werden kann, ist von Applied Materials, Inc. of Santa Clara, Kalifornien, erhältlich. Der Sockel kann auch eine elektrostatische Spannvorrichtung, eine Vakuum-Spannvorrichtung oder eine andere Spannvorrichtung lagern, um einen Wafer darauf während der Verarbeitung zu sichern. - Das Antriebssystem beinhaltet lineare elektrische Auslöser, die durch Industrial Device Corporation gemacht ist, die in Novabo, Kalifornien ansässig ist. Die Heizanordnung wird angehoben und abgesenkt durch die Auf- und Abwärtsbewegung des Transfergehäuses zu einer Verarbeitungs-, Reinigungs-, Aufzugs- und Freigabeposition. Das Transfergehäuse ist mit dem Auslöser auf einer Seite und einem linearen Schlitten auf der anderen durch eine Wagenplatte verbunden. Die Verbindung zwischen dem Auslöser und dem Wagen wird über ein flexibles (Kugel-)Gelenk gemacht, um irgendeine schlechte Justierung zu berücksichtigen. Der lineare Schlitten und die Wagenplatte sind gegeneinander vorgespannt, um Drehung und Verbiegung darauf zu verhindern. Ein Blasebalg umgibt die Stange des Heizers und steht in Verbindung mit dem Kammerboden an einem Ende und dem Transfergehäuse an dem anderen Ende. Ein Dichtungsring ist in einer Nut in der Stange vorgesehen, um die Außenoberfläche des unteren Endes der Stange in der Manschette abzudichten. Die Ausrichtung des Heizers hinsichtlich der Vorderseitenplatte wird durch die Verwendung von drei Schrauben erreicht.
- Alternativ beinhaltet das Antriebssystem
603 einen Motor und eine Untersetzungsgetriebe-Anordnung, die eine unterhalb der Kammer106 aufgehängt ist und mit einem Antriebsgurt an eine entsprechende Kupplungs- und Führungsschrauben-Anordnung verbunden ist. Ein Transfergehäuse wird auf die Führungsschrauben-Anordnung aufgenommen, welche auf- und abgeführt wird und gegen Drehung durch einen linearen Schlitten gehalten wird. Der Heiz-Aufzugsmechanismus wird gegen die Kammer mit der Antriebsmanschette gehalten. Die Heizanordnung wird durch eine Führungsschraube angehoben und abgesenkt, welche durch einen Schrittmotor angetrieben wird. Der Schrittmotor ist auf die Heiz-Aufzugsanordnung durch eine Motorklammer montiert. Der Schrittmotor treibt die Führungsschraube in einem Blasebalg an. Der Blasebalg dreht die Führungsschraube, um die Heizanordnung zu den Verarbeitungs-, Aufzugs- und Freigabepositionen anzuheben oder abzusenken. Ein Dichtungsring ist in einer Nut in der Stange vorgesehen, um die äußere Oberfläche des unteren Endes der Stange in der Manschette abzudichten. - Die Stange
626 bewegt sich aufwärts und abwärts in der Kammer, um den Heizsockel628 zu bewegen, um einen Wafer darauf zu positionieren oder einen Wafer davon zur Verarbeitung zu entfernen. Eine Wafer-Positionierungsanordnung beinhaltet eine Vielzahl von Lagerzapfen651 , welche sich vertikal hinsichtlich des Heizsockels628 bewegen und welche in vertikal durch den Sockel angeordnete Bohrungen653 aufgenommen sind. Jeder Zapfen651 beinhaltet eine zylindrische Welle659 , welche in einem unteren sphärischen Abschnitt661 und in einem als eine äußere Verlängerung der Welle ausgebildeten oberen, abgeflachten konischen Kopf663 abschließt. Die Bohrungen653 in dem Heizsockel628 beinhalten einen oberen, eingelassenen Abschnitt, dimensioniert, um den konischen Kopf663 darin derart aufzunehmen, dass, wenn der Zapfen651 vollständig in den Heizsockel628 aufgenommen ist, der Kopf sich nicht über die Oberfläche des Heizsockels erstreckt. - Die Aufzugszapfen
651 bewegen sich teilweise in Verbindung mit, und teilweise unabhängig von, dem Heizsockel628 , wie sich der Sockel innerhalb des Verarbeitungsbereiches bewegt. Die Aufzugszapfen können sich über den Sockel628 erstrecken, um es den Roboterarmen zu ermöglichen, die Wafer von dem Verarbeitungsbereich zu entfernen, aber muss auch in den Sockel einsinken, um die Wafer auf der oberen Oberfläche des Sockels zur Verarbeitung anzuordnen. Um die Zapfen651 zu bewegen, beinhaltet die Wafer-Positionierungsanordnung einen ringförmigen Zapfenlager655 , welcher konfiguriert ist, um die unteren sphärischen Abschnitte661 der Aufzugszapfen651 und ein Antriebsteil zu erfassen, welches den Zapfenlager655 positioniert, um die Aufzugszapfen651 abhängig von der Position des Heizsockels628 innerhalb des Verarbeitungsbereiches wahlweise zu erfassen. Das Zapfenlager655 , welches vorzugsweise aus Keramik gemacht ist, erstreckt sich um die Stange626 unterhalb des Heizsockels628 , um die unteren sphärischen Abschnitte der Lagerzapfen wahlweise zu erfassen. - Eine Antriebsanordnung hebt und senkt die Welle
630 und das verbundene Zapfenlager655 , um die Zapfen651 aufwärts und abwärts in jedem Verarbeitungsbereich618 ,620 zu bewegen. Das Zapfen-Antriebsteil ist vorzugsweise auf dem Boden der Kammer106 angeordnet, um die Bewegung der Zapfenlagerplattform655 entsprechend zu dem Heizsockel628 zu steuern. - Das Vakuumsteuersystem für das Verarbeitungssystem
100 der vorliegenden Erfindung kann eine Vielzahl von Vakuumpumpen in Verbindung mit verschiedenen Bereichen des Systems beinhalten, mit jedem Bereich, der seinen eigenen Druck-Sollwert hat. Jedoch benötigt der Transfer von Wafern von einer Kammer oder Bereich zu einer anderen Kammer oder Bereich die Öffnung der Schlitzventile, welches den Umgebungen der Verbindungsbereiche erlaubt, etwas zu vermischen und die Drücke auszugleichen. -
15 zeigt ein schematisches Diagramm des Vakuumsystems700 einer Ausführungsform der vorliegenden Erfindung. Die Lade-Verriegelungskammer112 und die Transferkammer104 benutzen vorzugsweise gemeinsam eine Vakuumpumpe121 , die auf dem Hauptrahmen101 des Systems direkt neben der Lade-Verriegelungskammer und der Transferkammer montiert ist. Die Lade-Verriegeiungskammer112 wird von der Atmosphäre durch die Pumpe121 durch die Auslassöffnung280 , die durch den Körper der Lade-Verriegeiungskammer angeordnet ist, hinuntergepumpt. Der Vakuumdruck in der Transferkammer104 , wie durch den Drucksensor705 angezeigt, wird durch die Verbindung mit der Lade-Verriegelungskammer112 geliefert, so dass der Druck in der Transferkammer immer gleich oder größer ist als der Druck in der Lade-Verriegelungskammer und irgendwelche in der Lade-Verriegelungskammer anwesenden Partikel werden nicht in die Transferkammer104 gezogen. Die Abgasöffnung280 in der Lade-Verriegelungskammer112 ist mit der Pumpe121 über die Abgasleitung704 verbunden. Ein Drucksensor706 ist entlang der Abgasleitung704 stromaufwärts von einem Isolierventil708 positioniert, um den Druck in der Lade-Verriegelungskammer zu jeder gegebenen Zeit anzuzeigen. Das Isolationsventil708 ist in der Abgasleitung704 zwischen dem Drucksensor706 und der Pumpe121 angeordnet, um den Druck in der Lade-Verriegelungskammer zu regulieren. Ein Vakuumschalter710 ist auch in Verbindung mit der Abgasleitung zwischen dem Isolationsventil708 und der Pumpe121 vorgesehen. Die Pumpe121 ist vorzugsweise eine Grobpumpe, aber abhängig von der Abwendung kann es irgendeine Art von Pumpe sein, wie zum Beispiel eine Turbomolekularpumpe, eine Tieftemperaturpumpe oder ähnliche. Gas-Entlüftungsleitungen712 ,714 sind mit der Lade-Verriegeiungskammer112 und der Transferkammer104 entsprechend verbunden, um ein Entlüftungsgas, wie zum Beispiel Nitrogen, in diese Kammern zu liefern. - Die Verarbeitungskammern
106 sind mit einer Pumpe720 , wie zum Beispiel eine Grobpumpe, eine Tieftemperaturpumpe oder eine Turbomolekularpumpe, über die Abgasöffnung619 und die Abgasleitung722 verbunden. Ein Drosselventil724 , oder ähnliches, ist an der Abgasleitung angeordnet, um den Druck in den Verarbeitungsbereichen618 ,620 der Kammern106 während der Bedienung zu regulieren. Eine Ventilsteuerung726 , vorzugsweise ein Teil der Systemsteuerung, liefert ein Steuersignal zu dem Drosselventil724 , basierend auf dem durch den Vakuumsensor728 angezeigten Druck. Vorzugsweise ist eine Abgasöffnung619 in Verbindung mit jedem Verarbeitungsbereich (in14 gezeigt) und eine Abgasleitung von jedem Verarbeitungsbereich mündet in eine einzelne Abgasleitung722 , welche mit der Pumpe720 verbunden ist. - Entsprechend einer Ausführungsform der vorliegenden Erfindung werden die Schließventile in Verbindung mit der Transferkammer
104 und der Vakuumsteuerung jeder Kammer106 und der Lade-Verriegelungskammer112 in einer Art und Weise bedient, welche die Summe der Kontaminierungen reduziert, welche in die Transferkammer von entweder der Lade-Verriegelungskammer oder irgendeiner anderen Kammer106 eintritt. Es ist notwendig, dass der Druck in der Lade-Verriegelungskammer größer oder gleich ist, vorzugsweise größer als der Druck in einer angrenzenden Kammer oder Bereich vor der Öffnung der Schlitzventile ist, welche die Verbindung dazwischen liefern wird. Der Lade-Verriegelungsdruck soll nur größer als die Atmosphäre sein, wenn zu der Vorderseite geöffnet wird. Der Druck sollte niedriger als der Transferkammerdruck sein, wenn zu dem Transfer im Vakuum geöffnet wird. Es ist im Besonderen wichtig, dass die Transferkammer104 an einem hohen relativen Druck ist, wenn sie in Verbindung mit einer Verarbeitungskammer angeordnet ist, da die Kontaminierungsniveaus besonders hoch sein können. - Der Druck in der Transferkammer wird auf zwei Wegen gesteuert. Erstens, das Vakuum in der Transferkammer wird durch die Öffnung der Schlitzventile zwischen der Lade-Verriegelungskammer
112 und der Transferkammer104 aufgebaut und dann wird ein Vakuum in die Lade-Verriegelungskammer112 gezogen. In dieser Art und Weise sollte der Druck in der Transferkammer niemals niedriger sein als der Druck in der Lade-Verriegelungskammer und der einzige Gasfluss hier dazwischen sollte der von der Transferkammer zu der Lade-Verriegelungskammer112 sein. Es wird damit gerechnet, dass solange wie die Transferkammer nicht in Verbindung mit irgendeiner Verarbeitungskammer ist, können die Schlitzventile zwischen der Transferkammer und der Lade-Verriegelungskammer offen bleiben. Zweitens, ist die Transferkammer mit einem Reinigungsgaseinlass vorgesehen, wie zum Beispiel von einer Argon- oder Nitrogenquelle. Das Reinigungsgas kann zu der Transferkammer kontinuierlich oder nur wenn gebraucht geliefert werden, um einen ausreichend hohen Druck zu bieten, um einen positiven Gasfluss aus der Transferkammer hinaus zu verursachen. - In einem besonders bevorzugten Modus sollten die Schlitzventile zu der Lade-Verriegelungskammer
112 immer während dem Wafertransfer zwischen der Transferkammer104 und einer Verarbeitungskammer106 geschlossen sein, um die Möglichkeit des Hinabziehens des Druckes in der Transferkammer unter dem Druck in der Verarbeitungskammer zu verhindern. Diese Bedingung könnte in einer Vielzahl von Kontaminierungen von der Verarbeitungskammer in die Transferkammer und sogar die Lade-Verriegelungskammer eindringend resultieren, hierbei eine gesamte Kassette von Wafern aussetzend. Ein modernes kompaktes RF-(„CRF")-Stromversorgungssystem wird für jeden Verarbeitungsbereich618 ,620 mit einem zu jedem Gasverteilersystem verbundenen System verwendet, Ein 13,56 MHz RF-Generator, Genisis Series, hergestellt durch ENI, ist auf der Rückseite des Systems für jede Kammer montiert. Dieser Hochfrequenzgenerator ist für die Verwendung mit einer festen Verknüpfung ausgestaltet und reguliert die zu dem Verbraucher gelieferten Strom, den Anteil um den Voraus- und Streustrom eliminierend. Bis zu 1250 Watt können in eine Scheinlast mit einem VSWR von weniger als oder gleich wie 1 : 5 eingespeist werden. Um einen Hochfrequenz-RF-Generator und einen Niedrigfrequenz-RF-Generator an die Verarbeitungskammer anzuschließen, ist ein Tiefpassfilter in das feste Verknüpfungsgehäuse ausgestaltet. - Ein 350 kHz RF-Generator, hergestellt von ENI, ist in einem RF-Generatorgestell auf der Rückseite des Systems angeordnet und mit der festen RF-Verknüpfung durch koaxiale Kabel verbunden. Der Niedrigfrequenz-RF-Generator bietet beides, Niedrigfrequenzgeneration und feste Verknüpfungselemente in einem kompakten Zusammenschluss. Der Niedrigfrequenz-RF-Generator reguliert den zu der Last gelieferten Strom, den Anteil über Vor- und Streustrom reduzierend.
- Die
16 und17 zeigen eine perspektivische und Querschnitts-Ansicht eines entfernten Reinigungsmoduls800 . Entsprechend einer Ausführungsform der Erfindung kann das entfernte Reinigungsmodul800 mit den Verarbeitungsbereichen618 ,620 der Kammer106 durch den Einlassdurchgang820 verbunden sein. Das entfernte Reinigungsmodul800 führt Gas zu, welches verwendet wird, um abgelagertes Material von der Innenoberfläche der Kammer nach einer Sequenz von Verfahrensläufen zu entfernen. - Das entfernte Reinigungsmodul
800 beinhaltet eine Quelle eines Vorstufen-Gases804 , eine entfernte Aktivierungskammer806 , welche an der Außenseite der Verarbeitungskammer106 angeordnet ist, eine Stromquelle808 zur Aktivierung des Vorstufen-Gases innerhalb der entfernten Aktivierungskammer, ein elektrisch bedientes Ventil und einen Flusssteuermechanismus810 , und eine Leitung oder Rohr812 , die die entfernte Kammer mit der Verarbeitungskammer über eine Leitung811 verbindet. Das Ventil und Flusssteuermechanismus810 liefert Gas von der Quelle des Vorstufen-Gases804 in die entfernte Aktivierungskammer806 in einer Benutzer-ausgewählten Flussrate. Die Aktivierungskammer806 beinhaltet ein Aluminiumgehäuse803 , welches ein dadurch angeordnetes Gaseinspeisungsrohr813 hat. Die Stromquelle808 erzeugt Mikrowellen, welche durch eine Wellenführung805 in das Gehäuse803 geführt wird. Das Rohr813 ist für Mikrowellen transparent, so dass die Mikrowellen das Rohr durchdringen und das Vorstufen-Gas aktivieren, um eine reaktive Art bilden, welches dann durch die Leitung812 in die Gasverteileranordnung und dann in eine Verarbeitungskammer ge mündet wird. In anderen Worten wird die obere Elektrode oder der Duschkopf608 verwendet, um das reaktive Gas in die Verarbeitungsbereiche der Kammer zu liefern. In der beschriebenen Ausführungsform ist die entfernte Kammer ein keramisches Rohr, und die Stromquelle ist ein 2,54 GHz-Mikrowellengenerator mit seinem Ausgang auf das keramische Rohr gerichtet. - Wahlweise kann hier auch eine Quelle von geringfügigerem Trägergas
814 sein, die mit der entfernten Aktivierungskammer durch ein anderes Ventil und einen Flusssteuermechanismus816 verbunden ist. Das geringfügigere Trägergas unterstützt in dem Transport der aktivierten Art zu Ablagerungskammer. Das Gas kann irgendein entsprechendes nicht-reaktives Gas sein, welches kompatibel mit dem speziellen Reinigungsverfahren ist, mit welchem es verwendet wird. Zum Beispiel kann das geringfügigere Trägergas Argon, Nitrogen, Helium, Hydrogen oder Oxygen, etc. sein. Zusätzlich zur Unterstützung in dem Transport der aktivierten Art zu der Ablagerungskammer kann das Trägergas auch in dem Reinigungsverfahren mitwirken oder helfen, das Plasma in der Ablagerungskammer zu initiieren und/oder zu stabilisieren. - In der beschriebenen Ausführungsform ist hier ein Filter
818 in der Leitung oder dem Rohr, durch welches die aktivierte Art hindurchtritt, bevor sie in die Ablagerungskammer eintritt. Der Filter entfernt die Feststoffe, welche während der Aktivierung der reaktiven Arten gebildet worden sein könnten. In der beschriebenen Ausführungsform ist der Filter aus keramischem Material gemacht, welches eine Porengröße von ungefähr 0,01 bis ungefähr 0,03 Mikrometer hat. Natürlich können auch andere Materialien verwendet werden, zum Beispiel Teflon. - Die Systemsteuerung läuft unter der Steuerung eines Computerprogramms, welches auf der Festplatte eines Computers gespeichert ist, Das Computerprogramm diktiert die Verarbeitungssequenzen und die Zeitgebung, die Mischung der Gase, die Kammerdrücke, die RF-Stromniveaus, die Suszeptor-Positionierung, die Schlitzventilöffnung und -schließung, die Waferheizung und andere Parameter eines einzelnen Verfahrens. Die Schnittstelle zwischen einem Benutzer und der Systemsteuerung ist vorzugsweise über einen CRT-Bildschirm und einem Lichtgriffel, welches in
6 dargestellt ist. In einer bevorzugten Ausführungsform sind zwei Bildschirme verwendet, ein Bildschirm ist in der Reinigungsraumwand für die Bediener montiert, und der andere Bildschirm hinter der Wand für die Servicetechniker. Beide Bildschirme zeigen gleichzeitig die gleiche Information an, aber nur ein Lichtgriffel ist freigegeben. Der Lichtgriffel erkennt durch die CRT-Anzeige emittiertes Licht mit einem Lichtsensor in der Spitze des Stiftes. Um eine spezielle Maske oder Funktion auszuwählen, berührt der Benutzer einen gekennzeichneten Bereich auf dem Anzeigebildschirm und drückt den Knopf auf dem Stift. Der Anzeigebildschirm bestätigt im Allgemeinen die Verbindung zwischen dem Lichtgriffel und dem berührten Bereich durch das Ändern seiner Erscheinung, das heißt, die Hervorhebung oder Farbe, oder das Anzeigen eines neuen Menüs oder Maske. - Eine Vielfalt von Verfahren kann unter Verwendung eines Computerprogrammproduktes implementiert werden, welches auf, zum Beispiel, der Systemsteuerung läuft. Der Computerprogrammcode kann in irgendeiner herkömmlichen computerlesbaren Programmiersprache, wie zum Beispiel 68000 Assemblersprache, C, C++ oder Pascal geschrieben werden. Ein geeigneter Programmcode wird in einzelnes File oder in mehrere Files unter Verwendung eines herkömmliches Texteditors eingegeben, und in einem computerverwendbaren Medium gespeichert oder verkörpert, wie zum Beispiel einem Speichersystem des Computers. Wenn der angegebene Textcode in einer Hochniveau-Sprache ist, ist der Code kompiliert, und der resultierende Compilercode wird dann mit einem Objektcode der vorkompilierten Programmbibliotheken verbunden. Um den verbundenen kompilierten Objektcode auszuführen, ruft der Systembenutzer den Objektcode auf, das Computersystem veranlassend, den Code im Speicher zu laden, von welchem der CPU den Code liest und ausführt, um die in dem Programm identifizierten Befehle auszuführen.
- Während das System der vorliegenden Erfindung oberhalb unter Bezugnahme auf eine verbesserte Plasma-CVD-Anwendung beschrieben war, ist es zu verstehen, dass die Erfindung auch die Verwendung von Hochdichte (HDP)-CDV- und PVD-Kammern genauso wie Ätzkammern beinhaltet, Zum Beispiel kann das System der vorliegenden Erfindung geeignet sein, um Tandem-HDP CVD-Kammern zur Plasma-Verarbeitung zu beinhalten. In einer alternativen Ausführungsform könnte die Gasverteilungs-Deckelanordnung mit einer dielektrischen Kuppel ausgetauscht werden, welche eine über der Kuppel angeordnete induktive Spule und eine mit der Spule verbundene RF-Stromversorgung hat, um eine induktive Kopplung eines Hochdichte-Plasmas innerhalb der Kammer zu ermöglichen. Ähnlich könnten die Tandem-PVD-Kammern mit einer darauf angeordneten Targetanordnung für eine Ablagerungs-Materialquelle konfiguriert sein. DC-Stromversorgungen könnten mit den Targetanordnungen verbunden sein, um einen Zerstäubungsstrom hierauf zu bieten.
Claims (14)
- Verfahren zum Verarbeiten von Werkstücken, das die Schritte umfasst: (a) Laden von zwei Werkstücken in eine erste Kammer; (b) gleichzeitiges Bewegen der Werkstücke von der ersten Kammer in eine Verarbeitungskammer (
106 ), die isolierte Verarbeitungsbereiche (618 ,620 ) hat, wobei jedes Werkstück in einen eigenen Verarbeitungsbereich gebracht wird; (c) Einleiten von einem oder mehreren Prozessgasen in jeden der Verarbeitungsbereiche der Verarbeitungskammer; und (d) Zünden eines Plasmas in jedem Verarbeitungsbereich, dadurch gekennzeichnet, dass die Werkstücke Wafer sind und die isolierten Verarbeitungsbereiche co-planar sind. - Verfahren nach Anspruch 1, worin ein oder mehrere Prozessgase von einer gemeinsamen Gasleitung aus verteilt werden.
- Verfahren nach Anspruch 1 oder 2, ferner umfassend den Schritt der Positionierung der Wafer in der ersten Kammer, um sie mit den getrennten Verarbeitungsbereichen auszurichten.
- Verfahren nach Anspruch 1, worin der Schritt des Zündens eines Plasmas in jedem Verarbeitungsbereich die Schritte der induktiven oder kapazitiven Energiekopplung in jedem Bereich umfasst.
- Verfahren nach Anspruch 1, worin die Wafer von der ersten Kammer in die Verarbeitungsbereiche über co-planare duale Wafer-Handhabungsarme (
520 ,522 ) bewegt werden. - Vorrichtung zum gleichzeitigen Verarbeiten von mehreren Wafern, umfassend: (a) eine Lade-Verriegelungskammer (
112 ); (b) eine Transferkammer (104 ); (c) wenigstens zwei Verarbeitungskammern (106 ), wobei jede Verarbeitungskammer (106 ) mit der Transferkammer verbunden ist und jede eine Vielzahl von isolierten Verarbeitungsbereichen (618 ,620 ) darin definiert; (d) eine Wafer-Handhabungsvorrichtung (500 ), die in der Transferkammer (104 ) angeordnet ist, dadurch gekennzeichnet, dass (e) die Verarbeitungsbereiche (618 ,620 ) co-planar sind. - Vorrichtung nach Anspruch 6, worin die Wafer-Handhabungsvorrichtung (
500 ) eine Vielzahl von co-planaren Wafer-Handhabungsarmen (520 ,522 ) zum gleichzeitigen Transportieren einer Vielzahl von Wafern zwischen der Ladeverschlusskammer (112 ) und der Vielzahl der Verarbeitungsbereiche (618 ,620 ) in einer Verarbeitungskammer (106 ) umfasst. - Vorrichtung nach Anspruch 6, worin jeder Verarbeitungsbereich (
618 ,620 ) eine Gasverteileinrichtung (608 ) enthält, die darin angebracht ist. - Vorrichtung nach Anspruch 8, worin jede Gasverteileinrichtung (
608 ) Prozessgase von einer oder mehreren Gasquellen verteilt. - Vorrichtung nach Anspruch 8 oder 9, worin jede Gasverteileinrichtung (
608 ) mit einer getrennten Energieversorgung verbunden ist. - Vorrichtung nach den Ansprüchen 8 bis 10, worin ein entferntes Plasmasystem (
800 ) mit der Gasverteileinrichtung (608 ) verbunden ist. - Vorrichtung nach Anspruch 6, die ferner eine Waferhalterung (
628 ) umfasst, die in jedem Verarbeitungsbereich (618 ,620 ) angebracht ist und ein darin angebrachtes Heizelement enthält. - Vorrichtung nach den Ansprüchen 6 bis 12, worin jeder Verarbeitungsbereich (
618 ,620 ) unabhängige Temperatur- und Energiesteuerungen enthält, die mit der Halterung (628 ) und der Gasverteileinrichtung (608 ) verbunden sind. - Vorrichtung nach den Ansprüchen 8 bis 10, worin jede Verarbeitungskammer Seitenwände (
612 ,614 ) umfasst, welche die Vielzahl der isolierten, co-planaren Verarbeitungsbereiche (618 ,620 ) darin bilden und jeder Verarbeitungsbereich (618 ,620 ) einen umlaufenden Pumpkanal (625 ) hat, der in den Seitenwänden ausgebildet ist.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/751,485 US5855681A (en) | 1996-11-18 | 1996-11-18 | Ultra high throughput wafer vacuum processing system |
US751485 | 1996-11-18 |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69730097D1 DE69730097D1 (de) | 2004-09-09 |
DE69730097T2 true DE69730097T2 (de) | 2005-08-11 |
Family
ID=25022187
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69730097T Expired - Lifetime DE69730097T2 (de) | 1996-11-18 | 1997-10-23 | Verfahren und Vorrichtung zur Behandlung von Wafers |
Country Status (6)
Country | Link |
---|---|
US (1) | US5855681A (de) |
EP (1) | EP0843340B1 (de) |
JP (3) | JPH10154739A (de) |
KR (1) | KR100503125B1 (de) |
DE (1) | DE69730097T2 (de) |
TW (1) | TW373230B (de) |
Families Citing this family (727)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO1997034742A1 (fr) * | 1996-03-18 | 1997-09-25 | Komatsu Ltd. | Dispositif de commande d'un systeme de transport de pieces |
US5944940A (en) * | 1996-07-09 | 1999-08-31 | Gamma Precision Technology, Inc. | Wafer transfer system and method of using the same |
US6152070A (en) * | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5961269A (en) | 1996-11-18 | 1999-10-05 | Applied Materials, Inc. | Three chamber load lock apparatus |
NL1005102C2 (nl) * | 1997-01-27 | 1998-07-29 | Advanced Semiconductor Mat | Inrichting voor het behandelen van halfgeleiderschijven. |
US6132517A (en) * | 1997-02-21 | 2000-10-17 | Applied Materials, Inc. | Multiple substrate processing apparatus for enhanced throughput |
DE19715245C2 (de) * | 1997-04-12 | 1999-09-02 | Leybold Systems Gmbh | Vakuumbehandlungsvorrichtung zum Aufbringen dünner Schichten |
US6059507A (en) * | 1997-04-21 | 2000-05-09 | Brooks Automation, Inc. | Substrate processing apparatus with small batch load lock |
US6201999B1 (en) * | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
JP3672416B2 (ja) * | 1997-06-27 | 2005-07-20 | 株式会社荏原製作所 | スピン処理装置 |
US6073366A (en) * | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US6312525B1 (en) | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
US6530732B1 (en) * | 1997-08-12 | 2003-03-11 | Brooks Automation, Inc. | Single substrate load lock with offset cool module and buffer chamber |
US6071055A (en) * | 1997-09-30 | 2000-06-06 | Applied Materials, Inc. | Front end vacuum processing environment |
US6235634B1 (en) * | 1997-10-08 | 2001-05-22 | Applied Komatsu Technology, Inc. | Modular substrate processing system |
US6688375B1 (en) * | 1997-10-14 | 2004-02-10 | Applied Materials, Inc. | Vacuum processing system having improved substrate heating and cooling |
JP3966594B2 (ja) * | 1998-01-26 | 2007-08-29 | 東京エレクトロン株式会社 | 予備真空室およびそれを用いた真空処理装置 |
JPH11230036A (ja) | 1998-02-18 | 1999-08-24 | Ebara Corp | 真空排気システム |
US6228773B1 (en) * | 1998-04-14 | 2001-05-08 | Matrix Integrated Systems, Inc. | Synchronous multiplexed near zero overhead architecture for vacuum processes |
US6517303B1 (en) | 1998-05-20 | 2003-02-11 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle |
JP4364438B2 (ja) * | 1998-07-10 | 2009-11-18 | アプライド マテリアルズ インコーポレイテッド | 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス |
US6375746B1 (en) | 1998-07-10 | 2002-04-23 | Novellus Systems, Inc. | Wafer processing architecture including load locks |
US6431807B1 (en) | 1998-07-10 | 2002-08-13 | Novellus Systems, Inc. | Wafer processing architecture including single-wafer load lock with cooling unit |
JP2000058615A (ja) * | 1998-07-31 | 2000-02-25 | Promos Technol Inc | 複数のウエハーの同時処理方法 |
US6957690B1 (en) | 1998-09-10 | 2005-10-25 | Asm America, Inc. | Apparatus for thermal treatment of substrates |
US6108937A (en) * | 1998-09-10 | 2000-08-29 | Asm America, Inc. | Method of cooling wafers |
US6328858B1 (en) | 1998-10-01 | 2001-12-11 | Nexx Systems Packaging, Llc | Multi-layer sputter deposition apparatus |
US6217272B1 (en) | 1998-10-01 | 2001-04-17 | Applied Science And Technology, Inc. | In-line sputter deposition system |
US6171945B1 (en) * | 1998-10-22 | 2001-01-09 | Applied Materials, Inc. | CVD nanoporous silica low dielectric constant films |
US6672358B2 (en) | 1998-11-06 | 2004-01-06 | Canon Kabushiki Kaisha | Sample processing system |
TW484184B (en) | 1998-11-06 | 2002-04-21 | Canon Kk | Sample separating apparatus and method, and substrate manufacturing method |
JP4343295B2 (ja) * | 1998-11-06 | 2009-10-14 | キヤノン株式会社 | 試料の処理システム |
JP3420712B2 (ja) * | 1998-11-12 | 2003-06-30 | 東京エレクトロン株式会社 | 処理システム |
US6312616B1 (en) * | 1998-12-03 | 2001-11-06 | Applied Materials, Inc. | Plasma etching of polysilicon using fluorinated gas mixtures |
US6310328B1 (en) | 1998-12-10 | 2001-10-30 | Mattson Technologies, Inc. | Rapid thermal processing chamber for processing multiple wafers |
US6418356B1 (en) | 1998-12-31 | 2002-07-09 | Silicon Valley Group, Inc. | Method and apparatus for resolving conflicts in a substrate processing system |
US6768930B2 (en) | 1998-12-31 | 2004-07-27 | Asml Holding N.V. | Method and apparatus for resolving conflicts in a substrate processing system |
US6865437B1 (en) | 1998-12-31 | 2005-03-08 | Asml Holdings N.V. | Robot pre-positioning in a wafer processing system |
US6678572B1 (en) * | 1998-12-31 | 2004-01-13 | Asml Holdings, N.V. | Recipe cascading in a wafer processing system |
JP2000306978A (ja) * | 1999-02-15 | 2000-11-02 | Kokusai Electric Co Ltd | 基板処理装置、基板搬送装置、および基板処理方法 |
US7192494B2 (en) | 1999-03-05 | 2007-03-20 | Applied Materials, Inc. | Method and apparatus for annealing copper films |
NL1011487C2 (nl) * | 1999-03-08 | 2000-09-18 | Koninkl Philips Electronics Nv | Werkwijze en inrichting voor het roteren van een wafer. |
FR2791598B1 (fr) * | 1999-03-30 | 2001-06-22 | Sidel Sa | Machine a carrousel pour le traitement de corps creux comportant un circuit de distribution de pression perfectionne et distributeur pour une telle machine |
US6440261B1 (en) | 1999-05-25 | 2002-08-27 | Applied Materials, Inc. | Dual buffer chamber cluster tool for semiconductor wafer processing |
US6486444B1 (en) * | 1999-06-03 | 2002-11-26 | Applied Materials, Inc. | Load-lock with external staging area |
JP4330703B2 (ja) * | 1999-06-18 | 2009-09-16 | 東京エレクトロン株式会社 | 搬送モジュール及びクラスターシステム |
US6166509A (en) * | 1999-07-07 | 2000-12-26 | Applied Materials, Inc. | Detection system for substrate clamp |
US6318945B1 (en) | 1999-07-28 | 2001-11-20 | Brooks Automation, Inc. | Substrate processing apparatus with vertically stacked load lock and substrate transport robot |
US6214120B1 (en) | 1999-08-27 | 2001-04-10 | Innovac Corporation | High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same |
DE19952195A1 (de) * | 1999-10-29 | 2001-05-17 | Infineon Technologies Ag | Anlage zur Bearbeitung von Wafern |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
US6949143B1 (en) * | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
US6500357B1 (en) * | 1999-12-28 | 2002-12-31 | Applied Materials Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6949203B2 (en) * | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
NL1013984C2 (nl) | 1999-12-29 | 2001-07-02 | Asm Int | Werkwijze en inrichting voor het behandelen van substraten. |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US6899795B1 (en) * | 2000-01-18 | 2005-05-31 | Unaxis Balzers Aktiengesellschaft | Sputter chamber as well as vacuum transport chamber and vacuum handling apparatus with such chambers |
ATE353473T1 (de) * | 2000-04-12 | 2007-02-15 | Aixtron Ag | Reaktionskammer mit wenigstens einer hf- durchführung |
US6508883B1 (en) * | 2000-04-29 | 2003-01-21 | Advanced Technology Materials, Inc. | Throughput enhancement for single wafer reactor |
US20040079633A1 (en) * | 2000-07-05 | 2004-04-29 | Applied Materials, Inc. | Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing |
US6682288B2 (en) | 2000-07-27 | 2004-01-27 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6821912B2 (en) | 2000-07-27 | 2004-11-23 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6530733B2 (en) | 2000-07-27 | 2003-03-11 | Nexx Systems Packaging, Llc | Substrate processing pallet and related substrate processing method and machine |
US6630053B2 (en) | 2000-08-22 | 2003-10-07 | Asm Japan K.K. | Semiconductor processing module and apparatus |
JP4004248B2 (ja) * | 2000-09-01 | 2007-11-07 | 大日本スクリーン製造株式会社 | 基板処理装置および基板検査方法 |
KR20030032034A (ko) * | 2000-09-15 | 2003-04-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 처리 장비용 두 개의 이중 슬롯 로드록 |
JP2002110570A (ja) | 2000-10-04 | 2002-04-12 | Asm Japan Kk | 半導体製造装置用ガスラインシステム |
US6599368B1 (en) * | 2000-10-05 | 2003-07-29 | Applied Materials, Inc. | System architecture of semiconductor manufacturing equipment |
JP3616748B2 (ja) * | 2000-11-07 | 2005-02-02 | 東京エレクトロン株式会社 | 現像処理方法,現像処理装置及び処理装置 |
US6430468B1 (en) * | 2000-11-17 | 2002-08-06 | Applied Materials, Inc. | Method and apparatus for accurate placement of semiconductor wafers onto respective platforms within a single reaction chamber |
US6413321B1 (en) | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
JP2002198411A (ja) * | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | 圧力制御方法、搬送装置およびクラスタツール |
US6793766B2 (en) * | 2001-01-04 | 2004-09-21 | Applied Materials Inc. | Apparatus having platforms positioned for precise centering of semiconductor wafers during processing |
US6609869B2 (en) | 2001-01-04 | 2003-08-26 | Asm America | Transfer chamber with integral loadlock and staging station |
US20020148565A1 (en) * | 2001-04-12 | 2002-10-17 | Applied Materials, Inc. | Mushroom stem wafer pedestal for improved conductance and uniformity |
US6902947B2 (en) * | 2001-05-07 | 2005-06-07 | Applied Materials, Inc. | Integrated method for release and passivation of MEMS structures |
EP1393355A2 (de) * | 2001-05-18 | 2004-03-03 | Mattson Thermal Products GmbH | Vorrichtung zur aufnahme von scheibenförmigen objekten |
US6852194B2 (en) * | 2001-05-21 | 2005-02-08 | Tokyo Electron Limited | Processing apparatus, transferring apparatus and transferring method |
US6591850B2 (en) * | 2001-06-29 | 2003-07-15 | Applied Materials, Inc. | Method and apparatus for fluid flow control |
JP2003209097A (ja) * | 2001-08-29 | 2003-07-25 | Tokyo Electron Ltd | ウエハ処理マシン |
US7316966B2 (en) * | 2001-09-21 | 2008-01-08 | Applied Materials, Inc. | Method for transferring substrates in a load lock chamber |
US6797108B2 (en) * | 2001-10-05 | 2004-09-28 | Applied Materials, Inc. | Apparatus and method for evenly flowing processing gas onto a semiconductor wafer |
CA2359597C (en) * | 2001-10-23 | 2003-10-21 | Roland Kenny | Beverage can holder |
US20090001524A1 (en) * | 2001-11-26 | 2009-01-01 | Siegele Stephen H | Generation and distribution of a fluorine gas |
US6838393B2 (en) | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US7091137B2 (en) | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US6890850B2 (en) * | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US7006888B2 (en) | 2002-01-14 | 2006-02-28 | Applied Materials, Inc. | Semiconductor wafer preheating |
US6899507B2 (en) * | 2002-02-08 | 2005-05-31 | Asm Japan K.K. | Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections |
GB0204882D0 (en) * | 2002-03-01 | 2002-04-17 | Trikon Technologies Ltd | Pedestal |
US6962644B2 (en) | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
US6815373B2 (en) * | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US7056560B2 (en) * | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US20030215570A1 (en) * | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US20030230385A1 (en) * | 2002-06-13 | 2003-12-18 | Applied Materials, Inc. | Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system |
US6913652B2 (en) * | 2002-06-17 | 2005-07-05 | Applied Materials, Inc. | Gas flow division in a wafer processing system having multiple chambers |
WO2004010482A1 (en) * | 2002-07-19 | 2004-01-29 | Axcelis Technologies, Inc. | Dual chamber vacuum processing system |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
DE10247051A1 (de) * | 2002-10-09 | 2004-04-22 | Polymer Latex Gmbh & Co Kg | Latex und Verfahren zu seiner Herstellung |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US7011890B2 (en) * | 2003-03-03 | 2006-03-14 | Applied Materials Inc. | Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices |
JP2004356606A (ja) * | 2003-04-03 | 2004-12-16 | Seiko Epson Corp | 製造装置及び製造方法 |
EP1596421A3 (de) * | 2003-05-19 | 2011-04-06 | ASML Netherlands B.V. | Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung |
SG141228A1 (en) * | 2003-05-19 | 2008-04-28 | Asml Netherlands Bv | Lithographic apparatus and device manufacturing method |
US20050221603A1 (en) * | 2003-06-23 | 2005-10-06 | Applied Materials, Inc. | System architecture of semiconductor manufacturing equipment |
US7100954B2 (en) * | 2003-07-11 | 2006-09-05 | Nexx Systems, Inc. | Ultra-thin wafer handling system |
US7335277B2 (en) * | 2003-09-08 | 2008-02-26 | Hitachi High-Technologies Corporation | Vacuum processing apparatus |
US7207766B2 (en) * | 2003-10-20 | 2007-04-24 | Applied Materials, Inc. | Load lock chamber for large area substrate processing system |
US7410355B2 (en) * | 2003-10-31 | 2008-08-12 | Asm International N.V. | Method for the heat treatment of substrates |
US7022627B2 (en) | 2003-10-31 | 2006-04-04 | Asm International N.V. | Method for the heat treatment of substrates |
US6883250B1 (en) * | 2003-11-04 | 2005-04-26 | Asm America, Inc. | Non-contact cool-down station for wafers |
CN1618716B (zh) * | 2003-11-12 | 2011-03-16 | 周星工程股份有限公司 | 装载锁及使用其的装载锁腔室 |
US6940047B2 (en) * | 2003-11-14 | 2005-09-06 | Asm International N.V. | Heat treatment apparatus with temperature control system |
KR100569604B1 (ko) * | 2003-12-30 | 2006-04-10 | 주식회사 아이피에스 | 트윈 공정챔버를 이용한 클러스터 툴 시스템 및 이를 통한 박막 증착 방법 |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050196971A1 (en) * | 2004-03-05 | 2005-09-08 | Applied Materials, Inc. | Hardware development to reduce bevel deposition |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7115508B2 (en) * | 2004-04-02 | 2006-10-03 | Applied-Materials, Inc. | Oxide-like seasoning for dielectric low k films |
US7229911B2 (en) * | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US7112541B2 (en) * | 2004-05-06 | 2006-09-26 | Applied Materials, Inc. | In-situ oxide capping after CVD low k deposition |
US20050277302A1 (en) * | 2004-05-28 | 2005-12-15 | Nguyen Son V | Advanced low dielectric constant barrier layers |
US7497414B2 (en) * | 2004-06-14 | 2009-03-03 | Applied Materials, Inc. | Curved slit valve door with flexible coupling |
US7229041B2 (en) * | 2004-06-30 | 2007-06-12 | Ohio Central Steel Company | Lifting lid crusher |
US7288205B2 (en) * | 2004-07-09 | 2007-10-30 | Applied Materials, Inc. | Hermetic low dielectric constant layer for barrier applications |
US20060104799A1 (en) * | 2004-07-12 | 2006-05-18 | Applied Materials, Inc. | Methods and apparatus for reducing an electronic device manufacturing tool footprint |
US7422653B2 (en) * | 2004-07-13 | 2008-09-09 | Applied Materials, Inc. | Single-sided inflatable vertical slit valve |
US20060137609A1 (en) * | 2004-09-13 | 2006-06-29 | Puchacz Jerzy P | Multi-single wafer processing apparatus |
US20060102078A1 (en) * | 2004-11-18 | 2006-05-18 | Intevac Inc. | Wafer fab |
US7611322B2 (en) * | 2004-11-18 | 2009-11-03 | Intevac, Inc. | Processing thin wafers |
US7217670B2 (en) * | 2004-11-22 | 2007-05-15 | Asm International N.V. | Dummy substrate for thermal reactor |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US7351656B2 (en) * | 2005-01-21 | 2008-04-01 | Kabushiki Kaihsa Toshiba | Semiconductor device having oxidized metal film and manufacture method of the same |
EP1856730B1 (de) * | 2005-02-22 | 2010-12-29 | OC Oerlikon Balzers AG | Verfahren zur positionierung eines wafers |
JP2008147202A (ja) * | 2005-02-25 | 2008-06-26 | Yoshito Tanaka | 半導体検査装置およびこれを用いた半導体検査システム |
US7467916B2 (en) * | 2005-03-08 | 2008-12-23 | Asm Japan K.K. | Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same |
DE102005015063B4 (de) * | 2005-03-31 | 2008-05-15 | Schott Ag | Vorrichtung und Verfahren zur automatischen Erzeugung von Steueranweisungen für Rundläufermaschinen |
US7273823B2 (en) * | 2005-06-03 | 2007-09-25 | Applied Materials, Inc. | Situ oxide cap layer development |
US20060273815A1 (en) * | 2005-06-06 | 2006-12-07 | Applied Materials, Inc. | Substrate support with integrated prober drive |
US20070006936A1 (en) * | 2005-07-07 | 2007-01-11 | Applied Materials, Inc. | Load lock chamber with substrate temperature regulation |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
KR101021020B1 (ko) * | 2005-08-05 | 2011-03-09 | 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 | 반도체 공작물 처리 장치 |
CN100358097C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 半导体工艺处理系统及其处理方法 |
CN100358098C (zh) | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 半导体工艺件处理装置 |
CN100358099C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 等离子体处理装置 |
US8366829B2 (en) * | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US8231251B2 (en) * | 2005-10-28 | 2012-07-31 | Philips Lumileds Lighting Company Llc | Multiple piece reflective angle transformer |
KR100702844B1 (ko) * | 2005-11-14 | 2007-04-03 | 삼성전자주식회사 | 로드락 챔버 및 그를 이용한 반도체 제조설비 |
US20070134435A1 (en) * | 2005-12-13 | 2007-06-14 | Ahn Sang H | Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films |
US7845891B2 (en) * | 2006-01-13 | 2010-12-07 | Applied Materials, Inc. | Decoupled chamber body |
US7665951B2 (en) * | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
US9157151B2 (en) * | 2006-06-05 | 2015-10-13 | Applied Materials, Inc. | Elimination of first wafer effect for PECVD films |
US7514125B2 (en) * | 2006-06-23 | 2009-04-07 | Applied Materials, Inc. | Methods to improve the in-film defectivity of PECVD amorphous carbon films |
US7845618B2 (en) | 2006-06-28 | 2010-12-07 | Applied Materials, Inc. | Valve door with ball coupling |
US7297376B1 (en) | 2006-07-07 | 2007-11-20 | Applied Materials, Inc. | Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers |
US20080072821A1 (en) * | 2006-07-21 | 2008-03-27 | Dalton Jeremic J | Small volume symmetric flow single wafer ald apparatus |
US8124907B2 (en) * | 2006-08-04 | 2012-02-28 | Applied Materials, Inc. | Load lock chamber with decoupled slit valve door seal compartment |
US20080050932A1 (en) * | 2006-08-23 | 2008-02-28 | Applied Materials, Inc. | Overall defect reduction for PECVD films |
US8419341B2 (en) | 2006-09-19 | 2013-04-16 | Brooks Automation, Inc. | Linear vacuum robot with Z motion and articulated arm |
US9524896B2 (en) * | 2006-09-19 | 2016-12-20 | Brooks Automation Inc. | Apparatus and methods for transporting and processing substrates |
US8293066B2 (en) * | 2006-09-19 | 2012-10-23 | Brooks Automation, Inc. | Apparatus and methods for transporting and processing substrates |
US7740437B2 (en) * | 2006-09-22 | 2010-06-22 | Asm International N.V. | Processing system with increased cassette storage capacity |
KR100935537B1 (ko) * | 2006-11-01 | 2010-01-07 | 주식회사 아이피에스 | 웨이퍼이송로봇, 이를 이용한 웨이퍼가공시스템 및웨이퍼처리방법 |
US7410916B2 (en) * | 2006-11-21 | 2008-08-12 | Applied Materials, Inc. | Method of improving initiation layer for low-k dielectric film by digital liquid flow meter |
US7738987B2 (en) * | 2006-11-28 | 2010-06-15 | Tokyo Electron Limited | Device and method for controlling substrate processing apparatus |
KR101343336B1 (ko) * | 2007-03-05 | 2014-01-13 | 주식회사 원익아이피에스 | 박막증착장치 |
US20080217293A1 (en) * | 2007-03-06 | 2008-09-11 | Tokyo Electron Limited | Processing system and method for performing high throughput non-plasma processing |
US7585142B2 (en) | 2007-03-16 | 2009-09-08 | Asm America, Inc. | Substrate handling chamber with movable substrate carrier loading platform |
US20080251019A1 (en) * | 2007-04-12 | 2008-10-16 | Sriram Krishnaswami | System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates |
JP4464993B2 (ja) * | 2007-06-29 | 2010-05-19 | 東京エレクトロン株式会社 | 基板の処理システム |
KR100842027B1 (ko) * | 2007-07-24 | 2008-06-27 | 세메스 주식회사 | 얼라인 장치 및 이를 이용한 웨이퍼 정렬 방법 |
US9184072B2 (en) | 2007-07-27 | 2015-11-10 | Mattson Technology, Inc. | Advanced multi-workpiece processing chamber |
US20090263581A1 (en) * | 2008-04-16 | 2009-10-22 | Northeast Maritime Institute, Inc. | Method and apparatus to coat objects with parylene and boron nitride |
US8277165B2 (en) * | 2007-09-22 | 2012-10-02 | Dynamic Micro System Semiconductor Equipment GmbH | Transfer mechanism with multiple wafer handling capability |
US8060252B2 (en) * | 2007-11-30 | 2011-11-15 | Novellus Systems, Inc. | High throughput method of in transit wafer position correction in system using multiple robots |
US9002514B2 (en) | 2007-11-30 | 2015-04-07 | Novellus Systems, Inc. | Wafer position correction with a dual, side-by-side wafer transfer robot |
JP4784599B2 (ja) * | 2007-12-28 | 2011-10-05 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理方法並びに記憶媒体 |
US20090206056A1 (en) * | 2008-02-14 | 2009-08-20 | Songlin Xu | Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers |
FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
CN102077338A (zh) * | 2008-06-24 | 2011-05-25 | 应用材料股份有限公司 | 用于低温pecvd应用的基座加热器 |
US7824935B2 (en) * | 2008-07-02 | 2010-11-02 | Intermolecular, Inc. | Methods of combinatorial processing for screening multiple samples on a semiconductor substrate |
US20100012036A1 (en) * | 2008-07-11 | 2010-01-21 | Hugo Silva | Isolation for multi-single-wafer processing apparatus |
JP5423205B2 (ja) * | 2008-08-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置 |
US8844546B2 (en) * | 2008-10-01 | 2014-09-30 | Applied Materials, Inc. | Apparatus and method for cleaning semiconductor substrate using pressurized fluid |
US9328417B2 (en) * | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
US9175388B2 (en) * | 2008-11-01 | 2015-11-03 | Ultratech, Inc. | Reaction chamber with removable liner |
JP5445044B2 (ja) * | 2008-11-14 | 2014-03-19 | 東京エレクトロン株式会社 | 成膜装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
KR101052818B1 (ko) | 2008-11-18 | 2011-07-29 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 장치에서의 정비 방법 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
JP5522979B2 (ja) * | 2009-06-16 | 2014-06-18 | 国立大学法人東北大学 | 成膜方法及び処理システム |
US8617347B2 (en) * | 2009-08-06 | 2013-12-31 | Applied Materials, Inc. | Vacuum processing chambers incorporating a moveable flow equalizer |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8414736B2 (en) | 2009-09-03 | 2013-04-09 | Applied Materials, Inc. | Plasma reactor with tiltable overhead RF inductive source |
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5310512B2 (ja) * | 2009-12-02 | 2013-10-09 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5553588B2 (ja) * | 2009-12-10 | 2014-07-16 | 東京エレクトロン株式会社 | 成膜装置 |
US8274017B2 (en) * | 2009-12-18 | 2012-09-25 | Applied Materials, Inc. | Multifunctional heater/chiller pedestal for wide range wafer temperature control |
US20110159666A1 (en) * | 2009-12-31 | 2011-06-30 | O'connor John P | Deposition systems and methods |
JP5476171B2 (ja) * | 2010-03-16 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | 真空処理装置 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5698043B2 (ja) * | 2010-08-04 | 2015-04-08 | 株式会社ニューフレアテクノロジー | 半導体製造装置 |
US20120058630A1 (en) * | 2010-09-08 | 2012-03-08 | Veeco Instruments Inc. | Linear Cluster Deposition System |
US8502689B2 (en) | 2010-09-23 | 2013-08-06 | Applied Materials, Inc. | System and method for voltage-based plasma excursion detection |
US8587321B2 (en) | 2010-09-24 | 2013-11-19 | Applied Materials, Inc. | System and method for current-based plasma excursion detection |
DE102010048043A1 (de) * | 2010-10-15 | 2012-04-19 | Ev Group Gmbh | Vorrichtung und Verfahren zur Prozessierung von Wafern |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
JP5609744B2 (ja) | 2011-03-31 | 2014-10-22 | 東京エレクトロン株式会社 | 基板受け渡し装置、基板受け渡し方法及び基板処理装置 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN102903606B (zh) * | 2011-07-29 | 2016-03-30 | 无锡华瑛微电子技术有限公司 | 多腔室半导体处理装置 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5592863B2 (ja) | 2011-11-02 | 2014-09-17 | 株式会社日立ハイテクノロジーズ | 真空処理装置および被処理体の搬送方法 |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
TR201903734T4 (tr) | 2011-11-18 | 2019-03-21 | First Solar Inc | Materyalin eş biriktirilmesine yönelik buhar taşıma biriktirme yöntemi ve sistemi. |
WO2013088547A1 (ja) * | 2011-12-15 | 2013-06-20 | タツモ株式会社 | ウエハ搬送装置 |
JP2013171871A (ja) * | 2012-02-17 | 2013-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
JP2013171872A (ja) * | 2012-02-17 | 2013-09-02 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US9508612B2 (en) | 2012-03-15 | 2016-11-29 | Applied Materials, Inc. | Method to detect wafer arcing in semiconductor manufacturing equipment |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9490152B2 (en) * | 2012-05-29 | 2016-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetrical chamber configuration |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
CN103578904B (zh) * | 2012-07-18 | 2016-05-25 | 中微半导体设备(上海)有限公司 | 一种用于多腔室等离子处理装置的减少颗粒污染的方法 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9147592B2 (en) | 2012-08-08 | 2015-09-29 | Applied Materials, Inc. | Linked vacuum processing tools and methods of using the same |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US10403532B2 (en) * | 2012-09-20 | 2019-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor apparatus with inner wafer carrier buffer and method |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
JP6087097B2 (ja) * | 2012-09-28 | 2017-03-01 | 株式会社日立ハイテクノロジーズ | 真空処理装置用分電盤 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9431267B2 (en) | 2012-12-03 | 2016-08-30 | Applied Materials, Inc. | Semiconductor device processing tools and methods for patterning substrates |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
CN104008945B (zh) * | 2013-02-22 | 2016-06-01 | 中微半导体设备(上海)有限公司 | 用于等离子体处理装置的基片制程方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9524889B2 (en) | 2013-03-15 | 2016-12-20 | Applied Materials, Inc. | Processing systems and apparatus adapted to process substrates in electronic device manufacturing |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9355876B2 (en) | 2013-03-15 | 2016-05-31 | Applied Materials, Inc. | Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9330951B2 (en) | 2013-06-05 | 2016-05-03 | Persimmon Technologies, Corp. | Robot and adaptive placement system and method |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
WO2015073651A1 (en) | 2013-11-13 | 2015-05-21 | Brooks Automation, Inc. | Method and apparatus for brushless electrical machine control |
US10348172B2 (en) | 2013-11-13 | 2019-07-09 | Brooks Automation, Inc. | Sealed switched reluctance motor |
JP6708546B2 (ja) | 2013-11-13 | 2020-06-10 | ブルックス オートメーション インコーポレイテッド | 密封型ロボット駆動部 |
TWI695447B (zh) | 2013-11-13 | 2020-06-01 | 布魯克斯自動機械公司 | 運送設備 |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
JP6684943B2 (ja) * | 2014-07-24 | 2020-04-22 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US10094023B2 (en) * | 2014-08-01 | 2018-10-09 | Applied Materials, Inc. | Methods and apparatus for chemical vapor deposition of a cobalt layer |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US10460949B2 (en) * | 2014-10-20 | 2019-10-29 | Tokyo Electron Limited | Substrate processing apparatus, substrate processing method and storage medium |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
JP6417916B2 (ja) * | 2014-12-15 | 2018-11-07 | 東京エレクトロン株式会社 | 基板搬送方法、基板処理装置、及び記憶媒体 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
KR101642919B1 (ko) | 2015-02-24 | 2016-07-26 | 코스텍시스템(주) | 웨이퍼 이송 장치 및 이송 방법 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
GB201504202D0 (en) | 2015-03-12 | 2015-04-29 | Spts Technologies Ltd | PE-CVD apparatus and method |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10001772B2 (en) * | 2015-09-20 | 2018-06-19 | Macau University Of Science And Technology | Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints |
US9960072B2 (en) * | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US20170194174A1 (en) * | 2015-12-30 | 2017-07-06 | Applied Materials, Inc. | Quad chamber and platform having multiple quad chambers |
JP6294365B2 (ja) * | 2016-01-29 | 2018-03-14 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10428426B2 (en) * | 2016-04-22 | 2019-10-01 | Applied Materials, Inc. | Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
JP7017306B2 (ja) | 2016-11-29 | 2022-02-08 | 株式会社日立ハイテク | 真空処理装置 |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (ko) * | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
JP2018141700A (ja) * | 2017-02-28 | 2018-09-13 | セイコーエプソン株式会社 | 電子部品搬送装置および電子部品検査装置 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10276364B2 (en) * | 2017-05-08 | 2019-04-30 | Applied Materials, Inc. | Bevel etch profile control |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
JP6881010B2 (ja) | 2017-05-11 | 2021-06-02 | 東京エレクトロン株式会社 | 真空処理装置 |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
ES2884373T3 (es) | 2017-06-28 | 2021-12-10 | Meyer Burger Germany Gmbh | Dispositivo para el transporte de un sustrato, dispositivo de tratamiento con una placa de alojamiento adaptada a un soporte de sustrato de tal dispositivo y procedimiento para el procesado de un sustrato bajo utilización de tal dispositivo para el transporte de un sustrato, así como planta de tratamiento |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10378279B2 (en) * | 2017-07-12 | 2019-08-13 | Lam Research Corporation | Step ladder with component rack system for fabrication facility |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
CN108461387B (zh) * | 2018-03-19 | 2020-06-19 | 北京北方华创微电子装备有限公司 | 功率馈入机构、旋转基座装置及半导体加工设备 |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
KR102110308B1 (ko) | 2018-04-23 | 2020-05-14 | 코스텍시스템(주) | 웨이퍼 이송 장치 |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TW202013553A (zh) | 2018-06-04 | 2020-04-01 | 荷蘭商Asm 智慧財產控股公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US10796940B2 (en) | 2018-11-05 | 2020-10-06 | Lam Research Corporation | Enhanced automatic wafer centering system and techniques for same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
WO2020168021A1 (en) * | 2019-02-13 | 2020-08-20 | Applied Materials, Inc. | Vacuum pumps for single and multi-process chamber flow stream sharing |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) * | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11236424B2 (en) * | 2019-11-01 | 2022-02-01 | Applied Materials, Inc. | Process kit for improving edge film thickness uniformity on a substrate |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
WO2021162932A1 (en) * | 2020-02-10 | 2021-08-19 | Applied Materials, Inc. | Methods and apparatus for improving flow uniformity in a process chamber |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
JP7228612B2 (ja) * | 2020-03-27 | 2023-02-24 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP7366952B2 (ja) * | 2021-03-23 | 2023-10-23 | 芝浦メカトロニクス株式会社 | プラズマ処理装置の検査方法 |
WO2022201546A1 (ja) * | 2021-03-26 | 2022-09-29 | 株式会社Kokusai Electric | 処理装置、プログラム及び半導体装置の製造方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
JP2023104358A (ja) | 2022-01-17 | 2023-07-28 | 東京エレクトロン株式会社 | 基板搬送方法及び基板処理システム |
Family Cites Families (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6098628A (ja) * | 1983-11-02 | 1985-06-01 | Matsushita Electric Ind Co Ltd | 真空中における搬送装置 |
EP0246453A3 (de) * | 1986-04-18 | 1989-09-06 | General Signal Corporation | Kontaminierungsfreie Plasma-Ätzvorrichtung mit mehreren Behandlungsstellen |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US4795299A (en) * | 1987-04-15 | 1989-01-03 | Genus, Inc. | Dial deposition and processing apparatus |
US4785962A (en) * | 1987-04-20 | 1988-11-22 | Applied Materials, Inc. | Vacuum chamber slit valve |
US4819167A (en) * | 1987-04-20 | 1989-04-04 | Applied Materials, Inc. | System and method for detecting the center of an integrated circuit wafer |
US4908095A (en) * | 1988-05-02 | 1990-03-13 | Tokyo Electron Limited | Etching device, and etching method |
US5120019A (en) * | 1989-08-03 | 1992-06-09 | Brooks Automation, Inc. | Valve |
ES2130295T3 (es) * | 1989-10-20 | 1999-07-01 | Applied Materials Inc | Aparato de tipo robot. |
US5226632A (en) * | 1990-04-20 | 1993-07-13 | Applied Materials, Inc. | Slit valve apparatus and method |
JPH0456770A (ja) * | 1990-06-25 | 1992-02-24 | Hitachi Electron Eng Co Ltd | プラズマcvd装置のクリーニング方法 |
US5133284A (en) * | 1990-07-16 | 1992-07-28 | National Semiconductor Corp. | Gas-based backside protection during substrate processing |
JPH0812846B2 (ja) * | 1991-02-15 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置 |
JP3078615B2 (ja) * | 1991-08-27 | 2000-08-21 | 国際電気株式会社 | ウェーハ処理装置 |
US5275303A (en) * | 1992-02-03 | 1994-01-04 | Applied Materials, Inc. | Valve closure mechanism for semiconductor deposition apparatus |
US5534072A (en) * | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
ES2090893T3 (es) * | 1993-01-28 | 1996-10-16 | Applied Materials Inc | Aparato de tratamiento en vacio que tiene una capacidad de produccion mejorada. |
KR100256215B1 (ko) * | 1993-02-26 | 2000-06-01 | 히가시 데쓰로 | 멀티챔버 시스템 |
US5363872A (en) * | 1993-03-16 | 1994-11-15 | Applied Materials, Inc. | Low particulate slit valve system and method for controlling same |
CH687986A5 (de) * | 1993-05-03 | 1997-04-15 | Balzers Hochvakuum | Plasmabehandlungsanlage und Verfahren zu deren Betrieb. |
JP3522796B2 (ja) * | 1993-07-15 | 2004-04-26 | 株式会社日立国際電気 | 半導体製造装置 |
US5616208A (en) * | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
JPH07288248A (ja) * | 1994-04-19 | 1995-10-31 | Toshiba Corp | 半導体素子用プラズマ装置 |
JP3486462B2 (ja) * | 1994-06-07 | 2004-01-13 | 東京エレクトロン株式会社 | 減圧・常圧処理装置 |
JPH07321178A (ja) * | 1994-05-24 | 1995-12-08 | Hitachi Ltd | 搬送装置およびその搬送装置を有するマルチチャンバ装置 |
JPH07335552A (ja) * | 1994-06-08 | 1995-12-22 | Tel Varian Ltd | 処理装置 |
JP2665202B2 (ja) * | 1995-05-31 | 1997-10-22 | 九州日本電気株式会社 | 半導体ウェハ処理装置 |
-
1996
- 1996-11-18 US US08/751,485 patent/US5855681A/en not_active Expired - Lifetime
-
1997
- 1997-10-23 EP EP97118441A patent/EP0843340B1/de not_active Expired - Lifetime
- 1997-10-23 DE DE69730097T patent/DE69730097T2/de not_active Expired - Lifetime
- 1997-11-17 KR KR1019970060324A patent/KR100503125B1/ko not_active IP Right Cessation
- 1997-11-18 JP JP9317141A patent/JPH10154739A/ja active Pending
- 1997-12-22 TW TW086119538A patent/TW373230B/zh not_active IP Right Cessation
-
2008
- 2008-11-26 JP JP2008301430A patent/JP2009094530A/ja active Pending
-
2013
- 2013-04-04 JP JP2013078809A patent/JP2013179309A/ja active Pending
Also Published As
Publication number | Publication date |
---|---|
KR100503125B1 (ko) | 2005-09-26 |
EP0843340A3 (de) | 1999-02-17 |
TW373230B (en) | 1999-11-01 |
JPH10154739A (ja) | 1998-06-09 |
EP0843340A2 (de) | 1998-05-20 |
KR19980042482A (ko) | 1998-08-17 |
JP2013179309A (ja) | 2013-09-09 |
EP0843340B1 (de) | 2004-08-04 |
US5855681A (en) | 1999-01-05 |
DE69730097D1 (de) | 2004-09-09 |
JP2009094530A (ja) | 2009-04-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69730097T2 (de) | Verfahren und Vorrichtung zur Behandlung von Wafers | |
DE69935351T2 (de) | Verfahren zum Abscheiden von Atomschichten | |
EP0843339B1 (de) | Behandlungsgerät | |
US5911834A (en) | Gas delivery system | |
US6082950A (en) | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding | |
US6224312B1 (en) | Optimal trajectory robot motion | |
DE69531365T2 (de) | Unterteilte Substrat Behandlungskammer | |
US5902088A (en) | Single loadlock chamber with wafer cooling function | |
DE69736977T2 (de) | Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger | |
US5838121A (en) | Dual blade robot | |
US5844195A (en) | Remote plasma source | |
DE60119119T2 (de) | Cluster-Tool mit zwei Entgasungs-/Kühlschleusenkammern | |
US6176667B1 (en) | Multideck wafer processing system | |
DE69635640T2 (de) | Plasmabearbeitungsgerät | |
DE60024424T2 (de) | Halbleiter-Wafer Entwicklungsgerät mit vertikal gestapelte Entwicklungsräume und einachsiges Dual-Wafer Transfer System | |
DE69937042T2 (de) | Kombinatorische vorrichtung für epitaktische molekularschicht | |
CN1160479C (zh) | 等离子体增强的化学处理反应器和方法 | |
DE60131511T2 (de) | Halbleiterverarbeitungsmodul und Vorrichtung | |
US6077157A (en) | Process chamber exhaust system | |
US5905302A (en) | Loadlock cassette with wafer support rails | |
JP2000510652A (ja) | 化学蒸着プロセスのための多目的プロセス室 | |
EP1948845A1 (de) | Cvd-reaktor mit gleitgelagertem suszeptorhalter | |
DE60008581T2 (de) | Ofen für minipartie | |
DE102011007735A1 (de) | Systeme und Verfahren zur Gasbehandlung einer Anzahl von Substraten |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8328 | Change in the person/name/address of the agent |
Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING |