JP2000510652A - 化学蒸着プロセスのための多目的プロセス室 - Google Patents

化学蒸着プロセスのための多目的プロセス室

Info

Publication number
JP2000510652A
JP2000510652A JP10538668A JP53866898A JP2000510652A JP 2000510652 A JP2000510652 A JP 2000510652A JP 10538668 A JP10538668 A JP 10538668A JP 53866898 A JP53866898 A JP 53866898A JP 2000510652 A JP2000510652 A JP 2000510652A
Authority
JP
Japan
Prior art keywords
heater plate
process chamber
circular
vacuum
pedestal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10538668A
Other languages
English (en)
Other versions
JP3217798B2 (ja
Inventor
ドーリング、ケネス
ガレウスキー、カール・ジェイ
Original Assignee
ジーナス・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ジーナス・インコーポレーテッド filed Critical ジーナス・インコーポレーテッド
Publication of JP2000510652A publication Critical patent/JP2000510652A/ja
Application granted granted Critical
Publication of JP3217798B2 publication Critical patent/JP3217798B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 標準のクライスターツールに適用可能なプロセスステーション(201)は、上部(217)を備え、垂直方向に移動可能な台座(215)を有する。この上部は、台座(215)内のユニークなフイードスルー(301)にプラグ接続されるように設定されたヒータプレート(303)を有する。下方台座位置で、ウエハ(219)は、不プロセスステーション(201)に対する搬入、搬出が可能であり、また、上方位置で、台座(215)は、プロセスチャンバ(20)内の下方環状開口と共に、環状の吐出通路(255)を規定する。プロセスチャンバの開口に位置される交換可能なリング(253)は、このリング(253)を交換することにより、異なる処理のために処理吐出速度を調節可能としている。前記台座(215)は、また、この台座(215)の周りに環状の吐出通路を規定する囲んだ覆い(257)を有する。ユニークな2領域ヒータプレート(303)が、台座(215)の上部に設けられており、前記フイードスルー(301)と接続して、ヒータプレート(303)を容易に交換可能としている。付加的に、プロセスチャンバの上部は、基台(215)並びに/もしくはヒータ集合体の取り外しを可能とするように、着脱可能のとなっている。

Description

【発明の詳細な説明】 化学蒸着プロセスのための多目的プロセス室 発明の分野 本発明は、化学蒸着(CVD)プロセスを行うための装置並びに方法の分野の ものであり、特に、広範囲のCAVD処理に適用され得る多目的プロセス室に関 する。 発明の背景 集積回路の製造は、一般的に、ベースとなる半導体材料のウエハの上に、種々 の材料の薄膜並びに層を形成し、これら膜の所定の領域を構造並びに回路を形成 するように除去するプロセスである。堆積されるシリコンは、代表的なベースと なる材料である。ICの製造において、層を堆積するために幾つかのプロセスが 知られており、この中の1つが化学蒸着(CVD)である。 このCVDは、ガスもしくは蒸気の形態でプロセスに導入され得る材料の薄膜 並びに層を堆積するための良く知られた方法である。例えば、ポリシリコンが、 シランガス(SiH4)により堆積され得る。また、シランと、六フッ化タング ステンのようなタングステン保持ガスとを含む混合ガスからタングステンシリサ イドを堆積することが知られている。また、純粋なタングステンが、集積回路の 製造において、ときには選択的に、また、ときには“ブランケット”タングステ ンとして知られているプロセスで前表面を覆うようにして、シリコンウエハの上 に堆積される。 代表的なCVDプロセスにおいて、ウエハが、シール可能なチャンバ内の支持 体の上に載置され、チャンバがシール並びに排気され、ウエハが、代表的にはウ エハ支持体を加熱することにより、加熱され、そして、混合ガスがチャンバの中 に導入される。例えば、ブランケットタングステンのプロセスにおいて、六フッ 化タングステン(WF6)と水素とが、反応ガスとして、導入され、また、アル ゴンが、不反応ガスとして導入され得る。このWF6は、堆積されるタングステ ンのソースである。 代表的には、CVDプロセスでのガスは、処理の間、連続的に流される。コー テングされる基板(ウエハ)の温度は、ウエハの表面にタングステンを堆積させ る化学反応を果たす変数の1つである。この温度と、他の変数の中でも混合ガス として導入される種々のガスの濃度と、コーテングされる表面全体に渡ってのガ ス流れの均一性のような特性とを制御することが重要である。堆積される層の厚 さが均一であることが究極の特性である。 CVDプロセスの歴史において、異なる種類のCVDプロセスが開発されてい る。あるプロセスにおいては、単一の元素材料が堆積され、また、他のプロセス においては、材料の化学的組合わせ、即ち、複数種類の材料の混合物が、別々の 材料を保持したガスを導入することにより堆積され得る。 多くのCVDプロセスにおいて、化学現象は吸熱であり、堆積は加熱により果 たされる。多くの場合、熱は、コーテイングされる基板を直接に加熱することに より加えらる。他のプロセスにおいて、プラズマがプロセスチャンバ中に発生さ れ、エネルギーが、高周波電力の供給により、必要な化学現象を生じさせるよう に加えられる。 歴史的には、開発された多くの種類の堆積プロセスは、プロセスチャンバやエ ネルギー並びにプロセスガスを導入するための装置等の高度に個性があり独特な 堆積装置へと導かれている。また、装備のデザインは、単一チャンバー単一基板 システムから、幾つかの基板を同時に処理可能なバッチ処理システムへと、そし て、最終的に、エアーロック並びに高真空搬送チャンバ間の搬送を、ロボット形 態の搬送装置で果たす分離された単一チャンバ−プロセスステーションへと、発 展されている。このプロセスステーションで基板の安定した流れが一連の異なる チャンバ間で果たされ得る。また、基板の一連の独立した処理を可能としたこの システムは、クラスターツールとしてこの分野で知られている材料取り扱い設備 に基づいている。 本発明の出願の時点での半導体装置製造工業において、クラスターツールのデ ザインは、複数のモジュラー構成部品が単一のシステムにおいて種々の製造業者 から提供され得る点に標準化されている。例えば、ある供給者により製造された クラスターツール搬送システムは、他の供給者により製造された堆積/コンディ ショニング−プロセスステーションに適用され得る。このようにして、広範囲で 異なるプロセスステーションが開発されている。 この広範囲で異なるプロセスステーションは、必ずしも便利でも有効でもない 。例えば、クラスターツールを基本とするシステムにおいて、プロセス自体並び にプロセスの順序を変更する必要が生じた場合、一般に、クラスターツール搬送 装置に適用されたプロセスステーションの幾つかもしくは全てを完全に取り外し て置き換える必要がある。このようなシステムの全体構造を再構築することは大 変であり、また、真空チャンバや真空ポンブ装置を物理的に置き換えるのと同様 に、電源と、ガス供給と、制御系の接続の全てを含む時間のかかる難しい一連の 仕事が必要である。 明らかに要求されていることは、ドライエッチングのようなコンディショニン グプロセスと同様に、広範囲のCVD並びにプラズマCVDプロセスをなし得る 共通のプラットホームを提供する多目的プロセスチャンバである。 発明の概要 本発明の好ましい実施の形態においては、クラスターツールシステムのための プロセスステーションが設けられており、これは、第1の内径を有するほぼ円形 の下縁を備えたプロセスチャンバ部分と、このプロセスチャンバ部分の下に位置 され、真空吐出ポートと、基板搬送ポートと、前記第1の内径よりも大きい、プ ロセスチャンバ部分と真空吐出ポートとの間の第2の内径とを有するベースチャ ンバ部分と、前記第1の内径よりも小さい外径を有するほぼ円形の上方支持面を 備え、そして、垂直方向の移動を可能にするダイナミック真空シールにより、前 記搬送ポートの下でベースチャンバ部分に適合された基板支持台座と、前記プロ セスチャンバのほぼ円形の下縁と同一平面の処理位置、もしくは、前記吐出ポー トの上方で搬送ポートの下方であるベースチャンバ部分内の下方搬送位置に、前 記上方支持面を位置させるように基板支持台座を移動するように設けられた垂直 移動駆動システムとを具備する。前記基板支持台座が処理位置にあるときに、こ の基板支持台座の外径と、前記大きい第1の内径とは、真空吐出ポートを通るプ ロセスチャンバ部分からの第1の制限された吐出速度を決定する第1の環状領域 を有する第1の環状の吐出通路を形成するように設定され、また、前記基板支持 台座が下方搬送位置にあるときに、この基板支持台座の外径と、前記大きい第2 の内径とは、前記第1の制限された吐出速度よりも早い、プロセスチャンバから の第2の吐出速度を可能にするように、前記第1の環状領域よりも大きい第2の 環状領域を有する第2の環状吐出通路を形成するように設定されている。 ある実施の形態においては、前記第1の内径は、交換可能なリングにより規定 され、一定の外径と夫々異なる内径とを有する複数の交換可能なリングを夫々交 換することにより、前記第1の吐出速度が早くなるように変更され得る。また、 ある実施の形態においては、ほぼ環状の上方支持面の所から始まる基板支持基台 の部分を囲み、ほぼ環状の上方支持面の下方に延びた環状の覆いが設けられてお り、ほぼ環状の上方支持面の高さの所でのこの環状の覆いの径は、前記第1の内 径とほぼ等しく、この結果、前記基板支持台座が処理位置にあるときに、環状の 覆いは、この環状の覆いと基板支持台座との間で環状の覆いの中に流れてプロセ スチャンバからの全てのガスの流れを拘束するように第1の内径と合うように設 定されている ある実施の形態においては、前記プロセスチャンバの上方外縁を閉塞する取り 外し可能な蓋が設けられ、また、前記ダイナミックな真空シールは、前記蓋とこ のダイナミックな真空シールとが取り外されて、プロセスチャンバ領域内からこ のプロセスチャンバ領域の上方へと基板支持台座が抜かれることを可能にするよ うな、取り外し可能なシールである。前記取り外し可能な蓋は、基板支持台座が 処理位置にあるときに、この基板支持台座の上に支持された基板の露出面全体に 渡つて均一にプロセスガスを供給するためのガス分布システムを有し得る。 本発明の一態様においては、前記基板支持台座は、前記上方支持面と平行で、 プロセスチャンバのための真空境界を規定する閉塞プレートと、この閉塞プレー トとは断熱され、プロセスチャンバ側に位置するヒータプレートと、このヒータ プレートの上方に、これとは離間して配置され、前記上方支持面を規定した、電 気的に絶縁されたサセプターとを有する。また、ある実施の形態においては、前 記ヒータプレートは、別々に電力が供給される内方加熱領域と外方加熱領域とを 有し、これら内方加熱領域と外方加熱領域とへの電力を制御することにより、ヒ ータプレート全体に渡っての温度プラファイルが制御され得る、ほぼ円形のヒー タプレートである。前記内方加熱領域は、ヒータプレートに形成された少なくと も1つの環状アークにより、前記外方加熱領域とは分離され得る。 本発明の他の態様においては、ヒータプレートは、ユニークな電気コネクター により基板支持台座に組み合わされ、この電気コネクターは、前記電気コネクタ ーは、ほぼ平坦なヒータプレートの面から直角に延びた少なくとも1つの電気的 接触ポストを具備し、この電気的接触ポストは、円形パターンに配列され、電気 接触を果たすように導電性の中空円筒ソケットと係合するように設定された、複 数の導電性カンチレバー形式のばねフインガーを有する。多くの実施の形態にお いて、このようなポストが2つ以上使用されている。 本発明の他の態様において、ユニークなポストを有するヒータプレートは、ユ ニークなフイードスルーと適合され、このフイードスルーは、真空バリアー壁に 形成された開口を介して装着されるように設定され、かくして、真空バリアー壁 を境にして真空側と非真空側とが存在するフランジ/真空シール構造体と、真空 側と非真空側との両方に存在し、第1の円形開口が第1の深さを有し、第1の内 径の開口が真空側にある非導電性部分とを有する本体と、非真空側から真空側の 第1の円形開口中へと前記非導電性部分を介してシールされた導電性ポストと、 第2の円形開口と前記第1の内径よりも小さい外径とを有し、前記非導電性部分 の第1の円形開口中に装着され、かつ第1の円形開口内で導電性ポストに接続さ れた導電性ソケットとを具備する。この実施の形態においては、前記導電性ソケ ットは、前記第1の開口内で横方向には可動であるが、第1の深さの方向には不 動である。 本発明のある実施の形態においては、非導電性部材が、電気的接触ポストの位 置でのヒータプレートと、ユニークなフイードスルーとの間に、セラミック壁が 複数の導電性ポスト並びにソケット間に配置されてシーョトサーキットを生じさ せるポストの直視の線の係合を防止するように、設定されている。 本発明の種々の実施の形態において、設けられたプロセスステーションは、一 般に利用されているステーションよりも汎用性がある。本発明の種々の実施の形 態のステーションは、例えば、処理位置に台座を上昇させることにより、吐出速 度を容易かつ迅速に調節することができる。さらに、プロセスチャンバのサイズ と形状は、チャンバー構造体を交換することにより、容易に調節され得る。さら に、交換可能な蓋装置により、一般の処理能力に、異なる電極並びにガス分布シ ステムを与え得る。同じような方法で、ルーチン化した保守点検とクリーニング とが、この分野で既知のプロセスステーションよりも極めて容易にすることがで きる。 図面の簡単な説明 図1Aは、この分野で知られており、また本発明の実施の形態で使用されるよ うなクラスターツールを基礎としたプロセスシステムの具体化された平面図であ る。 図1Bは、この分野で知られている従来のCVDプロセスステーションを概略 的に示す断面図である。 図2Aは、本発明の好ましい実施の形態に係わる多目的プロセスステーション の等角投影図である。 図2Bは、図2Aに示す多目的プロセスチャンバの分解図である。 図2Cは、図2Aに示す多目的プロセスステーションの切断等角投影図である 。 図2Dは、プロセスモードで示される、図2Aの多目的プロセスチャンバの断 面図である。 図2Eは、搬送モーデ示される、図2Aの多目的プロセスチャンバの断面図で ある。 図3は、電気的フイードスルー装置を有する台座の図2Eからの断面図である 。 図4Aは、図3の電気的フイードスルーの断面図である。 図4Bは、図4Cの切断線4B−4Bに沿う、図4Aの本体の集合体の断面図 である。 図4Cは、図4Aのフイードスルー装置の上面図である。 図5Aは、図3からのセラミック絶縁体バリアーの側面図である。 図5Bは、図5Aの側面図で示す絶縁体バリアーの平面図である。 図6Aは、本発明の実施の形態の2領域ヒータプレートの等角投影図である。 図6Bは、図6Aのヒータプレートの平面図である。 図6Cは、図6Aのヒータプレートの側面図である。 図7Aは、本発明の実施の形態のコネクターポストの等角投影図である。 図7Bは、図7Aのコネクターポストの端面図である。 図7Cは、図7Aのコネクターポストの断面図である。 好ましい実施の形態の説明 図1Aは、従来技術と本発明との両方で使用されるような、クラスターツール を基礎としたプロセスシステムの概略的な平面図である。このクラスターツール 自身は、真空チャンバ101内で実質的に作動する材料取り扱いシステムである 。ウエハ搬送装置103は、真空チャンバの中心から作動するように配置されて おり、また、実質的に円形の真空搬送チャンバ101の周囲の複数の地点に夫々 付加されたプロセスステーションへと、またこれらから、基板、代表的には、I C製造シーケンスでの半導体ウエハを、回転並びに伸長により、配置並びに取り 出すように適合されている。 図示するシステムにおいては、番号1ないし6が付けられた6つのステーショ ン位置があり、これらステーションの各々は、装着フランジとスリットバルブと の配列102により、前記チャンバに適合されている。このような設定において 、2つのステーション5,6は、ウエハをチャンバ101に出し入れするための エアーロックとして使用される。このチャンバ101は、図示しない真空装置に より、高真空に維持される。残りの4つのステーション1〜4は、プロセスのた め に使用可能である。 ウエハは、ロードロック104を介して、チャンバ101の中に外部より搬入 され、代表的には順次4つのプロセスステーションを通って、アンロードロック 105から外に搬出される。しかし、ウエハは、搬送装置103が所望の順序で 配置ならびに取り出しを可能としているので、4つのプロセスステーションを順 次通る必要はない。 図1Bは、図1Aのステーション106の縦断面図であり、このようなプロセ スステーションの付加の代表的な態様を示す。このステーション106は、フラ ンジにスリットが形成されたバルブ装置102により、図1Aのチャンバ101 とは境界をなした、シールド可能なプロセスチャンバに基づいている。ウエハが 処理のためにチャンバ107に搬入され、処理の後にこのチャンバ107から取 り出されることが、この境界を通ってなされる。また、このチャンバ107は、 真空ポンプ用のポート109を有し、このポートを介して、チャンバは排気され る。また、このチャンバは、処理の間、ウエハを支持するための、加熱可能な加 熱台110を有する。この処理で使用されるガスは、ガス供給/制御ユニット1 15から、導管(1もしくは複数)114を流れて、リングマニホールド113 並びにシャワーヘッドマニホールド112を介して、導入される。 図1Aに示すシステムでは、チャンバ101は、チャンバ内を全て高真空に保 ように、常時、実質的な真空ポンプにより排気される。この目的は、プロセスス テーション間の大気による汚染を防止することである。処理されるウエハは、ロ ードックチャンバ104内で、特に、キャリア内で位置され、このロードロック は、チャンバ101内の真空レベルのオーダの真空レベルに排気される。そして 、内方バルブが開成され、かくして、ウエハは、搬送装置103によりロードロ ックから取り出されて、プロセスチャンバ1〜4のいずれか1つに搬送される。 代表的には、プロセスチャンバのうちの1つでの処理の間、真空ポンプは、過 度のプロセスガスを使用しないで、プロセスチャンバの圧力を制御するように絞 られている。このような絞りは、制御可能な開口を備えたバルブを含む、幾つか の手段により果たされ得る。代表的な処理サイクルにおいては、処理が終わった 後に、ガスはユニット115(図1B)でバルブ調節で供給が停止され、前記絞 りのための機構が、プロセスチャンバ内で最大吐出速度を可能にするように開成 される。この目的は、プロセスチャンバ内のガス圧が搬送チャンバ107内のガ ス圧に近くなるように、ガス圧を減じるためである。そして、装置102のスリ ットバルブが開成され、搬送装置103がプロセスチャンバの中に入り、ウエハ 111を回収する。この回収されたウエハは、代表的には、装置103を介して 、他のプロセスステーションに搬送され、ロードロックから、即ち、他のプロセ スステーションからのウエハは、搬入されて加熱台111の上に載置された後に 、搬送装置は、引っ込む。 新たなウエハが、プロセスチャンバ内の加熱台の上に載置されると、装置10 2に関連したスリットバルブが再び閉じられて、プロセスチャンバを搬送チャン バ101から隔離する。そして、プロセスガスが、ユニット115から、導管1 14を介して導入され、また、吐出速度が絞られる。 おおよそ上述したように、図1A並びに1Bを参照して説明した一般的な特性 のプロセスステーションでなされる多くのプロセスがある。例えば、クリーニン グ、エッチング、バックスパッタリング、及び多くの異なる堆積方法がなされ得 る。代表的には、各プロセスは、プロセスに応じて特別にデザインされたチャン バにより行われる。 図2Aは、広範囲のプロセスを果たすことの可能な、本発明の好ましい実施の 形態に係わる多目的プロセスステーションの等角投影図である。そして、図2B は、図2Aに示す多目的プロセスチャンバの分解図である。また、図2Cは、図 2A並びに2Bに示す多目的プロセスステーションの切断等角投影図である。ま た、図2Dは、プロセスモードで示される、図2Aの多目的プロセスチャンバの 断面図である。そして、図2Eは、搬送モーデ示される、図2Aの多目的プロセ スチャンバの断面図である。実施の形態における多目的ステーションは、比較的 複雑な装置なので、幾つかの図と断面とが、ステーションの態様と部材とを良く 説明するために与えられており、多目的プロセスステーションでの動作に従う説 明が、与えられた全ての図を参照することにより最っとも良く理解される。 主に図2A並びに2Bに示すように、多目的プロセスステーション201は、 他の部材と組み合わされて真空容器となるベースチャンバ203により、クライ スターツールに装着されている。このベースチャンバ203は、フランジ207 の所で終端している、側方に延びる通路を規定した延出部205を有する。この フランジは、ステーションがシステム100(図1A)に装着するように、クラ スターツール搬送装置の対応したフランジに装着するように適合されている。ス リットバルブは、図示されておらず、この実施の形態では、フランジ207が装 着されるクラスターツールの一部である。 円筒状(この実施の形態では)のプロセスチャンバ204は、界面で一体的な 真空封止を与える真空シールによりベースチャンバ203の上端に装着されてお り、蓋集合体261が真空シールでプロセスチャンバの上端にシールされている 。この実施の形態では、前記蓋集合体は、プロセスチャンバにヒンジ留めされて おり、また、プロセスチャンバの中にプロセスガスを導入するための装置を有し ている。この蓋集合体と、これに関連した装置とは、以下により詳しく説明され る。説明のこの部分のために、蓋集合体と基台とを備えたプロセスチャンバが閉 じたプロセス容積を与えることに注意することは(図2C並びに図2D)、重要 である。 駆動集合体209が、真空シールで水平フランジによりベースチャンバ203 の下側に装着されている。この水平フランジは、図示しない真空ポンプシステム への装着のための側方出口213を有する円筒状の上方ハウジング211の一部 である。この上方ハウジングは、クライスターツールの真空搬送チャンバに強固 に装着されたベースチャンバ203に強固に装着されていることにより、以下の さらなる説明から明らかになるような他の部材のための構造的支持を果たす固定 部材となっている。 前記駆動集合体の目的は、内部の台座装置215(図2B)を上下に移動させ ることである。この台座装置は、処理されるウエハを支持しかつ加熱するための 加熱支持体を構成している。この台座が最下位置にあるときに、ウエハは、ベー スチャンバに搬入され、支持体の上に載置され得、そして、搬送装置が延出部2 05から抜かれ、関連したスリットバルブが閉成された後に、台座は上昇して、 これに支持されたウエハをプロセスチャンバ内の処理される位置へと移動させる 。 前記駆動装置と台座装置215との関係は、図2C,2D,2Eに、特に良く 示されている。この台座装置215は、ヒータプレートと、電気絶縁プレートと 、以下に詳述される他の部材とを備えた上部217を有する。この駆動装置に係 わるこの説明のために、駆動装置への台座装置の接続が、主に重要である。 図2Eは、ウエハ219を上部217上で支持し、最下位置にある台座装置2 15を示す。この位置で、搬送装置(図1Aに符号103で示す)は、延出部2 05を通ってベースチャンバに入り、台座装置の上部上のウエハを摘んだり載置 させたりすることが可能である。この説明のために、ウエハ219が台座装置の 上に載置されているのが想定され得る。 駆動装置209の上方ハウジング211に注意が向けられる。この上方ハウジ ング211よりも直径が短く硬く円筒状の下方ハウジング221が、上方ハウジ ング211から下方へと延びている。前記台座装置215は、上方構造体224 と、フランジ227の所で終端している下方延出部225とを有する。このフラ ンジ227には、外方円筒部材223が装着されており、この円筒状部材と下方 延出部との間に環状の領域を規定している。この外方円筒部材223は、ベアリ ング材で裏打ちされており、前記下方ハウジング221を囲んでこれにしっかり と嵌め合うように適合されて、偏心した負荷がなく、信頼性良く台座装置215 を上下に移動させるための垂直方向リニアーガイドを形成している。 真空に対しての一体性は、金属ベローズ233により、台座装置を垂直方向に 移動可能にしなが、全装置に対して維持されている。このベローズ233は、下 端のフランジ227と、円筒状の下方ハウジング221の下端に、その外側で固 定されたフランジ229との間をシールしている。このフランジ229は、ベー スチャンバ203に装着されたハウジング211に取着された下方ハウジング2 21の一部として、固定的である。前記フランジ227は、台座装置215の下 方延出部225に取着されているので、台座装置215と共に上下に移動する。 台座装置が下方に移動されるのに従って、ベローズ233は伸び、また、台座装 置215が上方に移動されるのに従って、ベローズ233は、縮まる。この台座 装置は、フランジ229の内側と、主にシリンダー223内の内部ベアリングと により、垂直路内に拘束されている。 前記駆動集合体209と、台座装置の上下方向の移動とに関して、台座装置2 15を最下位置(図2E)と最上位置(図2D)との間で移動させる機構を説明 することが残っている。主に図2Aを参照して、駆動集合体209は、ガイドハ ウジング238内で伸長可能なシャフト237を備え、この実施の形態では、電 気的に駆動かつ力が与えられるリニアーアクチュエータ235を有する。この伸 長可能なシャフトは、図示しない制御システムから受ける制御信号に従って、ハ ウジング238内で伸縮可能である。また、この伸長可能なシャフト237の一 端は、駆動集合体の上方ハウジング211に、Uリンクにより枢着されている。 U字形状のトラックを有するヨーク集合体241が、前記シリンダー223(こ れは台座装置215に強固に取着されている)を囲み、かつ、U字形状のトラッ クの両端部でクランプバー243の両端に枢着されている。このクランプバーは 、駆動ハウジング238をクランプしている。 図2B並びに2Cに示すように、前記ヨーク集合体241は、前記シリンダー 223の両側に夫々装着された2つのベアリング245と係合している。図2B に示すように、カムトラック/ヨーク集合体241のU字形状のトラックの湾曲 端の中心には、長さが調節可能なリンク247の一端が、Uリンク249により 枢着されている。このリンク247の他端は、Uリンク251により、ハウジン グ211に取着されている。 上述した構成において、伸長可能なシャフトが伸ばされるのに従って、ヨーク 集合体は、シャフト237が伸ばされる長さの約1/2の距離だけシリンダー2 23が下方に移動されるように、関節としてUリンク249の所で装着物を備え たレバーとして動かされる。この結果、台座装置は、全体的に、プロセスチャン バ並びにベースチャンバに対して下方に移動される。同様に、シヤフト237が 縮まるのに従って、台座装置は、ベースチャンバ並びにプロセスチャンバに対し て上方に移動される。 台座装置がベースチャンバ並びにプロセスチャンバに対して移動可能とするた めの機構や、本発明の範囲から逸脱しないでなされ得る、図示された機構におけ る種々の変更があることは、当業者にとって自明であろう。例えば、エアーシリ ンダー、エアーオイルシステム、流体圧システム等、使用され得る幾つかの異な る伸長駆動がある。説明した実施の形態は、円滑な移動と精度とを与える。 本発明の一態様において、延出部205を介してのウエハの搬入、搬出のため の下方位置と、処理のためのプロセスチャンバの中への台座上のウエハの上方で の位置付けでの上方位置とを与える台座装置の垂直方向の移動は、また、上方位 置と下方位置との間での異なる吐出速度を与える。また、これを可能にする部材 は、プロセスステーションでの実際の吐出速度の変更をも容易にする。 図2D並びに2Eに示すように、リング形状のライナー253がベースチャン バ203がプロセスチャンバ204と結合される所に配置されている。このライ ナー253の内径は、台座が最上位置にあるときに、台座215の上縁とライナ ー253との間に形成された環状通路255(図2D)の領域を決定する。また 、このライナー253は、熱電同率の低い材料により形成されており、この結果 、台座がプロセス(最上)位置にあるときに、加熱される台座に最も近いプロセ スチャンバとベースチャンバとの部分に対する保護を与えている。 前記ライナー253と関連して、台座215は、基台に取着されかつ成形され た吐出用の円環を形成する環状の覆い257を有する。台座215が最上位置に あるときに、この覆い257が台座215の本体と共に形成している上方の円環 は、ライナー253と一致する覆いの上方リムにより円環255と一致する。図 2Dに示すように、側方の吐出用出口ポート213を介しての、プロセスチャン バからの吐出のための通路は、覆い257と基台の本体との間に形成された環状 通路を通ることは明らかである。 図2Eに示すように、基台215が、ステーションに対してウエハを搬入、搬 出のための最下位置に移動されると、プロセス領域からのガスは、上述した円環 状の覆いの中を通り得るが、覆いの外側の周りで領域259を通って、ハウジン グ211の領域に流れ、さらに、吐出用ポート213を通って排出される。 吐出速度は、処理の後とウエハの搬送の間は、比較的早くなければならないこ とが要求され、そして、処理の間には、全ガス圧を補償するように処理の間の遅 く制御された速度に絞られなければならないことはこの分野の者にとって良く知 られている。従来のシステムにおいて、これは、代表的にはチャンバの吐出ポー ト内の、絞りバルブ等によりなされている。本発明のこの態様においては、この 相違は、取着された突出覆いを備えた台座装置の垂直方向の移動により、簡単に なされる。この態様において、絞りバルブは、正確なプロセス圧力制御のために 使用され得る。 前記ライナーと覆いとのためのあるデザインは、夫々処理において、全く異な る吐出速度を必要としているCVDとPECVDとの両方での広範囲の処理のた めに与えられるのではないことが、この分野の者にとって明らかであろう。本発 明の説明された実施の形態において、異なるプロセスのためには、台座を取り外 し、覆いとライナーとを代えるだけで良い。これは、ルーチン化した保守点検と クリーニングのための計画的な中断時間でなされる得る。さらに、この交換は、 さらに以下に詳細に説明するように、チャンバの他の態様のユニークなデザイン により、比較的簡単なことである。 図2Aないし2Dに示すように、プロセス領域は、蓋リング263と、絶縁リ ング265と、ガス拡散集合体267とを備えた蓋集合体261により閉塞され ている。このガス拡散集合体267は、プロセスガスを導入するための、図示し ない複数のポートと、プロセスガスをプロセスチャンバに導く複数の内部流路と 、プロセスチャンバ内の所定位置にある台座215上の処理されるウエハの全体 に渡って均一にプロセスガスを分布させるようにプロセス領域に設けられた拡散 部材とを有する。このような、ポートと、流路と、拡散部材とはこの分野では良 く知られている。 前記拡散装置267は、この拡散装置に電気的かつ熱的絶縁を与え、種々の処 理方法で要求されるように拡散装置に他の部材に対する電圧の印加を可能にして いる前記絶縁リング265の中にはめ込まれている。このような電圧の印加は、 例えば、プラズマ強化CVD方法で知られているように、プラズマを形成するよ うにチャンバ内のプロセスガスを励起するために使用され得る。前記絶縁リング 265は、真空シールで真空的一体性を与えると共に強固な蓋集合体を形成する ように、蓋リング263と拡散装置267とに結合している。好ましい実施の形 態においては、蓋集合体261は、着脱可能な真空シールを介してプロセスチャ ンバ204にヒンジ留めされており、クリーニングや保守点検のためのアクセス をルーチンに従い比較的容易に可能にしている。 主に図2D並びに2Eに示すように、プロセスチャンバの内部へのアクセスは 、蓋集合体261を緩めて外方に移動させることにより、なされ得る。ステーシ ョン201の底で、下部225がフランジ227に取着されているところでフラ ンジ227に容易なアクセスができる。蓋集合体を開成した状態で、フランジ2 27から台座装置215を外し、これをプロセスステーションの開口した上から 取り外すことができる。このような仕様は、クリーニングや保守点検を必要とす るプロセスチャンバの部分への、また、新たなそして異なる処理状態とするため のライナーや覆いの交換のための迅速かち簡単なアクセスを可能にする。 従来技術に対しての重要な改良は、台座215の上部領域の構造に対してなさ れている。図3は、図2Dでの円形破線269の部分での台座215の上部領域 の断面図である。上述し、また、特に、図2Cを参照することによりわかるよう に、台座215は、上方構造体224と下方延出部225との集合体である。こ の上方構造体224は、閉塞プレート226により上部が閉じられており、また 、これら部材225,226,224は、本質的に中空の真空封止構造体をなす ように真空シールで組み合わされている。上方の閉塞プレート226は、図3を 参照して以下に詳述されるように、処理の間、ウエハを支持するための電極部材 並びにヒータ部材を支持する。この台座装置215の閉塞プレート226は、図 3ではベースプレートであり、通常のOーリング等の真空シールのためや高温に より損傷されるような他の部材のために動作温度を維持するように水冷される。 図3を参照して、閉塞プレート226には、この実施の形態では、2つの貫通 孔が形成されており、この中を、ユニークな電気的フイードスルーユニット30 1が貫通している。1つの貫通孔が図3には示されているが、好ましい実施の形 態では、後で詳述するように、ユニークな1つのヒータプレートのために2つの 貫通孔が形成されている。このフイードスルーユニット301は、真空的一体性 を果たすように真空シールで閉塞プレート226に適合されている。閉塞プレー ト226の1つの貫通孔中のフイードスルーユニット301は、ヒータプレート 303内のヒータ部材に電力を供給する。このヒータプレート303は、電気絶 縁プレート305により、前記閉塞プレート226から離間されている。このヒ ータプレート303の機能は、処理の間、上にウエハが載置されているサセプタ ー307を加熱することである。 前記サセプター307は、熱電動率の高いグラファイトでほとんど形成された 構造体であり、僅かな距離D1だけヒータプレート303とは離間されている。 また、このヒータプレート303は、この間隔D1を介しての対流並びに伝導に よりサセプター307を加熱する。この結果、サセプターの上面全体に渡って、 かくしてウエハの表面全体に渡って比較的一定の温度を与える助けとなっている 。また、このサセプターは、高周波電圧の印加のための有効な電極を構成してい る。かくして、このサセプターは、図3には示していないRFフイードスルーを 介して印加される電気的要素を、これを必要とするプロセスに対して、構成して いる。 2つのフイードスルー301を、RFパワーのための第2のフイードスルーに 接続するヒータ電力線、並びに、種々の他の導線やコネクターが、台座装置21 5の中空の内部(図2C,2D,2Eを参照)に沿って案内されていることによ り、閉塞プレート226の下面の領域に設けられている。電力供給のためや、台 座装置215の構成部材や他の部材への他の利用のための上記電力線並びに導線 は、簡単にするために図面には示されていないが、一般的に、既知のように外部 電源や利用される供給源から延びている。 ここで述べられている好ましい実施の形態においては、閉塞プレート226に は真空シールされた幾つかの他の貫通孔が形成されているが、特には図面に示さ れていない。これら貫通孔は、適当なフイードスルーを備え、内部の部材の温度 を感知するための熱電対、並びにサセプターの温度をモニターするための少なく とも1つの光学センサーを収容している。このようなフイードスルーは、この分 野では一般的に知られている。代表的には所定パターンの3つのセラミック製の ピンを移動させるためのエアーシリンダーで動作される機構が、良く知られてい るように、ヒータ/サセプター集合体に適用されて、サセプター307の表面に 対してウエハを上下させるように設定されている。この結果、搬送装置は、ウエ ハの下に延びてサセプタープレートに対するウエハの持ち上げ並びに配置が可能 となっている。好ましい実施の形態においては、流圧シリンダーが、これの可動 半体がベローズシールを介して閉塞プレートの中の開口を通って延長可能なよう に、閉塞プレート226の下側に適用されている。この流体圧シリンダーは、1 /2インチのストロークを有し、ヒータとサセプタープレートとに形成された小 孔を貫通した3つのセラミック製のピンを支持し、ヒータの下方に配置されたス パイダーを駆動する。 台座装置215は、ウエハが搬送可能である図2Eに示す下降位置にあるとき には、サセプター307上のウエハは、上述した流体圧シリンダーにより駆動さ れて上昇するセラミック製のピンにより、サセプターの上面から持ち上げられ得 る。そして、搬送アーム(図1の符号103を参照)がプロセスステーション内 で、ピン上のウエハの下で、サセプターの上方に沿うに延出され得る。このとき に、ピンを引っ込めることにより、ウエハは搬送アーム上に載置され、このアー ムは、ウエハと共に取り出され得る。このプロセスは、サセプターの上に、処理 される新たなウエハを載置する場合には逆となる。 図3に示すフイードスルー301が、図4Aに分離して示されている。セラミ ック本体403が、この実施の形態ではインターメタリックボンディングにより 金属本体405に結合されている。この金属本体は、閉塞プレート226(図3 )に形成された適当な開口を介してシール可能な一体的な本体を形成するように 、Oーリングのような真空シールのためのシール溝407を有する。硬いニッケ ルワイヤー409が、この分野で知られているようなインターメタリックボンデ ィングにより、前記セラミック本体403にシールされており、通常の電力線4 11に、伝導熱により問題が生じないようなフイードスルーから充分に離れた所 で、例えば、半田付けにより接続されている。 前記フイードスルー301の真空側で、前記ニッケルワイヤー409は、セラ ミック本体403の開口415内で組み合わされ、ヒータプレート303からの ポスト(図3)を受けるように適合されたソケット413に接続されている。こ れらポストは,以下に詳述される。これらソケット413は、前記ワイヤー40 9により垂直方向で拘束されており、適当な硬さを有する。前記開口415は、 夫々ソケット413よりも少し大きい直径を有し、ソケット413が横方向に自 由に動くことを可能にしている(ワイヤー409は横方向の動きに対しては僅か にしか抵抗しない)。このように、横方向の動きが自由なことにより、ポストを 有するヒータプレート(さらに以下に説明する)を閉塞プレートに組み合わせる ときの少しの移動並びにミスアラインメントを許容している。 図4Cは、図4Aのフイードスルー301の上面図であり、図4Bは、図4A の断面から90度実質的に回転された、図4Cの切断線4C−4Cに沿うフイー ドスルー301の本体の集合体の断面図である。断面図4Bは、円形開口419 の下方で、セラミック本体403に形成された溝417に沿っている。この開口 419には、ヒータプレート303の円形部分が挿入されている。この円形部分 からはコネクターポストが突出されている。また、前記溝417には、セラミッ ク絶縁バリアー309のバッフル延出部311が挿入されている。このバリアー は、電気的フイードスルーの個々のポスト間の視線(line of sigh t)見通し線の電気的相互作用を防ぐ機能を果たす。 図5A並びにBは、前記セラミック絶縁バリアー309の上面図並びに側面図 である。このバリアーは、両ソケット413の位置を囲むのに充分な大きさの直 径を有する。また、このバイアー309は、この実施の形態では円形開口511 ,513を有する。これら開口は、ヒータプレート303に設けられたポストが 、後で詳述されるような方法で貫通可能なように設定されている。さらに、この バリアー309のバッフル延出部311は、セラミックバリアーの平坦な本体に 対して実質的に90度でバリアー309の全直径に渡って、バリアー壁に沿って 延出しており、また、ヒータプレートが説明された実施の形態の台座に組み合わ されたときに前記溝417と係合するように設定されている。 図6Aは、図3に示すヒータプレート303の等角投影図であり、図6Bは、 同ヒータプレートの平面図であり、そして、図6Cは、側面図である。この実施 の形態ではヒータプレート303は、内方領域603と、外方領域605とを有 するユニークな2領域ヒータである。これら領域は、ヒータプレートを厚さ方向 に貫通した2つの溝607.609により区分されている。また、内方領域60 3は、ヒータ上のサセプターの上に載置されるウエハの直径に渡って当接するよ うに設定されており、また、外方領域605は、ヒータプレートの残りの領域を 実質的に占めている。この実施の形態では、ヒータプレート303は、薄膜の加 熱部材を備えたセラミック集合体である。 2つの分離したコネクターポスト構造体611は、この実施の形態ではヒータ プレート303上に構成されており、一方は内方領域603に機能し、他方は、 外方領域605に機能する。独立したパワーが与えられることの可能な2つの分 離した領域の提供は、2領域ヒータを覆うサセプター上のウエハを加熱するとき のエッジ効果に対抗するように加熱分布をターンさせることを可能にしている。 かくして、ウエハを外周に至まで均一に加熱することができ、これは、従来技術 のヒータに対して優れた効果である。 図7A,B,Cは、主として図4A,B,Cを参照して前述したヒータプレー トとソケットとの集合体に関連して、本実施の形態で使用されるユニークなコネ クターポストを示す。図7Aは、コネクターポストの等角投影図であり、図7B は、拡大端面図であり、そして、図7Cは、図7Bの切断線7C−7Cに沿って 切断された断面図である。 コネクターポスト701は、ねじ部703と、フランジ705と、可撓性のフ インガーポスト延出部707とを有する。この実施の形態では、全長は、約1/ 2インチであり、ねじ部とポスト延出部とは夫々約1/4インチであるが、他の 実施の形態では、これよりも大きいか小さいポストが使用され得る。前記ねじ部 のねじは、好ましくは、細かいねじであるが、幾つかの異なるねじサイズが使用 され得る。 図7A,B,Cに示す好ましい実施の形態において、コネクターポスト701 のポスト延出部707は、図示されたフィンガー709のような12本の等しい 可撓性フィンガーに分けられている。このコネクターポストは、幾つかの種類の ステンレススチールの一つのような化学的に耐性のある材料で代表的には形成さ れ、フィンガーの適当なばねテンションを与えるように、この分野で知られた方 法で熱処理される。 図6A,B,Cに示すように、各コネクターポスト構造体611は、2つのね じ穴が形成された起立部601を有する。前記絶縁バリアー309は、各起立部 上に位置されており、また、コネクターポスト701は、フランジ705がヒー タプレートに絶縁バリアーを捕獲かつ支持させるように、絶縁バリアーの開口5 11,513を貫通しかつこれらにねじ留めされている。この集合体は、図3を 参照して明確に示されている。前記ヒータプレート303は、ねじ孔がヒータプ レートの対応する加熱部材に各ヒータプレートが適合するのを可能とするように デザインされている。上述したユニークな構造を利用するようにヒータをデザイ ンするであろう多くの異なる構造があることは、この技術の分野の者にとって明 らかであろう。 フイードスルー301のフイードスルー貫通部が、ヒータプレート303のコ ネクターポスト構造体611と一致するように要求されたパターンで閉塞プレー ト226に設けられている。ヒータプレートが閉塞プレートに組み合わされる場 合には、各コネクターポスト構造体の起立部601は、円形開口419(図4A 並びに4Bを参照)と係合する。同時に、絶縁バッフル309のバッフル延出部 311は溝417と係合し、見通し線構造を形成しない。上述したように、フイ ードスルー301のワイヤー409上のソケット413は、ポストの可撓性フイ ンガーと共に横方向の移動が可能になっていることにより、容易で確実な係合が 可能となっている。 ここで説明されている好ましい実施の形態においては、単一のヒータプレート に形成された2つの分離した加熱領域にパワー接続を与える2つのコネクターポ スト構造体が設けられている。また、この実施の形態においては、図示していな いが、プラズマ強化CVD(PECVD)プロセスでのRFバイアスのための高 周波接続を果たすために設けられた同様のソケットーポスト構造を使用している 。しかし、これよりも多いか少ないコネクターポスト構造体があり、また、2つ のポストーフイードスルーが高周波バイアスのために使用され得ることは、この 分野の者にとって明らかであろう。 本発明の精神並びに範囲から逸脱しないで、ここで説明された実施の形態でな され得る多くの異なる詳細とデザインとがあることは、この分野の者にとって明 らかであろう。このような多くの変形は、すでに述べられているが、他にも多く ある。例えば、集積回路の製造において現在使用されている多くのサイズのウエ ハがあり、また、本発明の実施の形態に係わるプロセスステーションは、個々の サイズのウエハもしくは一連のサイズのウエハに適用できるように構成され得る 。本発明に係わるステーションは、例えば、12インチもしくはそれ以上の径の ウエハに適用されるようにサイズが設定され得るが、名目上8インチ径のウエハ を適当、均一かつ効果的に加熱するように、ヒータ構造に合わされる。 本発明の範囲の他の例として、詳述された駆動集合体209は、本発明の種々 の実施の形態での台座集合体を上下させるための、効率が良く、円滑で、寿命の 長い駆動を提供する。現在の技術に対してユニークな発明的相違を維持しながら 、ある実施の形態に組み入れられる完全に異なる駆動ではあるが、使用され得る 駆動での多くの変更がある。 さらなる例として、本発明の多くの部材でなされ得る多くの材料、例えば、ヒ ータプレートやサセプターのための材料の変更がある。なされ得る広範囲の変更 の観点で、本発明は、請求の範囲によってのみ制限される。
───────────────────────────────────────────────────── 【要約の続き】 ロセスチャンバの上部は、基台(215)並びに/もし くはヒータ集合体の取り外しを可能とするように、着脱 可能のとなっている。

Claims (1)

  1. 【特許請求の範囲】 1.第1の内径を有するほぼ円形の下縁を備えたプロセスチャンバ部分と、 このプロセスチャンバ部分の下に位置され、真空吐出ポートと、基板搬送ポー トと、前記第1の内径よりも大きい、プロセスチャンバ部分と真空吐出ポートと の間の第2の内径とを有するベースチャンバ部分と、 前記第1の内径よりも小さい外径を有するほぼ円形の上方支持面を備え、そし て、垂直方向の移動を可能にするダイナミック真空シールにより、前記搬送ポー トの下でベースチャンバ部分に適合された基板支持台座と、 前記プロセスチャンバのほぼ円形の下縁と同一平面の処理位置、もしくは、前 記吐出ポートの上方で搬送ポートの下方であるベースチャンバ部分内の下方搬送 位置に、前記上方支持面を位置させるように基板支持台座を移動するように設け られた垂直移動駆動システムとを具備し、 前記基板支持台座が処理位置にあるときに、この基板支持台座の外径と、前記 大きい第1の内径とは、真空吐出ポートを通るプロセスチャンバ部分からの第1 の制限された吐出速度を決定する第1の環状領域を有する第1の環状の吐出通路 を形成するように設定され、また、前記基板支持台座が下方搬送位置にあるとき に、この基板支持台座の外径と、前記大きい第2の内径とは、前記第1の制限さ れた吐出速度よりも早い、プロセスチャンバからの第2の吐出速度を可能にする ように、前記第1の環状領域よりも大きい第2の環状領域を有する第2の環状吐 出通路を形成するように設定されている、クラスターツールのためのプロセスス テーション。 2.前記第1の内径は、交換可能なリングにより規定され、一定の外径と夫々 異なる内径とを有する複数の交換可能なリングを夫々交換することにより、前記 第1の吐出速度が早くなるように変更され得る請求項1のプロセスチャンバ。 3.ほぼ環状の上方支持面の所から始まる基板支持基台の部分を囲み、ほぼ環 状の上方支持面の下方に延びた環状の覆いをさらに具備し、ほぼ環状の上方支持 面の高さの所でのこの環状の覆いの径は、前記第1の内径とほぼ等しく、この結 果、前記基板支持台座が処理位置にあるときに、環状の覆いは、この環状の覆い と基板支持台座との間で環状の覆いの中に流れてプロセスチャンバからの全ての ガスの流れを拘束するように第1の内径と合うように設定されている請求項1の プロセスチャンバ。 4.前記プロセスチャンバの上方外縁を閉塞する取り外し可能な蓋をさらに具 備し、また、前記ダイナミックな真空シールは、前記蓋とこのダイナミックな真 空シールとが取り外されて、プロセスチャンバ領域内からこのプロセスチャンバ 領域の上方へと基板支持台座が抜かれることを可能にするような、取り外し可能 なシールである請求項1のプロセスステーション。 5.前記取り外し可能な蓋は、基板支持台座が処理位置にあるときに、この基 板支持台座の上に支持された基板の露出面全体に渡って均一にプロセスガスを供 給するためのガス分布システムを有する請求項4のプロセスステーション。 6.前記基板支持台座は、前記上方支持面と平行で、プロセスチャンバのため の真空境界を規定する閉塞プレートと、この閉塞プレートとは断熱され、プロセ スチャンバ側に位置するヒータプレートと、このヒータプレートの上方に、これ とは離間して配置され、前記上方支持面を規定した、電気的に絶縁されたサセプ ターとを有する請求項1のプロセスステーション。 7.前記ヒータプレートは、別々に電力が供給される内方加熱領域と外方加熱 領域とを有し、これら内方加熱領域と外方加熱領域とへの電力を制御することに より、ヒータプレート全体に渡っての温度プラファイルが制御され得る、ほぼ円 形のヒータプレートである請求項6のプロセスステーション。 8.前記内方加熱領域は、ヒータプレートに形成された少なくとも1つの環状 アークにより、前記外方加熱領域とは分離されている請求項7のプロセスステー ション。 9.前記内方加熱領域は、ヒータプレートにより加熱される基板の直径とほぼ 等しい直径を有する請求項7のプロセスステーション。 10.前記ダイナミック真空シールは、ステンレススチール製のベローズであ る請求項1のプロセスチャンバ。 11.ヒータプレートに平行なサセプタ上に載置された基板を加熱するための ほぼ円形でほぼ平坦な2領域ヒータプレートであり、これは、 第1のセットの電気コネクターにより電力が供給される実質的に円形の第1の 中心領域と、 この第1の領域を囲み、第2のセットの電気コネクターにより電力が供給され る第2の実質的に円形の外側領域と、を具備するヒータプレート。 12.前記中心領域は、円形アークを規定した少なくとも1つの溝により前記 外側領域から分離されている請求項11のヒータプレート。 13.前記電気コネクターは、ほぼ平坦なヒータプレートの面から直角に延び た少なくとも1つの電気的接触ポストを具備し、この電気的接触ポストは、円形 パターンに配列され、電気接触を果たすように導電性の中空円筒ソケットと係合 するように設定された、複数の導電性カンチレバー形式のばねフインガーを有す る請求項11のヒータプレート。 14.各セットの電気コネクターは、互いに近接された2つのカンチレバー形 式のばねフインガー接触ポストを有する請求項13のヒータプレート。 15.各領域を構成する2つの電気接触ポストは、電気絶縁バリアーを貫通し 、このバリアーをヒータプレートに支持させ、また、この電気絶縁バリアーは、 ヒータプレートの面に直角に延び、2つの電気接触ポストを分ける壁部分を有す る請求項14のヒータプレート。 16.実質的に平坦なヒータプレートのための電気接触構造体であり、これは 、 中に2つのテーパ付き孔を備えた平坦な上面を有した円形起立部であり、前記 テーパ付き孔はこの円形起立部の直径となる直線上に位置し、この円形起立部の 中心からほぼ等しい距離にある、と、 前記円形起立部と直径が等しく、円形起立部の2つのねじ付き孔に等しく離間 して円形部を通す2つの孔、並びに平坦な円形部分の平面に直角に延び、2つの 孔の中心を結ぶ線に直交して平坦な円形部分を2分した絶縁バリアー構造体と、 それぞれねじ付き端部と、中心肩フランジと、ねじが形成されていない端部と を有する2つの接触ポストと、を具備し、 前記2つの接触ポストのねじ付き端部は前記絶縁バリアーの2つの孔を貫通し 、前記中心肩フランジは、絶縁バリアー構造体の平坦な円形部分を円形起立部に 支持させ、そして、接触ポストのねじが形成されていない端部は、絶縁バリアー 構造体の平坦な円形部分から延出し、また、絶縁バリアー構造体の壁部分は、2 つの接触ポスト間に見通し線を形成する、電気接触構造体。 17.前記接触ポストのねじ付き端部の各々は、円形のパターンに配置され、 かつ導電中空円筒ソケットと係合して電気的接触を果たす、導電性の複数のカン チレバー形式のばねフインガーを有する請求項16の電気接触構造体。 18.真空バリアー壁に形成された開口を介して装着されるように設定され、 かくして、真空バリアー壁を境にして真空側と非真空側とが存在するフランジ/ 真空シール構造体と、真空側と非真空側との両方に存在し、第1の円形開口が第 1の深さを有し、第1の内径の開口が真空側にある非導電性部分とを有する本体 と、 非真空側から真空側の第1の円形開口中へと前記非導電性部分を介してシール された少なくとも1つの導電性ポストと、 第2の円形開口と前記第1の内径よりも小さい外径とを有し、前記非導電性部 分の第1の円形開口中に装着され、かつ第1の円形開口内で導電性ポストに接続 された導電性ソケットとを具備し、 前記導電性ソケットは、前記第1の開口内で横方向には可動であるが、第1の 深さの方向には不動である電気フイードスルー。 19.前記非導電性部分を通る2つの電気的に独立したポストとソケットとの 構造体を有する請求項18の電気フイードスルー。 20.本体部分は、非導電性部分に加えられた金属部分を有し、前記フランジ /真空シール構造体は、この金属部分に設けられている請求項18の電気フイー ドスルー。
JP53866898A 1997-03-03 1998-03-02 化学蒸着プロセスのための多目的プロセス室 Expired - Lifetime JP3217798B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US810,255 1997-03-03
US08/810,255 1997-03-03
US08/810,255 US5855675A (en) 1997-03-03 1997-03-03 Multipurpose processing chamber for chemical vapor deposition processes
PCT/US1998/004062 WO1998039495A1 (en) 1997-03-03 1998-03-02 Multipurpose processing chamber for chemical vapor deposition processes

Publications (2)

Publication Number Publication Date
JP2000510652A true JP2000510652A (ja) 2000-08-15
JP3217798B2 JP3217798B2 (ja) 2001-10-15

Family

ID=25203405

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53866898A Expired - Lifetime JP3217798B2 (ja) 1997-03-03 1998-03-02 化学蒸着プロセスのための多目的プロセス室

Country Status (5)

Country Link
US (1) US5855675A (ja)
EP (1) EP0972092B1 (ja)
JP (1) JP3217798B2 (ja)
DE (1) DE69830310T2 (ja)
WO (1) WO1998039495A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002343786A (ja) * 2001-05-21 2002-11-29 Tokyo Electron Ltd 半導体処理装置
JP2007088324A (ja) * 2005-09-26 2007-04-05 Hitachi Kokusai Electric Inc 発熱体の保持構造体、絶縁構造体、加熱装置および基板処理装置
KR100797428B1 (ko) * 2000-05-18 2008-01-23 가부시키가이샤 알박 진공처리장치와 다실형 진공처리장치
JP2012033926A (ja) * 2011-07-19 2012-02-16 Hitachi Kokusai Electric Inc 絶縁構造体、加熱装置および基板処理装置

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
JP2000323487A (ja) * 1999-05-14 2000-11-24 Tokyo Electron Ltd 枚葉式熱処理装置
US6144013A (en) * 1999-07-01 2000-11-07 International Business Machines Corporation Local humidity control system for low temperature electronic module
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
US6414276B1 (en) 2000-03-07 2002-07-02 Silicon Valley Group, Inc. Method for substrate thermal management
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
TW496907B (en) * 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
DE50104178D1 (de) * 2000-06-22 2004-11-25 Unaxis Balzers Ag Beschichtungsanlage für scheibenförmige Werkstücke
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6235656B1 (en) 2000-07-03 2001-05-22 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6562141B2 (en) 2000-07-03 2003-05-13 Andrew Peter Clarke Dual degas/cool loadlock cluster tool
US6692324B2 (en) * 2000-08-29 2004-02-17 Ut-Battelle, Llc Single self-aligned carbon containing tips
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6547876B2 (en) 2001-02-07 2003-04-15 Emcore Corporation Apparatus for growing epitaxial layers on wafers by chemical vapor deposition
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (ko) * 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6916374B2 (en) * 2002-10-08 2005-07-12 Micron Technology, Inc. Atomic layer deposition methods and atomic layer deposition tools
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
CN102191483B (zh) * 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US6899545B2 (en) * 2003-10-16 2005-05-31 Special Hermetic Products, Inc. Coupling and method for producing a hermetic seal
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
WO2007076195A2 (en) 2005-11-22 2007-07-05 Genus, Inc. Small volume symmetric flow single wafer ald apparatus
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100111650A1 (en) * 2008-01-31 2010-05-06 Applied Materials, Inc. Automatic substrate loading station
US20110070370A1 (en) 2008-05-28 2011-03-24 Aixtron Ag Thermal gradient enhanced chemical vapour deposition (tge-cvd)
WO2010008827A2 (en) * 2008-06-24 2010-01-21 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US20100279020A1 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. METHOD OF FORMING IN-SITU PRE-GaN DEPOSITION LAYER IN HVPE
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
WO2012134605A1 (en) * 2011-03-25 2012-10-04 Applied Materials, Inc. Method and apparatus for thermocouple installation or replacement in a substrate support
DE102012103295A1 (de) 2012-01-09 2013-07-11 Aixtron Se Räumlich optimierte Anordnung zum Bearbeiten von Halbleitersubstraten
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9879684B2 (en) * 2012-09-13 2018-01-30 Kla-Tencor Corporation Apparatus and method for shielding a controlled pressure environment
DE102013111790A1 (de) 2013-10-25 2015-04-30 Aixtron Se Energie- und materialverbrauchsoptimierter CVD-Reaktor
DE102013113052A1 (de) 2013-11-26 2015-05-28 Aixtron Se Heizeinrichtung für einen CVD-Reaktor
US9435031B2 (en) 2014-01-07 2016-09-06 International Business Machines Corporation Microwave plasma and ultraviolet assisted deposition apparatus and method for material deposition using the same
KR102352739B1 (ko) * 2014-04-09 2022-01-17 어플라이드 머티어리얼스, 인코포레이티드 개선된 유동 균일성/가스 컨덕턴스로 가변 프로세스 볼륨을 처리하기 위한 대칭적 챔버 본체 설계 아키텍처
US10186450B2 (en) * 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
WO2016040547A1 (en) * 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
US10704142B2 (en) * 2017-07-27 2020-07-07 Applied Materials, Inc. Quick disconnect resistance temperature detector assembly for rotating pedestal
US11270898B2 (en) 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
JP2020147772A (ja) * 2019-03-11 2020-09-17 東京エレクトロン株式会社 成膜装置及び成膜方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
JPH06275528A (ja) * 1993-03-18 1994-09-30 Hitachi Ltd 真空処理装置の排気部構造
JPH08260158A (ja) * 1995-01-27 1996-10-08 Kokusai Electric Co Ltd 基板処理装置
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797428B1 (ko) * 2000-05-18 2008-01-23 가부시키가이샤 알박 진공처리장치와 다실형 진공처리장치
JP2002343786A (ja) * 2001-05-21 2002-11-29 Tokyo Electron Ltd 半導体処理装置
JP2007088324A (ja) * 2005-09-26 2007-04-05 Hitachi Kokusai Electric Inc 発熱体の保持構造体、絶縁構造体、加熱装置および基板処理装置
JP2012033926A (ja) * 2011-07-19 2012-02-16 Hitachi Kokusai Electric Inc 絶縁構造体、加熱装置および基板処理装置

Also Published As

Publication number Publication date
EP0972092B1 (en) 2005-05-25
US5855675A (en) 1999-01-05
DE69830310T2 (de) 2006-01-26
DE69830310D1 (de) 2005-06-30
EP0972092A4 (en) 2002-04-10
EP0972092A1 (en) 2000-01-19
JP3217798B2 (ja) 2001-10-15
WO1998039495A1 (en) 1998-09-11

Similar Documents

Publication Publication Date Title
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
US5366002A (en) Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing
US6176198B1 (en) Apparatus and method for depositing low K dielectric materials
US6508197B1 (en) Apparatus for dispensing gas for fabricating substrates
EP1159465B1 (en) Method of atomic layer deposition
US5886864A (en) Substrate support member for uniform heating of a substrate
EP1243016B1 (en) Method and apparatus for ionized physical vapor deposition
US6375748B1 (en) Method and apparatus for preventing edge deposition
US6371712B1 (en) Support frame for substrates
US20030019428A1 (en) Chemical vapor deposition chamber
TWI387667B (zh) 用於自化學氣相蝕刻處理室移除副產物沉積的原位處理室清潔製程
KR100373878B1 (ko) 기판상의박막층을에칭하기위한장치및방법,그리고이를위하여기판지지부재의수용부상에기판을위치시키고클램핑시키는장치및방법
US20050098111A1 (en) Apparatus for single-wafer-processing type CVD
JP2000513501A (ja) プラズマ発生源、真空ポンプ用装備、及び/又は片持梁式基板サポートのような装置モジュールを含む万能真空チャンバ
US20220356574A1 (en) Cvd device pumping liner
JP3253002B2 (ja) 処理装置
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
KR20000048002A (ko) 기판처리장치
US20230054699A1 (en) Radiofrequency Signal Filter Arrangement for Plasma Processing System
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070803

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080803

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090803

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110803

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120803

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130803

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350