JP2009094530A - 超高スループット・ウェハ真空処理システム - Google Patents

超高スループット・ウェハ真空処理システム Download PDF

Info

Publication number
JP2009094530A
JP2009094530A JP2008301430A JP2008301430A JP2009094530A JP 2009094530 A JP2009094530 A JP 2009094530A JP 2008301430 A JP2008301430 A JP 2008301430A JP 2008301430 A JP2008301430 A JP 2008301430A JP 2009094530 A JP2009094530 A JP 2009094530A
Authority
JP
Japan
Prior art keywords
chamber
wafer
processing
gas
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008301430A
Other languages
English (en)
Inventor
Dan Maydan
メイダン ダン
Ashok Sinha
シンハ アショック
Kevin Fairbairn
フェアベアン ケヴィン
Lane Christopher
レーン クリストファー
Kelly Colborne
コルボーン ケリー
Hari Ponnekanti
ポンネカンティ ハリ
W Nick Taylor
ニック テイラー ダブリュー.
Sasson Somekh
ソメック サスーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009094530A publication Critical patent/JP2009094530A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

【課題】1つの処理室内で少なくとも2つのウェハを同時に処理するロードロック室、移送室及び1以上の処理室を含むウェハ処理用装置を提供する。
【解決手段】ロードロック室と、搬送室と、前記搬送室に接続された互いに空間的に隔離される複数の処理領域を各々に形成する1つ以上の処理室と、前記搬送室内に配置された第1ウェハ・ハンドリング部材を含むウェハ処理装置を提供する。処理室は、少なくとも2つの処理領域で複数の分離されたプロセスを同時に行なうことができるように構成し、共有ガス源、共有排気システム、別個のガス分配アセンブリ、別個のRF電源、および別個の温度制御システムによってもたらされる高度な処理制御により、1つの処理室内で少なくとも2つのウェハを同時に処理することができる。
【選択図】図4

Description

本発明は、集積回路の製造において多数のウェハを同時処理する方法、およびそのためのシステムおよび個々のシステム構成部品を含む装置に関する。さらに詳しくは、本発明は、1つ以上のユーティリティ、1つ以上のロードロック室、およびロードロック室と処理室の両方に接続された搬送室を共用する1つ以上の処理室を有する多段型真空システム(staged vacuum system)に関する。
「クラスタ・ツール」という用語は一般に、中央ウェハ・ハンドリング・モジュールおよび多数の周辺処理室を備えたモジュール式マルチチャンバ総合処理システムを指す。クラスタ・ツールは、高度な超小型電子デバイスを製造するための効果的で効率的な装置として、一般的に受け入れられるようになってきた。ウェハをクラスタ・ツールに導入すると、様々な処理室でウェハに一連の処理段階が順次行なわれ、集積回路が形成される。処理室間のウェハの移送は一般的に、中央搬送領域に位置するウェハ・ハンドリング・モジュールによって制御される。一般的にクラスタ・ツールは、枚葉式ウェハ処理型(single wafer processing)とバッチ式ウェハ処理型の2種類がある。枚葉式ウェハ処理型とは一般に、単一のウェハが処理にために配置されるチャンバ構成を指す。バッチ式ウェハ処理型とは一般に、複数のウェハを回転台上に配置し、回転台を360°回転しながら、これらのウェハをチャンバ内の様々な位置で処理するチャンバ構成を指す。バッチ処理用に構成されたクラスタ・ツールは、単一チャンバで複数のウェハ、一般的には4枚ないし7枚のウェハを、同時に処理することができる。
図1および図2は、市販されているバッチ処理システム10の例を示す。図1は、ノベラス社(Novellus Corporation)から入手できるバッチ処理型放射状クラスタ・ツールの略平面図である。このクラスタ・ツールは、処理のためにウェハを各々6枚づつ保持できる2つのバッチ処理室12、13を含む。搬送室18に配置された枚葉式ウェハ・ハンドリング・ロボット16を用いて、ウェハはロードロック室20から第1バッチ処理室12に1枚づつ移送され、第1バッチ処理室でウェハは順次回転台22上に受容された後、同一の処理段階を受ける。次いでウェハは1枚づつ第2バッチ処理室13に移送され、そこで追加の処理段階を受ける。一般的に、ウェハは一度に1枚づつシステムに装填され、チャンバに移送され、そこでウェハは、回転台上で360°回転しながら様々な位置で部分処理を受ける。
図2Aおよび図2Bは、マットソン・テクノロジー社(Mattson Technology)から入手できるバッチ処理用クラスタ・ツール10の略平面図および略側面図である。ロードロック室20および搬送室18は、搬送室内でウェハをステージに移載できる共通のウェハ・エレベータ19を有する。搬送ロボット16は、4枚までのウェハを保持する化学気相成長(CVD)室などの処理室にウェハを搬送する。次いでウェハはウェハ・エレベータに戻され、最終的にツールから引出される。
上述のクラスタ・ツールで実行される処理をはじめとするバッチ処理の1つの欠点は、バッチ処理では、ウェハの中心部からウェハの周縁部までの堆積均一性が、しばしば低くなることである。ウェハの堆積の均一性を得るためには、処理の均一性が重要である。バッチ処理システムの均一性の低さは、複数のウェハが単一の処理室内の複数のステーションで部分処理されることが、直接の原因である。
処理の均一性を改善する1つの代替的方法は、枚葉式ウェハ処理室を使用することである。枚葉式ウェハ処理方式では、単一のウェハが処理室に配置され、そこでウェハは別の位置に移動する必要が無く、堆積段階やエッチング段階などの処理段階が完全にウェハに実施されるので、処理の均一性に対する高度な管理が実現されると考えられる。さらに、枚葉式ウェハ処理室の構成部品は同心的に、またはその他の方法で単一のウェハと相対的に配置することができる。
図3は、複数の枚葉式ウェハ処理室12を装備したクラスタ・ツール10の略平面図を示す。図3に示すのと同様のクラスタ・ツールは、カリフォルニア州サンタクララのアプライド・マテリアルズ社(Applied Materials,Inc.)から入手することができる。このツールはロードロック室20および搬送室18を備えており、搬送室18は、ウェハをシステム内の1つの位置から別の位置に、特に複数の枚葉式ウェハ処理室12間で移動するウェハ・ハンドリング・モジュール16を含む。この特定のツールは、搬送室を中心に放射状に配置された枚葉式ウェハ処理室12を4つまで収容する状態を示している。
均一なウェハ処理および高度のスループットを達成する真空処理システムの必要性がある。さらに詳しくは、枚葉式ウェハ構造をバッチ式ウェハ・ハンドリング技術と統合するために、協力的に作動する総合システムと処理室の必要性がある。フットプリント(footprint)/フェイスプリント(faceprint)が小さく、必要な資本投資や運転費が一般的なクラスタ・ツールより低いシステムを実現することが望ましい。
本発明は一般的に、ロードロック室、移送室及び1以上の処理室を含むウェハ処理用装置を提供するものであり、各室はその中に複数の孤立した処理領域を画成し、各処理領域は移送室に結合されている。好ましくは、ウェハ・ハンドリング部材は、移送室内で効率的にシステム内をウェハが通過するようにシステムの前端部に配置される。
本発明の1つの面において、システムはシステムを通じて少なくとも2つのウェハの同時移送を提供する。ウェハは好ましくは共通のガス管と1つの排出システムを共有するタンデム室セット内で同時に処理される。室セット内の各処理領域は、処理の間ウェハを支持するためにその中に配置されたペデスタルを含む。各ペデスタルは、処理の間所望のウェハ温度を維持するために加熱及び/又は冷却要素を含むこともできる。従って、本システムは、増加したウェハ・スループットを有する単一のウェハ処理システムを提供する。
本発明の他の面は、独立の温度および電源コントロールが各処理領域に与えられることである。好ましくは、ウェハの温度コントロール及びガス分配プレートへの電力供給が分視され独立にコントロールされている間、処理ガスおよび排出システムは共用される。本発明の他の面において、システムを提供し、容易な取り付けとシステムの立ち上げのための単一フレーム上に据え付けられる。前記システムの後端部は好ましくは、システムを操作するために必要とされる用役を含む。しかし、一部の圧送及び電力用役は、製造設備内のポンプ・アレー中に配置されてもよい。
本発明は一般に、複数のウェハを同時に処理し、枚葉式ウェハ処理室の利点とマルチウェハ・ハンドリングの利点とを結合して高品質ウェハ処理、ウェハ・スループットの向上、およびシステムのフットプリントの縮小化を達成する、カセット・ツー・カセット方式の真空処理システムを提供する。本発明の1態様によるシステムは、処理後のウェハの冷却機能を兼ね備えたウェハをシステムに導入するためのロードロック室と、ウェハ・ハンドラを収容するための搬送室と、共通のガス供給源および共通の排気ポンプを共用することが好ましく相互に分離可能な2つ以上の処理領域を各々有する1つ以上の処理室とを一般に含む多段型真空システムであることが望ましい。分離可能とは、処理領域が隣接領域から分離された閉込めプラズマ・ゾーンを有し、それが排気システムを介して隣接領域と選択的に連絡可能であるという意味である。各処理室内の処理領域は、各処理領域におけるウェハ表面全体のプラズマ密度を均一にするために、別個のガス分配アセンブリおよびRF電源装置を装備することが望ましい。処理室は、少なくとも2つの領域で複数の分離されたプロセスを同時に実行できるように構成し、共有ガス源、共有排気システム、別個のガス分配アセンブリ、別個のRF電源装置、および別個の温度制御システムによって達成される高度のプロセス制御により、別個の処理領域で少なくとも2枚のウェハを同時に処理できるようにする。説明を簡単にするために、処理室内の処理領域という用語は、プラズマ処理が実行されるゾーンを示すために使用する。
図4ないし図7は、本発明の処理システム100を概略的に示す。システム100は、簡単に据え付けることができ運転を高速開始するメインフレーム構造101に支持され、必要な処理用ユーティリティを装備した自立システムである。システム100は一般に、4種類の領域を含む。すなわち、ウェハ・カセット109(図8参照)を支持し、ウェハのロードロック室112への送込みおよびロードロック室からの取出しを行なう前置ステージング領域102と、ウェハ・ハンドラを収容する搬送室104と、搬送室104に取り付けられた一連のタンデム型処理室106と、システム100の動作に必要なガス・パネル103、配電盤105、および発電機107など、システム100の動作に必要な支持ユーティリティを収容する後部108の4種類である。システムは、CVD、PVDおよびエッチングなど様々なプロセスおよび支持チャンバ・ハードウェアを受け入れるように適応させることができる。以下で説明する実施例は、シラン処理などのDCVDプロセスを用いてけい素酸化物を堆積するシステムを対象とする。しかし、これらの他のプロセスも本発明によって意図されていることを理解されたい。
前置ステージング領域図8は、1つ以上の処理用ウェハ・カセット109を支持するためにプラットフォーム110に回転可能に取り付けられた1つ以上のウェハ・カセット回転台111を有するステージング・プラットフォームを含む、システム100の前置ステージング領域102を示す。ウェハ・カセット109に収容されたウェハは、正面カバー139に配置された1つ以上の扉137(どちらも図6参照)からシステム100内に取り入れられる。ロボット等の前置ウェハ・ハンドラ113は、ウェハ・カセット回転台111およびロードロック室の扉209(図11参照)に隣接したステージング・プラットフォーム110上に設置する。前置ウェハ・ハンドラ113は、ロードロック室112内に配置されたロードロック・カセット内にウェハを装填する準備として、各ウェハ・カセット109内のウェハのインデクシングを行なうウェハ・マッピング・システムを含むことが望ましい。ウェハ・マッピング・システムを含む本発明のシステムで効果的に使用できる1つのウェハ・ハンドラとして、カリフォルニア州サニーベールのイクイップ・テクノロジーズ社(Equippe Technologies)の型式番号ATM107または105がある。ウェハ・マッピング・センサは、ウェハを処理するためにロードロック室112に配置する前に、カセット109内のウェハの数およびウェハの配向を検証する。前置システム領域の微粒子制御のために、ニューメキシコ州アルバカーキにあるエンバイロコ社(Enviroco Corporation)、カリフォルニア州サンラフィアルにあるフランダース社(Flanders)、またはカリフォルニア州サンタアナにあるフィルトラ社(Filtra)から入手可能なULPAフィルタ等の排気システムを、プラットフォーム110の上部の支持棚115の底部に取り付ける。また、支持棚115の上部のモニタ棚119上に、オペレータがタッチ・コントロールできるコンピュータ・モニタ117を支持する。
ロードロック室図9は、本発明のロードロック室112の1実施例の実質的側面斜視図である。ロードロック室112は側壁202、底部204、および蓋206を含む。側壁202は、ウェハを真空システム100に取り入れたり真空システムから取り出すためのロードロック装填口208を画成する。側壁202の装填口208の反対側に、ウェハをロードロック室112から搬送室104(図示せず)に移送させるための通路210、212を配置する。隔離または多段真空を所望する場合は、スリット弁およびスリット弁アクチュエータを使用して通路210、212を密閉する。ロードロック室112の保守作業や外観検査を行なうための保守作業口214および保守作業用扉または窓216をロードロック室112の一方の端部に配置する。
ウェハ・ハンドラがウェハ間を通過して、ウェハをロードロック・カセット218に取り込んだり取り出すことができるように、ロードロック室112内で間隔をおいた関係にウェハを支持するロードロック・カセット218を、ロードロック室112内に配置する。ロードロック・カセット218は、ウェハ座面220上に横並びに2つ以上のウェハを支持することが望ましい。ウェハ座面220は、可動軸224上に間隔をおいた関係に支持されたカセット・プレート222上に形成する。プレート222は陽極酸化アルミニウムで形成し、垂直方向に約0.6インチづつ間隔をおいて配置したウェハを約14枚まで取り扱えるようにすることが望ましい。図9に示す実施例では、6列のウェハ座面220を設け、合計12枚のウェハを支持する。
各ウェハ座面220は少なくとも2つの溝226を画成し、そこに支持レール228を配置し、ウェハ座面220の上部にウェハを支持することにより、ウェハの下部に冷却ガスの通路を設ける。好適な実施例では、セラミックで形成した少なくとも2本のレール228を設けてウェハを支持するが、それ以上のレールを使用することもできる。ウェハは、セラミック・レール228によりウェハ座面220より約1ないし15mils上に支持され、ウェハの均等な冷却が達成される。
ロードロック室112の底面204を貫通するように配置した軸224は、ロードロック室112内のカセット・プレート222を支持する。ロードロック室112の底面204の下に配置したステップ・モータやその他のエレベータ・システム等のモータは、軸224をロードロック室112内で上下方向に動かすことにより、1対のウェハを、ロードロック室112に取り込んだりそこから取り出すために、ウェハ・ハンドラの位置と整列させる。
図10は、正面部を取り外した状態のロードロック室112の側面図である。カセット・プレート222は、プレート222を支持する軸が伸長する中心部分230を含む。カセット・プレート222の外縁部は、ピン234でプレートに固定されたスペーサ232によって間隔をおいた関係に支持する。各プレート222に中央溝236を設け、ウェハが座面220に支持されているときに、ロボット・ブレードがウェハの下を通過するためのスロットを形成する。
図11は、ロードロック室112の正面斜視図である。ウェハ装填用扉209および扉アクチュエータ238は、閉じた密閉された位置で示されている。ウェハ装填用扉209は、可動軸240上の扉アクチュエータ238に接続されている。扉209を開ける場合、アクチュエータ238が傾斜して側壁202から離れ、扉209の密閉が解除され、次いで軸240が下降し、扉209に隙間ができ、装填口208(図9参照)が開く。本発明で効果的に使用できる1つの扉用アクチュエータは、スイスにあるVAT社から入手することができる。
フレーム101上のロードロック室112および搬送室104の隣接位置に、ロードロック室および搬送室を真空排気する内蔵真空ポンプ121を設置する。ロードロック室112の底面を通して排気口280を配置し、排気管路704を介してポンプ121に接続する。ポンプは、振動が非常に少なく、ミリトル(milliTorr)圧力を達成できる高真空ターボ・ポンプが望ましい。効果的に使用できる1つの真空ポンプは、エドワード・ハイ・バキューム(Edward High Vacuum)社から入手することができる。
搬送室104は、1対のスリット弁密閉通路210、212を開けて、ロードロック室112に位置する排気口280を介してガスを吸い出すことにより、ロードロック室112を介して真空排気することが望ましい。ロードロック室112を介してシステムからガスを連続的に排出することにより、ガス結合微粒子(gas-bound particle)は搬送室102内に掃引されない。さらに、大気圧までの通気を促進するために、ロードロック室にガス・ディフューザ231を配置する。ガス・ディフューザ231は、ロードロック室に配置され、N2パージ・ガス管路などのガス・パージ管路に接続された導管を使用することが望ましい。ガス・ディフューザ231は、ディフューザの長さに沿って配置された複数のポート233を介して、だんだん大きくなる表面積に沿ってパージ・ガスを分配し、それによってロードロック室を大気圧まで通気するのに必要な時間を短縮する。本発明の真空システムについては、後で詳しく説明する。
デュアル・ポジション・ロードロック室図12は、本発明のロードロック室112の別の実施例の断面斜視図である。ロードロック室112は室壁202、底面204、および蓋206を含む。ロードロック室112は、2つの分離した環境あるいは仕切室242、244および搬送領域246を含む。仕切室242、244は、各仕切室にウェハ・カセットを含み、その中にウェハを支持する。各仕切室242、244は、仕切室242、244の底部と頂部を画成する支持プラットフォーム248および頂部プラットフォーム250を有する。プラットフォーム248、250を間隔をおいた位置関係に支持するために、仕切室242、244内に垂直に支持壁252を配置することができる。搬送領域246は、ロードロック室112から搬送室104(図示せず)へのアクセスのための1つ以上の通路192を含む。通路192は、スリット弁およびスリット弁アクチュエータを使用して開閉することが望ましい。
仕切室242、244はそれぞれエレベータ・シャフト224に接続し、ロードロック室内で仕切室を上下方向に移動させるために、各仕切室はそれぞれステップ・モータまたは類似物などのモータに接続する。仕切室242の支持プラットフォーム248のための密閉表面を設けるために、ロードロック室112内の周縁部に密閉フランジ256を配置する。仕切室244の支持プラットフォーム250のための密閉表面を設けるために、密閉フランジ258を同様に配置する。仕切室242、244は密閉フランジ256、258によって相互に隔離し、ロードロック室112内に独立した多段真空の仕切室を242、244を設ける。
空間260、262には、そこに配置された真空口により、後部圧力が維持される。プラットフォーム248、250が密閉フランジ256、258で密閉されるのを補助するために、空間260、262を高真空状態にすることができるように、排気管路264を介して空間260、262に真空ポンプを接続する。動作中、仕切室242、244は、図12に示す位置で装填したり排出することができる。上述のような装填用扉209およびアクチュエータ238(図11参照)を、仕切室242、244に対応するロードロック室112の上限および下限位置の正面壁(図示せず)に設ける。選択された仕切室の圧力は、ウェハを仕切室に装填した後で、排気管路287、289を介して減圧し、選択仕切室を搬送領域246に移動する。仕切室242、244は、ステップ・モータにより個々に独立して搬送室246に移動する。上下仕切室242、244を設ける利点は、1組のウェハの処理を行なう間に、第2組のウェハを他の仕切室に装填することができること、および仕切室を搬送領域246に移動して搬送室104と連絡することができるように、仕切室を適切な圧力まで減圧することができることである。
ウェハ中心位置決め図8は、ウェハをウェハ・カセット109からロードロック室112へ搬送したり、ロードロック室112から搬出するためのウェハ搬送ブレードを含むシステム100の前部102に位置するウェハ・ハンドリング・ロボット113を示す。ウェハは必ずしも常に各ウェハ・カセット109内の厳密に同じ位置にあるわけではなく、したがって、ロードロック・カセット218内に搬送されるときに、常にブレード上に同じように配置されるわけではない。したがって、ウェハがロードロック・カセットに装填される前に、ロボット・ブレード上のウェハの正確な位置を決定し、制御コンピュータに送信しなければならない。ウェハの正確な中心位置を知ることにより、コンピュータはブレード上の各ウェハの位置の変動を調整し、ロードロック・カセット218における所望の位置に正確にウェハを配置することができるので、搬送室のウェハ・ハンドラは、最終的に、処理室106内でウェハを正確に配置することができる。
ウェハ位置データ(ウェハの中心座標が望ましい)を提供し、ロボットがロードロック・カセット218内でウェハを正確に配置することを可能にする光感知システム170を、前部102の各カセット回転台111に隣接する位置に設ける。各システムは、ロボット・ブレードの経路に対し垂直な線に沿ってカセット回転台111に隣接するC字形クランプ174の下部支持体173に取り付けた3つの光センサ172、およびC字形クランプ174の上部支持体177に、対応するセンサの位置に合わせて配置した3つの光エミッタ176から成り、センサが対応する光エミッタからの光線を捕らえるようにする。一般的に、各対は従来の赤外エミッタおよび赤外センサで構成される。
センサの出力は、対応するアナログ・デジタル変換器でデジタル信号に変換して、システム・コンピュータに入力し、ウェハがロードロック室112に送り込まれるときにウェハの中心座標を計算したり、ロボット113が各ウェハをロードロック・カセット218内に正確に配置できるように、必要に応じてロボット駆動モータの動作を制御するのに利用する。感知およびモータ制御回路機構の詳細は、チェン(Cheng)らの米国特許第4,819,167号にさらに詳しく記述されており、これを参照によってここに組み込む。
搬送室図13は、本発明の処理システム100の平面図である。搬送室本体は側壁302および底面304を含み、アルミニウムなどの1枚の材料から機械加工またはその他の方法で作成することが望ましい。動作中は、蓋(図示せず)を側壁302で支持し、真空エンクロージャを形成する。搬送室104の側壁302は、処理室106およびロードロック室112を支持する。側壁302は両側にそれぞれ少なくとも2つの通路310´を定義し、そこからシステム内の他のチャンバにアクセスする。各々の処理室106およびロードロック室112は、1つ以上のスリット弁開口部およびスリット弁を含み、これにより処理室とロードロック室と搬送室との間の連絡が可能になり、またこれらの各室内における環境の真空隔離が達成され、システム内の多段真空が可能になる。搬送室104の底面304は中央通路306を画成し、その中をロボット・アセンブリなどのウェハ・ハンドラ500は伸長し、搬送室の底面に装着される。さらに底面304は、1つ以上のスリット弁アクチュエータが伸長して密閉可能に装着するための複数の通路308をも画成する。真空排気中にパージ・ガスを供給するガス・パージ口309も、搬送室104の底面304に配置する。
図14は、搬送室の部分断面図である。側壁302に配置された通路310は、2つの個別スリット弁またはタンデム・スリット弁アセンブリを用いて開閉することができる。通路310を処理領域618、620(図15参照)のウェハ通路610と合致させ、ウェハを処理室106の処理領域618、620内に送り込み、ウェハ・ヒータ・ペデスタル628上に配置できるようにする。
スリット弁をスリット弁の制御方法は、テプマン(Tepman)らによる米国特許第5,226,632号およびロリマー(Lorimer)による米国特許第5,363,872号に開示されており、両方とも参照によってここに組み込む。
搬送室のウェハ・ハンドラ図15は、本発明の磁気結合型ロボット500が、搬送室104内で自由に回転するための引っ込んだ位置にある状態を示す平面図である。ウェハを1つのチャンバから他のチャンバに搬送するために、デュアル・ウェハ・ハンドリング・ブレード520、522を有するロボットを、搬送室104内に配置する。改造して本発明に効果的に使用できる「超高生産性」(VHP)型ロボットは、「2軸磁気結合型ロボット(Two-axis Magnetically Coupled Robot)」と称する1995年11月21日発行の米国特許第5,469,035号の主題であり、これを参照によってここに組み込む。磁気結合ロボット500は、2つの真空ハブ(hub)(磁気クランプという)とデュアル・ウェハ・ブレード520、522の間に結合された蛙の足型アッセンブリを含み、固定された平面内でロボット・ブレードの放射方向と回転方向の運動の両方を提供する。システム100内の1つの場所から別の場所へ、例えば1つの処理室106から別の処理室へ、ウェハを拾い上げて搬送し、送り込むために、放射方向の運動および回転運動を統合または結合することができる。
ロボットは、第1磁石クランプ524の位置525にしっかりと取り付けられた第1筋かい(strut)504、および(第1磁石クランプ524の下に同軸的に配置した)第2磁石クランプ526の位置527にしっかりと取り付けられた第2筋かい506を含む(図17も参照されたい)。第3筋かい508を旋回支軸510によって筋かい504に取り付け、旋回支軸518によってウェハ・ブレード・アセンブリ540に取り付ける。第4筋かい514を旋回支軸516によって筋かい506に取り付け、旋回支軸512によってウェハ・ブレード・アセンブリ540に取り付ける。筋かい504、508、506、514および旋回支軸510、512、516、518の構造は、ウェハ・ブレード・アセンブリ540と磁石クランプ524、526との間の「蛙の足」型接続を形成する。
磁石クランプ524、526が同一角速度で同一方向に回転すると、ロボット500も軸Aを中心に同一速度でこの同一方向に回転する。磁石クランプ524、526が同一絶対角速度で反対方向に回転すると、アセンブリ500の回転は発生しないが、その代わりにウェハ・ブレード・アセンブリ540が、図16に示す位置まで放射方向の直線運動を行なう。
ウェハ・ブレード・アセンブリ540に載置された2枚のウェハ502が図示されており、個々のウェハ・ブレード520、522が搬送室104の側壁302の個々のウェハ通路310内を伸長し、ウェハを処理室106の処理領域618、620に送り込んだり、そこから取り出すことができることを示す。磁気結合型ロボット500は、2つのモータの相対速度に対応する磁石クランプ524、526の相対的回転運動によって制御される。第1動作モードでは、両方のモータが磁石クランプ524、526を同一速度で同一方向に回転させる。このモードは磁石クランプの相対運動を生じないので、ロボットは単位中心軸Aを中心に、一般的に、1対の処理領域618、620とのウェハ交換に適した位置から別の対の処理領域とのウェハ交換に適した位置まで回転する。さらに、完全に引っ込んだロボットが中心軸Aを中心に回転するとき、ウェハの縁に沿った最外部のラジアル・ポイント548が、ロボットを回転するために必要な最小円形領域550を定義する。磁気結合型ロボットには第2動作モードもあり、このモードでは、両方のモータが磁石クランプ524、526を同一速度で反対方向に回転させる。この第2モードは、ウェハ・ブレード・アセンブリ540のウェハ・ブレード520、522を通路310を通して処理領域618、620内へ伸長するため、または逆にそこからブレードを引っ込めるために使用する。モータの回転の他の組み合わせを用いて、ロボット500が軸Aを中心に回転するときに、ウェハ・ブレード・アセンブリ540の同時伸長または同時引込みを行なうこともできる。
ウェハ・ブレード・アセンブリ540のウェハ・ブレード520、522を回転軸Aから半径方向に離れさせておくために、旋回支軸またはカム512と518の間に連動機構を使用して、各旋回支軸の反対方向の均等な角回転を確実にする。連動機構は、かみ合わせ歯車や、8の字形または同等のパターンで旋回支軸に掛けられた帯(ストラップ)をはじめ、多くの設計を採用することができる。1つの好適な連動機構は、ウェハ・ブレード・アセンブリ540の旋回支軸512、518に結合され、その間に伸長する1対の帯金542、544である。帯542、544は協動して、旋回支軸512、518の周囲に8の字形のパターンを形成する。しかし、帯542、544は、個別に調整可能であって相互に上下に配置することが望ましい。例えば、第1帯542の第1端は旋回支軸512の後側を通り、そこに固定的に結合する一方、第2端は旋回支軸518の前側を通り、そこに調整可能に結合する。同様に、第2帯544の第1端は旋回支軸518の後側を通り、そこに固定的に結合する一方、第2端は旋回支軸512の前側を通り、そこに調整可能に結合する。帯と旋回支軸512、518の前側との間の調整可能な結合には、帯に厳密な張力を掛けるばねを設けることが望ましい。いったん張力がかかると、帯の端部はねじまたはその他の締め金具により、適切な位置にしっかりと保持される。図15および図16には、帯がU字形のデュアル・ブレードの基部で、ロッド546にも掛けられている状態が示されている。
図16は、図15のロボット・アームおよびブレード・アセンブリが伸長した位置にある状態を示す。この伸長は、磁石クランプ526を時計方向に、磁石クランプ524を反時計方向に、同時に均等な速度で回転することによって達成される。ウェハ・ブレード・アセンブリ540の個々のブレード520、522は、通路310を通って伸長し、ペデスタル628(図19参照)上でウェハ502をセンタリングするのに充分な長さである。ウェハ502が1対のリフト・ピン・アセンブリによってブレードから持ち上げられた後、ブレードは引っ込められ、通路が上述の通り、スリット弁およびアクチュエータによって閉じられる。
図17は、搬送室104の底面304の中央開口部306に取り付けられたロボット駆動システムの断面図である。磁気結合型アセンブリは、磁気保持リング524、526が中心軸Aを中心に回転するように構成し、それによってウェハ・ブレード・アセンブリ540のシステム内における回転運動および直線運動の両方を起動させる駆動機構を設ける。さらに、磁気結合型アセンブリは、搬送室104内における可動部の接触を最小限に止めながら、磁気保持リング524526の回転運動を達成し、微粒子の発生を最小限に抑制する。この実施例では、ロボットの機能は、搬送室104の上部または下部、望ましくは下部に配置されたハウジング内に第1および第2ステップ・モータまたはサーボ・モータを設置し、モータの出力を、薄壁560の内部にまたはそれに隣接して配置した磁気リング・アセンブリに結合することによって達成される。薄壁560は、搬送室の内部を搬送室外の環境から密閉するために、搬送室104の上部壁または下部壁の接続部に接続する。磁気保持リング524、526は、搬送室104の真空側の薄壁560に隣接する位置にそれを取り巻くように配置する。
第1モータの出力562は第1軸572およびかみ合わせ歯車580を駆動し、第1磁気保持リング524に磁気的に結合された第1磁石リング・アセンブリ582を回転させる。第2モータの出力564は第2軸586およびかみ合わせ歯車590を駆動し、第2磁気保持リング526に磁気的に結合された第2磁石リング・アセンブリ592(アセンブリ582の周囲に配置された同軸円筒形部材)を回転させる。各モータの回転により、薄壁560を介して回転出力を磁気保持リング524、526に磁気的に結合する磁石リング・アセンブリ582、592を回転させる回転出力562、564が得られ、それによって筋かい504、506がそれぞれ回転し、ウェハ・ブレード・アセンブリ540の回転運動および平行移動運動が生じる。
各磁気リング・アセンブリをそれぞれの磁気保持リングに結合するために、各磁気リング・アセンブリ582、592および磁気保持リング524、526は、壁560を介して相互に対をなす同等の複数の磁石を含むことが望ましい。磁気結合効果を高めるために、磁石はその磁極を垂直方向に整列し、磁極片が結合される隣接磁石に向かって伸長するように配置することができる。結合された磁石は磁気により急激に回転(flip)するので、薄壁部分のどちらか一方の側に配置された各対の磁極片に北極と南極の結合が発生する。磁気的結合が望ましいが、モータと保持リングの直接結合を使用することもできる。
ロボットの最適経路軌跡ウェハを搬送する間のロボット500の運動は第一に、ウェハとウェハを掴むデュアル・ウェハ・ブレード520、522との間の摩擦に対する依存性によって制限される。ウェハのミスアラインメント(位置ずれ)を避けるために、各ウェハ・ブレード520、522の直線運動および回転運動は両方とも制御しなければならない。ロボットの運動は、ウェハのミスアラインメントを防止しながら生産性を向上するために、最小限のウェハ移送時間を達成するように最適化することが望ましい。
ロボットの運動の最適化は、任意のロボット構成における2つ以上の位置間の最短時間経路を発見するための数学的方法を提示した、Z.Shiller and S.Dubowsky,“Time Optimal Path Planning for Robotic Manipulators with Obstacles,Actuator,Gripper and Payload Constraints”,International Journal of Robotics Research,pp.3-18,1989およびZ.Shiller and H.H.Lu,“Comparison of Time-Optimal Motions Along Specified Path”,ASME Journal of Dynamic Systems,Measurements and Control,1991などの出版物に記述されている。この方法は一般に、特定の経路の数学的近似化、最適速度分布の計算、および経路パラメータを変化させることによる最適経路の計算を含み、既知の制約範囲内でロボットが指定経路に従うために必要な最短時間を決定する。
ロボットの運動の最適化の数学的解法は、複数の代数方程式および非線形微分方程式または非線形行列微分方程式の解を含み、コンピュータを利用して解くことが望ましい。しかし、最適化法の熟練者は、行列または方程式を解かなくてもり最適な経路をしばしば明らかにすることができる。
上述のロボット500を使用するウェハの動きの最適化により、本発明の処理システムの生産性を著しく向上すると予想される幾つかの最短時間経路の定義が得られた。その最短時間経路を図26ないし図29に示す。図26は、処理プラットフォームに180°離して配置された処理室間をウェハが移動する場合の最適経路1500、1502、1504を示し、図27は、デュアル・ウェハ・ブレード520、522上のウェハが取る経路1502と1504の間の中間の経路1500の最適速度分布を示す。図28は、処理プラットフォーム上に90°離して配置された処理室間をウェハが移動する場合の最適経路1510、1512、1514を示し、図29はデュアル・ウェハ・ブレード520、522上のウェハが取る経路1512と1514の間の中間の経路1510の最適速度分布を示す。
図27および図29はまた、ウェハがデュアル・ウェハ・ブレード520、522に載置されていないときに、経路1500、1510に沿ってロボット500が達成できる最大速度をも示す。ロボット500は、搬送室104を介してウェハを移送するときに、デュアル・ウェハ・ブレード520、522が図26ないし図29に示す最適速度分布を用いて最適経路に従うように制御することが望ましい。
処理室図18は、本発明のタンデム処理室106の1実施例の斜視図である。処理室本体602は搬送室104に取り付けるかその他の方法で接続され、2つの処理領域を備えており、そこで個々のウェハが同時に処理される。処理室本体602は、本体602にヒンジで取り付けられた蓋604を支持し、反応ガスおよびクリーニング・ガスを複数の処理領域に送り込むために、蓋を貫通して配置された1つ以上のガス分配システムを含む。
図19は、2つの処理領域618、620を定義する処理室106の略断面図である。処理室本体602は、側壁612、内壁614、および2つの処理領域618、620を定義する底壁616を含む。底壁616は各処理領域618、620に少なくとも2つの通路622、624を画成し、これらの通路内にペデスタル・ヒータ628の心棒(ステム)626およびウェハ・リフト・ピン・アセンブリのロッド630をそれぞれ配置する。ペデスタル・リフト・アセンブリおよびウェハ・リフトについては、後で詳述する。
側壁612および内壁614は、2つの円筒形環状処理領域618、620を画成する。処理領域618、620からガスを排出し、各領域618、620内の圧力を制御するために、円筒形処理領域618、620を画成する処理室の壁に円周方向の真空排気路(pumping channel)625を形成する。各処理領域の横方向の境界を画成し、処理室の壁612、614を腐食性処理環境から保護し、かつ電極間の電気的に絶縁されたプラズマ環境を維持するために、望ましくはセラミックまたは類似物で形成された処理室のライナまたはインサート627を各処理領域618、620に配置する。ライナ627は、各処理領域618、620の壁612、614に形成された処理室内の棚に支持する。ライナは、そこを貫通して配置され、処理室の壁に形成された真空排気路625と連絡した複数の排気口631または円周方向のスロットを含む。各ライナ627に約15°の間隔で約24個の排気口631を、処理領域618、620の周囲に配置することが望ましい。24個の排気口が望ましいが、所望の排気速度および均等性を達成するために任意の数の排気口を使用することができる。処理中にウェハ上に最適ガス流パターンを得るために、排気口の数だけでなく、ガス分配システムの面板に対する排気口の高さも制御する。
図21は、本発明の排気システムを示す処理室の断面図である。各処理領域618、620の真空排気路625は、共通の排気路619を介して共通の排気ポンプに接続することが望ましい。排気路619は、排気導管621により各領域618、620の真空排気路625に接続する。排気路619は、排気管路(図示せず)により排気ポンプに接続する。各領域はポンプで選択した圧力まで真空排気し、接続された排気システムは各領域内の圧力を均等化できることが望ましい。
再び図19に関連して、ガスを望ましくは同一ガス源から処理領域618、620に分配するために、各々の処理領域618、620は、処理室の蓋604を貫通して配置されたガス分配アセンブリ608を含むことが望ましい。各処理領域のガス分配システム608は、ガスをシャワー・ヘッド・アセンブリ642に送り込むガス入口通路640を含む。シャワー・ヘッド・アセンブリ642は、面板646との中間に配置されたブロッカ・プレート644を有する環状台板から成る。RFフィードスルーはシャワー・ヘッド・アセンブリにバイアス電圧を供給し、シャワー・ヘッド・アセンブリの面板646とヒータ・ペデスタル628との間におけるプラズマの発生を促進する。各ガス分配システム608の台板648には、動作中に台板を冷却するための冷却溝652を形成する。入口655は、水などのような冷却流体を、冷却管路652により相互に接続された溝652に送り込む。冷却流体は、冷却材出口659を介して溝から排出される。または、代替的に、マニホルドを介して冷却流体を循環させる。
処理室本体602は、選択されたプロセスに適した各反応ガスおよびクリーニング・ガスをガス分配システムを介して処理室内に送り込むための複数の垂直ガス流路を画成する。処理室の壁に形成されたガス流路をガス入口管路639に接続するために、ガス入口接続部641を処理室106の底部に配置する。図21に示す蓋を密閉接続するために、処理室の壁の上部表面に形成された各ガス流路の周囲にOリングを設ける。蓋は、処理室の壁の下部から、図20に示す処理室の蓋の頂部に配置されたガス入口マニホルドに、ガスを送り込むためのマッチング通路を含む。反応ガスは、電圧勾配フィードスルー672を介して、ガス分配アセンブリに接続されたガス出口マニホルド674に送り込まれる。
ガス入口マニホルド670は、処理室ガス・フィードスルーから接地された定電圧勾配ガス・フィードスルーにガスを運ぶ。ガス供給管(図示せず)は、電圧勾配ガス・フィードスルー672を介して出口マニホルド674へ処理ガスを通し、あるいは送り込む。フィードスルー間に線形電圧降下をもたらすために、抵抗性スリーブでガス供給管の周囲を取り囲み、処理室内のプラズマがガス供給管路内を上昇するのを防止する。ガス供給管は石英で形成することが望ましく、スリーブは複合セラミックで形成することが望ましい。ガス供給管は、温度を制御し、熱の放射を防止し、また処理ガスの液化をも防止する冷却材溝が含まれる分離ブロック(isolating block)内に配置する。分離ブロックはデルリン(Delrin)で形成することが望ましい。石英供給管は、処理ガスをブロッカ・プレート644およびガス分配板647に通すガス出口マニホルド674へガスを送り込む。
ガス入口マニホルド670(図20参照)は、クリーニング・ガスを処理室ガス・フィードスルーから遠隔プラズマ源まで送り込む通路をも定義する。これらのガスは、電圧勾配フィードスルーを迂回し、遠隔プラズマ源に送り込まれ、そこでガスは活性化されて様々な励起核種を生じる。次いで励起核種は、ガス入口通路640に配置された導管を通して、ブロッカ・プレートの真下の位置のガス分配板へ送り込まれる。遠隔プラズマ源および反応性クリーニング・ガスの送込みについては、後で詳述する。
各処理領域のガス分配システムにガスを供給するガス管路639は、単一ガス源に接続することが望ましく、したがって各処理領域618、620にガスを送り出すためにガス管路639を共有するか、あるいは共通制御することが望ましい。処理ガスをマルチゾーン処理室に供給するガス管路は、複数の処理領域に供給するためにT形継手により分流する。各処理領域に供給する個々の管路への流れを促進するために、PALL社またはミリポア社から入手可能な焼結ニッケル・フィルタなどのフィルタを、分流器の上流のガス管路に配置する。フィルタは、別個のガス供給管路へのガスの均等な分配および流れを向上する。
ガス分配システムは台板から成り、台板の下部表面に隣接して配置されたブロッカ・プレートを備えている。ブロッカ・プレートの下には、ガスを処理領域に送り込む面板を配置する。1つの実施例では、処理ガスをブロッカ・プレートの真上の領域に送り込むために、台板はそれを貫通するガス流路を画成する。ブロッカ・プレートはその上部表面全体に処理ガスを分散し、面板の上にガスを送り込む。ブロッカ・プレートの穴は、処理ガスの混合および面板における分配を促進するような大きさおよび配置とすることができる。面板に送り込まれたガスは次いで、処理領域内の処理のために配置されたウェハ上に均等に送り込まれる。
ガス供給管はガス流路内に配置し、一端を遠隔プラズマ源からの出口に接続する。ガス供給管の一端は、ガス出口マニホルドを介して伸長し、遠隔プラズマ源からガスを送り込む。ガス供給管の他端はブロッカ・プレートを貫通して配置され、ブロッカ・プレートを超えて面板の真上の領域にガスを送り込む。面板は、ガス供給管路を介して送り込まれたガスを分散し、次いでガスを処理領域に送り込む。
これは好適なガス分配システムであるが、遠隔プラズマ源からのガスは、処理室の壁内に設けたポートを介して処理領域に導入することもできる。さらに、処理ガスは、現在入手可能なガス分配システム、例えばカリフォルニア州サンタクララのアプライド・マテリアルズ社(Applied Materials)から入手可能なガス分配システムなどを介して送り込むこともできる。
ヒータ・ペデスタル図19は、支持板の下側に接続され、処理室本体の底面を貫通して伸長し、そこで駆動システム603と接続された心棒626により、各処理領域618、620に可動配置されたヒータ・ペデスタル628を示す。心棒626は、上端をペデスタル628の下側を支持接触するように配置し、下端をカバー・プレートで閉じた、円形環状のアルミニウム部材とすることが望ましい。心棒の下端は椀形スリーブで受容し、これは心棒と駆動システムの接続部を形成する。心棒626はヒータ・ペデスタルを機械的に処理領域内に配置し、また複数の加熱板接続部がそこを通って伸長できる大気通路(ambient passageway)をも形成する。各ヒータ・ペデスタル628は、そこに配置されたウェハを所望の処理温度まで加熱する発熱体を含むことができる。発熱体は、例えば抵抗性発熱体を含むことができる。代替的に、ヒータ・ペデスタルは、ランプなどの外部発熱体によって加熱することもできる。本発明で効果的に利用できるペデスタルは、カリフォルニア州サンタクララのアプライド・マテリアルズ社から入手することができる。ペデスタルは、処理中にウェハをそこに固定するために、静電チャック、真空チャック、またはその他のチャック装置を支持することもできる。
駆動システムは、カリフォルニア州ノバボにあるインダストリアル・デバイス社(Industrial Device Corporation)で製造された線形電動アクチュエータ(linear electric actuator)を含む。ヒータ・アセンブリは、搬送ハウジングを処理位置、クリーニング位置、リフト位置、および解放位置にまで上下移動させることにより、上下に移動する。搬送ハウジングは、片側をアクチュエータに接続し、反対側はキャリッジ・プレートを介して線形スライドに接続する。アクチュエータとキャリッジの間の接続は、ミスアラインメントを許容するために、たわみ(ボールおよびソケット)継手を介して行なわれる。線形スライドおよびキャリッジ・プレートは、その回転や曲げを防止するために、相互にバイアスする。ヒータの心棒の周囲をベローで囲み、ベローの一端を処理室の底面に接続し、他端を搬送ハウジングに接続する。心棒の溝にはシール・リングを設け、スリーブ内の心棒の下端の外部表面を密閉する。面板に対するヒータの高さ調整は、3つのねじを用いて行なう。
代替的に、処理室106の下に吊り下げられ駆動ベルトないし適合可能な継手および親ねじアセンブリに接続された、モータおよび減速歯車アセンブリを含む駆動システム603を使用する。搬送ハウジングは親ねじアセンブリ上に受容し、これは線形スライドにより上下に案内され、回転しないように保持される。ヒータ・リフト機構は、駆動継輪により処理室に対抗して保持される。ヒータ・アセンブリは、ステップ・モータにより駆動される親ねじによって上下移動する。ステップ・モータは、モータ・ブラケットによってヒータ・リフト・アセンブリに取り付ける。ステップ・モータはベロー内で親ねじを駆動する。ベローは親ねじを回転して、ヒータ・アセンブリを処理位置、リフト位置、および解放位置にまで上昇または下降させる。心棒の溝にはシール・リングを設け、スリーブ内の心棒の下端の外部表面を密閉する。
ウェハ配置アセンブリ心棒626が処理室内で上下に移動することにより、ヒータ・ペデスタル628が移動し、処理のためにウェハがその上に載置されたり、そこからウェハが取り出される。ウェハ配置アセンブリは、ヒータ・ペデスタル628に対し垂直方向に移動し、ペデルタルを垂直方向に貫通して配置された穴653に受容された複数の支持ピン651を含む。各ピン651は、球状の下部661で終端する円筒形の軸659、および軸の外方延長として形成された円錐形の上部を切りつめた頭部663を含む。ヒータ・ペデスタル628の穴653は、円錐形の頭部663をその中に受容できる大きさの上部座ぐり部分を含むので、ピン651がヒータ・ペデスタル628内に完全に受容されたときに、頭部がヒータ・ペデスタルの表面から上に突出しない。
リフト・ピン651は、ペデルタルが処理領域内で移動するときに、部分的にはヒータ・ペデスタル628と一緒に、部分的にはヒータ・ペデスタル628とは独立して移動する。ロボット・ブレードが処理領域からウェハを取り出すことができるように、リフト・ピンはペデスタル628の上にまで伸長することができるが、処理のためにウェハをペデスタルの上部表面に載置するために、ペデスタルまで降下することも必要である。ピン651を動かすために、ウェハ配置アセンブリは、リフト・ピン651の球状下部661と係合するように構成された環状ピン支持体655、および処理領域内のヒータ・ペデスタル628の位置によってピン支持体655を選択的にリフト・ピン651と係合するように配置する駆動部材を含む。ピン支持体はセラミックで形成することが望ましく、ヒータ・ペデスタル628の下の心棒626付近に伸長し、支持ピンの球状下部と選択的に係合する。
駆動アセンブリは、軸630および接続されたピン支持体655を上昇および下降させ、各処理領域618、620内でピンを上下に移動させる。ピン駆動部材は、ヒータ・ペデスタル628に対するピン支持体プラットフォーム655の移動を制御するために、処理室106の底面に配置することが望ましい。
真空システムおよび処理室ポンプ本発明の処理システム100の真空制御システムは、それぞれ独自の設定圧力を有するシステムの様々な領域に連結された複数の真空ポンプを含むことができる。しかし、1つの処理室または領域から別の処理室または領域にウェハを搬送するには、スリット弁を開ける必要があり、これは連結領域の環境を多少混合させたり、圧力を平衡させる。
図22aは、本発明の真空システム700の概略図である。ロードロック室112および搬送室104は、ロードロック室および搬送室に隣接するシステムのメインフレーム101に取り付けられた真空ポンプ121を共用することが望ましい。ロードロック室112は、ロードロック室の本体を貫通して配置された排気口280を通して、ポンプ121により大気圧から真空排気される。圧力計705により示される搬送室104の真空圧は、ロードロック室112との連絡によって提供されるので、搬送室の圧力はつねにロードロック室の圧力と等しいかそれより高く、ロードロック室内に存在する微粒子が搬送室104内に引き込まれることはない。ロードロック室112の排気口280は、排気管路704を介しポンプ121に接続される。いつでもロードロック室の圧力を監視するために、排気管路704に沿って分離弁(isolation valve)708の上流に圧力計706を配置する。分離弁708は、ロードロック室の圧力を調整するために、排気管路704の圧力計706とポンプ121の間に配置する。分離弁708とポンプ121の間で排気管路と連絡する真空スイッチ710も設ける。ポンプ121はあら引きポンプが望ましいが、適用分野によって、ターボ分子ポンプや極低温ポンプなど、どんな種類のポンプでも使用できる。また、ロードロック室112や搬送室104に窒素などの通気ガスを導入するために、これらのチャンバにそれぞれガス通気管路712、714を接続する。
処理室106は、排気口619および排気管路722を介して、あら引きポンプや極低温ポンプ、ターボ分子ポンプなどのポンプ720に接続する。動作中に処理室106の処理領域618、620の圧力調整するために、排気管路内にスロットル弁724または類似物を配置する。真空計728に示された圧力に基づき、弁制御器726、好ましくはシステム・コントローラの一部がスロットル弁724に制御信号を送信する。排気口619は各処理領域(図21参照)と連絡し、各処理領域からの排気管路は、ポンプ720に接続された単一排気管路722にT形接続することが望ましい。
本発明の1つの態様では、搬送室104に連絡しているスロット弁および各処理室106およびロードロック室112の真空制御器は、ロードロック室またはいずれかの処理室106から搬送室に侵入する汚染物質の量を減少するような方法で作動する。本発明は、スリット弁を開けてロードロック室と隣接するチャンバとを連絡させる前に、ロードロック室の圧力を隣接するチャンバまたは領域の圧力と等しいかそれより高く、望ましくは高くする必要がある。ロードロック室の圧力は、正面端を開けたときだけ、大気圧より高くならなければならない。ロードロック室の圧力は、真空状態の搬送室に対して開けたときは、搬送室より低くなければならない。搬送室104は処理室と連絡した状態に置かれたときに、汚染物質の度合いが特に大きくなるおそれがあるので、搬送室104の圧力は相対的に高くなることが、特に重要である。例えば、処理室106の設定圧力が約10−3torrの場合、スリット弁を開いてウェハを処理室106に送り込んだり処理室106から取り出す前に、搬送室の圧力を10−3torrと等しいかそれより高くする必要があり、約10−2torrより高くすることが最も望ましい。
搬送室の圧力は、2通りの方法で制御される。第1に、搬送室の真空は、ロードロック室112と搬送室104の間のスリット弁を開け、次いでロードロック室112内を真空排気することによって達成される。この方法では、搬送室内の圧力はロードロック室の圧力より低くなることはなく、両者間のガス流は、搬送室からロードロック室112への方向に限られる。搬送室を処理室と連絡しない限り、搬送室とロードロック室の間のスリット弁は開けたままにしておくことができる。第2に、搬送室にアルゴンや窒素ガス源などからのパージ・ガス入口を設ける。パージ・ガスを搬送室に連続的に、または必要なときだけ送り込み、搬送室から正のガス流を生じさせるのに充分な高い圧力を達成することができる。
特に好適なモードでは、搬送室の圧力が処理室の圧力より低くなる可能性を回避するために、処理室104と処理室106の間でウェハを移送する間は、常にロードロック室112のスリット弁を閉じておく。搬送室の圧力の方が低くなると、処理室から何倍もの汚染物質が搬送室に、さらにロードロック室にも入り込む結果となり、それによりウェハのカセット全体が汚染物質に暴露される。
図22bは、上述のデュアル・チャンバ・ロードロックに効果的に使用できる2つのポンプ・システムの概略図を示す。この図から分かるように、2つの仕切室を一緒に、または選択的に所望の真空圧まで真空排気することができる。
ガス・ボックスおよびガスの供給システムの後部のチャンバの外に、堆積やクリーニング中に使用されるガスが含まれるガス供給パネルがある。使用される具体的なガスの種類は、ウェハに堆積する物質やチャンバから除去する材料によって異なる。処理ガスはガス導入口からガス・マニホルドへ流れ、次いでシャワー・ヘッド型ガス分配アセンブリを介してチャンバ内へ流入する。電動式弁および流量制御機構により、ガス供給源からチャンバまでのガスの流れを制御する。本発明の1実施例では、ガス・ボックスからチャンバまで前駆ガスを送り込み、上述の通りそこでガス管路が2つの別個のガス管路に分岐し、チャンバ本体内にガスを供給する。プロセスの内容によって、この方法で何種類のガスでも送り込むことができ、ガスがチャンバの底部に送り込まれる前、またはガス分配板に導入された後のどちらにでも、ガスを混合することができる。
電源装置各ガス分配システムに1つのシステムを接続し、各処理領域618、620に高度小型RF(“CRF”)電源システムを使用する。ENI社によって製造されたジェニシス・シリーズ(Genisis Series)の13.56MHzのRF発生器を、各チャンバごとに1台づつ、システムの後部に設置する。この高周波発生器は固定整合回路(fixed match)と使用するように設計され、負荷に送られる電力を調整し、順方向電力および反射電力の懸念を払拭する。1:5以下のVSWRで最高1250Wまでの電力を負荷インピーダンスに供給することができる。高周波RF発生器および低周波RF発生器を処理室に接続するために、固定整合エンクロージャ(fixed match enclosure)の設計には低域フィルタが組み込まれている。
ENI社製の350kHzのRF発生器を、システムの後部のRF発生器用ラックに配置し、同軸ケーブルで固定RF整合回路に接続する。低周波RF発生器は、1つの小型エンクロージャで低周波の発生および固定整合要素の両方を提供する。低周波RF発生器は、負荷に送られる電力を調整し、順方向電力および反射電力に関する懸念を軽減する。
遠隔クリーニング・モジュール図23および図24は、本発明の遠隔クリーニング・モジュール800の斜視図および断面図である。本発明による遠隔クリーニング・モジュール800は、入口820を介して処理室106の処理領域618、620に接続する。遠隔クリーニング・モジュール800は、一連の処理が行なわれた後で処理室の内部表面から堆積された材料を除去するために使用するガスを供給する。
遠隔クリーニング・モジュール800は、前駆ガス供給源804、処理室106の外に配置された遠隔活性化室806、遠隔活性化室内で前駆ガスを活性化するための電源装置808、電動式弁および流量制御機構810、および導管811を介して遠隔室を処理室に接続する導管または管812を含む。弁および流量制御機構810は、前駆ガス804から遠隔活性化室806まで使用者が選択した流速でガスを送り込む。活性化室806は、ガス供給管813が貫通するアルミニウム・エンクロージャを含む。電源装置808はマイクロ波を発生し、これは導波管805によってエンクロージャ803内に導入される。ガス供給管813はマイクロ波を透過するので、マイクロ波は管を突き抜けて前駆ガスを活性化し、反応性核種を生成し、これは次いで導管812を介してガス分配アセンブリに流入し、さらに処理室へ送られる。すなわち、上部電極すなわちシャワー・ヘッド608を用いて、反応ガスを処理室の処理領域に送り込む。上述の実施例では、遠隔室はセラミック管であり、電源は2.54GHzのマイクロ波発生器であり、その出力はこのセラミック管に送られる。
任意選択的に、微量キャリア・ガス源814を設け、別の弁および流量制御機構816を介して遠隔活性化室に接続することもできる。微量キャリア・ガスは、活性化された核種を成長室に移送するのを補助する。ガスは、使用される特定のクリーニング・プロセスと融和性のある任意の適切な非反応性ガスとすることができる。例えば、微量キャリア・ガスは、アルゴン、窒素、ヘリウム、水素、または酸素等とすることができる。活性化された核種を成長室に移送するのを補助する以外に、キャリア・ガスは、クリーニング・プロセスを補助したり、成長室におけるプラズマの発生および/または安定化を助けることもある。
上述の実施例では、導管または管にフィルタ818を設け、活性化された核種を成長室に送り込む前に、このフィルタを通す。フィルタは、反応性核種の活性化中に形成されたかもしれない微粒子を除去する。上述の実施例では、セラミック材で形成され、細孔径が約0.01ないし約0.03ミクロンのフィルタを使用する。いうまでもなく、他の材料、例えばテフロンを使用することもできる。
フィルタは、遠隔活性化室内における反応の副生物として発生した、望ましくなく物質を除去するためにも使用できるということに、留意されたい。例えば、反応ガスがCF4またはSF6または炭素かイオウを含有する他のハロゲン化合物である場合、活性化プロセスの副生物として、活性化された炭素またはイオウの核種が存在する。成長室は炭素やイオウが存在しない方が、一般的に望ましい。これは、活性化が完全に成長室内で行なわれる従来の乾式クリーニング・プロセスでは、これらの化合物が一般的に使用されないためである。しかし、ここで述べるように活性化が遠隔的に行なわれる場合には、適切なフィルタ材を用いることによって、これらの物質を簡単に除去することができる。こうしたフィルタ材は市場で容易に入手することができ、当業者には周知である。
上述の実施例では、前駆ガスはNF3である。活性化核種の流速は毎分約0.5リットルないし約2リットルであり、チャンバの圧力は約0.5ないし約2.5torrである。前駆ガスを活性化するために、マイクロ波電源装置は約500ないし約1500Wattsを活性化室に供給する。成長室内では、RF電源が約100ないし約200Wattsをプラズマに供給する。本システムに関して、これは上下電極間の電圧が約15ないし約20ボルトであることを示す。正確な電圧および電流は圧力によって異なる。すなわち、固定電圧を前提とすると、電流は圧力に正比例する。いかなる場合も、チャンバ内では穏やかなプラズマを生成するだけでよく、遠隔発生源からチャンバ内に導入された活性化核種を維持するだけの強さで充分である。
けい素(Si)、ドープされた(doped)ケイ素、窒化ケイ素(Si+4)、および酸化ケイ素(SiO)を成長させたチャンバは、NFガスを供給ガスとして使用することにより、クリーニングすることができる。成長した膜のクリーニング速度は、窒化ケイ素の場合は約2ミクロン/分であり、ケイ素、ドープされたケイ素、および酸化ケイ素の場合約1ミクロン/分である。これらのクリーニング速度は、13.56MHzのRFで約1ないし2KWの電力レベルによる局所プラズマだけを使用する従来のクリーニング・プロセスより2倍ないし4倍速い。
上述の実施例ではマイクロ波発生器を用いて前駆ガスを活性化するが、前駆ガスを活性化できるどんな電源装置でも使用することができる。例えば、遠隔プラズマおよび局所プラズマは両方とも、無線周波数(RF)およびマイクロ波(MW)を使用したDC放電技術を使用することができる。さらに、RF電源を使用する場合、それは、チャンバの内部に静電結合または容量結合することができる。活性化は、ごく一部の例を挙げると、熱を使用したガス分解技術、高密度光源、またはX線源などによって実行することもできる。一般に、反応ガスは、一般に使用されるハロゲンおよびハロゲン化合物をはじめ、幅広い選択肢の中から選択することができる。例えば、塩素、フッ素、またはこれらの化合物(例:NF、CF、SF、C、CCl、CClなど)を反応ガスとすることができる。いうまでもなく、実際に使用する特定のガスは、除去しようとする堆積物質によって異なる。例えば、タングステン成長システムの場合、堆積したタングステンをエッチングおよび/または除去するために一般的に使用するのは、フッ素化合物のガスである。
局所プラズマを遠隔プラズマと併用するので、遠隔活性化室はチャンバから遠くに離して配置することができる。したがって、2つの遠隔源を局所源に接続する配管のみが必要である。移送中に、活性化された核種の一部クエンチング(すなわち活性化各種の不活化)が発生することがある。しかし、局所源は、そうしたクエンチングの発生を補足する。実際、一部の寿命の長い活性化核種(例えばF*)は、クエンチングを発生すると、一般に基底状態に戻らず、中間状態に遷移する。したがって、クエンチングを発生した核種を再活性化するために必要なエネルギ量は、遠隔活性化室でガスを活性化するために必要なエネルギ量より、ずっと少なくてすむ。したがって、局所活性化源(例えばプラズマ)は、高いエネルギ源を必要としない。
成長室から離れた位置に遠隔源を配置することにより、活性化プロセス中に生成された寿命の短いラジカルは、寿命の長いラジカル共々成長室に移送されるときに、寿命の長いラジカルより完全なクエンチングを生じる。したがって、成長室に流入する反応ガスは、移送後に残存した寿命の長いラジカルを主に含む。例えば、反応ガスがNF3である場合、遠隔活性化室では2種類のラジカル、すなわちN*およびF*が生成される。窒素ラジカルは寿命が短く、フッ素ラジカルは寿命が長い。窒素ラジカルは一般的に、遠隔室から成長室までの長い移送の後では残存しないが、フッ素ラジカルは残存する割合が大きい。これは、システムで行なわれる非常に望ましい自然のフィルタリングの一形態である。例えば窒素ラジカルの場合、これが存在すると、ポンプを破損するおそれのあるNxHyFz化合物が形成されるので、成長室にこれが存在しないことが望ましい場合がしばしばある。しかし、従来のクリーニング技術の場合のように、成長室内で活性化が行なわれると、生成される窒素ラジカルを除去する簡単な方法は無い。
乾式クリーニング・プロセスでは、性能を著しく低下させることなく、反応室の圧力としてかなり広い範囲の値を選択することができる。好適な圧力範囲は約0.1ないし約2torrであるが、この範囲外の圧力を使用することもできる。さらに、上述の実施例のために選択した周波数は単なる実証例であって、本発明で使用できる周波数は、上述の実施例で使用した周波数に限定されない。例えば、RF電源に関連して、プラズマを発生させるために幅広い範囲の周波数(例:400KHzないし13.56MHz)が一般的に使用され、これらの周波数を本発明で使用することもできる。しかし、一般的に、選択された電力レベル、流速、および圧力は、システムに特定的な値であり、したがって処理が実行される特定のシステムに対して最適化する必要があることを、理解する必要がある。特定のシステムで最適性能を達成するために処理条件を適切に調整することは、当業者の能力の範囲内である。
プログラミングシステム制御装置は、コンピュータのハードディスク・ドライブに保存されたコンピュータ・プログラムの制御下で作動する。コンピュータ・プログラムは、プロセスの順序およびタイミング、ガスの混合、チャンバの圧力、RF電力レベル、サセプタの位置決め、スリット弁の開閉、ウェハの加熱、および特定のプロセスのその他のパラメータを制御する。使用者とシステム制御装置との間のインタフェースは、図8に示すCRTモニタおよびライトペンを使用することが望ましい。好適な実施例では2台のモニタを使用し、1台はオペレータ用でクリーン・ルームの壁に取り付け、もう1台は保守整備技術者用で壁の後ろに取り付ける。両方のモニタが同じ情報を同時に表示するが、ライトペンは1本しか使用できない。ライトペンは、ペンの先端部にある光センサで、CRTディスプレイによって放出される光を検出する。特定の画面または機能を選択するには、オペレータがディスプレイ画面の指定領域に触れ、ペンのボタンを押す。ディスプレイ画面は一般に、例えばハイライトまたは色などでその外見を変化させるか、あるいは新しいメニューまたは画面を表示することにより、ライトペンと接触領域との間の相互連絡を確認する。
例えばシステム制御装置上で実行するコンピュータ・プログラム製品を使用して、様々なプロセスを実現することができる。コンピュータ・プログラム・コードは、例えば68000アセンブリ言語、C、C++、またはパスカルなど、従来のコンピュータが読取り可能などのプログラミング言語でも書くことができる。適切なプログラム・コードを、従来のテキスト・エディタを用いて単一のファイルまたは複数のファイルに入力し、コンピュータのメモリ・システムなどコンピュータが使用可能な媒体に保存または収容する。入力したコードが高水準言語である場合には、コードはコンパイルされ、その結果得られるコンパイラ・コードが事前にコンパイルされたライブラリ・ルーチンのオブジェクト・コードに連結される。連結されたコンパイル・オブジェクト・コードを実行する場合は、システム・ユーザがオブジェクト・コードを呼び出すと、コンピュータ・システムがコードをメモリにロードし、そこからCPUがコードを読み出して実行し、プログラムに識別されたタスクを実行する。
図25は、コンピュータ・プログラム1410の好適な階層型制御構造の例証ブロック図である。ユーザは、CRTモニタに表示されるメニュまたは画面に応答し、ライトペン・インタフェースを使用することによって、プロセス・セット番号および処理室番号をプロセス選択サブルーチン1420に入力する。プロセス・セットは、指定されたプロセスを実行するために必要な予め定められた組のプロセス・パラメータを提示し、予め定められたセット番号によって識別される。プロセス選択サブルーチン1420は、(i)所望の処理室、および(ii)その処理室を作動して所望のプロセスを実行するために必要な所望の組のプロセス・パラメータを識別する。特定のプロセスを実行するためのプロセス・パラメータは、例えば処理ガスの組成、流速、温度、圧力、RFバイアス電力レベルや磁界電力レベルなどのプラズマ状態、冷却ガスの圧力、および処理室の壁の温度などのプロセス条件に関連し、レシピの形でユーザに提示される。レシピによって指定されるパラメータは従来の方法で入力するが、ライトペン/CRTモニタ・インタフェースを利用する方法が最も望ましい。
プロセスを監視するために様々な計器や装置から出力される電子信号は、システム制御装置のアナログ入力基板やデジタル入力基板を介してコンピュータに入力される。ポーリングなど、従来の処理室監視方法を使用することもできる。さらに、様々なプロセス制御装置または機器を操作する電子信号は、システム制御装置のアナログ出力基板やデジタル出力基板を介して出力される。これらの監視および制御用の機器の数量、種類、および設置は、システムの特定の最終目的や所望のプロセス制御の程度によって、システムごとに異なる。特定の用途に最適な種類の熱電対など、特定の機器の仕様または選択は当業者には周知である。
プロセス順序付けサブルーチン1430は、プロセス選択サブルーチン1420から識別された処理室番号およびプロセス・パラメータの組を受け入れ、様々な処理室の動作を制御するためのプログラム・コードで構成される。複数のユーザがプロセス・セット番号や処理室番号を入力することができ、また1人のユーザが複数の処理室番号を入力することができるので、順序付けサブルーチン1430は、選択されたプロセスを所望の順序で実行するように計画するために作動する。プロセス順序付けサブルーチン1430は、(i)処理室の動作を監視して、処理室が使用されているか否かを決定する段階、(ii)使用中の処理室でどのプロセスが実行中であるかを決定する段階、および(iii)処理室の利用可能性および実行されるプロセスの種類に基づき、所望のプロセスを実行する段階を実行するためのプログラム・コードを含む。どのプロセスを実行するかを計画するとき、順序付けサブルーチン1430は、使用する処理室の現在の条件と選択されたプロセスのために望ましいプロセス条件の比較、または要求を入力した特定のユーザの「エージ(age)」、または計画の優先度を決定するために含めることが望ましいシステム・プログラマのその他の関連要素を考慮するように設計することができる。
順序付けサブルーチン1430がどの処理室とプロセス・セットの組み合わせを次に実行するかを決定すると、順序付けサブルーチン1430は、特定のプロセス・セット・パラメータをチャンバ管理サブルーチン1440a−cに渡すことによって、プロセス・セットを実行させ、チャンバ管理サブルーチンは、順序付けサブルーチン1430によって決定されたプロセス・セットに従って、処理室106における複数のプロセス・タスクを制御する。例えば、チャンバ管理サブルーチン1440aは、処理室106内でのスパッタリングおよびCVDプロセス動作を制御するプログラム・コードで構成される。チャンバ管理サブルーチン1440はまた、選択されたプロセス・セットを実行するために必要なチャンバ構成部品の動作を制御する様々なチャンバ構成部品サブルーチンの実行も制御する。チャンバ構成部品サブルーチンの例として、ウェハ配置サブルーチン1450、処理ガス制御サブルーチン1460、圧力制御サブルーチン1470、ヒータ制御サブルーチン1480、およびプラズマ制御サブルーチン1490などがある。当業者は、処理室106でどんなプロセスを実行したいかによって、その他のチャンバ制御サブルーチンを含めることができることを理解されるであろう。動作中、チャンバ管理サブルーチン1440aは、実行される特定のプロセス・セットに従って、プロセス構成部品サブルーチンを選択的に計画したり、呼び出す。チャンバ管理サブルーチン1440aは、順序付けサブルーチン1430がどの処理室106およびプロセス・セットを次に実行するかを計画するのと同様の方法で、プロセス構成要素サブルーチンを計画する。一般的に、チャンバ管理サブルーチン1440aは、様々なチャンバ構成部品を監視する段階、実行するプロセス・セットの処理パラメータに基づき、どの構成部品を操作する必要があるかを決定する段階、および監視および決定段階に応答してチャンバ構成部品サブルーチンを実行させる段階を含む。
次に、図25に関連して、特定のチャンバ構成部品サブルーチンの動作について説明する。ウェハ配置サブルーチン1450は、ウェハをペデスタル628に載置し、任意選択的にウェハを処理室106内で所望の高さまで上昇させ、ウェハとシャワー・ヘッド642の間隔を制御するために使用するチャンバ構成部品を制御するためのプログラム・コードで構成される。ウェハが処理室106に取り込まれると、ペデスタル628が下降し、リフト・ピン・アセンブリが上昇してウェハを受け取り、その後、ペデスタル628が、例えばCVDプロセス中にガス分配マニホルドから第1距離または間隔の位置にウェハを保持するために、チャンバ内の所望の高さ(例えば)まで上昇する。動作中、ウェハ配置サブルーチン1450は、チャンバ管理サブルーチン1440aから転送された支持体の高さに関連するプロセス・セット・パラメータに応答して、リフト・アセンブリおよびペデスタル628の動きを制御する。
プロセス・ガス制御サブルーチン1460は、処理ガス組成および流量を制御するためのプログラム・コードを含む。処理ガス制御サブルーチン1460は、安全遮断弁の開閉位置を制御し、かつ質量流量制御装置を上下することにより、所望のガス流量を達成する。処理ガス制御サブルーチン1460は、全てのチャンバ構成部品サブルーチンと同様に、チャンバ管理サブルーチン1440aによって呼び出され、チャンバ管理サブルーチンから所望のガス流量に関連するプロセス・パラメータを受け取る。一般的に、処理ガス制御サブルーチン1460は、ガス源と処理室106のガス供給管路との間の単一制御弁を開け、繰り返し(i)質量流量を測定し、(ii)実際の流量とチャンバ管理サブルーチン1440aから受け取った所望の流量とを比較し、(iii)必要に応じて主ガス供給管路の流量を調整することによって作動する。さらに、処理ガス制御サブルーチン1460は、ガス流量が危険な流速でないかを監視し、危険な状態を検出したときは安全遮断弁を起動する段階をも含む。
プロセスによっては、反応性処理ガスを処理室106に導入する前、にアルゴンなどの不活性ガスを処理室106に導入し、室内の圧力を安定させる。これらのプロセスを実行する場合は、室内の圧力を安定させるために必要な時間の量だけ不活性ガスを処理室106に流入させる段階を含めるように処理ガス制御サブルーチンをプログラムすると、上述の段階が実行される。さらに、例えばテトラエチルオルソシラン(TEOS)などの液体前駆物質から処理ガスを気化させる場合、気泡発生アセンブリ(bubbler assembly)で液体前駆物質からヘリウムなどの供給ガスを発生させる段階を含めるように、プロセス管理サブルーチン1460を作成する。この種のプロセスについては、処理ガス制御サブルーチン1460が、所望の処理ガス流量を達成するために、供給ガスの流量、気泡発生装置の圧力、および気泡発生装置の温度を調整する。上述の通り、所望の処理ガス流量は、プロセス・パラメータとして処理ガス制御サブルーチン1460に転送される。さらに、処理ガス制御サブルーチン1460は、任意の処理ガス流量に対し必要な値を包含する保存データ・テーブルにアクセスすることにより、所望の処理ガス流量を達成するために必要な供給ガスの流量、気泡発生装置の圧力、および気泡発生装置の温度を入手する段階を含む。いったん必要な値を入手すると、供給ガスの流量、気泡発生装置の圧力、および気泡発生装置の温度を監視し、必要な値と比較し、それに従って調整する。
圧力制御サブルーチン1470は、処理室の排気システムのスロットル弁の開口サイズを調整することにより、処理室106内の圧力を調整するプログラム・コードで構成される。スロットル弁の開口サイズを変化させ、処理ガス総流量、処理室のガス包含容量、および排気システムの真空排気設定圧力に関連して、処理室の圧力を所望のレベルに制御する。圧力制御サブルーチン1470を呼び出すと、チャンバ管理サブルーチン1440aから所望の設定圧力レベルがパラメータとして渡される。圧力制御サブルーチン1470は、処理室に接続された1つ以上の従来の圧力マノメータを用いて処理室106の圧力を測定し、測定値を設定圧力と比較し、設定圧力に対応する保存された圧力テーブルからPID(比例、積分、および微分)制御パラメータを入手し、圧力テーブルから入手したPID値に従ってスロットル弁を調整するように作動する。代替的に、圧力制御サブルーチン1470は、スロットル弁を特定の開口サイズまで開閉して、処理室106を所望の圧力まで調整するように作成することもできる。
ヒータ制御サブルーチン1480は、ウェハ502を加熱するために使用するランプまたはヒータ・モジュールの温度を制御するプログラム・コードで構成される。ヒータ制御サブルーチン1480もまた、チャンバ管理サブルーチン1440aによって呼び出され、所望の温度または設定温度パラメータを受け取る。ヒータ制御サブルーチン1480は、ペデスタル628に配置された熱電対の電圧出力を測定することによって温度を決定し、測定温度と設定温度を比較し、ヒータに印加される電流を増減することによって設定温度を達成する。温度は、保存された変換テーブルの対応する温度を研削するか、または4次多項式を使用して温度を計算することによって、測定電圧から入手する。放射ランプ(radiantlamp)を使用してペデスタル628を加熱する場合、ヒータ制御サブルーチン1480はランプに印加する電流の増減を徐々に制御する。徐々に増減することにより、ランプの寿命および信頼性が高まる。さらに、プロセスの安全性コンプライアンスを検出するために内蔵フェイルセーフ・モードを設けることができ、処理室106が適正に準備設定されない場合、ランプまたはヒータ・モジュールの動作を停止することができる。
プラズマ制御サブルーチン1490は、処理室106内のプロセス電極に印加されるRFバイアス電圧電力レベルを設定し、任意選択的に処理室内に発生する磁界のレベルを設定するプログラム・コードで構成される。上述のチャンバ構成部品サブルーチンと同様に、プラズマ制御サブルーチン1490もチャンバ管理サブルーチン1440aによって呼び出される。
以上、本発明のシステムをプラズマ・エンハンストCVDの適用例に関連して説明したが、本発明は、高密度(HDP)CVD室やPVD室およびエッチング室の使用をも含むことを理解されたい。例えば、本発明のシステムは、プラズマ処理用のタンデムHDP CVD室を含めるように適応することができる。1つの代替実施例では、ガス分配/蓋アセンブリの代わりにドームの周囲に誘導コイルを配置した誘電体ドームを使用し、コイルにRF電源を接続して、処理室内で高密度プラズマの誘導結合を生じさせることができる。同様に、堆積材料源としてターゲット・アセンブリを配置したタンデムPVD室を構成し、ターゲット・アセンブリにDC電源を接続して、スパッタリング電力を供給することができる。
以上の説明は本発明の好適な実施例に向けられているが、本発明の基本的範囲から逸脱することなく、本発明の他の実施例を考案することができる。本発明の範囲は、請求の範囲によって決定される。
ノベラス・コーポレーションから入手可能なバッチ処理用の放射状クラスタ・ツールの略平面図である。 (A)は、マットソン・テクノロジー社から入手可能なバッチ処理用の直線クラスタ・ツールの略平面図である。(B)は、マットソン・テクノロジー社から入手可能なバッチ処理用の直線クラスタ・ツールの略側面図である。 複数の枚葉式ウェハ処理チャンバを有するクラスタ・ツールの略平面図である。 本発明の真空処理システムの1実施例の斜視図である。 本発明の真空処理システムの1実施例の略平面図である。 本発明の真空処理システムの1実施例の正面図である。 本発明の真空処理システムの1実施例の背面図である。 本発明の前置ローディング・システムの斜視図である。 本発明のロードロック室内部の実質的正面斜視図である。 本発明のロードロック室の断面図である。 ロードロック室の正面に取り付けられたゲート・バルブおよび作動アセンブリを示すロードロック室の斜視図である。 本発明のロードロック室の別の実施例の斜視図である。 内部に搬送ウェハ・ハンドリング部材が配置された搬送室と、2つのウェハ・カセットを有する前置プラットフォームと、ウェハのマッピングおよびセンタリングのために前置プラットフォームに取り付けられた前置ウェハ・ハンドリング部材とを示す本発明の平面図である。 本発明の搬送室の側面断面図である。 搬送室および処理室の平面図であり、搬送室に取り付けられた本発明のウェハ・ハンドリング部材が引っ込んだ位置にあって搬送室内での回転または他のチャンバへの伸長が実行可能な状態を示す。 搬送室および処理室の平面図であり、搬送室に取り付けられた本発明のウェハ・ハンドリング部材が伸長した位置にあって、ブレードが処理室内に配置されている状態を示す。 本発明のウェハ・ハンドリング・システムの磁気結合による作動アセンブリの断面図である。 本発明の処理室の1実施例の斜視図である。 本発明の処理室の1実施例の断面図である。 ガス分配アセンブリの分解図である。 蓋を取り外した状態の本発明の処理室の平面図である。 (A)は、本発明の真空システムの概略図である。(B)は、本発明の別の真空システムの概略図である。(C)は、本発明の別の真空システムの概略図である。 処理室に取り付けられた遠隔プラズマ室の斜視図である。 処理室に取り付けられた遠隔プラズマ室の断面図である。 プロセス制御用のコンピュータ・プログラムの階層的制御構造の例証ブロック図である。 本発明のロボットの最短時間経路を示す搬送室の平面図である。 図26に示す経路の最適速度分布を示すグラフである。 本発明のロボットの最短時間経路を示す搬送室の平面図である。 図28に示す経路の最適速度分布を示すグラフである。
符号の説明
100…処理システ
103…ガス・パネル
104…搬送室
105…配電盤
107…発電機
109…ウェハ・カセット
110…プラットフォーム
111…ウェハ・カセット回転台
112…ロードロック室
121…真空ポンプ
218…ロードロック・カセット

Claims (14)

  1. (a)ロードロック室と、
    (b)搬送室と、
    (c)前記搬送室に接続された空間的に互いに分離される複数の処理領域を各々に形成する1つ以上の処理室と、
    (d)前記搬送室内に配置された第1ウェハ・ハンドリング部材と、
    を含むウェハ処理装置。
  2. そこに配置された1つ以上のウェハ・カセット回転台を含むウェハ・ステージング領域と、ウェハをロードロック室に送り込むため1以上のウェハ・カセット回転台に隣接して配置された第2ウェハ・ハンドリング部材をさらに含む請求項1記載の装置。
  3. 前記第1ウェハ・ハンドリング部材がロードロック室と前記1以上の処理室の間で複数のウェハを同時に搬送する複数の実質的に同一平面上のウェハ・ハンドリング・ブレードを含む請求項1記載の装置。
  4. 各処理領域がそこに配置されたガス分配アセンブリを含む請求項1記載の装置。
  5. 遠隔プラズマ・システムが前記ガス分配アセンブリに接続された請求項4記載の装置。
  6. 前記遠隔プラズマ・システムが、
    (a)プラズマ室と、
    (b)ガス入口とガス出口を有する前記プラズマ室に貫通配置されたアプリケータ・チューブと、
    (c)前記室に接続されマイクロ波電源と、
    を含む請求項5記載の装置。
  7. 各処理領域に配置されたウェハ・ペデスタルをさらに含む請求項2記載の装置。
  8. 前記各ウェハ・ペデスタルがそこに配置された加熱部材を含む請求項7記載の装置。
  9. 前記各処理領域がそこに配置されたガス分配アセンブリを含み、前記各ガス分配アセンブリが1つ以上のガス源からのガスを共用する請求項1記載の装置。
  10. 前記各ガス分配アセンブリが電源に接続された請求項9記載の装置。
  11. 各処理領域に配置されたウェハ・ペデスタルをさらに含む請求項10記載の装置。
  12. 各ウェハ・ペデスタルが加熱部材を含む請求項11記載の装置。
  13. 各処理領域が、前記ペデスタルおよび前記ガス分配アセンブリに接続された独立温度および電力制御装置を含む請求項11記載の装置。
  14. 前記室に接続された1つ以上のRF発生器および1つ以上のガス源をさらに含む請求項13記載の装置。
JP2008301430A 1996-11-18 2008-11-26 超高スループット・ウェハ真空処理システム Pending JP2009094530A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/751,485 US5855681A (en) 1996-11-18 1996-11-18 Ultra high throughput wafer vacuum processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP9317141A Division JPH10154739A (ja) 1996-11-18 1997-11-18 超高スループット・ウェハ真空処理システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013078809A Division JP2013179309A (ja) 1996-11-18 2013-04-04 超高スループット・ウェハ真空処理システム

Publications (1)

Publication Number Publication Date
JP2009094530A true JP2009094530A (ja) 2009-04-30

Family

ID=25022187

Family Applications (3)

Application Number Title Priority Date Filing Date
JP9317141A Pending JPH10154739A (ja) 1996-11-18 1997-11-18 超高スループット・ウェハ真空処理システム
JP2008301430A Pending JP2009094530A (ja) 1996-11-18 2008-11-26 超高スループット・ウェハ真空処理システム
JP2013078809A Pending JP2013179309A (ja) 1996-11-18 2013-04-04 超高スループット・ウェハ真空処理システム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP9317141A Pending JPH10154739A (ja) 1996-11-18 1997-11-18 超高スループット・ウェハ真空処理システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013078809A Pending JP2013179309A (ja) 1996-11-18 2013-04-04 超高スループット・ウェハ真空処理システム

Country Status (6)

Country Link
US (1) US5855681A (ja)
EP (1) EP0843340B1 (ja)
JP (3) JPH10154739A (ja)
KR (1) KR100503125B1 (ja)
DE (1) DE69730097T2 (ja)
TW (1) TW373230B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013088547A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送装置
KR101296570B1 (ko) 2010-03-16 2013-08-13 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치
WO2013121919A1 (ja) * 2012-02-17 2013-08-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2013121918A1 (ja) * 2012-02-17 2013-08-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8588962B2 (en) 2011-11-02 2013-11-19 Hitachi High-Technologies Corporation Vacuum processing device and method of transporting process subject member
WO2014025918A1 (en) * 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
JP2014072937A (ja) * 2012-09-28 2014-04-21 Hitachi High-Technologies Corp 真空処理装置用分電盤
US9431267B2 (en) 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
JP2019149578A (ja) * 2014-07-24 2019-09-05 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR20200003127A (ko) 2017-05-11 2020-01-08 도쿄엘렉트론가부시키가이샤 진공 처리 장치
KR20230111142A (ko) 2022-01-17 2023-07-25 도쿄엘렉트론가부시키가이샤 기판 반송 방법 및 기판 처리 시스템

Families Citing this family (716)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997034742A1 (fr) * 1996-03-18 1997-09-25 Komatsu Ltd. Dispositif de commande d'un systeme de transport de pieces
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
NL1005102C2 (nl) * 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
DE19715245C2 (de) * 1997-04-12 1999-09-02 Leybold Systems Gmbh Vakuumbehandlungsvorrichtung zum Aufbringen dünner Schichten
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
JP3672416B2 (ja) * 1997-06-27 2005-07-20 株式会社荏原製作所 スピン処理装置
US6073366A (en) * 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US6071055A (en) * 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP3966594B2 (ja) * 1998-01-26 2007-08-29 東京エレクトロン株式会社 予備真空室およびそれを用いた真空処理装置
JPH11230036A (ja) 1998-02-18 1999-08-24 Ebara Corp 真空排気システム
US6228773B1 (en) * 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
JP4364438B2 (ja) * 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
JP2000058615A (ja) * 1998-07-31 2000-02-25 Promos Technol Inc 複数のウエハーの同時処理方法
US6957690B1 (en) 1998-09-10 2005-10-25 Asm America, Inc. Apparatus for thermal treatment of substrates
US6108937A (en) * 1998-09-10 2000-08-29 Asm America, Inc. Method of cooling wafers
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6672358B2 (en) 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
JP4343295B2 (ja) * 1998-11-06 2009-10-14 キヤノン株式会社 試料の処理システム
JP3420712B2 (ja) * 1998-11-12 2003-06-30 東京エレクトロン株式会社 処理システム
US6312616B1 (en) * 1998-12-03 2001-11-06 Applied Materials, Inc. Plasma etching of polysilicon using fluorinated gas mixtures
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6418356B1 (en) 1998-12-31 2002-07-09 Silicon Valley Group, Inc. Method and apparatus for resolving conflicts in a substrate processing system
US6768930B2 (en) 1998-12-31 2004-07-27 Asml Holding N.V. Method and apparatus for resolving conflicts in a substrate processing system
US6865437B1 (en) 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
JP2000306978A (ja) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd 基板処理装置、基板搬送装置、および基板処理方法
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
FR2791598B1 (fr) * 1999-03-30 2001-06-22 Sidel Sa Machine a carrousel pour le traitement de corps creux comportant un circuit de distribution de pression perfectionne et distributeur pour une telle machine
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6214120B1 (en) 1999-08-27 2001-04-10 Innovac Corporation High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same
DE19952195A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6500357B1 (en) * 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6899795B1 (en) * 2000-01-18 2005-05-31 Unaxis Balzers Aktiengesellschaft Sputter chamber as well as vacuum transport chamber and vacuum handling apparatus with such chambers
ATE353473T1 (de) * 2000-04-12 2007-02-15 Aixtron Ag Reaktionskammer mit wenigstens einer hf- durchführung
US6508883B1 (en) * 2000-04-29 2003-01-21 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
US20040079633A1 (en) * 2000-07-05 2004-04-29 Applied Materials, Inc. Apparatus for electro chemical deposition of copper metallization with the capability of in-situ thermal annealing
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6630053B2 (en) 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
JP4004248B2 (ja) * 2000-09-01 2007-11-07 大日本スクリーン製造株式会社 基板処理装置および基板検査方法
KR20030032034A (ko) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 두 개의 이중 슬롯 로드록
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
JP3616748B2 (ja) * 2000-11-07 2005-02-02 東京エレクトロン株式会社 現像処理方法,現像処理装置及び処理装置
US6430468B1 (en) * 2000-11-17 2002-08-06 Applied Materials, Inc. Method and apparatus for accurate placement of semiconductor wafers onto respective platforms within a single reaction chamber
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
JP2002198411A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd 圧力制御方法、搬送装置およびクラスタツール
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020148565A1 (en) * 2001-04-12 2002-10-17 Applied Materials, Inc. Mushroom stem wafer pedestal for improved conductance and uniformity
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
EP1393355A2 (de) * 2001-05-18 2004-03-03 Mattson Thermal Products GmbH Vorrichtung zur aufnahme von scheibenförmigen objekten
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
JP2003209097A (ja) * 2001-08-29 2003-07-25 Tokyo Electron Ltd ウエハ処理マシン
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
CA2359597C (en) * 2001-10-23 2003-10-21 Roland Kenny Beverage can holder
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
WO2004010482A1 (en) * 2002-07-19 2004-01-29 Axcelis Technologies, Inc. Dual chamber vacuum processing system
US7749563B2 (en) * 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
DE10247051A1 (de) * 2002-10-09 2004-04-22 Polymer Latex Gmbh & Co Kg Latex und Verfahren zu seiner Herstellung
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7011890B2 (en) * 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
JP2004356606A (ja) * 2003-04-03 2004-12-16 Seiko Epson Corp 製造装置及び製造方法
EP1596421A3 (en) * 2003-05-19 2011-04-06 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
SG141228A1 (en) * 2003-05-19 2008-04-28 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7335277B2 (en) * 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
CN1618716B (zh) * 2003-11-12 2011-03-16 周星工程股份有限公司 装载锁及使用其的装载锁腔室
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
KR100569604B1 (ko) * 2003-12-30 2006-04-10 주식회사 아이피에스 트윈 공정챔버를 이용한 클러스터 툴 시스템 및 이를 통한 박막 증착 방법
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7276122B2 (en) * 2004-04-21 2007-10-02 Mattson Technology, Inc. Multi-workpiece processing chamber
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060104799A1 (en) * 2004-07-12 2006-05-18 Applied Materials, Inc. Methods and apparatus for reducing an electronic device manufacturing tool footprint
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
EP1856730B1 (de) * 2005-02-22 2010-12-29 OC Oerlikon Balzers AG Verfahren zur positionierung eines wafers
JP2008147202A (ja) * 2005-02-25 2008-06-26 Yoshito Tanaka 半導体検査装置およびこれを用いた半導体検査システム
US7467916B2 (en) * 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
DE102005015063B4 (de) * 2005-03-31 2008-05-15 Schott Ag Vorrichtung und Verfahren zur automatischen Erzeugung von Steueranweisungen für Rundläufermaschinen
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
KR101021020B1 (ko) * 2005-08-05 2011-03-09 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리 장치
CN100358097C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
CN100358098C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8231251B2 (en) * 2005-10-28 2012-07-31 Philips Lumileds Lighting Company Llc Multiple piece reflective angle transformer
KR100702844B1 (ko) * 2005-11-14 2007-04-03 삼성전자주식회사 로드락 챔버 및 그를 이용한 반도체 제조설비
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US9157151B2 (en) * 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) * 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) * 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
KR100935537B1 (ko) * 2006-11-01 2010-01-07 주식회사 아이피에스 웨이퍼이송로봇, 이를 이용한 웨이퍼가공시스템 및웨이퍼처리방법
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR101343336B1 (ko) * 2007-03-05 2014-01-13 주식회사 원익아이피에스 박막증착장치
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP4464993B2 (ja) * 2007-06-29 2010-05-19 東京エレクトロン株式会社 基板の処理システム
KR100842027B1 (ko) * 2007-07-24 2008-06-27 세메스 주식회사 얼라인 장치 및 이를 이용한 웨이퍼 정렬 방법
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090263581A1 (en) * 2008-04-16 2009-10-22 Northeast Maritime Institute, Inc. Method and apparatus to coat objects with parylene and boron nitride
US8277165B2 (en) * 2007-09-22 2012-10-02 Dynamic Micro System Semiconductor Equipment GmbH Transfer mechanism with multiple wafer handling capability
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
CN102077338A (zh) * 2008-06-24 2011-05-25 应用材料股份有限公司 用于低温pecvd应用的基座加热器
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8844546B2 (en) * 2008-10-01 2014-09-30 Applied Materials, Inc. Apparatus and method for cleaning semiconductor substrate using pressurized fluid
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101052818B1 (ko) 2008-11-18 2011-07-29 세메스 주식회사 기판 처리 장치 및 기판 처리 장치에서의 정비 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5522979B2 (ja) * 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8414736B2 (en) 2009-09-03 2013-04-09 Applied Materials, Inc. Plasma reactor with tiltable overhead RF inductive source
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US20110159666A1 (en) * 2009-12-31 2011-06-30 O'connor John P Deposition systems and methods
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US20120058630A1 (en) * 2010-09-08 2012-03-08 Veeco Instruments Inc. Linear Cluster Deposition System
US8502689B2 (en) 2010-09-23 2013-08-06 Applied Materials, Inc. System and method for voltage-based plasma excursion detection
US8587321B2 (en) 2010-09-24 2013-11-19 Applied Materials, Inc. System and method for current-based plasma excursion detection
DE102010048043A1 (de) * 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5609744B2 (ja) 2011-03-31 2014-10-22 東京エレクトロン株式会社 基板受け渡し装置、基板受け渡し方法及び基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102903606B (zh) * 2011-07-29 2016-03-30 无锡华瑛微电子技术有限公司 多腔室半导体处理装置
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
TR201903734T4 (tr) 2011-11-18 2019-03-21 First Solar Inc Materyalin eş biriktirilmesine yönelik buhar taşıma biriktirme yöntemi ve sistemi.
US9508612B2 (en) 2012-03-15 2016-11-29 Applied Materials, Inc. Method to detect wafer arcing in semiconductor manufacturing equipment
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103578904B (zh) * 2012-07-18 2016-05-25 中微半导体设备(上海)有限公司 一种用于多腔室等离子处理装置的减少颗粒污染的方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10403532B2 (en) * 2012-09-20 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor apparatus with inner wafer carrier buffer and method
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104008945B (zh) * 2013-02-22 2016-06-01 中微半导体设备(上海)有限公司 用于等离子体处理装置的基片制程方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9524889B2 (en) 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9330951B2 (en) 2013-06-05 2016-05-03 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
WO2015073651A1 (en) 2013-11-13 2015-05-21 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
JP6708546B2 (ja) 2013-11-13 2020-06-10 ブルックス オートメーション インコーポレイテッド 密封型ロボット駆動部
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US10094023B2 (en) * 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6417916B2 (ja) * 2014-12-15 2018-11-07 東京エレクトロン株式会社 基板搬送方法、基板処理装置、及び記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR101642919B1 (ko) 2015-02-24 2016-07-26 코스텍시스템(주) 웨이퍼 이송 장치 및 이송 방법
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
GB201504202D0 (en) 2015-03-12 2015-04-29 Spts Technologies Ltd PE-CVD apparatus and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170194174A1 (en) * 2015-12-30 2017-07-06 Applied Materials, Inc. Quad chamber and platform having multiple quad chambers
JP6294365B2 (ja) * 2016-01-29 2018-03-14 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP7017306B2 (ja) 2016-11-29 2022-02-08 株式会社日立ハイテク 真空処理装置
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018141700A (ja) * 2017-02-28 2018-09-13 セイコーエプソン株式会社 電子部品搬送装置および電子部品検査装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10276364B2 (en) * 2017-05-08 2019-04-30 Applied Materials, Inc. Bevel etch profile control
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
ES2884373T3 (es) 2017-06-28 2021-12-10 Meyer Burger Germany Gmbh Dispositivo para el transporte de un sustrato, dispositivo de tratamiento con una placa de alojamiento adaptada a un soporte de sustrato de tal dispositivo y procedimiento para el procesado de un sustrato bajo utilización de tal dispositivo para el transporte de un sustrato, así como planta de tratamiento
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10378279B2 (en) * 2017-07-12 2019-08-13 Lam Research Corporation Step ladder with component rack system for fabrication facility
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN108461387B (zh) * 2018-03-19 2020-06-19 北京北方华创微电子装备有限公司 功率馈入机构、旋转基座装置及半导体加工设备
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
KR102110308B1 (ko) 2018-04-23 2020-05-14 코스텍시스템(주) 웨이퍼 이송 장치
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020168021A1 (en) * 2019-02-13 2020-08-20 Applied Materials, Inc. Vacuum pumps for single and multi-process chamber flow stream sharing
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7228612B2 (ja) * 2020-03-27 2023-02-24 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、基板処理方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7366952B2 (ja) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 プラズマ処理装置の検査方法
WO2022201546A1 (ja) * 2021-03-26 2022-09-29 株式会社Kokusai Electric 処理装置、プログラム及び半導体装置の製造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0456770A (ja) * 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd プラズマcvd装置のクリーニング方法
JPH0555343A (ja) * 1991-08-27 1993-03-05 Kokusai Electric Co Ltd ウエーハ処理装置
JPH06244124A (ja) * 1993-01-28 1994-09-02 Applied Materials Inc 改良されたスループットを有する真空処理装置
JPH0729963A (ja) * 1993-07-15 1995-01-31 Kokusai Electric Co Ltd 半導体製造装置
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6098628A (ja) * 1983-11-02 1985-06-01 Matsushita Electric Ind Co Ltd 真空中における搬送装置
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4795299A (en) * 1987-04-15 1989-01-03 Genus, Inc. Dial deposition and processing apparatus
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4908095A (en) * 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
ES2130295T3 (es) * 1989-10-20 1999-07-01 Applied Materials Inc Aparato de tipo robot.
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
KR100256215B1 (ko) * 1993-02-26 2000-06-01 히가시 데쓰로 멀티챔버 시스템
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3486462B2 (ja) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
JPH07335552A (ja) * 1994-06-08 1995-12-22 Tel Varian Ltd 処理装置
JP2665202B2 (ja) * 1995-05-31 1997-10-22 九州日本電気株式会社 半導体ウェハ処理装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0456770A (ja) * 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd プラズマcvd装置のクリーニング方法
JPH0555343A (ja) * 1991-08-27 1993-03-05 Kokusai Electric Co Ltd ウエーハ処理装置
JPH06244124A (ja) * 1993-01-28 1994-09-02 Applied Materials Inc 改良されたスループットを有する真空処理装置
JPH0729963A (ja) * 1993-07-15 1995-01-31 Kokusai Electric Co Ltd 半導体製造装置
JPH07288248A (ja) * 1994-04-19 1995-10-31 Toshiba Corp 半導体素子用プラズマ装置
JPH07321178A (ja) * 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101296570B1 (ko) 2010-03-16 2013-08-13 가부시키가이샤 히다치 하이테크놀로지즈 진공 처리 장치
US9343340B2 (en) 2010-03-16 2016-05-17 Hitachi High-Technologies Corporation Vacuum processing apparatus
US8588962B2 (en) 2011-11-02 2013-11-19 Hitachi High-Technologies Corporation Vacuum processing device and method of transporting process subject member
JPWO2013088547A1 (ja) * 2011-12-15 2015-04-27 タツモ株式会社 ウエハ搬送装置
WO2013088547A1 (ja) * 2011-12-15 2013-06-20 タツモ株式会社 ウエハ搬送装置
WO2013121919A1 (ja) * 2012-02-17 2013-08-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
WO2013121918A1 (ja) * 2012-02-17 2013-08-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN104584188A (zh) * 2012-08-08 2015-04-29 应用材料公司 连结型真空处理工具和使用该工具的方法
US9147592B2 (en) 2012-08-08 2015-09-29 Applied Materials, Inc. Linked vacuum processing tools and methods of using the same
WO2014025918A1 (en) * 2012-08-08 2014-02-13 Applied Materials, Inc Linked vacuum processing tools and methods of using the same
CN104584188B (zh) * 2012-08-08 2017-05-31 应用材料公司 连结型真空处理工具和使用该工具的方法
JP2014072937A (ja) * 2012-09-28 2014-04-21 Hitachi High-Technologies Corp 真空処理装置用分電盤
US9431267B2 (en) 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
JP2019149578A (ja) * 2014-07-24 2019-09-05 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR20200003127A (ko) 2017-05-11 2020-01-08 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US11776828B2 (en) 2017-05-11 2023-10-03 Tokyo Electron Limited Vacuum processing device
KR20230111142A (ko) 2022-01-17 2023-07-25 도쿄엘렉트론가부시키가이샤 기판 반송 방법 및 기판 처리 시스템

Also Published As

Publication number Publication date
KR100503125B1 (ko) 2005-09-26
EP0843340A3 (en) 1999-02-17
TW373230B (en) 1999-11-01
DE69730097T2 (de) 2005-08-11
JPH10154739A (ja) 1998-06-09
EP0843340A2 (en) 1998-05-20
KR19980042482A (ko) 1998-08-17
JP2013179309A (ja) 2013-09-09
EP0843340B1 (en) 2004-08-04
US5855681A (en) 1999-01-05
DE69730097D1 (de) 2004-09-09

Similar Documents

Publication Publication Date Title
JP4555406B2 (ja) タンデム型処理室
JP2013179309A (ja) 超高スループット・ウェハ真空処理システム
JP4603633B2 (ja) 処理室排気システム
JP2008305793A (ja) 遠隔プラズマ源
US5902088A (en) Single loadlock chamber with wafer cooling function
US6082950A (en) Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5909994A (en) Vertical dual loadlock chamber
US6224312B1 (en) Optimal trajectory robot motion
US5911834A (en) Gas delivery system
US5838121A (en) Dual blade robot
US5905302A (en) Loadlock cassette with wafer support rails
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
KR100267617B1 (ko) 진공처리장치 및 진공처리방법
KR20010082111A (ko) Pecvd 캡핑 모듈을 포함하는, 유전상수가 낮은유전체 증착을 위한 통합형 장비 및 프로세스
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
TWI727384B (zh) 半導體製程機台及其應用之方法
TW202221824A (zh) 批次熱製程腔室
JPH01275785A (ja) 処理装置

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101207

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111026

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111031

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111228

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120425

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120724

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120727

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120925

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120928

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121023

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121204

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130404

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130528

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20130712