DE102004005502A1 - MOS-Transistor mit erhöhter Source-/Drain-Struktur und zugehöriges Herstellungsverfahren - Google Patents

MOS-Transistor mit erhöhter Source-/Drain-Struktur und zugehöriges Herstellungsverfahren Download PDF

Info

Publication number
DE102004005502A1
DE102004005502A1 DE102004005502A DE102004005502A DE102004005502A1 DE 102004005502 A1 DE102004005502 A1 DE 102004005502A1 DE 102004005502 A DE102004005502 A DE 102004005502A DE 102004005502 A DE102004005502 A DE 102004005502A DE 102004005502 A1 DE102004005502 A1 DE 102004005502A1
Authority
DE
Germany
Prior art keywords
source
gate electrode
epitaxial layer
substrate
mos transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102004005502A
Other languages
English (en)
Other versions
DE102004005502B4 (de
Inventor
Young-Gun Sungnam Ko
Chang-Bong Sungnam Oh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to DE102004064122A priority Critical patent/DE102004064122B4/de
Publication of DE102004005502A1 publication Critical patent/DE102004005502A1/de
Application granted granted Critical
Publication of DE102004005502B4 publication Critical patent/DE102004005502B4/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Die Erfindung bezieht sich auf ein Verfahren zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur sowie auf einen so herstellbaren MOS-Transistor mit einer Gate-Dielektrikumschicht (312) auf einem Substrat (302), einer Gate-Elektrode (314) auf der Gate-Dielektrikumschicht, einer Epitaxieschicht (305) benachbart zu der Gate-Dielektrikumschicht auf dem Substrat und ersten Source-/Drain-Bereichen (306a, 306b) in der Epitaxieschicht. DOLLAR A Erfindungsgemäß sind die ersten Source-/Drain-Bereiche (306a, 306b) benachbart zu der Gate-Dielektrikumschicht (312) an einem unteren Seitenbereich der Gate-Elektrode angeordnet. Zudem ist ein isolierender Abstandshalter (318) auf der Epitaxieschicht (305) an einem oberen Seitenbereich der Gate-Elektrode angeordnet und/oder die Gate-Dielektrikumschicht erstreckt sich auch über einen unteren Seitenbereich der Gate-Elektrode hinweg. DOLLAR A Verwendung in der MOS-Halbleitertechnologie.

Description

  • Die Erfindung bezieht sich auf einen MOS-Transistor mit erhöhter Source-/Drain-Struktur sowie auf ein Verfahren zu dessen Herstellung.
  • In der Halbleiterindustrie ist die Minimierung der Elementabmessung von MOS-Transistoren in integrierten Schaltkreisen ein allgemeines Ziel. Dieses Ziel wird im Wesentlichen wegen der Notwendigkeit verfolgt, integrierte Schaltkreise bei immer geringeren Kosten herzustellen und dabei Schaltkreisfunktionalität und -geschwindigkeit zu verbessern. Ein derartiges Herunterskalieren kann durch Reduzieren der charakteristischen Abmessungen der Transistoren, d.h. Reduzieren der Gate-Längen, der Dicke des Gate-Oxids und der Übergangstiefen, und durch Erhöhen der Kanaldotierniveaus erreicht werden. Herunterskalierte MOS-Transistoren leiden jedoch im Allgemeinen an einem Phänomen, das als "Kurzkanaleffekt" bezeichnet wird. Der Kurzkanaleffekt hat einen nachteiligen Einfluss auf die Schaltleistungsfähigkeit der Transistoren, da ein derartiges Schalten durch die Gate-Elektrode ineffizient gesteuert wird, was zu einer unerwünschten Abnahme der Schwellenspannung führt. Mechanisch belegen die Verarmungsgebiete um die Source- und die Drain-Elektrode herum einen zunehmend größeren Bruchteil des Kanalgebiets, so dass ein niedrigeres Potential auf der Gate-Elektrode notwendig ist, um eine Inversion in dem Kanal zu erreichen.
  • Bezugnehmend auf 1 beinhaltet ein herkömmlicher, herunterskalierter MOS-Transistor 100, der innerhalb eines Halbleitersubstrats 102 gefertigt ist, einen Source-Erweiterungsbereich 106a und einen Drain-Erweiterungsbereich 106b. Der Source-Erweiterungsbereich 106a und der Drain-Erweiterungsbereich 106b weisen flache Übergänge auf, um den Kurzkanaleffekt zu minimieren, der in MOS-Transistoren mit Submikrometer- oder Nanometer-Abmessungen auftritt. Der MOS-Transistor 100 beinhaltet des Weiteren einen Source-Bereich 108a und einen Drain-Bereich 108b, die tiefere Übergänge relativ zu dem Source-Erweiterungsbereich 106a und dem Drain-Erweiterungsbereich 106b aufweisen, um einen niedrigeren Widerstandswert bereitzustellen. Der MOS-Transistor 100 beinhaltet außerdem eine Gate-Struktur 110, die aus einem Gate-Dielektrikum 112 und einer Gate-Elektrode 114 besteht. Ein isolierender Abstandshalter 118, der typischerweise aus Siliciumnitrid (SiN) besteht, ist an den Seitenwänden der Gate-Struktur 110 angeordnet. Der MOS-Transistor 100 beinhaltet des Weiteren Silicid-Gebiete 120a, 120b und 120c, um einen niederohmigen elektrischen Kontakt mit dem Source-/Drain-Gebiet 108a/108b und der Gate-Elektrode 114 bereitzustellen. Der MOS-Transistor ist von anderen Bauelementen durch flache Grabenisolationsstrukturen 104 elektrisch isoliert.
  • Ein Problem liegt darin, dass Störstellen in den Source-/Drain-Erweiterungsbereichen 106a/106b dazu tendieren, in den Bereich unmittelbar unter der Gate-Elektrode 110 zu diffundieren. Die Teile der Source-/Drain-Erweiterungsbereiche 106a/106b, die unmittelbar unter der Gate-Elektrode 110 ausgebildet sind, weisen einen höheren elektrischen Widerstandswert relativ zu den Teilen der Erweiterungsbereiche 106a/106b auf, die sich unmittelbar unter den Seitenwandabstandshaltern 118 befinden. Aus diesem Grund weist der Transistor 100 effektive Widerstände auf, die seriell mit Source- und Drain-Elektrode verbunden sind. Dies verhindert den Fluss elektrischen Stroms, wodurch die Betriebsgeschwindigkeit verringert wird.
  • Ein zweites Problem betrifft den Anstieg der Kanaldotiermittelkonzentration, der seinerseits einen Anstieg der Schwellenspannung in dem Feldeffekttransistor verursacht. Um Miniaturisierungsanforderungen in MOS-Transistoren zu genügen, wird die Störstellenkonzentration des Kanalstörstellenbereichs notwendigerweise angehoben. Gleichzeitig sind gegenwärtige Halbleiterbauelemente dafür ausgelegt, mit einer niedrigeren Leistungsversorgungsspannung zu arbeiten, wie einer, die im Bereich zwischen 5V und 3,3V liegt. Für einen Betrieb mit einer derart niedrigen Leistungsversorgungsspannung muss die Schwellenspannung des Feldeffekttransistors niedriger sein. Aus diesem Grund ist jeglicher Anstieg der Schwellenspannung des MOS-Transistors aufgrund des Anstiegs der Kanaldotiermittelkonzentration unerwünscht. Andererseits verursacht ein Kanaldotierniveau, das in herunterskalierten Bauelementen zu hoch ist, überflüssige Leckströme und Übergangsdurchbrüche.
  • In einem Versuch, die angegebenen Schwierigkeiten zu überwinden, wurden bereits erhöhte, d.h. angehobene Source- und Drain-Strukturen vorgeschlagen. 2 zeigt einen solchen MOS-Transistor 200 mit einer Gate-Struktur 210, die aus einem Gate-Dielektrikum 212 und einer Gate-Elektrode 214 besteht und auf der Oberfläche eines Halbleitersubstrats 202 ausgebildet ist. Des Weiteren sind ein Source-Erweiterungsbereich 206a und ein Drain-Erweiterungsbereich 206b in dem Halbleitersubstrat 202 ausgebildet. Ein Abstandshalter 218, der typischerweise aus Siliciumnitrid (SiN) besteht, ist an den Seitenwänden der Gate-Struktur 210 ausgebildet. Eine Epitaxieschicht, die typischerweise aus Silicium besteht, ist auf freiliegende Teile der Source-/Drain-Erweiterungsbereiche 206a/206b aufgewachsen, typischerweise unter Verwendung von selektivem epitaktischem Aufwachsen. Nach dem Aufwachsen der Epitaxieschicht werden Dotierstoffe implantiert und aktiviert, um einen erhöhten Source-Bereich 208a und einen erhöhten Drain-Bereich 208b zu bilden. Der MOS-Transistor 200 beinhaltet des Weiteren Silicidbereiche 220a, 220b und 220c, um elektrische Kontakte zu den erhöhten Source-/Drain-Bereichen 208a/208b und der Gate-Elektrode 214 bereitzustellen.
  • Ein MOS-Transistor mit einem erhöhten Source-/Drain-Bereich, der gemäß der Struktur von 2 hergestellt ist, ist effektiv hinsichtlich einer Reduzierung des Widerstands der Source- und Drain-Bereiche durch Erhöhen der Dicke und des Dotierniveaus mittels Erhöhen der Source-/Drain-Bereiche 208a/208b. Es ist jedoch unvermeidbar, dass die Dotierstoffe der Source-/Drain-Erweiterungsbereiche 206a/206b in das Gebiet unmittelbar unter der Gate-Struktur 210 diffundieren, was zu einem Übergangsleckstrom über die Source-/Drain-Erweiterungsbereiche 206a/206b führt.
  • Der Erfindung liegt als technisches Problem die Bereitstellung eines MOS-Transistors der eingangs genannten Art sowie eines zugehörigen Herstellungsverfahrens zugrunde, mit denen sich die oben erwähnten Schwierigkeiten ganz oder teilweise beheben lassen und sich insbesondere eine Diffusion von Dotierstoffen aus Source-/Drain-Erweiterungsbereichen in einen Kanalbereich ganz oder weitgehend vermeiden lässt.
  • Die Erfindung löst dieses Problem durch die Bereitstellung eines Verfahrens zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur mit den Merkmalen des Anspruchs 1 und eines MOS-Transistors mit den Merkmalen des Anspruchs 27 oder 28.
  • Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.
  • Insbesondere stellt die Erfindung einen Transistor bereit, der Source-/Drain-Erweiterungsbereiche beinhaltet, in denen die Diffusion von Dotierstoffen in den Kanalbereich gemildert oder eliminiert ist. Dies wird teilweise durch Erhöhen der Source-/Drain-Erweiterungsbereiche in die Epitaxieschicht erreicht, die auf dem darunterliegenden Substrat ausgebildet ist. Dadurch wird die Kanallänge vergrößert, während eine Diffusion von Dotierstoffen in den Kanalbereich begrenzt wird.
  • Die Leistungscharakteristika des Transistors der Erfindung können durch Steuern der jeweiligen Geometrien (d.h. der Tiefen und Weiten) der Source-/Drain-Erweiterungsbereiche, der Soruce-/Drain-Bereiche, der Kanalbreite und eines optionalen Grabens, der in dem darunterliegenden Substrat ausgebildet ist, festgelegt werden., In den verschiedenen Ausführungsformen können sich die Source-/Drain-Bereiche und die Source-/Drain-Erweiterungsbereiche teilweise oder vollständig durch die Epitaxieschicht hindurch oder sogar in das darunterliegende Halbleitersubstrat hinein erstrecken.
  • Vorteilhafte, nachfolgend beschriebene Ausführungsformen der Erfindung sowie die zu deren besserem Verständnis oben erläuterten, herkömmlichen Ausführungsbeispiele sind in den Zeichnungen dargestellt. Hierbei zeigen:
  • 1 eine schematische Querschnittansicht eines herkömmlichen MOS-Transistors,
  • 2 eine schematische Querschnittansicht eines herkömmlichen MOS-Transistors mit erhöhter Source-/Drain-Struktur,
  • 3 eine schematische Querschnittansicht eines MOS-Transistors mit erhöhter Source-/Drain-Struktur gemäß einer ersten Ausführungsform der Erfindung,
  • 4 eine schematische Querschnittansicht eines MOS-Transistors mit erhöhter Source-/Drain-Struktur gemäß einer zweiten Ausführungsform der Erfindung,
  • 5, 6 und 7 schematische Querschnittansichten von MOS-Transistoren mit erhöhten Source-/Drain-Strukturen gemäß der Erfindung, wobei Source- und Drain-Bereiche und Source- und Drain-Erweiterungsbereiche verschiedene Tiefen aufweisen,
  • 8A und 8B schematische Querschnittansichten eines MOS-Transistors mit erhöhter Source-/Drain-Struktur gemäß der ersten und der zweiten Ausführungsform der Erfindung, die auf einem Silicium-auf-Isolator(SOI)-Substrat ausgebildet sind,
  • 9A bis 9L schematische Querschnittansichten zur Veranschaulichung eines Verfahrens zur Herstellung des MOS-Transistors der ersten Ausführungsform der Erfindung und
  • 10A bis 10C schematische Querschnittansichten zur Veranschaulichung eines Verfahrens zur Herstellung des MOS-Transistors der zweiten Ausführungsform der Erfindung.
  • Eine MOS-Transistorstruktur 300 gemäß der Erfindung ist in 3 dargestellt. Eine Gate-Elektrode 314 ist über einem Halbleitersubstrat 302 ausgebildet, um eine Gate-Elektrode 310 zu bilden. Ein Gate-Dielektrikum 312, zum Beispiel aus Siliciumoxid (SiO2), ist unter der Gate-Elektrode 314 und an einem unteren Bereich von Seitenwänden der Gate-Elektrode 314 ausgebildet. Eine Epitaxieschicht 305, die zum Bei spiel aus Silicium oder Silicium-Germanium besteht, ist auf dem Substrat 302 benachbart zu entgegengesetzten Seiten der Gate-Elektrode 310 ausgebildet. Ein isolierender Abstandshalter 318 ist mit entsprechenden Teilen an einem oberen Bereich der Seitenwände der Gate-Elektrode 310 ausgebildet. In der Epitaxieschicht 305 sind unter den Abstandshalterteilen 318 ein Source-Erweiterungsbereich 306a bzw. ein Drain-Erweiterungsbereich 306b ausgebildet. Ein Source-Bereich 308a und ein Drain-Bereich 308b sind auf den freiliegenden Teilen der Source-/Drain-Erweiterungsbereiche 306a, 306b ausgebildet, d.h. Teilen der Epitaxiebereiche, die nicht unter den Abstandshalterteilen 318 liegen. Der MOS-Transistor 300 kann des Weiteren optional Silicidbereiche 320a, 320b und 320c beinhalten, um niederohmige elektrische Kontakte für die erhöhten Source-/Drain-Bereiche 306a, 306b und die Gate-Elektrode 314 bereitzustellen.
  • Der isolierende Abstandshalter 318 besteht zum Beispiel aus Siliciumnitrid (SiN). Außerdem kann eine optionale Siliciumoxidschicht 316 als Pufferschicht zwischen dem SiN-Abstandshalter 318 und den anderen Siliciumschichten, wie der Gate-Elektrode 314 und der Epitaxieschicht 305, ausgebildet sein.
  • Bei einer weiteren, in 4 gezeigten Ausführungsform 400 der Erfindung ist die Gate-Elektrode 414 in einem Graben 417 oder einem vertieften Bereich eines Halbleitersubstrats 402 ausgebildet. In diesem Fall ist ein Gate-Dielektrikum 412 teilweise unter und am unteren Bereich der Seitenwände der Gate-Elektrode zum Beispiel auf dem Boden und an Seitenflächen des Gate-Grabens 417 des Halbleitersubstrats 402 und an Seitenwänden einer Epitaxieschicht 405 ausgebildet, wie gezeigt. Die Graben-Ausführungsform von 4 sorgt für eine effektive Verlängerung des Kanalbereichs zwischen Source- und Drain-Erweiterungsbereichen 406a, 406b, die zusätzlich zu Source- und Drain-Bereichen 408a, 408b ausgebildet sind.
  • Die effektive Kanallänge der Transistorstruktur der Erfindung kann durch Steuern verschiedener Faktoren variiert werden, wie der Dicke der Epitaxieschicht 305 bzw. 405, der Tiefe der Source-/Drain-Erweiterungsbereiche 306a, 306b bzw. 406a, 406b, der Tiefe der Source-/Drain-Bereiche 308a, 308b bzw. 408a, 408b und der Tiefe des Gate-Grabens 417.
  • In den exemplarischen Ausführungsformen der 3 und 4 erstrecken sich die Tiefen der Source-/Drain-Bereiche 308a, 308b bzw. 408a, 408b in das Halbleitersubstrat 302 bzw. 402 hinein, während sich die Source/-Drain-Erweiterungsbereiche 306a, 306b bzw. 406a, 406b nur in einen oberen Teil der Epitaxieschicht 305 bzw. 405 mit Abstand über dem Halbleitersubstrat 302 bzw. 402 erstrecken, wie gezeigt. Alternativ können sich auch die Tiefen der Source-/Drain-Erweiterungsbereiche 306a, 306b bzw. 406a, 406b in das Halbleitersubstrat 302 bzw. 402 hinein erstrecken (nicht gezeigt). Bei einem in 5 gezeigten Ausführungsbeispiel 500 erstrecken sich Source-/Drain-Bereiche 508a, 508b bis zu der Grenze zwischen Epitaxieschicht 505 und Halbleitersubstrat 502, während sich Source-/Drain-Erweiterungsbereiche 506a, 506b nur über einen oberen Teil der Epitaxieschicht 505 erstrecken. Bei einem in 6 gezeigten Ausführungsbeispiel 600 erstrecken sich Source-/Drain-Bereiche 608a, 608b bis zu der Grenze zwischen Epitaxieschicht 605 und Halbleitersubstrat 602, und Source-/Drain-Erweiterungsbereiche 606a, 606b erstrecken sich ebenfalls bis zu der Grenze zwischen der Epitaxieschicht 605 und dem Halbleitersubstrat 602. Bei einem in 7 gezeigten Ausführungsbeispiel 700 erstrecken sich Source-/Drain-Bereiche 708a, 708b nur über einen oberen Teil einer Epitaxieschicht 705 mit Abstand zum Halbeitersubstrat 702, und Source-/Drain-Erweiterungsbereiche 706a, 706b erstrecken sich ebenfalls nur über einen oberen Teil der Epitaxieschicht 705 bis zu einer anderen Tiefe als die Source-/Drain-Bereiche 708a, 708b.
  • Durch Steuern der Kanallänge können verschiedene Bauelementcharakteristika erreicht werden, und der Kurzkanaleffekt kann verhindert werden, ungeachtet der Notwendigkeit für ein Herunterskalieren der Bauelementabmessung. In einem herkömmlichen Transistor ist die Kanallänge primär durch die Gate-Länge bestimmt; in dem Transistor der Erfindung ist die Kanallänge hingegen nicht nur von der Gate-Länge, sondern auch von der Dicke der Epitaxieschicht, der Dicke der Source-/Drain-Bereiche und der Tiefe des Gate-Grabens abhängig.
  • Da sich unter Bezugnahme auf 3 gemäß der Erfindung die Störstellen der Source-/Drain-Erweiterungsbereiche 306a/306b in der Epitaxieschicht befinden, diffundieren sie im Gegensatz zu den herkömmlichen Ausführungsformen selbst nach einer Wärmebehandlung des Siliciumsubstrats 302 nicht in das Gebiet unter der Gate-Elektrode 310. Auf diese Weise wird eine Verkürzung der Kanallänge relativ zu der Gate-Länge verhindert. Des Weiteren kann der Kanal variabel verlängert werden, indem er sich längs des unteren Seitenwandteils der Gate-Elektrode und des Bereichs erstreckt, der sich unmittelbar unter der Gate-Elektrode befindet. Dazu wird die Dicke der Epitaxieschicht 305, die Tiefe der Source-/Drain-Erweiterungsbereiche 306a, 306b in der Epitaxieschicht und/oder die Tiefe des Gate-Grabens 317 in dem Halbleitersubstrat entsprechend gesteuert. Auf diese Weise kann ein Kanal mit ausreichender Länge in einer Transistorstruktur erzielt werden, die eine minimierte Gate-Länge aufweist.
  • Gemäß der Erfindung kann die Konzentrationsverteilung von Störstellen in dem Kanalbereich zwischen dem Source-Erweiterungsbereich und dem Drain-Erweiterungsbereich präzise gesteuert werden. Demzufolge kann die resultierende Schwellenspannung eines MOS-Transistors genau vorhergesagt werden, so dass ein Halbleiterbauelement mit optimalen elektrischen Eigenschaften erzielt werden kann.
  • Ein Anstieg der Schwellenspannung des MOS-Transistors kann zum Beispiel durch das Bilden der Source-/Drain-Bereiche 308a, 308b in der Epitaxieschicht 305 mit niedrigen Störstellenkonzentrationen unterdrückt werden, wodurch eine Kompatibilität mit einer Abnahme der Leistungsversorgungsspannung des Halbleiterbauelements erzielt wird.
  • Das Material des Halbleitersubstrats ist nicht auf Silicium beschränkt, sondern kann jegliches einer Anzahl anderer Halbleitermaterialien oder -konfigurationen beinhalten, einschließlich Silicium-auf-Isolator (SOI), SiGe, SiGe-auf-Isolator (SGOI), verspanntes Silicium (Silicium-auf-SiGe), verspanntes Silicium-auf-Isolator und GaAs. Eine schematische Querschnittansicht von Ausführungsformen der Erfindung, bei denen ein MOS-Transistor 700 auf einem SOI-Substrat ausgebildet ist, sind in den 8A und 8B gezeigt. In der Ausführungsform von 8A beinhaltet ein auf einem SOI-Substrat 802 ausgebildeter MOS-Transistor 800 eine Gate-Elektrode 810, die auf dem SOI-Substrat 802 ausgebildet ist, wie in der Ausführungsform von 3. In der Ausführungsform von 8B ist bei dem auf dem SOI-Substrat 802 ausgebildeten MOS-Transistor 800 die Gate-Elektrode 810 in einem Graben 817 ausgebildet, der in dem SOI-Substrat 802 ausgebildet ist, wie in der Ausführungsform von 4. Auf diese Weise sind die Transistoren 700, 800 gemäß der Erfindung vollständig kompatibel mit SOI-Fertigungsprozessen. Somit kann die Dicke des Kanalstörstellenbereichs in dem Transistor aufrechterhalten oder dramatisch verringert werden, während die Übergangstiefen der resultierenden angehobenen Source-/Drainbereiche vergrößert werden.
  • In einem SOI-Bauelement wird die Tiefe der Source-/Drainbereiche flach, da die Dicke des Oberflächensiliciums relativ gering ist. Demzufolge nimmt der resultierende Widerstandswert des Source-/Drainbereichs zu. Die Erfindung vermindert dieses Problem, da die Source-/Drainbereiche dank der Epitaxieschicht eine adäquate Tiefe aufweisen.
  • Das Gate-Dielektrikum 312, 412 kann aus einem Siliciumoxidfilm gebildet werden, wie vorstehend angegeben, oder alternativ aus Siliciumoxynitrid (SiON). Alternativ kann ein Film verwendet werden, der aus einem Material mit hoher Dielektrizitätskonstante besteht, wie Tantaloxid. Die Gate-Dielektrikumschicht kann zum Beispiel in einem Depositionsprozess gebildet werden, oder alternativ in einem thermischen Oxidationsprozess. Für die Gate-Elektrode kann zum Beispiel ein Silicium-Germanium-Film, ein Silicidfilm oder ein Metallfilm anstelle des Polysiliciumfilms verwendet werden. Optional kann ein Laminatfilm der vorstehenden Materialien verwendet werden.
  • Vorstehend wurde eine erste Ausführungsform der Erfindung unter Bezugnahme auf 3 gezeigt und beschrieben. Ein Verfahren zur Herstellung eines Halbleiterbauelements gemäß der ersten Ausführungsform der Erfindung wird nunmehr unter Bezugnahme auf die 9A bis 9L beschrieben.
  • Am Anfang wird bezugnehmend auf 9A ein Elementisolationsfilm 304 in einem Siliciumsubstrat 302 zum Beispiel durch ein Isolationsverfahren mit einem flachen Graben gebildet. Als nächstes werden Störstellen in das Siliciumsubstrat 302 dotiert, um so in nicht gezeigter Weise ein Muldengebiet und ein Kanalstörstellengebiet zu erzeugen. Als nächstes werden ein Siliciumoxidfilm 332 und ein Siliciumnitridfilm 334 sequentiell auf dem Siliciumsubstrat 302 gebildet und einem anisotropen Ätzvorgang unterworfen, um eine Dummy-Gate-Elektrode 330 zu erzeugen.
  • Als nächstes wird bezugnehmend auf 9B eine Epitaxieschicht 305 selektiv auf dem Substrat 302 seitlich der Dummy-Gate-Elektrode 330 aufgewachsen. Es kann zum Beispiel selektives epitaktisches Aufwachsen (SEG) zur Erzeugung der Epitaxieschicht 305 derart verwendet werden, dass diese auf der Oberfläche des Siliciumsubstrats und nicht auf der Siliciumnitridschicht 334 oder den Oxidgrabenisolationselementen 304 gebildet wird. Die Epitaxieschicht 305 kann in diesem Stadium optional dotiert werden, um die Source-/Drain-Erweiterungsbereiche zu bilden, oder alternativ undotiert bleiben. In einer bevorzugten Ausführungsform folgt der Bildung der Gate-Elektrode eine Dotierung der Source-/Drain-Erweiterungsbereiche, wie nachstehend unter Bezugnahme auf 9I beschrieben. Wenn die Epitaxieschicht in diesem Stadium dotiert wird, wird auf der Epitaxieschicht 305 eine nicht gezeigte, optionale Kontaktstellenoxidschicht als Pufferschicht bereitgestellt, um die Epitaxieschicht während der Implantation von Dotierstellen zu schützen. Die optionale Kontaktstellenoxidschicht kann durch thermische Oxidation aufgewachsen werden.
  • Wie in 9C gezeigt, wird auf der gesamten Oberfläche der resultierenden Struktur von 9B ein Siliciumnitridfilm 337 gebildet. Als nächstes wird ein Siliciumoxidfilm 338 zum Beispiel durch ein CVD-Verfahren gebildet. Anschließend wird der Siliciumoxidfilm 338 zum Beispiel einer chemisch-mechanischen Polierbehandlung oder einer Rückätzbehandlung der gesamten Oberfläche unterworfen, um so die Oberfläche des Siliciumoxidfilms 338 zu planarisieren und die Oberfläche des Siliciumnitrids 334 freizulegen, wie in 9D gezeigt.
  • Bezugnehmend auf 9E wird die Dummy-Gate-Elektrode 330 einschließlich des Siliciumnitridfilms 334 und des Siliciumoxidfilms 332 entfernt, wodurch ein Teil der Oberfläche des Halbleitersubstrats 302 freigelegt wird. Da die Oberfläche des Halbleitersubstrats 302 über dem Kanalbereich freigelegt ist, kann optional der Kanalstörstellenbereich zu diesem Zeitpunkt gebildet werden, statt dass der Kanalstörstellenbereich während der Bildung des Muldenbereichs gebildet wird, wie vorstehend unter Bezugnahme auf 9A beschrieben. Auf diese Weise kann der Kanalstörstellenbereich in dem Halbleitersubstrat 302 in einem Bereich gebildet werden, der unter dem Bereich der jetzt entfernten Dummy-Gate-Struktur lokalisiert ist. Dies ist für die Ausführungsform von 4 besonders vorteilhaft, die einen in dem Halbleitersubstrat ausgebildeten Graben und einen unter dem Graben ausgebildeten Kanalbereich beinhaltet.
  • Bezugnehmend auf 9F wird auf dem freigelegten Kanalstörstellenbereich und den Seitenwänden der Epitaxieschicht 305 eine Gate-Dielektrikumschicht 312 zum Beispiel aus einem Siliciumoxidfilm gebildet. Die Gate-Dielektrikumschicht 312 kann unter Verwendung eines thermischen Oxidationsprozesses aus Siliciumoxid gebildet werden, oder es kann alternativ eine Deposition eines Materials mit hoher Dielektrizitätskonstante verwendet werden, wie Siliciumoxynitrid (SiON), Aluminiumoxid, HfO2 oder Tantaloxid. Als nächstes wird eine Gate-Elektrode 314 zum Beispiel aus einem Polysiliciumfilm auf dem Gate-Dielektrikum 312 aufgebracht. Das Material der Gate-Elektrode 314 kann alternativ zum Beispiel einen Silicium-Germanium-Film, einen Silicidfilm, einen Wolframfilm, einen TiN-Film oder einen Metallfilm oder Laminate derselben umfassen. Unter Bezugnahme auf 9G wird als nächstes der Polysiliciumfilm zum Beispiel durch eine chemischmechanische Polierbehandlung oder eine Rückätzbehandlung der gesamten Oberfläche planarisiert, um den oberen Teil der Gate-Dielektrikumschicht 312 zu entfernen und den Siliciumoxidfilm 338 freizulegen. Als nächstes werden bezugnehmend auf 9H die Siliciumoxidschicht 338 und die Siliciumnitridschicht 337 zum Beispiel durch einen Nassätzprozess entfernt, um eine Gate-Struktur 310 zu bilden.
  • Als nächstes werden, wie in 91 gezeigt, Störstellen mit einem Leitfähigkeitstyp, der jenem des Siliciumsubstrats entgegengesetzt ist, in die Epitaxieschicht 305 implantiert, um so einen Source- und einen Drain- Erweiterungsbereich 306a, 306b zu erzeugen. Ein Kontaktstellenoxidfilm 316, zum Beispiel aus Siliciumoxidmaterial, der durch thermische Oxidation oder Deposition gebildet wird, kann optional auf der Epitaxieschicht 305 als Pufferschicht gebildet werden, um die Oberfläche der Epitaxieschicht 305 vor einer Schädigung während des Implantationsprozesses zu schützen.
  • Bezugnehmend auf 9J wird ein Siliciumnitridfilm (SiN) bereitgestellt, um das Substrat zu schützen, das dann einem anisotropen Ätzvorgang oder alternativ einem Trockenätzprozess unterworfen wird, um so Abstandshalter 318 an den oberen Seitenwänden der Gate-Elektrode 310 zu erzeugen. Die Siliciumoxid-Pufferschicht 316 verbleibt nach dem anisotropen Ätzvorgang zwischen dem SiN-Abstandshalter 318 und den anderen Siliciumschichten, wie der Gate-Elektrode 314 und der Epitaxieschicht 305.
  • Bezugnehmend auf 9K werden unter Verwendung der Gate-Elektrode 310 und der Abstandshalter 318 als Maske Störstellen mit dem gleichen Leitfähigkeitstyp wie jenem der Source-/Drain-Erweiterungsbereiche 306a, 306b in die Epitaxieschicht 305 implantiert, um so einen Source-Bereich 308a und einen Drain-Bereich 308b zu bilden. Zu diesem Zeitpunkt verbleiben die Source-/Drain-Erweiterungsbereiche 306a, 306b unter den Abstandshaltern 318, und die Source-/Drain-Bereiche 308a, 308b werden neben den Abstandshaltern 318 in der Epitaxieschicht gebildet. Die Tiefe der Source- und Drain-Bereiche 308a, 308b wird gemäß dem Dotierprozess zum Beispiel entsprechend der Konzentration von Störstellen und der Länge der Einwirkungszeit gesteuert.
  • Bezugnehmend auf 9L wird ein Kobaltfilm zum Beispiel durch ein Sputterverfahren erzeugt und dann einer Wärmebehandlung bei einer Temperatur im Bereich zwischen 500°C und 1000°C in einer Stickstoffatmosphäre oder einer Argonatmosphäre unterworfen, um so zu ermög lichen, dass der Kobaltfilm mit dem Silicium in der Epitaxieschicht 305 und der Gate-Elektrode 310 reagiert, und dadurch Kobaltsilicidfilme 320a, 320b und 320c in einer selbstjustierten Weise auf freigelegten Oberflächen der Source-/Drain-Bereiche 308a, 308b beziehungsweise der Gate-Elektrode 314 zu bilden. Der nicht reagierte Kobaltfilm wird dann unter Verwendung herkömmlicher Mittel entfernt. Alternativ können die Silicidfilme andere geeignete Materialen beinhalten, die Co, Ni, W, Ti und Kombinationen derselben umfassen.
  • Auf diese Weise sind durch Verschieben der Bildung der Source-/Drain-Erweiterungsbereiche 306a, 306b auf einen Zeitpunkt nach der Bildung der Gate-Elektrode 314 zur Herstellung der Transistorstruktur der Erfindung weniger Schritte erforderlich. Alternativ können die Source-/Drain-Erweiterungsbereiche 306a, 306b, wie vorstehend erwähnt, in dem in 9B gezeigten Schritt unter Verwendung der Dummy-Gate-Struktur 330 als Maske erzeugt werden. Diese Vorgehensweise erfordert jedoch zusätzliche Schritte.
  • Eine zweite Ausführungsform der Erfindung wird nun unter Bezugnahme auf 4 beschrieben. In der zweiten Ausführungsform ist die Gate-Elektrode 414 in einem Graben oder einem vertieften Bereich 417 des Halbleitersubstrats 402 gebildet. Weitere Komponenten der Transistorkonfiguration der zweiten Ausführungsform sind jenen der vorstehenden ersten Konfiguration ähnlich, und daher wird auf deren wiederholte Beschreibung verzichtet. Eine jeweilige Komponente von 4 mit einem Bezugszeichen der Form "4xx" dient dem gleichen Zweck wie die vorstehend beschriebene Komponente von 3 mit dem entsprechenden Bezugszeichen der Form "3xx", wobei "xx" für einen restlichen Bezugszeichenteil steht.
  • Ein Verfahren zur Herstellung eines Halbleiterbauelements gemäß der zweiten Ausführungsform der Erfindung wird nunmehr unter Bezugnah me auf die 10A bis 10C beschrieben. Die Prozesse, die dem in 10A gezeigten Schritt vorausgehen, sind identisch zu jenen, die in den 9A bis 9D vorstehend unter Bezugnahme auf die erste Ausführungsform gezeigt sind.
  • Bezugnehmend auf 10A wird die Dummy-Gate-Elektrode einschließlich des Siliciumnitridfilms und des Siliciumoxidfilms entfernt, wobei ein Teil der Oberfläche des Halbleitersubstrats 402 freigelegt wird. Die freigelegte Oberfläche des Halbleitersubstrats wird des Weiteren einem Ätzvorgang unterworfen, um einen Graben 417 oder vertieften Bereich zu bilden. Die Tiefe des Grabens 417 ist gemäß der gewünschten Kanallänge des resultierenden Bauelements festgelegt; je tiefer der Graben 417, desto länger die effektive Kanallänge. Im Allgemeinen beträgt die Tiefe des Grabens 417 weniger als 50nm.
  • Da die Oberfläche des Halbeitersubstrats über dem Kanalbereich freigelegt ist, kann, wie vorstehend erwähnt, der Kanalstörstellenbereich optional zu diesem Zeitpunkt gebildet werden, statt den Kanalstörstellenbereich während der Bildung des Muldenbereichs zu erzeugen, wie vorstehend unter Bezugnahme auf 9A beschrieben. Auf diese Weise kann der Kanalstörstellenbereich in dem Halbleitersubstrat in einem Gebiet gebildet werden, das auf die Fläche unter dem Gebiet der nun entfernten Dummy-Gate-Struktur lokalisiert ist. Dies ist für die vorliegende Ausführungsform besonders vorteilhaft, die einen in dem Halbleitersubstrat ausgebildeten Graben und einen unter dem Graben ausgebildeten Kanalbereich beinhaltet, da der Kanalbereich nicht vollständig definiert ist, bis der Graben gebildet ist.
  • Bezugnehmend auf 10B wird eine Gate-Dielektriumschicht 412 zum Beispiel aus einem Siliciumoxidfilm auf dem freigelegten Kanalstörstellenbereich, den Seitenwänden des Grabens 417 und den Seitenwänden der Epitaxieschicht 405 gebildet. Wie vorstehend beschrieben, kann die Gate-Dielektrikumschicht 412 unter Verwendung eines thermischen Oxidationsprozesses aus Siliciumoxid gebildet werden, oder es kann alternativ eine Deposition eines Materials mit hoher Dielektrizitätskonstante verwendet werden, wie Siliciumoxynitrid (SiON), Aluminiumoxid, HfO2 oder Tantaloxid. Als nächstes wird eine Gate-Elektrode 414 zum Beispiel aus einem Polysiliciumfilm auf der Gate-Dielektrikumschicht 412 aufgebracht. Das Material der Gate-Elektrode 414 kann alternativ zum Beispiel einen Silicium-Germanium-Film, einen Silicidfilm, einen Wolframfilm, einen TiN-Film oder einen Metallfilm oder Laminate derselben umfassen. Unter Bezugnahme auf 10C wird der Polysiliciumfilm als nächstes zum Beispiel durch eine chemisch-mechanische Polierbehandlung oder eine Rückätzbehandlung der gesamten Oberfläche planarisiert, um den oberen Teil der Gate-Dielektrikumschicht 412 zu entfernen und den Siliciumoxidfilm 438 freizulegen.
  • Danach sind die Prozesse identisch mit jenen, die unter Bezugnahme auf die in der ersten Ausführungsform beschriebenen 9H bis 9L gezeigt sind.
  • Die Leistungsfähigkeitscharakteristika des resultierenden Transistors können durch Steuern der jeweiligen Geometrien (d.h. Tiefen und Breiten) der Source-/Drain-Erweiterungsbereiche, der Source-/Drain-Bereiche, der Kanalbreite und des optionalen Grabens präzise festgelegt werden. In den verschiedenen Ausführungsformen können sich die Source-/Drain-Bereiche und die Source-/Drain-Erweiterungsbereiche teilweise oder vollständig durch die Epitaxieschicht oder sogar in das darunterliegende Halbleitersubstrat erstrecken.

Claims (53)

  1. Verfahren zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur, gekennzeichnet durch folgende Schritte: – Bereitstellen einer Opfer-Gate-Struktur (330) auf einem Substrat (302), – Bereitstellen einer Epitaxieschicht (305) auf dem Substrat benachbart zu der Opfer-Gate-Struktur, – Bereitstellen einer ersten isolierenden Schicht (337) und einer zweiten isolierenden Schicht (338) auf der Epitaxieschicht benachbart zu der Opfer-Gate-Struktur, – Entfernen der Opfer-Gate-Struktur, um einen Teil des Substrats und Wandbereiche der Epitaxieschicht freizulegen, – Bereitstellen einer Gate-Dielektrikumschicht (312) auf dem freigelegten Teil des Substrats und entlang der Wandbereiche der Epitaxieschicht, – Bereitstellen einer Gate-Elektrode (314) auf der Gate-Dielektrikumschicht, – Entfernen der zweiten isolierenden Schicht und der ersten isolierenden Schicht, – Dotieren der Epitaxieschicht mit Störstellen unter Verwendung der Gate-Elektrode als Maske, um Source-/Drain-Erweiterungsbereiche (306a, 306b) in der Epitaxieschicht benachbart zur Gate-Dielektrikumschicht zu bilden, – Bereitstellen von isolierenden Abstandshaltern (318) an Seitenwänden eines oberen Bereichs der Gate-Elektrode und – Dotieren der Epitaxieschicht mit Störstellen unter Verwendung der Gate-Elektrode und der isolierenden Abstandshalter als Maske, um tiefe Source-/Drain-Bereiche (308a, 308b) benachbart zu den Source-/Drain-Erweiterungsbereichen zu bilden.
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Source-/Drain-Erweiterungsbereiche durch Dotieren der Epitaxieschicht mit Störstellen vor der Bereitstellung eines Siliciumnitridfilms und eines Siliciumoxidfilms auf der Epitaxieschicht gebildet werden.
  3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das Bereitstellen der Opfer-Gate-Struktur eine sequentielle Bildung eines Siliciumoxidfilms und eines Siliciumnitridfilms sowie eine Strukturierung der sequentiell gebildeten Filme beinhaltet, um die Opfer-Gate-Struktur zu erzeugen.
  4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass das Substrat von einem Typ ist, der aus der Gruppe ausgewählt ist, die aus Silicium, Silicium-auf-Isolator (SOI), Si-Ge, SiGe-auf-Isolator (SGOI), verspanntem Silicium, verspanntem Silicium-auf-Isolator und GaAs besteht.
  5. Verfahren nach einem der Ansprüche 1 bis 4, gekennzeichnet durch das Bilden einer Kontaktstellenoxidschicht (320a, 320b) auf der Epitaxieschicht.
  6. Verfahren nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, dass die Bereitstellung der ersten isolierenden Schicht und der zweiten isolierenden Schicht auf der Epitaxieschicht benachbart zu der Opfer-Gate-Struktur folgende Schritte umfasst: – sequentielles Bereitstellen eines Siliciumnitridfilms und eines Siliciumoxidfilms auf der Epitaxieschicht und der Opfer-Gate-Struktur und – Planarisieren des Siliciumnitridfilms, des Siliciumoxidfilms und der Opfer-Gate-Struktur, um eine Oberseite der Opfer-Gate-Struktur freizulegen.
  7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass das Planarisieren ein Planarisieren durch einen chemisch-mechanischen Polierprozess (CMP) oder eine Rückätzbehandlung beinhaltet.
  8. Verfahren nach einem der Ansprüche 1 bis 7, dadurch gekennzeichnet, dass das Entfernen der Opfer-Gate-Struktur ein Ätzen der Opfer-Gate-Struktur beinhaltet, um eine Oberseite des Substrats freizulegen.
  9. Verfahren nach einem der Ansprüche 1 bis 7, dadurch gekennzeichnet, dass das Entfernen der Opfer-Gate-Struktur ein Ätzen der Opfer-Gate-Struktur beinhaltet, um eine Vertiefung in dem Substrat zu erzeugen.
  10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass das Bereitstellen der Gate-Dielektrikumschicht ein Bereitstellen der Gate-Dielektrikumschicht auf einem Boden und an Seitenwänden der Vertiefung des Substrats beinhaltet.
  11. Verfahren nach Anspruch 9 oder 10, dadurch gekennzeichnet, dass die Vertiefung eine Tiefe von weniger als 50nm aufweist.
  12. Verfahren nach einem der Ansprüche 1 bis 11, gekennzeichnet durch ein Dotieren des freigelegten Bereichs des Substrats mit Störstellen nach der Entfernung der Opfer-Gate-Struktur, um einen Kanalbereich zu erzeugen.
  13. Verfahren nach einem der Ansprüche 1 bis 11, gekennzeichnet durch ein Dotieren eines Kanalbereichs des Substrats mit Störstellen vor der Bereitstellung der Opfer-Gate-Struktur auf dem Substrat.
  14. Verfahren nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass die Gate-Dielektrikumschicht ein Material beinhaltet, das aus der Gruppe von Materialien ausgewählt ist, die aus Siliciumoxidfilm, Siliciumoxynitrid (SiON), Tantaloxid und einem Material mit hoher Dielektrizitätskonstante besteht.
  15. Verfahren nach einem der Ansprüche 1 bis 14, dadurch gekennzeichnet, dass die Bereitstellung der Gate-Dielektrikumschicht eine Bildung der Gate-Dielektrikumschicht unter Verwendung eines Depositions- oder eines thermischen Oxidationsprozesses beinhaltet.
  16. Verfahren nach einem der Ansprüche 1 bis 15, dadurch gekennzeichnet, dass die Bereitstellung der Gate-Elektrode folgende Schritte umfasst: – Bilden eines Films aus einem Gate-Elektrodenmaterial auf der Gate-Dielektrikumschicht und der zweiten isolierenden Schicht und – Planarisieren des Gate-Elektrodenmaterialfilms und der zweiten isolierenden Schicht.
  17. Verfahren nach Anspruch 16, dadurch gekennzeichnet, dass das Planarisieren ein Planarisieren durch einen chemisch-mechanischen Polierprozess (CMP) oder eine Rückätzbehandlung beinhaltet.
  18. Verfahren nach einem der Ansprüche 1 bis 17, dadurch gekennzeichnet, dass die Gate-Elektrode ein Material beinhaltet, das aus der Gruppe von Materialien ausgewählt ist, die aus Polysiliciumfilm, Silicium-Germanium-Film, Silicidfilm, Metallfilm und Laminatfilm besteht.
  19. Verfahren nach einem der Ansprüche 1 bis 18, dadurch gekennzeichnet, dass das Entfernen der zweiten isolierenden Schicht und der ersten isolierenden Schicht eine Entfernung unter Verwendung eines Nassätzprozesses beinhaltet.
  20. Verfahren nach einem der Ansprüche 1 bis 19, dadurch gekennzeichnet, dass das Bereitstellen von isolierenden Abstandshaltern an Seitenwänden eines oberen Bereichs der Gate-Elektrode folgende Schritte umfasst: – Bereitstellen eines Siliciumnitridfilms auf der zuvor resultierenden Struktur und – anisotropes Ätzen des Siliciumnitridfilms.
  21. Verfahren nach Anspruch 20, gekennzeichnet durch das Bereitstellen einer Siliciumoxid-Pufferschicht auf der resultierenden Struktur, bevor der Siliciumnitridfilm bereitgestellt wird.
  22. Verfahren nach einem der Ansprüche 1 bis 21, gekennzeichnet durch die Bildung eines Silicidfilms auf den Source-/Drain-Bereichen und der Gate-Elektrode.
  23. Verfahren nach Anspruch 22, dadurch gekennzeichnet, dass der Silicidfilm ein Material beinhaltet, das aus einer Gruppe ausgewählt ist, die aus Co, Ni, W, Ti und Kombinationen derselben besteht.
  24. Verfahren nach einem der Ansprüche 1 bis 23, dadurch gekennzeichnet, dass die Tiefe der Source-/Drain-Erweiterungsbereiche geringer als die Tiefe der tiefen Source-/Drain-Bereiche ist.
  25. Verfahren nach einem der Ansprüche 1 bis 24, dadurch gekennzeichnet, dass sich die tiefen Source-/Drain-Bereiche in das Substrat hinein erstrecken.
  26. Verfahren nach einem der Ansprüche 1 bis 25, dadurch gekennzeichnet, dass sich die Source-/Drain-Erweiterungsbereiche in das Substrat hinein erstrecken.
  27. MOS-Transistor mit erhöhter Source-/Drain-Struktur mit – einer Gate-Dielektrikumschicht (312) auf einem Substrat (302), – einer Gate-Elektrode (314) auf der Gate-Dielektrikumschicht, – einer Epitaxieschicht (305) benachbart zu der Gate-Dielektrikumschicht auf dem Substrat, – ersten Source-/Drain-Bereichen (306a, 306b) in der Epitaxieschicht und – einem isolierenden Abstandshalter (318), dadurch gekennzeichnet, dass – die ersten Source-/Drain-Bereiche (306a, 306b) benachbart zu der Gate-Dielektrikumschicht (312) an einem unteren Seitenbereich der Gate-Elektrode (314) angeordnet sind und – der isolierende Abstandshalter (318) auf der Epitaxieschicht (305) an einem oberen Seitenbereich der Gate-Elektrode angeordnet ist.
  28. MOS-Transistor mit erhöhter Source-/Drain-Struktur mit – einer Gate-Dielektrikumschicht (312) auf einem Substrat (302), – einer Gate-Elektrode (314) auf der Gate-Dielektrikumschicht, – einer Epitaxieschicht (305) benachbart zu der Gate-Dielektrikumschicht auf dem Substrat und – ersten Source-/Drain-Bereichen (306a, 306b) in der Epitaxieschicht, dadurch gekennzeichnet, dass – sich die Gate-Dielektrikumschicht (312) über einen unteren Bereich und einen unteren Seitenbereich der Gate-Elektrode (314) hinweg erstreckt und – die ersten Source-/Drain-Bereiche (306a, 306b) benachbart zu der Gate-Dielektrikumschicht (312) an einem unteren Seitenbereich der Gate-Elektrode angeordnet sind.
  29. MOS-Transistor nach Anspruch 28, gekennzeichnet durch einen isolierenden Abstandshalter (318) auf der Epitaxieschicht an einem oberen Seitenbereich der Gate-Elektrode.
  30. MOS-Transistor nach Anspruch 27, dadurch gekennzeichnet, dass sich die Gate-Dielektrikumschicht über einen Bodenbereich und untere Seitenbereiche der Gate-Elektrode hinweg erstreckt.
  31. MOS-Transistor nach einem der Ansprüche 27 bis 30, dadurch gekennzeichnet, dass die ersten Source-/Drain-Bereiche durch Dotieren der Epitaxieschicht mit Störstellen erzeugt werden.
  32. MOS-Transistor nach einem der Ansprüche 27 bis 31, gekennzeichnet durch zweite Source-/Drain-Bereiche (308a, 308b) benachbart zu den ersten Source-/Drain-Bereichen entgegengesetzt zu der Gate-Elektrode.
  33. MOS-Transistor nach Anspruch 32, dadurch gekennzeichnet, dass die zweiten Source-/Drain-Bereiche durch Dotieren von freiliegenden Oberflächen mit Störstellen unter Verwendung der Ga te-Elektrode und eines isolierenden Abstandshalters als Maske erzeugt werden.
  34. MOS-Transistor nach Anspruch 32 oder 33, dadurch gekennzeichnet, dass die ersten Source-/Drain-Bereiche Source-/Drain-Erweiterungsbereiche beinhalten und die zweiten Source-/Drain-Bereiche tiefe Source-/Drain-Bereiche beinhalten.
  35. MOS-Transistor nach einem der Ansprüche 32 bis 34, dadurch gekennzeichnet, dass die ersten Source-/Drain-Bereiche bis zu einer ersten Tiefe in der Epitaxieschicht ausgebildet sind und die zweiten Source-/Drain-Bereiche bis zu einer zweiten Tiefe ausgebildet sind, wobei die erste Tiefe geringer als die zweite Tiefe ist.
  36. MOS-Transistor nach einem der Ansprüche 32 bis 35, dadurch gekennzeichnet, dass sich die zweiten Source-/Drain-Bereiche in einen Teil des Substrats hinein erstrecken.
  37. MOS-Transistor nach einem der Ansprüche 27 bis 36, dadurch gekennzeichnet, dass sich die ersten Source-/Drain-Bereiche in einen Teil des Substrats hinein erstrecken.
  38. MOS-Transistor nach einem der Ansprüche 27 bis 37, dadurch gekennzeichnet, dass das Substrat aus einem Typ gebildet ist, der aus der Gruppe ausgewählt ist, die aus Silicium, Silicium-auf-Isolator (SOI), SiGe, SiGe-auf-Isolator (SGOI), verspanntem Silicium, verspanntem Silicium-auf-Isolator und GaAs besteht.
  39. MOS-Transistor nach einem der Ansprüche 27 bis 38, dadurch gekennzeichnet, dass die Epitaxieschicht Silicium oder Silicium-Germanium beinhaltet.
  40. MOS-Transistor nach einem der Ansprüche 27 bis 39, dadurch gekennzeichnet, dass sich die Gate-Dielektrikumschicht und die Gate-Elektrode in einen Graben hinein erstrecken, der in einem oberen Bereich des Substrats ausgebildet ist.
  41. MOS-Transistor nach Anspruch 40, dadurch gekennzeichnet, dass der Graben eine Tiefe aufweist, die geringer als 50nm ist.
  42. MOS-Transistor nach einem der Ansprüche 27 bis 41, gekennzeichnet durch einen Kanalbereich in dem Substrat unter der Gate-Elektrode und benachbart zum unteren Seitenbereich der Gate-Elektrode.
  43. MOS-Transistor nach einem der Ansprüche 27 bis 42, dadurch gekennzeichnet, dass die Gate-Dielektrikumschicht ein Material beinhaltet, das aus der Gruppe von Materialien ausgewählt ist, die aus Siliciumoxidfilm, Siliciumoxynitrid (SiON), Tantaloxid und einem Material mit hoher Dielektrizitätskonstante besteht.
  44. MOS-Transistor nach einem der Ansprüche 27 bis 43, dadurch gekennzeichnet, dass die Gate-Dielektrikumschicht unter Verwendung eines Depositions- oder eines thermischen Oxidationsprozesses gebildet ist.
  45. MOS-Transistor nach einem der Ansprüche 27 bis 44, dadurch gekennzeichnet, dass die Gate-Elektrode ein Material beinhaltet, das aus der Gruppe von Materialien ausgewählt ist, die aus Polysiliciumfilm, Silicium-Germanium-Film, Silicidfilm, Metallfilm und Laminatfilm besteht.
  46. MOS-Transistor nach einem der Ansprüche 27 bis 45, gekennzeichnet durch eine Siliciumoxid-Pufferschicht zwischen der Gate-Elektrode und dem isolierenden Abstandshalter.
  47. MOS-Transistor nach einem der Ansprüche 27 bis 46, gekennzeichnet durch einen Silicidfilm auf den ersten und/oder zweiten Source-/Drain-Bereichen und der Gate-Elektrode.
  48. MOS-Transistor nach einem der Ansprüche 27 bis 47, dadurch gekennzeichnet, dass der Silicidfilm ein Material beinhaltet, das aus einer Gruppe ausgewählt ist, die aus Co, Ni, W, Ti und Kombinationen derselben besteht.
  49. MOS-Transistor nach einem der Ansprüche 28 bis 48, dadurch gekennzeichnet, dass das Substrat einen Graben in einem oberen Bereich desselben aufweist, die Gate-Dielektrikumschicht den Graben auskleidet und sich die Gate-Elektrode in den Graben hinein erstreckt.
  50. MOS-Transistor nach einem der Ansprüche 34 bis 48, dadurch gekennzeichnet, dass die Source-/Drain-Erweiterungsbereiche durch Dotieren der Epitaxieschicht mit Störstellen gebildet sind und die tiefen Source-/Drain-Bereiche benachbart zu den Source-/Drain-Erweiterungsbereichen entgegengesetzt zu der Gate-Elektrode gebildet sind, wobei die tiefen Source-/Drain-Bereiche durch Dotieren der Epitaxieschicht mit Störstellen unter Verwendung der Gate-Elektrode und eines isolierenden Abstandshalters als Maske gebildet sind.
  51. MOS-Transistor nach Anspruch 50, dadurch gekennzeichnet, dass sich die tiefen Source-/Drain-Bereiche in das Substrat unter der Epitaxieschicht erstrecken.
  52. MOS-Transistor nach Anspruch 50 oder 51, dadurch gekennzeichnet, dass sich die Gate-Elektrode in einen Graben hinein erstreckt, der in einem oberen Bereich des Substrats ausgebildet ist.
  53. MOS-Transistor nach einem der Ansprüche 34 bis 52, dadurch gekennzeichnet, dass sich die Source-/Drain-Erweiterungsbereiche in das Substrat unter der Epitaxieschicht erstrecken.
DE102004005502A 2003-02-28 2004-01-30 Verfahren zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur Expired - Lifetime DE102004005502B4 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102004064122A DE102004064122B4 (de) 2003-02-28 2004-01-30 MOS-Transistor mit erhöhter Source-/Drain-Struktur

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2003-0012793A KR100499159B1 (ko) 2003-02-28 2003-02-28 리세스 채널을 갖는 반도체장치 및 그 제조방법
KR2003/12793 2003-02-28

Publications (2)

Publication Number Publication Date
DE102004005502A1 true DE102004005502A1 (de) 2004-09-16
DE102004005502B4 DE102004005502B4 (de) 2008-04-17

Family

ID=32866976

Family Applications (2)

Application Number Title Priority Date Filing Date
DE102004064122A Expired - Lifetime DE102004064122B4 (de) 2003-02-28 2004-01-30 MOS-Transistor mit erhöhter Source-/Drain-Struktur
DE102004005502A Expired - Lifetime DE102004005502B4 (de) 2003-02-28 2004-01-30 Verfahren zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE102004064122A Expired - Lifetime DE102004064122B4 (de) 2003-02-28 2004-01-30 MOS-Transistor mit erhöhter Source-/Drain-Struktur

Country Status (6)

Country Link
US (4) US7227224B2 (de)
JP (1) JP4744812B2 (de)
KR (1) KR100499159B1 (de)
CN (1) CN100474534C (de)
DE (2) DE102004064122B4 (de)
TW (1) TWI272699B (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8338887B2 (en) 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
CN110491879A (zh) * 2018-05-14 2019-11-22 东芝存储器株式会社 半导体装置及其制造方法

Families Citing this family (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100485690B1 (ko) * 2002-10-26 2005-04-27 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
US7122431B2 (en) * 2003-01-16 2006-10-17 Samsung Electronics Co., Ltd. Methods of fabrication metal oxide semiconductor (MOS) transistors having buffer regions below source and drain regions
KR100505113B1 (ko) * 2003-04-23 2005-07-29 삼성전자주식회사 모스 트랜지스터 및 그 제조방법
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7122412B2 (en) * 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100678462B1 (ko) * 2004-11-16 2007-02-02 삼성전자주식회사 단결정 박막 트랜지스터들을 갖는 반도체 집적회로 소자들및 그 제조방법들
KR100882930B1 (ko) 2004-12-17 2009-02-10 삼성전자주식회사 소오스 및 드레인 영역들을 갖는 씨모스 반도체 소자들 및 그 제조방법들
JP4369379B2 (ja) * 2005-02-18 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7164163B2 (en) * 2005-02-22 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with hybrid-strain inducing layer
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4867176B2 (ja) * 2005-02-25 2012-02-01 ソニー株式会社 半導体装置の製造方法
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
JP4887643B2 (ja) * 2005-03-24 2012-02-29 ソニー株式会社 半導体装置およびその製造方法
KR100663359B1 (ko) 2005-03-31 2007-01-02 삼성전자주식회사 리세스 채널 트랜지스터 구조를 갖는 단일 트랜지스터플로팅 바디 디램 셀 및 그 제조방법
JP2006339476A (ja) * 2005-06-03 2006-12-14 Elpida Memory Inc 半導体装置及びその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
JP2007150282A (ja) * 2005-11-02 2007-06-14 Sharp Corp 電界効果トランジスタ
US8053849B2 (en) * 2005-11-09 2011-11-08 Advanced Micro Devices, Inc. Replacement metal gate transistors with reduced gate oxide leakage
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
KR100760909B1 (ko) * 2005-12-29 2007-09-21 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
KR100653536B1 (ko) * 2005-12-29 2006-12-05 동부일렉트로닉스 주식회사 반도체 소자의 핀 전계효과 트랜지스터 제조방법
US7790527B2 (en) * 2006-02-03 2010-09-07 International Business Machines Corporation High-voltage silicon-on-insulator transistors and methods of manufacturing the same
US7442619B2 (en) * 2006-05-18 2008-10-28 International Business Machines Corporation Method of forming substantially L-shaped silicide contact for a semiconductor device
KR100714900B1 (ko) 2006-06-09 2007-05-04 삼성전자주식회사 매몰 게이트 전극을 갖는 반도체 소자 및 그 제조방법
KR100755671B1 (ko) * 2006-07-14 2007-09-05 삼성전자주식회사 균일한 두께의 니켈 합금 실리사이드층을 가진 반도체 소자및 그 제조 방법
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
JP5503833B2 (ja) * 2006-08-23 2014-05-28 ピーエスフォー ルクスコ エスエイアールエル Mosトランジスタ、半導体装置及びその製造方法
US7851859B2 (en) 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
KR100773355B1 (ko) * 2006-11-01 2007-11-05 삼성전자주식회사 소오스 및 드레인 영역들 및 벌크 영역 사이의 절연영역들을 갖는 단일 트랜지스터 메모리 셀 및 그 제조방법
GB0624106D0 (en) * 2006-12-02 2007-01-10 Nxp Bv Field effect transistors
US8652912B2 (en) 2006-12-08 2014-02-18 Micron Technology, Inc. Methods of fabricating a transistor gate including cobalt silicide
KR100801707B1 (ko) 2006-12-13 2008-02-11 삼성전자주식회사 플로팅 바디 메모리 및 그 제조방법
JP5326274B2 (ja) * 2007-01-09 2013-10-30 ソニー株式会社 半導体装置および半導体装置の製造方法
WO2008084765A1 (ja) * 2007-01-09 2008-07-17 Sony Corporation 半導体装置および半導体装置の製造方法
US7888742B2 (en) * 2007-01-10 2011-02-15 International Business Machines Corporation Self-aligned metal-semiconductor alloy and metallization for sub-lithographic source and drain contacts
KR100843883B1 (ko) * 2007-05-04 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP2009054719A (ja) * 2007-08-24 2009-03-12 Tokyo Electron Ltd 半導体製造方法、半導体製造装置および表示装置
US20090057810A1 (en) * 2007-09-05 2009-03-05 Victor Verdugo Method of Fabricating an Integrated Circuit
WO2009050871A1 (ja) * 2007-10-15 2009-04-23 Panasonic Corporation 半導体装置およびその製造方法
KR100960451B1 (ko) * 2008-02-29 2010-05-28 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US8921190B2 (en) 2008-04-08 2014-12-30 International Business Machines Corporation Field effect transistor and method of manufacture
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP5616038B2 (ja) * 2008-07-31 2014-10-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5322169B2 (ja) * 2009-08-28 2013-10-23 独立行政法人産業技術総合研究所 炭化珪素絶縁ゲート電界効果トランジスタを用いたインバータ回路および論理ゲート回路
CN101667598B (zh) * 2009-09-09 2011-03-23 上海宏力半导体制造有限公司 一种垂直双扩散mos晶体管测试结构
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
FR2955203B1 (fr) * 2010-01-14 2012-03-23 Soitec Silicon On Insulator Cellule memoire dont le canal traverse une couche dielectrique enterree
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
GB2487113B (en) * 2010-08-04 2014-10-15 Inst Of Microelectronics Cas Method of forming strained semiconductor channel and semiconductor device
CN102347235B (zh) * 2010-08-04 2014-02-12 中国科学院微电子研究所 应变半导体沟道形成方法和半导体器件
CN102376582A (zh) * 2010-08-24 2012-03-14 中芯国际集成电路制造(上海)有限公司 半导体器件结构和制作该半导体器件结构的方法
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8420491B2 (en) * 2010-11-09 2013-04-16 International Business Machines Corporation Structure and method for replacement metal gate field effect transistors
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
DE102011004322B4 (de) * 2011-02-17 2012-12-06 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
CN102655110B (zh) * 2011-03-04 2014-10-22 中芯国际集成电路制造(上海)有限公司 Soi晶体管及其制造方法
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
CN102891176B (zh) * 2011-07-19 2016-06-01 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102891178A (zh) 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9524934B2 (en) * 2011-11-22 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with electrical fuses and methods of forming the same
CN103151292B (zh) * 2011-12-07 2015-04-08 上海华虹宏力半导体制造有限公司 抬高源漏结构CMOS和Bipolar器件的集成方法
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8828851B2 (en) * 2012-02-01 2014-09-09 Stmicroeletronics, Inc. Method to enable the formation of silicon germanium channel of FDSOI devices for PFET threshold voltage engineering
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN103594372A (zh) * 2012-08-17 2014-02-19 中国科学院微电子研究所 半导体器件制造方法
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
FR2995135B1 (fr) * 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN103779214B (zh) * 2012-10-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种soi半导体氧化物晶体管及其制作方法
JP2016500927A (ja) 2012-10-31 2016-01-14 三重富士通セミコンダクター株式会社 低変動トランジスタ・ペリフェラル回路を備えるdram型デバイス、及び関連する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9093530B2 (en) * 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
EP2887399B1 (de) 2013-12-20 2017-08-30 Imec Verfahren zur Herstellung einer Transistorvorrichtung und zugehörige Vorrichtung
JP2015228418A (ja) * 2014-05-30 2015-12-17 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
KR102445837B1 (ko) * 2015-06-26 2022-09-22 인텔 코포레이션 고 이동도 반도체 소스/드레인 스페이서
US11049939B2 (en) 2015-08-03 2021-06-29 Semiwise Limited Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US10490641B2 (en) * 2017-04-28 2019-11-26 Globalfoundries Inc. Methods of forming a gate contact structure for a transistor
US11004958B2 (en) 2018-10-31 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN112331558B (zh) * 2020-10-23 2023-09-15 杭州芯迈半导体技术有限公司 Ldmos晶体管及其制造方法
US11373696B1 (en) 2021-02-19 2022-06-28 Nif/T, Llc FFT-dram

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4513303A (en) * 1980-07-08 1985-04-23 International Business Machines Corporation Self-aligned metal field effect transistor integrated circuit
JPS6252969A (ja) * 1985-08-30 1987-03-07 Nippon Texas Instr Kk 絶縁ゲ−ト型電界効果半導体装置
US4998150A (en) 1988-12-22 1991-03-05 Texas Instruments Incorporated Raised source/drain transistor
US5079180A (en) 1988-12-22 1992-01-07 Texas Instruments Incorporated Method of fabricating a raised source/drain transistor
US5144393A (en) * 1989-04-04 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Structure for a PSD type field effect transistor
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
JPH04350942A (ja) * 1991-05-29 1992-12-04 Nec Corp 半導体装置の製造方法
JPH09321287A (ja) * 1996-05-28 1997-12-12 Sony Corp 半導体装置の製造方法
US5677214A (en) * 1996-09-05 1997-10-14 Sharp Microelectronics Technology, Inc. Raised source/drain MOS transistor with covered epitaxial notches and fabrication method
US6063677A (en) * 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate and raised source and drain
US6051473A (en) * 1996-11-22 2000-04-18 Advanced Micro Devices, Inc. Fabrication of raised source-drain transistor devices
JPH10189966A (ja) 1996-12-26 1998-07-21 Toshiba Corp 半導体装置及びその製造方法
JPH10200106A (ja) * 1997-01-13 1998-07-31 Sony Corp 半導体装置及びその製造方法
JP4160167B2 (ja) * 1997-06-30 2008-10-01 株式会社東芝 半導体装置の製造方法
JP4112690B2 (ja) * 1997-06-30 2008-07-02 株式会社東芝 半導体装置の製造方法
US6160299A (en) * 1997-08-29 2000-12-12 Texas Instruments Incorporated Shallow-implant elevated source/drain doping from a sidewall dopant source
US6127233A (en) * 1997-12-05 2000-10-03 Texas Instruments Incorporated Lateral MOSFET having a barrier between the source/drain regions and the channel region
US6465842B2 (en) * 1998-06-25 2002-10-15 Kabushiki Kaisha Toshiba MIS semiconductor device and method of fabricating the same
US6278165B1 (en) * 1998-06-29 2001-08-21 Kabushiki Kaisha Toshiba MIS transistor having a large driving current and method for producing the same
US6528847B2 (en) * 1998-06-29 2003-03-04 Advanced Micro Devices, Inc. Metal oxide semiconductor device having contoured channel region and elevated source and drain regions
US6093947A (en) * 1998-08-19 2000-07-25 International Business Machines Corporation Recessed-gate MOSFET with out-diffused source/drain extension
US6303448B1 (en) * 1998-11-05 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for fabricating raised source/drain structures
KR100347544B1 (ko) * 1999-02-13 2002-08-07 주식회사 하이닉스반도체 반도체 소자의 접합 제조 방법
US6407373B1 (en) * 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
KR100301246B1 (ko) * 1999-06-30 2001-11-01 박종섭 반도체 소자의 제조 방법
KR100307635B1 (ko) * 1999-09-27 2001-11-02 윤종용 SiGe 채널의 모스 트랜지스터 및 그 제조 방법
US6087235A (en) * 1999-10-14 2000-07-11 Advanced Micro Devices, Inc. Method for effective fabrication of a field effect transistor with elevated drain and source contact structures
KR20010064118A (ko) 1999-12-24 2001-07-09 박종섭 선택적 에피택셜 성장 기술을 적용한 대머신 게이트형모스 트랜지스터 제조방법
US6284606B1 (en) * 2000-01-18 2001-09-04 Chartered Semiconductor Manufacturing Ltd Process to achieve uniform groove depth in a silicon substrate
JP2001223355A (ja) * 2000-02-09 2001-08-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
KR100349343B1 (ko) * 2000-03-28 2002-08-21 주식회사 하이닉스반도체 반도체장치의 트랜지스터 제조방법
KR100333372B1 (ko) * 2000-06-21 2002-04-19 박종섭 금속 게이트 모스팻 소자의 제조방법
JP2002043566A (ja) * 2000-07-27 2002-02-08 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2002094051A (ja) 2000-09-13 2002-03-29 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002124665A (ja) * 2000-10-12 2002-04-26 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6531781B2 (en) * 2000-12-13 2003-03-11 Vanguard International Semiconductor Corporation Fabrication of transistor having elevated source-drain and metal silicide
US20020171107A1 (en) * 2001-05-21 2002-11-21 Baohong Cheng Method for forming a semiconductor device having elevated source and drain regions
US6914303B2 (en) * 2003-08-28 2005-07-05 International Business Machines Corporation Ultra thin channel MOSFET

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8338887B2 (en) 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US8796762B2 (en) 2005-07-06 2014-08-05 Infineon Technologies Ag Buried gate transistor
DE102006062838B4 (de) * 2005-07-06 2015-06-18 Infineon Technologies Ag Transistorbauelement mit einer vergrabenen Gateelektrode und Verfahren zum Herstellen eines Halbleiterbauelements
CN110491879A (zh) * 2018-05-14 2019-11-22 东芝存储器株式会社 半导体装置及其制造方法
CN110491879B (zh) * 2018-05-14 2023-07-21 铠侠股份有限公司 半导体装置及其制造方法

Also Published As

Publication number Publication date
US7227224B2 (en) 2007-06-05
KR20040077289A (ko) 2004-09-04
DE102004064122B4 (de) 2010-08-05
KR100499159B1 (ko) 2005-07-01
US7569456B2 (en) 2009-08-04
TWI272699B (en) 2007-02-01
CN100474534C (zh) 2009-04-01
US20070166926A1 (en) 2007-07-19
DE102004005502B4 (de) 2008-04-17
US20070164354A1 (en) 2007-07-19
JP4744812B2 (ja) 2011-08-10
JP2004266278A (ja) 2004-09-24
US20040169221A1 (en) 2004-09-02
CN1525542A (zh) 2004-09-01
TW200416964A (en) 2004-09-01
US20070164373A1 (en) 2007-07-19

Similar Documents

Publication Publication Date Title
DE102004005502B4 (de) Verfahren zur Herstellung eines MOS-Transistors mit erhöhter Source-/Drain-Struktur
DE102010063781B4 (de) Unterschiedliche Schwellwertspannungseinstellung in PMOS-Transistoren durch unterschiedliche Herstellung eines Kanalhalbleitermaterials
DE10339920B4 (de) Verfahren zum Herstellen eines integrierten Schaltungs-Feldeffekttransistors
EP2657961B1 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit lokaler Source-/Drainisolation
DE112005002630B4 (de) Verfahren zum Ausbilden eines Halbleiterbauelements mit einer vollständig silizidierten Gateelektrode (FUSI) sowie integrierter Schaltungschip
DE102011090163B4 (de) Halbleiterbauelement mit Austauschgateelektrodenstrukturen und selbstjustierten Kontaktelementen, die durch eine späte Kontaktfüllung hergestellt sind und Herstellungsverfahren dafür
DE10234392B4 (de) Halbleiterbauelement mit Gate-Elektrodenstruktur und Herstellungsverfahren hierfür
DE10131276B4 (de) Feldeffekttransistor und Verfahren zu seiner Herstellung
DE112008002270B4 (de) Verfahren zur Herstellung von MOS-Strukturen mit einem geringeren Kontaktwiderstand
DE112007003116B4 (de) Verfahren zur Herstellung eines verspannten Transistors und Transistor
DE4212829A1 (de) Verfahren zur herstellung von metall-oxid-halbleiter-feldeffekttransistoren
DE112005002302T5 (de) Metallgate-Transistoren mit epitaktischen Source- und Drainegionen
DE102010001404B4 (de) Einstellung von Transistoreigenschaften auf der Grundlage einer späten Wannenimplantation
DE102005046977B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht
DE10131237B4 (de) Feldeffekttrasistor und Verfahren zu seiner Herstellung
DE19853268C2 (de) Feldeffektgesteuerter Transistor und Verfahren zu dessen Herstellung
DE102017110945A1 (de) Finfet-Vorrichtung mit verringerter Breite
DE102008045034B4 (de) Durchlassstromeinstellung für Transistoren, die im gleichen aktiven Gebiet hergestellt sind, durch lokales Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials in dem aktiven Gebiet
DE102019206113A1 (de) Feldeffekttransistoren mit multiplen Gatelängen
DE10306597B4 (de) Verfahren zum Herstellen einer Halbleiterstruktur mit erhöhter Durchbruchspannung durch tieferliegenden Subkollektorabschnitt
DE102006025364B4 (de) Verfahren zum Vergrößern des Transistordurchlassstromes durch Vertiefen eines Isolationsgrabens
DE102004033147A1 (de) Planarer Doppel-Gate-Transistor und Verfahren zum Herstellen eines planaren Doppel-Gate-Transistors
DE10341359B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE10233234A1 (de) Mosfet-Source/Drain-Struktur
DE102010064290B3 (de) Verformungserhöhung in Transistoren mit einem eingebetteten verformungsinduzierenden Halbleitermaterial durch Kondensation der legierungsbildenden Substanz

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8369 Partition in:

Ref document number: 102004064122

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 102004064122

Country of ref document: DE

Kind code of ref document: P

8364 No opposition during term of opposition
R071 Expiry of right