CN106711025A - SiOCN薄膜的形成 - Google Patents

SiOCN薄膜的形成 Download PDF

Info

Publication number
CN106711025A
CN106711025A CN201611271042.4A CN201611271042A CN106711025A CN 106711025 A CN106711025 A CN 106711025A CN 201611271042 A CN201611271042 A CN 201611271042A CN 106711025 A CN106711025 A CN 106711025A
Authority
CN
China
Prior art keywords
siocn
plasma
substrate
reactant
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201611271042.4A
Other languages
English (en)
Other versions
CN106711025B (zh
Inventor
T·苏祖基
V·J·波雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/939,984 external-priority patent/US9786491B2/en
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN106711025A publication Critical patent/CN106711025A/zh
Application granted granted Critical
Publication of CN106711025B publication Critical patent/CN106711025B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thin Film Transistor (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供了用于在反应空间中的衬底上沉积氧碳氮化硅(SiOCN)薄膜的方法。方法包括至少一个等离子体增强原子层沉积(PEALD)循环,其包括交替地和顺序地将衬底与硅前驱物以及不包括氧的第二反应物接触。在一些实施例中方法允许沉积具有提高的酸基湿法刻蚀抗性的SiOCN膜。

Description

SiOCN薄膜的形成
与申请相关的参考
本申请要求享有2016年11月3日提交的美国专利申请15/342,943的优先权,其是2015年11月12日提交的美国专利申请号14/939,984的部分连续案。
技术领域
本公开大体上涉及半导体器件制造的领域,并且更具体地涉及具有所需耐化学性特性的氧碳氮化硅(silicon oxycarbonitride,SiOCN)膜的形成。
背景技术
对于具有相对较低介电常数(k)数值以及相对较低酸基湿法刻蚀速率的介电材料存在越来越多的需求。氧碳氮化硅可以满足某些这些需求。通常,用于SiOCN的沉积工艺需要包括卤化物和/或氧等离子体的前驱物。
发明概述
在一些实施方式中,提供了等离子体增强原子层沉积(plasma enhanced atomiclayer deposition;PEALD)工艺以用于在反应空间中的衬底上形成氧碳氮化硅(SiOCN)薄膜。在一些实施方式中,PEALD工艺可包括至少一个沉积循环,所述至少一个沉积循环包括将衬底的表面与气相硅前驱物接触至衬底表面上,将吸附的硅物质与由并不包括氧的气体所形成的等离子体所产生的至少一个反应性物质(活性物种,reactive species)接触,并且任选地重复接触步骤直至已经形成所需厚度的SiOCN膜。在一些实施方式中,PEALD工艺中使用的硅前驱物具有如以下通式之一的式:
(RIO)4-xSi(RII-NH2)x (1)
其中x是从1至4的整数;
RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO)3Si-RII-NH2 (2)
其中RI是独立选择的烷基;以及
RII是独立选择的烃;和
(RIO)4-xSi(-[CH2]n-NH2)x (3)
其中x是从1至4的整数;
n是从1-5的整数;以及
RI是独立选择的烷基。
在一些实施方式中,SiOCN薄膜的湿法刻蚀速率与热氧化硅(thermal siliconoxide)的湿法刻蚀速率的比率可小于约5。在一些实施方式中,SiOCN薄膜的湿法刻蚀速率与热氧化硅的湿法刻蚀速率的比率可小于约0.3。在一些实施方式中,SiOCN薄膜的湿法刻蚀速率与热氧化硅的湿法刻蚀速率的比率可小于约0.1。
在一些实施方式中,SiOCN薄膜可以被沉积在衬底上的三维结构上。在一些实施方式中,形成在三维结构的顶表面上的SiOCN的湿法刻蚀速率与形成在三维结构的侧墙(sidewall)表面上的SiOCN的湿法刻蚀速率的湿法刻蚀速率比率在稀HF中可以为约1∶1、约1∶5、或小于约2∶1。
在一些实施方式中气相硅前驱物可以不包括卤素。在一些实施方式中硅前驱物可以包括(3-氨丙基)三甲氧基硅烷(APTMS)。在一些实施方式中反应性物质可以包括氢等离子体、氢原子、氢自由基或氢离子。在一些实施方式中反应性物质可以由包括稀有气体的第二反应物产生。在一些实施方式中反应性物质可以进一步包括氮等离子体、氮原子、氮自由基或氮离子。在一些实施方式中反应性物质可以由来自包括氢的第二反应物的等离子体产生。在一些实施方式中第二反应物可以包括H2
在一些实施方式中衬底表面可以包括有机材料。在一些实施方式中有机材料包括光致抗蚀剂材料。
在一些实施方式中方法可以进一步包括在所需数目的沉积循环之后所执行的氢等离子体处理循环,氢等离子体处理循环包括将衬底与由来自氢的等离子体所产生的反应性物质接触。在一些实施方式中氢等离子体处理循环可以在沉积工艺期间执行多于一次。在一些实施方式中氢等离子体处理循环与沉积循环的比率从约1∶1至约1∶10。在一些实施方式中至少一个反应性物质包括由来自N2的等离子体产生的反应性物质。
在一些实施方式中方法可以进一步包括在已经执行了所需数目的沉积循环之后所执行的第二氢等离子体沉积步骤,氢等离子体沉积步骤包括至少一个循环,所述至少一个循环包括将衬底的表面与气相硅前驱物接触以在衬底的表面上形成硅物质;将吸附的硅物质与由从H2形成的等离子体所产生的反应性物质接触;以及任选地重复接触步骤直至已经形成了所需厚度的SiOCN膜;其中硅前驱物具有如以下通式之一的式:
(RIO)4-xSi(RII-NH2)x (1)
其中x是从1至4的整数;
RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO)3Si-RII-NH2 (2)
其中RI是独立选择的烷基;以及
RII是独立选择的烃;和
(RIO)4-xSi(-[CH2]n-NH2)x (3)
其中x是从1至4的整数;
n是从1-5的整数;以及
RI是独立选择的烷基。
在一些实施方式中,方法被用于在间隔件限定双重图案(spacer defined doublepatterning;SDDP)工艺中在衬底上形成SiOCN间隔件(spacer)。在一些实施方式中,方法被用于在间隔件限定四重图案(spacer defined quadruple patterning;SQDP)工艺中在衬底上形成SiOCN间隔件。
在一些实施方式中SiOCN薄膜可以包括至少20at%的氧。在一些实施方式中SiOCN薄膜可以包括至少5at%的碳。在一些实施方式中SiOCN薄膜可以包括至少5at%的氮。
在一些实施方式中,提供了用于在反应空间中的衬底上形成氧碳氮化硅(SiOCN)薄膜的工艺。在一些实施方式中工艺可以包括多个沉积循环,至少一个沉积循环可以包括交替地和顺序地将衬底的表面与硅前驱物以及包括至少一个反应性物质的第二反应物接触。在一些实施方式中沉积循环可以被重复两次或更多次以形成SiOCN薄膜。在一些实施方式中硅前驱物可以具有通式:
LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z
其中n是从0至3的整数,x是从1至4的整数,y是从0至3的整数,z是从0至3的整数;以及4-x-y-z-n是从0至3;
RI是独立选择的烷基;
RII是独立的烃;
RIII和RIV是独立选择的烷基和/或氢;以及
L是独立选择的烷基或卤素。
在一些实施方式中至少一个反应性物质可以由从并不包括氧的气体所形成的等离子体而产生。
在一些实施方式中硅前驱物可以具有通式:
LnSi(ORI)4-x-n(RIINRIIIRIV)x
其中n是从0至3的整数,x是从1至3的整数;
L是独立选择的烷基或卤素;
RI是独立选择的烷基;
RII是独立选择的烃;以及
RIII和RIV是独立选择的烷基和/或氢。
在一些实施方式中硅前驱物可以具有通式:
Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z
其中x是从1至4的整数,y是从0至3的整数,z是从0至3的整数;
RI是独立选择的烷基;
RII是独立选择的烃;以及
RIII和RIV是独立选择的烷基和/或氢。
在一些实施方式中硅前驱物可以具有通式:
Si(ORI)4-x(RIINRIIIRIV)x
其中x是从1至4的整数;
RI是独立选择的烷基;
RII是独立选择的烃;以及
RIII和RIV是独立选择的烷基和/或氢。
在一些实施方式中硅前驱物可以包括APTMS。在一些实施方式中至少一个沉积循环可以是PEALD循环。在一些实施方式中反应性物质可以通过向第二反应物施加约100瓦(W)至约1000W的RF功率而产生。在一些实施方式中沉积循环可以在约300℃至约400℃的工艺温度下执行。在一些实施方式中可以在小于约100℃的工艺温度下执行沉积循环。在一些实施方式中衬底可以包括有机材料。
在一些实施方式中,提供了用于在反应空间中的衬底上沉积氧碳氮化硅(SiOCN)薄膜的工艺。在一些实施方式中,该工艺可以包括将衬底的表面与这样的硅前驱物接触,所述硅前驱物包括通过碳键合至硅原子、并且包含附接至碳链的NH2基团的至少一个配体和通过氧原子键合至硅原子、并且其中烷基被键合至氧原子的至少一个配体。在一些实施方式中,工艺可以进一步包括将衬底暴露至净化气体和/或真空以移除过量的钛反应物和反应物副产物(如果有的话)、将衬底的表面与包括氢的第二反应物接触,其中第二反应物包括由等离子体产生的至少一个反应性物质、将衬底暴露至净化气体和/或真空以移除过量的第二反应物和反应物副产物(如果有的话)、以及重复接触步骤直至已经形成了所需厚度的SiOCN薄膜。
附图说明
图1是用于通过根据本公开的一些实施方式的等离子体增强原子层沉积(PEALD)工艺沉积氧碳氮化硅(SiOCN)薄膜的工艺流程图。
图2示出了对于根据本公开一些实施方式所沉积的SiOCN薄膜作为第二反应物气体混合物比率的函数的每次循环生长(GPC)、折射率、和湿法刻蚀速率比率(WERR)。
图3示出了对于根据本公开一些实施方式所沉积的热氧化物(TOX)和SiOCN薄膜的刻蚀量(dHF湿法刻蚀)对刻蚀时间。
图4是对于根据本公开一些实施方式所沉积的SiOCN薄膜的成分X射线光电子光谱(XPS)深度分布曲线。
图5A-B示出了在暴露至2分钟dHF浸没之前和之后根据本公开一些实施方式所沉积的SiOCN薄膜的透射电子显微镜(TEM)图像。
图5C-D示出了在暴露至2分钟dHF浸没之前和之后根据本公开一些实施方式所沉积的SiOCN薄膜的透射电子显微镜(TEM)图像。
图6是大体上示出了采用N等离子体和O等离子体形成的自对准间隔件的视图。
图7示出了在暴露至0.5wt%稀HF2分钟之前和之后根据一些实施方式所沉积的样本SiOCN膜的扫描电子显微图。
具体实施方式
氧碳氮化硅(SiOCN)薄膜具有各种各样的应用——如对于本领域技术人员明显的——例如集成电路制造。更具体地,显示了低刻蚀速率的SiOCN膜在半导体工业中以及在半导体工业之外具有各种各样的应用。SiOCN膜可以被用作例如刻蚀停止层、牺牲层、低k间隔件、抗反射层(ARL)、以及钝化层。
根据本公开的一些实施方式,提供了各种SiOCN膜、前驱物、以及用于沉积所述膜的方法。在一些实施方式中,SiOCN膜具有相对较低的湿法刻蚀速率——例如在dHF中。
在一些实施方式中,SiOCN薄膜通过等离子体增强原子层沉积(PEALD)工艺沉积在衬底上。在一些实施方式中,SiOCN薄膜并未由液相方法沉积。在一些实施方式中,SiOCN薄膜沉积在三维结构,诸如在finFET器件的形成中的鳍(fin)之上。
氧碳氮化硅膜的式为了便利和简单而通常在此称作SiOCN。如在此所使用的,SiOCN并非意在限制、约束或限定键合或化学状态,例如Si、O、C、N的任意一个和/或膜中的任何其它元素的氧化状态。进一步,在一些实施方式中,SiOCN薄膜除了Si、O、C和/或N之外还可以包括一个或多个元素。在一些实施方式中,SiOCN膜可以包括Si-C键、Si-O键、和/或Si-N键。在一些实施方式中,SiOCN膜可以包括Si-C键和Si-O键,并且可以不包括Si-N键。在一些实施方式中,SiOCN膜可以包括比Si-C键更多的Si-O键,例如Si-O键与Si-C键的比率可以为约1∶1至约10∶1。在一些实施方式中,SiOCN膜可以包括基于原子(at%)的从约0%至约10%的氮。在一些实施方式中,SiOCN可以包括基于原子的从约0%至约30%的碳。在一些实施方式中,SiOCN膜可以包括基于原子的从约0%至约60%的氧。在一些实施方式中,SiOCN膜可以包括基于原子的从约0%至约50%的硅。
ALD型工艺基于受控的——通常是自限制的表面反应。通常通过将衬底交替地并顺序地与反应物接触而避免气相反应。在反应腔室中例如通过在反应脉冲之间移除过量反应物和/或反应物副产物而使气相反应物彼此分离。可以借助于净化气体和/或真空从衬底表面附近移除反应物。在一些实施方式中,通过例如采用惰性气体净化而从反应空间移除过量的反应物和/或反应物副产物。
在一些实施方式中,等离子体增强ALD(PEALD)工艺被用于沉积SiOCN膜。在一些实施方式中,如在此所述的PEALD工艺并不包括氧等离子体。简要地,衬底或工件被放置在反应腔室中并经受交替重复的表面反应。在一些实施方式中,薄的SiOCN膜通过自限制ALD循环的重复而形成。在一些实施方式中,为了形成SiOCN膜,每个ALD循环包括至少两个不同阶段。接触以及从衬底移除反应物可以被认为是一个阶段。在第一阶段中,包括硅的气相第一反应物接触衬底并且在衬底表面上形成不多于约一个单层。该反应物也在此称作“硅前驱物”、“含硅前驱物”、或“硅反应物”,并且可以是例如(3-氨丙基)三甲氧基硅烷(APTMS)。
在第二阶段中,包括反应性物质的第二反应物接触衬底并且可以将吸附的硅转换为SiOCN。在一些实施方式中,第二反应物包括氢前驱物。在一些实施方式中,反应性物质包括激发态物质。在一些实施方式中,第二反应物包括来自包含等离子体的氢的物质。在一些实施方式中,第二反应物包括氢自由基、氢原子和/或氢等离子体。第二反应物可以包括并非氢前驱物的其他物质。在一些实施方式中,第二反应物可以包括处于一种形式或另一种形式的氮等离子体、氮自由基、或原子氮。在一些实施方式中,第二反应物可以包括来自例如作为自由基、以等离子体形式、或者以元素形式的稀有气体,诸如He、Ne、Ar、Kr或Xe,的物质。这些来自稀有气体的反应性物质必然不会对所沉积薄膜贡献材料,而是可以在一些情形中有助于膜生长以及帮助等离子体的形成和点燃。在一些实施方式中,用于形成等离子体的气体可以贯穿沉积工艺而恒定地流动但是仅被间歇地激活。在一些实施方式中,用于形成等离子体地气体并不包括氧。在一些实施方式中,吸附的硅前驱物不与由来自氧的等离子体所产生的反应性物质接触。在一些实施方式中,包括反应性物质的第二反应物在并不包括氧的气体中产生。例如,在一些实施方式中,第二反应物可以包括在并不包括氧的气体中所产生的等离子体。在一些实施方式中,第二反应物可以在包括小于约1原子%(at%)氧、小于约0.1at%氧、小于约0.01at%氧、或小于约0.001at%氧的气体中产生。
在一些实施方式中可以改变PEALD工艺——例如通过首先利用包括处于一个形式或另一形式的氮等离子体、氮自由基或原子氮的第二反应物用于一个或多个沉积循环以形成所需厚度的层,以及随后一旦已经沉积了所需厚度的SiOCN层则利用包括氢自由基、氢原子和/或氢等离子体的第二反应物。
在一些实施方式中,PEALD工艺可以利用包括由来自惰性气体、例如诸如Ar或He的稀有气体的等离子体所产生的反应性物质的第二反应物用于一个或多个沉积循环以形成所需厚度的层,以及随后一旦已经沉积了所需厚度的SiOCN层则可以利用包括氢自由基、氢原子和/或氢等离子体的第二反应物。
在一些实施方式中,在此所述的PEALD工艺可以被用于在包括有机表面——例如包括光致抗蚀剂材料的表面的衬底上沉积SiOCN薄膜。在一些实施方式中,如在此所述的PEALD工艺可以被用于在包括对于由氢等离子体降解或变形敏感的表面——例如光致抗蚀剂表面的衬底上沉积SiOCN薄膜。在一些实施方式中,用于沉积SiOCN薄膜的工艺可以结合如在此所述的两个不同PEALD工艺。例如,利用包括以一个形式或另一形式的氮等离子体、氮自由基或原子氮的第二反应物的第一PEALD工艺可以在对于氢等离子体敏感的表面之上沉积足够厚的SiOCN层以保护表面免受氢等离子体。随后利用包括氢自由基、氢原子和/或氢等离子体的第二反应物的第二PEALD工艺可以在第一SiOCN层之上直接地沉积进一步的所需厚度的SiOCN层。
可以添加额外的阶段并且如所需要的可以移除阶段以调整最终膜的组分。
可以借助于载气诸如Ar或He提供一个或多个反应物。在一些实施方式中借助于载气提供硅前驱物和第二反应物。
在一些实施方式中,两个阶段可以重叠,或者结合。例如,硅前驱物和第二反应物可以同时地在部分或完全重叠的阶段中接触衬底。此外,尽管被称为第一和第二阶段、以及第一和第二反应物,阶段的顺序可以被改变,并且ALD循环可以开始于任意一个阶段。也即,除非另外规定,反应物可以以任何顺序接触衬底,并且工艺可以开始于任何反应物。
如以下更详细所讨论,在用于沉积SiOCN膜的一些实施方式中,一个或多个沉积循环开始于将衬底与硅前驱物、随后与第二前驱物接触。在其他实施方式中沉积可以开始于将衬底与第二前驱物、随后与硅前驱物接触。
在一些实施方式中,将其上需要沉积的衬底——诸如半导体工件被装载至反应空间或反应器中。反应器可以是其中执行了集成电路形成中各种不同工艺的集群工具(cluster tool)的一部分。在一些实施方式中利用流动型反应器。在一些实施方式中利用淋喷头型反应器。在一些实施方式中,利用空间分隔的反应器。在一些实施方式中使用能够高容积制造的单个晶片ALD反应器。在其他实施方式中使用包括多个衬底的分批反应器。对于其中使用分批ALD反应器的实施方式,衬底的数目在10至200的范围中、在50至150的范围中、或者在100至130的范围中。
可以使用的合适的反应器的实例包括商业地可获得的设备诸如反应器——诸如反应器和系列反应器,其从ASM America,Inc,Phoenix,Arizona和ASM Europe B.V.,Almere,Netherlands可获得。其他商业地可获得的反应器包括来自在商标和XP8下的ASM Japan K.K(Tokyo,Japan)的那些反应器。
在一些实施方式中,如果需要,可以预处理工件的暴露表面以提供与ALD工艺的第一阶段反应的反应性位点。在一些实施方式中并不要求单独的预处理步骤。在一些实施方式中预处理衬底以提供所需表面端接(surface termination)。在一些实施方式中采用等离子体预处理衬底。
在一些实施方式中预处理步骤可以包括在衬底的表面的至少一部分上沉积有机材料。然而,在一些实施方式中可以提供已经包括了有机材料的衬底。在一些实施方式中预处理步骤可以包括在衬底的表面的至少一部分上沉积聚合物材料。例如,在一些实施方式中预处理步骤可以包括在衬底的至少一部分上形成聚酰亚胺、聚酰胺、聚苯乙烯、聚脲、或其他这种聚合物材料。在一些实施方式中,聚合物可以包括二聚物、三聚物、聚氨酯、聚硫脲、聚酯、或聚亚胺。在一些实施方式中所形成的有机材料可以包括以上材料的其他聚合形式或混合物。
在一些实施方式中预处理步骤可以包括沉积或形成包括抗蚀剂材料——诸如光致抗蚀剂的层。即,在一些实施方式中预处理步骤可以包括形成或沉积包括能够用于例如光刻或光雕工艺的光敏材料的层。例如,在一些实施方式中预处理步骤可以包括形成或沉积能够用于极紫外光刻工艺的光致抗蚀剂材料,其另外被称作EUV抗蚀剂。在一些实施方式中预处理步骤可以包括形成或沉积能够用于沉浸式光刻工艺的光致抗蚀剂,例如能够用于使用193nm波长的光的沉浸式光刻工艺的光致抗蚀剂,其另外被称作193i抗蚀剂。
在反应物接触阶段之间,从衬底附近、并且特别是从衬底表面移除过量的反应物和反应物副产物(如果存在)。在一些实施方式中通过例如在反应物接触阶段之间诸如通过采用惰性气体净化来净化反应腔室而从衬底表面移除过量反应物和反应物副产物(如果存在)。每个反应物的流速和接触时间是可微调的(如移除的步骤),允许控制膜的质量和各种性质。
如上所述,在一些实施方式中,在每个沉积循环期间、或者在整个ALD工艺期间连续地向反应腔室提供气体,并且通过在反应腔室中或在反应腔室的上游的气体中产生等离子体而提供反应性物质。在一些实施方式中气体包括氮。在一些实施方式中气体是氮。在一些实施方式中气体可以包括稀有气体,诸如氦或氩。在一些实施方式中气体是氦或氮。流动的气体也可以用作第一和或第二反应物(或反应性物质)的净化气体。例如,流动的氮可以用作第一硅前驱物的净化气体并且也用作第二反应物(作为反应性物质的源)。在一些实施方式中,氮、氩或氦可以用作第一前驱物的净化气体,以及用于将硅前驱物转换为SiOCN膜的激发态物质的源。在一些实施方式中,其中产生等离子体的气体不包括氮,并且吸附的硅前驱物不与由来自氮的等离子体所产生的反应性物质接触。在一些实施方式中,其中产生等离子体的气体并不包括氧并且吸附的硅前驱物不与由来自氧的等离子体所产生的反应性物质接触。
重复循环直至获得所需厚度和成分的膜。在一些实施方式中,在ALD工艺期间在一个或多个沉积循环中可以改变沉积参数,诸如前驱物流速、接触时间、移除时间、和/或反应物自身,以便于获得具有所需特性的膜。
在一些实施方式中衬底的表面与反应物接触。在一些实施方式中提供反应物的脉冲至包含衬底的反应空间。术语“脉冲”可以理解为包括将反应物馈送至反应腔室中预定量的时间。术语“脉冲”并未限制脉冲的长度或持续时间,并且脉冲可以是任意时间长度。在一些实施方式中移动衬底至包含反应物的反应空间。在一些实施方式中随后将衬底从包含第一反应物的反应空间移动至包含第二反应物的第二、不同的反应空间。
在一些实施方式中,衬底首先与硅反应物接触。在初始表面端接之后,如果必需或需要的话,将衬底与第一硅反应物接触。在一些实施方式中向工件提供第一硅反应物脉冲。根据一些实施方式,第一反应物脉冲包括载气流和挥发性硅物质,诸如APTMS,其与感兴趣工件表面反应。因此,硅反应物吸附在这些工件表面上。第一反应物脉冲使工件表面自饱和以使得第一反应物脉冲的任何过量成分并不与由该工艺所形成的分子层进一步反应。
第一硅反应物脉冲可以以气态形式提供。为了本说明书的目的,如果物质在工艺条件下呈现足够的气压以将物质以足够浓度运输至工件来使暴露表面饱和,则将硅前驱物气体视作是“挥发的”。
在一些实施方式中硅反应物接触表面约0.05秒至约5.0秒、约0.1秒至约3秒、或者约0.2秒至约1.0秒。技术人员基于特定情形可以容易地确定最佳接触时间。
在足够时间以使得约一个分子层吸附在衬底表面上之后,从衬底表面移除过量的第一硅反应物和反应物副产物(如果存在)。在一些实施方式中移除过量反应物以及反应物副产物(如果存在)可以包括净化反应腔室。在一些实施方式中,可以通过停止第一反应物的流动而同时继续使载气或净化气体流动足够的时间以从反应空间扩散或净化过量反应物和反应物副产物(如果存在)而净化反应腔室。在一些实施方式中借助于贯穿ALD循环而流动的惰性气体(诸如氮或氩)而净化过量第一前驱物。在一些实施方式中衬底可以从包含第一反应物的反应空间移动至第二、不同的反应空间。在一些实施方式中,移除第一反应物约0.1秒至约10秒、约0.3秒至约5秒、或约0.3秒至约1秒。接触硅反应物和硅反应物的移除可以认为是ALD循环的第一阶段或硅阶段。
在第二阶段中,向工件提供包括反应性物质诸如氢等离子体的第二反应物。可以通过在反应腔室中或在反应腔室的上游的氢中产生等离子体——例如通过使氢气(H2)流动穿过远程等离子体发生器而形成氢等离子体。
在一些实施方式中,在流动的H2气体中产生等离子体。在一些实施方式中在点燃等离子体或形成氢原子或自由基之前向反应腔室提供H2。在一些实施方式中连续地向反应腔室提供H2并且当需要时产生或供应含氢等离子体、原子或自由基。
通常,例如包括氢等离子体的第二反应物接触衬底约0.1秒至约10秒。在一些实施方式中诸如含氢等离子体的第二反应物接触衬底约0.1秒至约10秒、0.5秒至约5秒、或0.5秒至约2.0秒。然而,取决于反应器类型、衬底类型及其表面区域,第二反应物接触时间可以甚至高于约10秒。在一些实施方式中,接触时间可以在分钟的量级。技术人员基于特定情形可以容易地确定最佳接触时间。
在一些实施方式中,在两个或更多不同脉冲中提供第二反应物,而在任意两个或多个脉冲之间并未引入另一反应物。例如,在一些实施方式中,在两个或多个顺序脉冲中提供等离子体诸如含氢等离子体,而在顺序脉冲之间并未引入Si前驱物。在一些实施方式中,在等离子体的提供期间两个或多个顺序等离子体脉冲通过以下产生:在第一时间周期提供等离子体放电、在第二时间周期——例如约0.1秒至约10秒、约0.5秒至约5秒或约1.0秒至约4.0秒熄灭等离子体放电、并且在引入另一前驱物或移除步骤之前——诸如在Si前驱物或净化步骤之前的第三时间周期再次激励等离子体。可以以相同方式引入额外的等离子体脉冲。在一些实施方式中,在每个脉冲中以相等的时间周期点燃等离子体。
在一些实施方式中,可以通过施加一些实施方式中的约10W至约2000W、约50W至约1000W、或者约100W至约500W的RF功率而产生等离子体——诸如含氢等离子体。在一些实施方式中,用于产生含氮等离子体的等离子体功率可以为约500W至约1500W、700W至约1200W或者约800W至约1000W。在一些实施方式中RF功率密度可以为约0.02W/cm2至约2.0W/cm2、或约0.05W/cm2至约1.5W/cm2。RF功率可以施加至在等离子体接触时间期间流动的、连续流动穿过反应腔室的、和/或流动穿过远程等离子体发生器的第二反应物。因此在一些实施方式中,原位产生等离子体,而在其他实施方式中,远程地产生等离子体。在一些实施方式中,利用淋喷头反应器并且在基座(衬底位于其顶部上)和淋喷头板之间产生等离子体。在一些实施方式中,基座和淋喷头板之间的间隙为约0.1cm至约20cm、约0.5cm至约5cm、或约0.8cm至约3.0cm。
在足够完全饱和以及使之前吸附的分子层与等离子体脉冲反应的时间段之后,从衬底表面移除任何过量的反应物和反应物副产物。
在一些实施方式中,移除过量反应物和反应物副产物(如果存在)可以包括净化反应腔室。在一些实施方式中,可以通过停止第二反应物的流动而同时继续使载气或净化气体流动足够的时间以从反应空间扩散或净化过量反应物和反应物副产物(如果存在)从而净化反应腔室。在一些实施方式中借助于流动贯穿ALD循环的惰性气体诸如氮或氩而净化过量第二前驱物。在一些实施方式中可以从包含第二反应物的反应空间移动衬底至不同的反应空间。在一些实施方式中,移除可以为约0.1秒至约10秒、约0.1秒至约4秒、或者约0.1秒至约0.5秒。反应性物质接触与移除一起表示SiOCN原子层沉积循环中的第二、反应性物质阶段。
两个阶段一起表示一个ALD循环,其被重复以形成所需厚度的SiOCN薄膜。虽然在此ALD循环通常涉及开始于硅阶段,但在其他实施方式中设计循环可以开始于反应性物质阶段。本领域技术人员将认识到第一前驱物阶段通常与由之前循环中上一个阶段留下的端接反应。因此,虽然如果反应性物质阶段是第一ALD循环中第一阶段而反应物不可被事先吸附于衬底表面上或者存在于反应空间中,但是在随后循环中反应性物质阶段将有效地跟随硅阶段。在一些实施方式中,在沉积工艺中提供一个或多个不同ALD循环。
根据本公开的一些实施方式,可以在约25℃至约700℃、约50℃至约600℃、约100℃至约450℃或约200℃至约400℃的范围的温度执行PEALD反应。在一些实施方式中,可以由最大允许的热预算限制最佳反应器温度。因此,在一些实施方式中反应温度为约300℃至约400℃。在一些应用中,最大温度在约400℃周围,并且因此PEALD工艺在该反应温度下进行。
其上沉积了薄膜的衬底可以包括各种类型的材料。在一些实施方式中衬底可以包括集成电路工件。在一些实施方式中衬底可以包括硅。在一些实施方式中衬底可以包括氧化硅,例如,热氧化物。在一些实施方式中衬底可以包括高k介电材料。在一些实施方式中衬底可以包括碳。例如衬底可以包括非晶碳层、石墨烯、和/或碳纳米管。
在一些实施方式中衬底可以包括金属,其包括但不限于W、Cu、Ni、Co、和/或Al。在一些实施方式中,衬底可以包括金属氮化物,其包括但不限于TiN和/或TaN。在一些实施方式中衬底可以包括金属碳化物,其包括但不限于TiC和/或TaC。在一些实施方式中衬底可以包括金属硫系化物,其包括但不限于MoS2、Sb2Te3、和/或GeTe。在一些实施方式中衬底可以包括通过暴露至氧等离子体工艺但未通过在此所述PEALD工艺而被氧化的材料。
在一些实施方式中在此所述PEALD工艺中使用的衬底可以包括有机材料。例如,衬底可以包括有机材料诸如塑料、聚合物、和/或光致抗蚀剂。在一些实施方式中衬底可以包括聚酰亚胺、聚酰胺、聚苯乙烯、聚脲、或其他此类聚合物材料。
在一些实施方式中衬底可以包括抗蚀剂材料,诸如光致抗蚀剂。即,在一些实施方式中衬底可以包括能够用于例如光刻或光雕工艺的光敏材料。例如,在一些实施方式中衬底可以包括能够用于极紫外光刻工艺的光致抗蚀剂材料,其另外被称为EUV抗蚀剂。在一些实施方式中衬底可以包括能够用于沉浸式光刻工艺的光致抗蚀剂,例如能够用于使用193nm波长光的沉浸式光刻工艺的光致抗蚀剂,其另外被称为193i抗蚀剂。
在其中衬底包括有机材料的一些实施方式中,PEALD工艺的反应温度可小于有机材料可降解或分解的温度。在其中衬底包括有机材料的一些实施方式中,PEALD工艺的反应温度可小于约200℃。在一些实施方式中反应温度可以小于约150℃、小于约100℃、小于约75℃、或小于约50℃。
在其中衬底包括有机材料的一些实施方式中,最大工艺温度可以低至100℃。在其中衬底包括有机材料的一些实施方式中,缺乏由氧产生的等离子体可以允许在包括由氧所产生的等离子体的沉积工艺中可以以其它方式降解的有机材料上沉积SiOCN薄膜。在一些实施方式中,如在此所述的包括了包含氮等离子体、氮自由基、或原子氮的一个形式或另一形式的第二反应物的PEALD工艺可以允许在包括由氧或氢产生的等离子体的沉积工艺中可以以其它方式降解的有机材料上沉积SiOCN薄膜。在一些实施方式中,该SiOCN薄膜可以用作有机材料的保护层并且可以允许通过PEALD工艺沉积可以以其它方式降解或损伤有机材料的另一SiOCN薄膜。
根据本公开的一些实施方式,在处理期间反应腔室的压力维持在从约0.01托至约50托,或从约0.1托至约10托。在一些实施方式中反应腔室的压力大于约6托,或约20托。在一些实施方式中,SiOCN沉积工艺可以在约20托至约500托、约20托至约50托、或约20托至约30托的压力下执行。
在一些实施方式中SiOCN沉积工艺可以包括多个沉积循环,其中在提升的压力状态中执行至少一个沉积循环。例如,PEALD工艺的沉积循环可以包括交替地和顺序地在提升压力下将衬底与硅前驱物和第二反应物接触。在一些实施方式中,PEALD工艺的一个或多个沉积循环可以在约6托至约500托、约6托至约50托、或约6托至约100托的工艺压力下执行。在一些实施方式中,一个或多个沉积循环可以在大于约20托——包括约20托至约500托、约30托至约500托、约40托至约500托、或约50托至约500托的工艺压力下执行。在一些实施方式中,一个或多个沉积循环可以在约20托至约30托、约20托至约100托、约30托至约100托、约40托至约100托、或约50托至约100托的工艺压力下执行。
SiOCN的PEALD
如上所述,以及如下更详细所讨论,在一些实施方式中可以由等离子体增强原子沉积层(PEALD)工艺在反应空间中的衬底上沉积SiOCN薄膜。根据一些实施方式,使用PEALD工艺在具有三维特征——诸如在FinFET应用中的衬底上沉积SiOCN薄膜。在一些实施方式中如在此所述的PEALD工艺可以用于各种应用。例如,如在此所述的PEALD工艺可以用于形成硬掩模层、牺牲层、保护层、或低k间隔件。如在此所述的PEALD工艺可以用于例如存储器器件应用。
在一些实施方式中,可以由如在此所述的PEALD工艺在无法不受损伤地经受O等离子体的衬底——例如包括有机和/或光致抗蚀剂材料的衬底上沉积SiOCN薄膜。
参照图1并且根据一些实施方式由包括至少一个循环的PEALD沉积工艺100在反应空间中的衬底上沉积SiOCN薄膜,所述至少一个循环包括:
在步骤120处将衬底与气相含硅前驱物接触以使得硅物质吸附至衬底的表面上;
在步骤130处从衬底表面移除过量的含硅前驱物以及反应物副产物(如果存在);
在步骤140处将衬底与包括由等离子体所产生的反应性物质的第二反应物接触,由此将吸附的硅物质转换为SiOCN;
在步骤150处从衬底表面移除过量的第二反应物和反应物副产物(如果存在);以及
任选地在步骤160处重复接触和移除步骤以形成所需厚度和成分的SiOCN薄膜。
在一些实施方式中步骤140可以包括在将衬底与第二反应物接触之前远程地产生或形成等离子体或反应性物质。
根据一些实施方式SiOCN等离子体增强ALD沉积循环可以用于沉积SiOCN薄膜。在某些实施方式中,通过包括多个SiOCN沉积循环的ALD型工艺在衬底上形成SiOCN薄膜,每个SiOCN沉积循环包括:
将衬底与气相硅反应物接触以使得硅化合物吸附在衬底表面上;
将衬底暴露至净化气体和/或真空;
将衬底与通过在第二反应物中形成等离子体所产生的反应性物质接触;以及
将衬底暴露至净化气体和/或真空;
任选地重复接触和暴露步骤直至获得了所需厚度和成分的SiOCN薄膜。
在一些实施方式中,暴露衬底于净化气体和/或真空步骤可以包括当停止前驱物或反应物的流动时继续惰性载气的流动。在一些实施方式中,暴露衬底于净化气体和/或真空步骤可以包括停止前驱物和载气至反应腔室中的流动,以及例如采用真空泵对反应腔室抽真空。在一些实施方式中,暴露衬底于净化气体和/或真空步骤可以包括将衬底从第一反应腔室移动至含有净化气体的第二、不同的反应腔室。在一些实施方式中,暴露衬底于净化气体和/或真空步骤可以包括将衬底从第一反应腔室移动至在真空之下的第二、不同的反应腔室。
根据一些实施方式,通过包括至少一个循环的PEALD沉积工艺在反应空间中的衬底上沉积SiOCN薄膜,所述至少一个循环包括:
将衬底与APTMS接触以使得硅物质吸附至衬底的表面上;
从衬底表面移除过量APTMS以及反应物副产物(如果存在);
将衬底与包括由等离子体所产生的反应性物质的第二反应物接触,其中反应性物质包括氢;
从衬底表面移除过量的第二反应物和反应物副产物(如果存在);以及
任选地重复接触和移除步骤以形成所需厚度和成分的SiOCN薄膜。
在一些实施方式中,将衬底与第二反应物接触可以包括在将衬底与第二反应物接触之前远程地产生或形成等离子体或反应性物质。
在某些实施方式中,通过包括多个SiOCN沉积循环的ALD型工艺在衬底上形成SiOCN薄膜,每个SiOCN沉积循环包括:交替地和顺序地将衬底与第一气相硅前驱物和包括反应性物质的第二反应物接触。在一些实施方式中硅前驱物可以包括APTMS并且第二反应性物质可以包括氢。
例如,如上所述,在一些实施方式中SiOCN可以沉积在可以通过如在此所述包括氢等离子体作为第二反应物的PEALD工艺所降解的表面上,例如有机表面。因此,在一些实施方式中,用于沉积SiOCN膜的PEALD工艺可以开始于多个沉积循环,其利用由含氮气体和/或惰性气体诸如稀有气体所产生的等离子体作为第二反应物以便于形成可以用作用于有机表面的钝化层或保护层的第一SiOCN层。可以随后利用包括包含氢等离子体的第二反应物的沉积循环在第一SiOCN层上沉积另一SiOCN材料。
在一些实施方式中可以通过以下方法在反应空间中的衬底上沉积SiOCN薄膜,所述方法包括:
第一等离子体沉积工艺,包括两个或多个沉积循环,其包括:
将衬底与气相含硅前驱物接触以使得硅物质吸附至衬底的表面上;
从衬底表面移除过量的含硅前驱物以及反应物副产物(如果存在);
将衬底与包括由来自惰性气体诸如含氮气体和/或稀有气体或多种气体的等离子体所产生的反应性物质的第二反应物接触;
从衬底表面移除过量的第二反应物和反应物副产物(如果存在);以及
任选地重复接触和移除步骤以形成所需厚度和成分的第一SiOCN层。
以及第二含氢等离子体沉积工艺,包括两个或多个沉积循环,其包括:
将衬底与气相含硅前驱物接触以使得硅物质吸附至衬底的表面上;
从衬底表面移除过量的含硅前驱物和反应物副产物(如果存在);
将衬底与包括由等离子体所产生的反应性物质的第二反应物接触,其中反应性物质包括氢;
从衬底表面移除过量的第二反应物和反应物副产物(如果存在);以及
任选地重复接触和移除步骤以形成所需厚度和成分的第二SiOCN层。
在一些实施方式中第一SiOCN层和第二SiOCN层可以不是单独的层并且可以形成所需厚度的连续SiOCN膜。在一些实施方式中第一SiOCN层可以是与第二SiOCN层不同的层。在一些实施方式中第二SiOCN层可以具有比第一SiOCN层较低的密度。在一些实施方式中,通过包括第一等离子体沉积工艺和第二含氢等离子体沉积工艺的方法所沉积的SiOCN膜可以具有相对于通过并不包括H2等离子体的方法所沉积的SiOCN膜较低的密度。
在一些实施方式中第一等离子体沉积工艺并不包括氢等离子体并且可以包括任意数目的沉积循环。然而,在一些实施方式中第一等离子体沉积工艺可以具有足够沉积循环以形成足够厚的SiOCN层以保护下层材料免受由于第二含氢等离子体沉积工艺引起的降解。例如,在一些实施方式中通过第一等离子体沉积工艺所沉积的第一SiOCN层的厚度可以高达约20nm厚。在一些实施方式中第一SiOCN层可以具有大于或等于约0.1nm至约3nm的厚度。在一些实施方式中第一SiOCN层可以具有大于或等于约3nm、大于或等于约4nm、或大于或等于约5nm的厚度。
在一些实施方式中第一等离子体沉积工艺可以包括大于或等于约5个沉积循环、大于或等于约10个沉积循环、大于或等于约20个沉积循环、大于或等于约50个沉积循环、大于或等于约100个沉积循环、或者大于或等于约250个沉积循环。在一些实施方式中第一等离子体沉积工艺可以包括小于或等于约500个沉积循环、小于或等于约250个沉积循环、小于或等于约100个沉积循环、小于或等于约50个沉积循环、小于或等于约30个沉积循环、或者小于或等于约20个沉积循环。
在一些实施方式中含氢沉积工艺可以包括任意数目的沉积循环。在一些实施方式中含氮等离子体沉积工艺中的沉积循环的数目与含氢等离子体沉积工艺中的沉积循环的数目可以被独立地选择。
在一些实施方式中衬底可以包括有机表面。在一些实施方式中衬底可以包括聚合物表面。例如,在一些实施方式中衬底可以包括聚酰亚胺、聚酰胺、聚苯乙烯、聚脲或其他此类聚合物。在一些实施方式中聚合物可以包括二聚物、三聚物、聚氨酯、聚硫脲、聚酯、或聚亚胺。在一些实施方式中有机表面可以包括以上材料的其他聚合形式或混合物。在一些实施方式中有机材料可以包括石墨烯或碳的另一形式。在一些实施方式中有机材料可以包括非晶碳。在一些实施方式中非晶碳可以包含氢。在一些实施方式中衬底可以包括光致抗蚀剂材料。在一些实施方式中衬底表面不含例如来自大气的烃污染物。
在一些实施方式中,衬底表面可以包括抗蚀剂,诸如光致抗蚀剂。即,在一些实施方式中衬底表面可以包括能够用于例如光刻或光雕工艺的光敏材料。例如,在一些实施方式中衬底表面可以包括能够用于极紫外光刻工艺的光致抗蚀剂,其另外被称作EUV抗蚀剂。在一些实施方式中衬底表面可以包括能够用于沉浸式光刻工艺的光致抗蚀剂,例如能够用于使用193nm波长的光的沉浸式光刻工艺的光致抗蚀剂,其另外被称作193i抗蚀剂。
在其中衬底可以包括有机材料的一些实施方式中,通过第一等离子体沉积工艺形成的第一SiOCN层可以用作用于有机材料的保护层。即,在一些实施方式中第一SiOCN层可以用于保护有机材料在后续工艺期间——例如在第二含氢等离子体沉积工艺期间免受降解或移除。在一些实施方式中第一SiOCN层可以用于在第二含氢等离子体沉积工艺期间防止氢等离子体接触、降解或移除有机材料。
在其中衬底包括有机表面的一些实施方式中,包括第一等离子体沉积工艺和含氢等离子体沉积工艺的SiOCN沉积方法可以移除或降解如由有机表面的厚度所测量的有机表面的小于约40%、小于约30%、小于约20%、小于约10%、小于约5%、或小于约1%。在一些实施方式中沉积SiOCN的方法可以基本上不从有机表面移除或降解任何材料。
在一些实施方式中,在反应空间中的衬底上形成SiOCN薄膜的方法可以包括多个沉积循环,其包括交替地和顺序地将衬底与气相含硅前驱物以及包括由来自含氮气体和/或惰性气体诸如稀有气体的等离子体所产生反应性物质的第二反应物接触。在一些实施方式中,方法可以进一步包括氢等离子体处理循环,其包括将衬底与包括由等离子体所产生反应性物质的第二反应物接触,其中反应性物质包括氢,其中可以任选地在所需数目的沉积循环之后执行氢等离子体处理循环。在一些实施方式中在氢等离子体处理循环之后可以执行进一步沉积循环。
在一些实施方式中可以每n个沉积循环执行氢等离子体处理循环,其中n是整数。在一些实施方式中可以每1、5、10、25、50、100、500、2000或更多沉积循环执行氢等离子体处理循环。例如,在一些实施方式中在包括50个沉积循环的SiOCN沉积方法中可以每5个沉积循环而执行一个氢等离子体处理循环。在一些实施方式中沉积循环可以包括第二反应物,其包括由来自N2的等离子体产生的反应性物质。
在一些实施方式中在第一数目的沉积循环诸如含氮等离子体沉积循环中可以执行第一氢等离子体处理循环,以及在第二、不同数目的沉积循环中可以执行第二氢等离子体处理循环。即,在一些实施方式中氢等离子体处理循环与沉积循环的比率可以从1∶1至1∶2000、从1∶1至1∶500、从1∶1至1∶100、从1∶1至1∶50、从1∶1至1∶25、从1∶1至1∶10、从1∶1至1∶5、或者从1∶1至1∶2。
在一些实施方式中氢等离子体处理循环可以包括将衬底与包括氢的反应性物质接触约0.1秒至约20秒。在一些实施方式中包括氢的反应性物质接触衬底约0.1秒至约10秒、0.5秒至约5秒或0.5秒至约2.0秒。在一些实施方式中氢等离子体处理循环可以包括将衬底与包括氢的反应性物质接触约4秒。然而,取决于反应器类型、衬底类型及其表面区域、所需膜特性以及其他因素,包括氢的反应性物质的接触时间可以甚至高于约20秒。在一些实施方式中,接触时间可以是分钟的量级。技术人员可以基于特定情形而容易地确定最佳接触时间。
在一些实施方式中含硅前驱物可以包括APTMS。在一些实施方式中沉积循环的第二反应物可以包括由来自N2的等离子体所产生的反应性物质。在一些实施方式中氢等离子体处理循环的第二反应物可以包括由来自H2的等离子体所产生的物质。
在一些实施方式,PEALD工艺在约100℃至约650℃、约100℃至约550℃、约100℃至约450℃、约200℃至约600℃、或在约200℃至约400℃的之间的温度下执行。在一些实施方式中温度约300℃。在一些实施方式中,例如其中衬底包括有机材料诸如有机光致抗蚀剂,PEALD工艺可以在小于约100℃的温度下执行。在一些实施方式中PEALD工艺在小于约75℃、或小于约50℃的温度下执行。在一些实施方式中可以通过向第二反应物施加RF功率而产生等离子体。RF功率可以施加至第二反应物从而产生反应性物质。在一些实施方式中RF功率可以施加至连续地流动穿过反应腔室和/或流动穿过远程等离子体发生器的第二反应物。因此在一些实施方式中原位地产生等离子体,而在其他实施方式中远程地产生等离子体。在一些实施方式中施加至第二反应物的RF功率从约10W至约2000W、从约100W至约1000W或者从约200W至约500W。在一些实施方式中施加至第二反应物的RF功率约200W。在一些实施方式中,用于产生含氮等离子体的等离子体功率可以约500W至约1500W,约800W至约1200W。
如以下更详细所述,在用于沉积SiOCN膜的一些实施方式中,一个或多个PEALD沉积循环开始于提供硅前驱物,随后提供第二反应物。在其他实施方式中沉积可以开始于提供第二反应物,随后提供硅前驱物。本领域技术人员将认知到第一前驱物阶段通常与之前循环中最后一个阶段所留下的端接反应。因此,虽然如果反应性物质阶段是第一PEALD循环中的第一阶段而可以无反应物被之前吸附在衬底表面上或者存在于反应空间中,但是在后续PEALD循环中反应性物质阶段将有效地跟着硅阶段。在一些实施方式中在用于形成SiOCN薄膜的工艺中提供一个或多个不同PEALD子循环。
Si前驱物
许多不同合适的Si前驱物可以用于当前公开的PEALD工艺中。在一些实施方式中,适用于通过PEALD工艺沉积SiOCN的至少一些Si前驱物具有以下通式:
(1)Si(ORI)4-x(RIINRIIIRIV)x
其中x=1-4,RI可以是独立选择的烷基,RII可以是独立选择的烃基,以及RIII和RIV可以是独立选择的烷基和/或氢。在一些实施方式中RI和RII是C1-C3烷基配体,诸如甲基、乙基、正丙基或异丙基。在一些实施方式中RI可以是C1-C4烷基配体,诸如甲基、乙基、正丙基、异丙基或叔丁基。在一些实施方式中RII不是C3烃。在一些实施方式中RII是C1-C2烃、或C4-C6烃。在一些实施方式中RII可以是不饱和烃,诸如含一个或多个双键的烃。在一些实施方式中RII可以是其中氢的一个被移除的烷基。在一些实施方式中RIII和RIV是氢。在一些实施方式中RI是甲基,RII是正丙基,RIII是氢,RIV是氢,以及x=1。
例如,Si前驱物可以具有式(以更详细方式撰写以便于示出键):(RI-O-)4-xSi(-RII-NRIIIRIV)x,其中x=1-4,RI可以是独立选择的烷基,RII可以是独立选择的烃,以及RIII和RIV可以是独立选择的烷基和/或氢。
根据一些实施方式,一些Si前驱物可以具有以下通式:
(2)Si(ORI)4-x-y-z(RIINRIIIRIV)xHy(OH)z
其中x=1-4,y=0-3,以及z=0-3,RI和RII可以是独立选择的烷基,RII可以是独立选择的烃,以及RIII和RIV可以是独立选择的烷基和/或氢。在一些实施方式中RII可以是不饱和烃,诸如含一个或多个双键的烃。在一些实施方式中RII可以是其中氢的一个被移除的烷基。
根据一些实施方式,一些Si前驱物可以具有以下通式:
(3)LnSi(ORI)4-x-n(RIINRIIIRIV)x
其中n=1-3,x=0-3,RI可以是独立选择的烷基,RII可以是独立选择的烃,以及RIII和RIV可以是独立选择的烷基和/或氢,以及L是独立选择的烷基或卤素。在一些实施方式中RII可以是不饱和烃,诸如包含一个或多个双键的烃。在一些实施方式中RII可以是其中氢的一个被移除的烷基。
根据一些实施方式,一些Si前驱物可以具有以下通式:
(4)LnSi(ORI)4-x-y-z-n(RIINRIIIRIV)xHy(OH)z
其中n=0-3,x=1-4,y=0-3,z=0-3,RI可以是独立选择的烷基,RII可以是独立选择的烃,以及RIII和RIV可以是独立选择的烷基和/或氢,以及L是独立选择的烷基和卤素。在一些实施方式中RII可以是不饱和烃,诸如包含一个或多个双键的烃。在一些实施方式中RII可以是氢的一个被移除的烷基。
根据一些实施方式,一些Si前驱物可以具有以下通式:
5)(RIO)4-xSi(RII-NH2)x
其中x=1-4,RI可以是独立选择的烷基,以及RII可以是独立选择的烃。在一些实施方式中RI和RII是C1-C3烷基配体,诺如甲基、乙基、正丙基或异丙基。在一些实施方式中RI是甲基,RII是正丙基,且x=1。在一些实施方式中RII可以是不饱和烃,诸如包含一个或多个双键的烃。在一些实施方式中RII可以是其中氢的一个被移除的烷基。
根据一些实施方式,一些Si前驱物可以具有以下通式:
6)(RIO)3Si-RII-NH2
其中,RI可以是独立选择的烷基,以及RII可以是独立选择的烃。在一些实施方式中RI和RII是C1-C3烷基配体,诸如甲基、乙基、正丙基或异丙基。在一些实施方式中RII可以是不饱和烃,诸如包含一个或多个双键的烃。在一些实施方式中RII可以是其中氢的一个被移除的烷基。
根据一些实施方式,一些Si前驱物可以具有以下通式:
7)(RIO)4-xSi(-[CH2]n-NH2)x
其中x=1-4,n=1-5,以及RI可以是独立选择的烷基。在一些实施方式中RI是C1-C4烷基配体,诸如甲基、乙基、正丙基、或异丙基。在一些实施方式中RI是甲基,并且x=1。
在一些实施方式中硅前驱物并不包括卤素。在一些实施方式中硅前驱物可以包括至少一个氨烷基配体。根据一些实施方式,合适的硅前驱物可以包括通过碳键合至硅并且包括附接至碳链的至少一个NH2基团的至少一个配体,例如氨烷基配体。根据一些实施方式,合适的硅前驱物可以包括通过碳键合至硅的并且包括附接至碳链的NH2基团的至少一个配体,例如氨烷基配体,以及也可以包括通过氧原子键合至硅并且其中烷基键合至氧的至少一个配体,例如醇盐配体。根据一些实施方式,合适的硅前驱物可以包括通过碳键合至硅并且包含至少一个附接至碳链的NRIIIRIV基团(其中RIII和RIV可以是独立选择的烷基和/或氢)的至少一个配体,例如氨烷基配体。根据一些实施方式,合适的硅前驱物可以包括通过碳键合至硅并且配体中至少一个氮键合至碳的至少一个配体。此外通过碳键合至硅并且配体中至少一个氮键合至碳的一个配体可以包括键合至氮的氢。根据一些实施方式,除了通过碳键合至硅的配体之外,合适的硅前驱物也可以包括烷氧基配体,诸如甲氧基、乙氧基、正丙氧基、异丙氧基或叔丁氧基配体。根据一些实施方式(其包括以上分子式的一些),合适的硅前驱物包括这样的碳链,其通过碳键合至硅,以及其中存在附接至碳链的氨基,诸如烷氨基或-NH2基团的以及所述碳链是仅包含碳和氢的直链、支链或环状的C1-C6烃、C2-C6烃或C2-C4烃。在一些实施方式中碳链可以是不饱和的并且包含双碳-碳键。在一些其他实施方式中碳链可以包括除了碳和氢之外的其他原子。
根据一些实施方式,合适的硅前驱物可以至少包括具有通式(1)至(7)的任一的化合物。在有些实施方式中卤化物/卤素可以包括F、Cl、Br和I。在一些实施方式中硅前驱物可以包括(3-氨丙基)三甲氧基硅烷(APTMS)。
在一些实施方式中多于一个硅前驱物可以在ALD阶段期间同时接触衬底表面。在一些实施方式中硅前驱物可以包括多于一个的在此所述的硅前驱物。在一些实施方式中第一硅前驱物被用在第一ALD循环中,和第二、不同的ALD前驱物被用在稍后的ALD循环中。在一些实施方式中可以在单个ALD阶段期间使用多个硅前驱物,例如以便于优化所沉积SiOCN膜的某些属性。在一些实施方式中仅一个硅前驱物可以在沉积期间接触衬底。在一些实施方式中可以在沉积工艺中仅存在一个硅前驱物和一个第二反应物或者第二反应物的组分。在一些实施方式中在沉积工艺中不存在金属前驱物。在一些实施方式中硅前驱物不被用作硅烷化剂。在一些实施方式中选择沉积温度和/或硅前驱物接触步骤的持续时间以使得硅前驱物并不分解。在一些实施方式中硅前驱物可以在硅前驱物接触步骤期间分解。在一些实施方式中硅前驱物并不包括卤素,诸如氯或氟。
第二反应物
如上所述,根据本公开的用于沉积SiOCN的第二反应物可以包括氢前驱物,其可以包括反应性物质。在一些实施方式中反应性物质包括但不限于自由基、等离子体、和/或激发态原子或物质。此类反应性物质可以由例如等离子体放电、热线(hot-wire)或其他合适的方法产生。在一些实施方式中反应性物质可以远程地从反应腔室例如从反应腔室的上游(“远程等离子体”)产生。在一些实施方式中反应性物质可以在反应腔室中——直接在衬底附近,或者直接在衬底之上(“直接等离子体”)产生。
PEALD工艺的合适的等离子体组分包括氢反应性物质,其为一个形式或另一形式的氢等离子体、自由基、或原子氢。在一些实施方式中第二反应物可以包括至少部分地由H2形成的反应性物质。在一些实施方式中,也以一个形式或另一形式的氮等离子体、自由基、或原子氮的形式而提供氮反应性物质。并且在一些实施方式中,等离子体也可以包含稀有气体,诸如He、Ne、Ar、Kr、和Xe,或者Ar或He——等离子体形式、作为自由基、或者原子形式。在一些实施方式中,第二反应物并不包括由氧产生的任何物质。因此,在一些实施方式中反应性物质并不由包含氧的气体产生。在一些实施方式中包括反应性物质的第二反应物由不包括氧的气体产生。例如在一些实施方式中第二反应物可以包括从不包含氧的气体所产生的等离子体。在一些实施方式中第二反应物可以从含有小于约1原子%(at%)氧、小于约0.1at%的氧、小于约0.01at%的氧、或小于约0.001at%的氧的气体所产生。在一些实施方式中第二反应物并不包括O2、H2O或O3
因此,在一些实施方式中第二反应物可以包括由均具有N和H的化合物诸如NH3和N2H4、N2/H2的混合物或者具有N-H键的其他前驱物所形成的反应性物质。在一些实施方式中第二反应物可以至少部分地由N2形成。在一些实施方式中第二反应物可以至少部分地由H2和N2形成,其中在从约100∶1至约1∶100、从约20∶1至约1∶20、从约10∶1至约1∶10、从约5∶1至约1∶5和/或从约2∶1至约4∶1、以及在一些情形中1∶1的流量比(H2/N2)而提供H2和N2。例如,可以在如在此所述的一个或多个比率下使用N2和H2产生用于沉积SiOCN的含氢等离子体。
在一些实施方式中,氢等离子体可以没有或基本上没有含氮的物质(例如氮离子、自由基、原子氮)。例如,含氮气体并不用于产生氢等离子体。在一些实施方式中,含氮气体(例如N2气)在氢等离子体步骤期间不流入反应腔室中。
在一些实施方式中第二反应物可以包括由包含N的化合物形成的反应性物质。在一些实施方式中第二反应物可以由N2形成。即,在一些实施方式中由包含氮的气体诸如N2产生反应性物质。在一些实施方式中第二反应物可以包括由N2产生的反应性物质。在一些实施方式中第二反应物是由N2产生的反应性物质。
在一些实施方式中第二反应物可以包括由来自惰性气体诸如稀有气体例如Ar或He的等离子体所形成的反应性物质。在一些实施方式中第二反应物可以包括由来自一个或多个气体例如含氮气体以及稀有气体诸如Ar或He的等离子体所形成的反应性物质。在一些实施方式中第二反应物不包括氢。在一些实施方式中第二反应物不包括由包含H的化合物所形成的反应性物质。
在一些实施方式中,氢等离子体可以没有或基本上没有含氧物质(例如氧离子、自由基、原子氧)。例如,含氧气体不用于产生氢等离子体。在一些实施方式中,含氧气体(例如O2气)在氢等离子体步骤期间不流入至反应腔室中。
在一些实施方式中,第二反应物不包括由氮产生的任何物质。因此,在一些实施方式中反应性物质不由包含氮的气体产生。在一些实施方式中包括反应性物质的第二反应物由不包含氮的气体产生。例如在一些实施方式中第二反应物可以包括由不包含氮的气体所产生的等离子体。在一些实施方式中第二反应物可以由包含小于约1原子%(at%)氮、小于约0.1at%氮、小于约0.01at%氮、或小于约0.001at%的氮的气体产生。在一些实施方式中第二反应物并不包括N2、NH3或N2H4
在一些实施方式中含氧气体不用于产生氢等离子体。在一些实施方式中,含氧气体(例如O2气)在氢等离子体步骤期间不流入反应腔室中。
在一些实施方式中用于产生反应性物质诸如等离子体的气体可以基本上由氢组成。在一些实施方式中用于产生反应性物质诸如等离子体的气体可以基本上由氮组成。在一些实施方式中用于产生反应性物质诸如等离子体的气体可以基本上由氩或另一稀有气体组成。在一些实施方式中,用于产生含氢等离子体的等离子体功率可以为约10瓦(W)至约2000W、约50W至约1000W、约100W至约1000W、或约100W至约500W。在一些实施方式中,用于产生含氢等离子体的等离子体功率可以为约100W至约300W。在一些实施方式中等离子体功率可以在若干沉积循环期间逐渐地或递增地增大或减小。例如在一些实施方式中对于第一数目沉积循环用于产生含氢等离子体的等离子体功率可以为约100W,以及对于第二数目沉积循环可以增大至200W,和对于第三数目沉积循环可以进一步增大至400W。
SiOCN膜特性
根据在此所讨论的一些实施方式所沉积的SiOCN薄膜可以实现低于约3at%、低于约1at%、低于约0.5at%、或低于约0.1at%的杂质水平或浓度。在一些薄膜中,排除氢的总杂质水平可以低于约5at%、低于约2at%、低于约1at%、或低于约0.2at%。以及在一些薄膜中,氢水平可以低于约30at%、低于约20at%、低于约15at%、或低于约10at%。如在此使用的,杂质可以被认为是除了Si、O、C和/或N之外的任意元素。
在一些实施方式中,沉积的SiOCN膜并不包括可察觉量的氢。然而,在一些实施方式中包括氢的SiOCN膜被沉积。在一些实施方式中,所沉积的SiOCN膜包括小于约30at%、小于约20at%、小于约15at%、小于约10at%或小于约5at%的氢。在一些实施方式中薄膜并不包括氩。
根据一些实施方式,SiOCN薄膜可以呈现大于约50%、大于约80%、大于约90%、或大于约95%的台阶覆盖率(step coverage)和图形加载效果(pattern loading effect)。在一些情形中台阶覆盖率和图形加载效果可以大于约98%以及在一些情形中约100%(在测量工具或方法的精度内)。在一些实施方式中台阶覆盖率和图形加载效果可以大于约100%、大于约110%、大于约120%、大于约130%、或大于约140%。这些值可以以具有2或更大高宽比(aspect ratios)的特征实现,在一些实施方式中高宽比为约3或更大,在一些实施方式中高宽比约5或更大,以及在一些实施方式中高宽比为约8或更大。
在一些实施方式中台阶覆盖率可以在约50%和约110%之间、在约80%和约110%之间、在约90%和约110%之间、在约95%和110%之间、在约98%和110%之间、或者在约100%和110%之间。在一些实施方式中台阶覆盖率可以在约50%和约100%之间、在约80%和约100%之间、在约90%和约100%之间、在约95%和100%之间、或者在约98%和100%之间。
在一些实施方式中膜的生长速率从约/循环至约/循环、从约/循环至约/循环。在一些实施方式中膜的生长速率大于约/循环、大于约/循环、大于约/循环、大于约/循环、大于约/循环,大于约/循环。如在此使用的,“图形加载效应”用于在该领域中根据其普通含义而使用。虽然图形加载效应可以被视为与杂质内容、密度、电属性和刻蚀速率有关,但是除非另外指示,当在此使用时术语图形加载效应涉及在存在结构的衬底区域中的膜厚度变化。因此,图形加载效应可以给定作为在三维结构内部特征的侧壁或底部的膜厚度对比于面向开放区域的三维结构/特征的侧壁或底部上的膜厚度。如在此使用的,100%图形加载效应(或比率为1)将表示不论特征遍布衬底的约完全均匀的薄膜性质,即换言之,不存在图形加载效应(特征对比开放区域的特定薄膜属性诸如厚度的改变)。
在一些实施方式中,SiOCN薄膜沉积至从约3nm至约50nm、从约5nm至约30nm、从约5nm至约20nm的厚度。这些厚度可以实现在低于约100nm、约50nm、低于约30nm、低于约20nm并且在一些情形中低于约15nm的特征尺寸(宽度)。根据一些实施方式,在三维结构上沉积SiOCN膜并且侧壁处厚度可以稍微甚至多于10nm。在一些实施方式中可以沉积大于50nm的SiOCN膜。在一些实施方式中可以沉积大于100nm的SiOCN膜。在一些实施方式中SiOCN膜沉积至多于约1nm、多于约2nm、多于约3nm、多于约5nm、多于约10nm的厚度。根据一些实施方式可以沉积具有各种湿法刻蚀速率(WER)的SiOCN膜。当使用在0.5wt%dHF(nm/min)中的总WER时,SiOCN膜可以具有小于约5、小于约4、小于约2、或小于约1的WER值。在一些实施方式中SiOCN膜可以具有显著小于1的WER值。在一些实施方式中SiOCN膜可以具有小于约0.3、小于约0.2或小于约0.1的WER值。在一些实施方式中SiOCN膜可以具有小于约0.05、小于约0.025或小于约0.02的WER值。
0.5wt%dHF(nm/min)中的总WER相对于热氧化物的WER可以小于约3、小于约2、小于约1、以及小于约0.5。在一些实施方式中0.5wt%dHF中的总WER相对于TOX的WER可以小于约0.1。
在其中在小于约100℃的温度下执行PEALD工艺的一些实施方式中,相对于热氧化物的WER,0.5wt%dHF中总WER(nm/min)可以小于约10、小于约5、小于约3、以及小于约2、或小于约1。
以及在一些实施方式中,在0.5wt%dHF中侧壁刻蚀速率(例如沉积在三维特征(诸如鳍或沟槽)上的SiOCN膜的WER)相对于沉积在三维特征(诸如鳍或沟槽)的顶表面上的SiOCN膜的刻蚀速率的比率可以为从约1至约2、从约2至约5、从约5至约10、从约10至约20、或者在一些情形中大于或等于约20。在一些实施方式中沉积在三维特征上的SiOCN膜的WER与沉积在三维特征顶表面上的SiOCN膜的WER的比率可以等于或大于约2、等于或大于约5、等于或大于约10、等于或大于约15、或者等于或大于约20。
在一些实施方式中,沉积在三维特征的基本上垂直表面(例如侧壁表面)上或中的SiOCN膜的WER与沉积在三维特征的基本上水平表面(例如顶表面)上或中的SiOCN膜的WER的比率可以为从约1至约0.5、从约0.5至约0.2、从约0.2至约0.1、从约0.1至约0.05、或者在一些情形中小于约0.05。在一些实施方式中沉积在三维特征的基本上垂直表面上的SiOCN膜的WER与沉积在三维特征的基本上水平表面上的SiOCN膜的WER的比率可以等于或小于约0.5、等于或小于约0.2、等于或小于约0.1、或者等于或小于约0.05。
在一些实施方式中,根据在此所述工艺沉积的SiOCN的WER与TOX的WER的比率可以在约5至约10之间、在约2至约5之间、在约1至约2之间、在约0.5至约1之间、或者在约0.1至约0.5之间。在一些实施方式中,根据在此所述工艺沉积的SiOCN的WER与TOX的WER的比率可以大于或等于约0.1、大于或等于约0.5、大于或等于约1、大于或等于约2、大于或等于约5、或者大于或等于约10。
在一些实施方式中,根据在此所述一个或多个工艺形成的SiOCN可以有利地例证基本上垂直区域的WER与基本上水平区域的WER的比率约为1——例如在0.5wt%dHF中。例如,形成在衬底表面上三维结构的基本上垂直表面(例如侧壁表面)之上的SiOCN薄膜的湿法刻蚀速率与形成在衬底表面上三维结构的基本上水平表面(例如顶表面)之上的SiOCN薄膜的湿法刻蚀速率的比率可以相同或基本上相同。在一些实施方式中,比率可以为约4至约0.5、约2至约0.75、约1.25至约0.8、或约1.1至约0.9。这些比率可以在具有约2或更大、约3或更大、约5或更大、或者甚至约8或更大的高宽比的特征中实现。
在一些实施方式中,在0.5%HF浸没过程中根据本公开的SiOCN膜的刻蚀量可以小于热SiO2(TOX)所观测的刻蚀量约1、2、5、10或更多倍(例如在其中移除约2至约3nm的TOX的工艺过程中,当根据在此所公开方法沉积时移除了少于其1、2、5、10或更多倍的SiOCN)。
在一些实施方式中,在刻蚀时间为5分钟的情况下,在0.5%HF浸没工艺中可以移除小于约2nm的SiOCN膜。在一些实施方式中,在刻蚀时间为60分钟的情况下,在0.5%HF浸没工艺中可以移除小于约2nm的SiOCN膜。
在此提供的所有原子百分比(即at%)值为了简便而排除氢,因为氢难以精确地定量分析,除非另外指示。然而,在一些实施方式中,如果能够以合理的精度分析氢,则膜的氢含量小于约20at%、小于约10at%或小于约5at%。在一些实施方式中沉积的SiOCN薄膜可以基于原子(at%)包含高达约70%的氧。在一些实施方式中SiOCN膜可以基于原子包含从约10%至约70%、从约15%至约50%、或从约20%至约40%的氧。在一些实施方式中SiOCN膜可以包括基于原子的至少约20%、约40%或约50%的氧。
在一些实施方式中所沉积的SiOCN薄膜可以包含基于原子(at%)的高达约40%的碳。在一些实施方式中SiOCN膜可以包括基于原子的从约0.5%至约40%、从约1%至约30%、或者从约5%至约20%的碳。在一些实施方式中SiOCN膜可以包括基于原子的至少约1%、约10%或约20%的碳。
在一些实施方式中所沉积的SiOCN薄膜可以包含基于原子(at%)的约30%的氮。在一些实施方式中SiOCN膜可以包括基于原子的从约0.51%至约30%、从约1%至约20%、或从约3%至约15%的氮。在一些实施方式中SiOCN膜可以包括基于原子的至少约1%、约5%、或约10%的氮。
在一些实施方式中所沉积的SiOCN薄膜可以包含基于原子(at%)的高达约50%的硅。在一些实施方式中SiOCN膜可以包括基于原子的从约10%至约50%、从约15%至约40%、或从约20%至约35%的硅。在一些实施方式中SiOCN膜可以包括基于原子的至少约15%、约20%、约25%或约30%的硅。
在一些实施方式中所沉积的SiOCN薄膜可以包括从约30at%至约40at%的硅、从约25at%至约40at%的氧、从约10at%至约20at%的碳、以及约10at%的氮。在一些实施方式中所沉积的SiOCN膜可以包括约33%的硅和约67%的氧。如上所述,在一些实施方式中SiOCN膜可以包括Si-C键、Si-O键、和/或Si-N键。在一些实施方式中SiOCN膜可以包括Si-C键和Si-O键,并且可以不包括Si-N键。在一些实施方式中SiOCN膜可以包括Si-N键和Si-O键,并且可以不包括Si-C键。在一些实施方式中SiOCN膜可以包括Si-N键和Si-C键,并且可以不包括Si-O键。在一些实施方式中SiOCN膜可以包括比Si-C键更多的Si-O键,例如Si-O键与Si-C键的比率可以为从约1∶1至约10∶1。在一些实施方式中所沉积的SiOCN膜可以包括SiN、SiO、SiC、SiCN、SiON、和/或SiOC的一个或多个。
在一些实施方式中SiOCN膜不是低k膜,例如SiOCN膜不是多孔膜。在一些实施方式中SiOCN是连续膜。在一些实施方式中SiOCN膜具有小约10的k值。在一些实施方式中SiOCN膜具有小于约7的k值。在一些实施方式中SiOCN膜具有从约3.9至约10的k值。在一些实施方式中SiOCN膜具有小于约5.5、小于约5.0、小于约4.8、小于约4.6的的k值。在一些实施方式中SiOCN膜具有从约3.8至约7、从约3.8至约5.5、从约3.8至约5.0、从约4.0至约4.8、从约4.1至约4.7的k值。在一些实施方式中SiOCN膜具有的k值大于任何低k膜的k数值。在一些实施方式中SiOCN膜具有大于纯SiO2的k值。
在一些实施方式中根据本公开沉积的SiOCN膜并不包括层叠或纳米层叠结构。
在一些实施方式中根据本公开沉积的SiOCN膜不是自组装单层(SAM)。在一些实施方式中根据本公开沉积的SiOCN膜不由未彼此键合的单独、单个分子构成。在一些实施方式中根据本公开沉积的SiOCN膜包括基本上键合或连接在一起的材料。在一些实施方式中根据本公开沉积的SiOCN膜不是官能层,并未氨基官能化,和/或不被用作官能表面。在一些实施方式中根据本公开沉积的SiOCN膜并不采用-NH2基团端接。在一些实施方式中根据本公开沉积的SiOCN膜并不包含大量的-NH2基团。
集成
在一些实施方式中如在此使用的SiOCN薄膜沉积工艺可以被用于形成SiOCN薄膜以用于例如多个图形化工艺诸如自对准图形化工艺。在一些实施方式中SiOCN薄膜可以在包括有机材料(例如包括光致抗蚀剂的图形化有机材料)的衬底上形成或沉积,作为多个图形化工艺的一部分。在一些实施方式中如在此所述的SiOCN沉积工艺可以被用作间隔件限定双重图形化(SDDP)工艺或间隔件限定四重图形化(SDQP)工艺的一部分。
借由示例的方式,在直接SDDP工艺中SiOCN经由如在此所述并根据一些实施方式的等离子体增强ALD工艺共形地沉积在包括图形化抗蚀剂层(诸如包括三维抗蚀剂特征的图形化光致抗蚀剂层)的反应空间中的衬底上。由如在此所述的PEALD工艺沉积的SiOCN膜具有与抗蚀剂特征平滑的边界,并且不会使抗蚀剂特征显著损伤、降解、或变形。共形和平滑的SiOCN膜随后可以被定向地刻蚀以使得SiOCN被从抗蚀剂特征和衬底的水平表面移除,仅留下沉积在抗蚀剂特征的侧壁上或从其延伸的SiOCN。随后可以经由刻蚀工艺移除抗蚀剂,留下SiOCN间隔件。
在一些实施方式中衬底包括在硅衬底上的热SiO2层。在一些实施方式中衬底包括硅基层,诸如在硅衬底上的Si、SiO2或SiNx层。在一些实施方式中衬底包括牺牲层。在一些实施方式中衬底包括聚合物或抗蚀剂材料诸如光致抗蚀剂材料。在一些实施方式中衬底包括至少一个特征,诸如三维抬升特征。在实施方式中特征包括抬升结构,其包括基本上垂直的侧壁。在本发明的一些实施方式中特征包括图形化光致抗蚀剂膜的特征。
借由进一步示例的方式,在直接SDQP工艺中经由根据一些实施方式的包括包含由N2产生的反应性物质的第二反应物的PEALD工艺在反应空间中的包括图形化抗蚀剂层(诸如包括三维抗蚀剂特征的图形化抗蚀剂层)的衬底上共形地沉积第一SiOCN膜。然而,在一些实施方式中可以通过包括第一数目沉积循环和第二数目沉积循环的工艺沉积SiOCN膜,所述第一沉积循环包括包含由来自含氮气体和/或惰性气体诸如稀有气体的等离子体所产生的反应性物质的第二反应物,所述第二数目沉积循环包括氢等离子体作为第二反应物。例如,可以通过包括包含氮等离子体作为第二反应物的第一数目的沉积循环和包含氢等离子体作为第二反应物的第二数目的沉积循环的工艺来沉积SiOCN薄膜。
通过如在此所述PEALD工艺沉积的第一SiOCN膜具有与抗蚀剂特征平滑的边界并且并不使抗蚀剂特征严重损伤、降解或变形。共形和平滑的第一SiOCN膜可以随后被定向地刻蚀以使得从抗蚀剂特征和衬底的水平表面移除SiOCN,仅留下沉积在抗蚀剂特征侧壁上或者从其延伸的SiOCN。随后可以经由刻蚀工艺移除抗蚀剂,留下第一SiOCN特征。
该第一SiOCN特征可以经受化学机械抛光(CMP)以提供基本上平坦的顶表面。在反应空间中在包括第一SiOCN特征的衬底上经由根据一些实施方式的包括由H2产生的反应性物质的第二反应物的PEALD工艺共形地沉积第二SiOCN膜。随后共形和平滑的第二SiOCN膜可以被定向地刻蚀以使得从第一SiOCN特征和衬底的水平表面移除SiOCN,仅留下沉积在第一SiOCN特征的侧壁上或者从其延伸的SiOCN。随后可以移除第一SiOCN薄膜,留下SiOCN间隔件。
如图6中所示,经由如在此所述的并且根据一些实施方式的等离子体增强ALD工艺在反应空间中在包括图形化有机层(诸如包括三维抗蚀剂特征的图形化光致抗蚀剂层)的衬底上共形地沉积的SiOCN薄膜601可以使抗蚀剂特征不严重损伤、降解或变形——对比于通过包括含氧等离子体的基本上类似PEALD工艺所沉积的SiOCN薄膜602。在一些实施方式中SiOCN膜可以沉积在有机材料(诸如三维抗蚀剂特征)上,并未使三维抗蚀剂特征的结构或形状显著变形。例如,可以根据一些实施方式沉积SiOCN膜并且可以不引起沉积在其上的三维抗蚀剂特征的边缘严重圆化。
实施例1
示例性的SiOCN薄膜通过如在此所述的PEALD工艺沉积。沉积温度是300℃以及APTMS被用作硅前驱物。通过向第二反应物施加200W的RF功率而产生等离子体。H2和N2的混合物被用作第二反应物,其经Ar载气而供应。图2示出了对于通过如在此所述PEALD工艺所沉积的SiOCN膜作为第二反应物气体比率的函数每循环生长量(/循环)、折射率和与TOX相比的WERR。第二反应物气体比率沿着图2的X轴线示出,并且表示在第二反应物中N2与H2和N2两者的比率(N2∶(H2+N2))。
如图2中可见,SiOCN膜的生长速率随着第二反应物中N2∶(H2+N2)比率增大而增大。所沉积膜的折射率随着第二反应物中N2∶(H2+N2)比率增大而减小。所沉积SiOCN膜的WER与TOX的WER的比率(WERR比TOX)观测到随着第二反应物中N2∶(H2+N2)比率增大而增大。显著地,对于经N2∶(H2+N2)比率为50%和0%(在第二反应物中不存在N2)所沉积的SiOCN膜的WERR比TOX观测到小于1。不限于任何理论,认为第二反应物中H2的存在导致所沉积SiOCN薄膜中高的耐湿化学性。
图3示出了对于通过如在此所述PEALD工艺所沉积的SiOCN薄膜以及TOX两者的刻蚀量对刻蚀时间。刻蚀工艺是0.5%HF浸没工艺。如图3中可见,沉积的SiOCN呈现出比TOX显著更大的抗刻蚀性。在0.5%HF中浸没暴露于60分钟之后,移除了小于2nm的SiOCN膜。
使用X射线光电子频谱仪(X-ray photoelectron spectroscopy;XPS)分析通过如在此所述PEALD工艺沉积的SiOCN膜的成分。沉积温度是300℃并且APTMS用作硅前驱物。结果示出在以下的表1中。标识了两个不同的Si键合能,指示了在所沉积膜中Si-C和SiO键的存在。
表1:通过XPS测量的膜成分
图4也示出了对于通过如在此所述PEALD工艺所沉积的示例性SiOCN膜的深度与薄膜成分的函数。
实施例2
图5A和图5B是扫描电子显微镜(SEM)图像,其分别显示了在暴露浸没至dHF湿法刻蚀溶液中2分钟之前和之后形成在沟槽结构上的SiOCN膜的横截面视图。图5A和图5B的SiOCN膜根据如在此所述的PEALD工艺形成。沉积温度是300℃并且APTMS用作硅前驱物。通过向包括H2的第二反应物施加400W的RF功率而产生等离子体。等离子体脉冲时间是8秒。图5C和图5D是分别显示了在暴露至dHF湿法刻蚀溶液中2分钟之前和之后形成在沟槽结构上的SiOCN膜的横截面视图的扫描电子显微镜(SEM)图像。图5C和图5D的SiOCN膜根据如在此所述的PEALD工艺形成。沉积温度是300℃并且APTMS用作硅前驱物。通过向包括H2和N2的第二反应物施加400W的RF功率而产生等离子体。等离子体脉冲时间是8秒。
如图5A和图5C中所示,使用具有并不包括N2的第二反应物的PEALD工艺形成的SiOCN膜例证了在湿法刻蚀浸没之前提高的共形性——相对于使用具有包括H2和N2的第二反应物的PEALD工艺形成的SiOCN膜。采用不包括N2的第二反应物所形成的SiOCN膜具有114%至136%的台阶覆盖率,而采用包括H2和N2的第二反应物所形成的SiOCN膜具有54%的台阶覆盖率。如图5B和图5D中所示,继湿法刻蚀浸没之后使用不包括N2的第二反应物所形成的SiOCN膜的共形性被维持,而使用包括H2和N2的第二反应物所形成的SiOCN膜的共形性降低。
额外地,使用不包括N2的第二反应物所形成的SiOCN膜例证了:膜水平区域湿法刻蚀速率比率与TOX比值(WERR比TOX)为0.2,而膜垂直区域(侧壁表面)的WERR比TOX为1.0。使用包括H2和N2的第二反应物所形成的SiOCN膜例证了:沉积在沟槽结构顶部上的膜的水平区域的湿法刻蚀速率比率与TOX的比值(WERR比TOX)为2.0,在沟槽结构的底部上沉积的膜的区域的WERR比TOX为1.4,以及膜的垂直区域(侧壁表面)的WERR比TOX为1.6。
实施例3
根据在此所述的方法沉积示例性的SiOCN薄膜。通过根据一些实施例的PEALD工艺沉积SiOCN膜,所述PEALD工艺包括多个沉积循环以及在给定数目沉积循环之后的氢等离子体循环,所述沉积循环包含APTMS作为硅前驱物以及包括由来自N2的等离子体所产生的反应性物质的第二反应物。氢等离子体循环包括将衬底与由来自H2的等离子体所产生的反应性物质接触约4秒。
由如上所述的包括在每3个沉积循环之后、在每5个沉积循环之后、以及在每10个沉积循环之后重复氢等离子体循环的方法制备样本SiOCN膜。发现,与由包括氢等离子体循环的方法所沉积的膜相比,包含氢等离子体循环减小了总薄膜厚度约20%至40%。测量样本SiOCN膜的折射率,并发现与经由包括氢等离子体循环的方法所沉积的膜相比低约0.1至0.2。也发现,每次循环生长量(GPC)随着氢等离子体循环之间的沉积循环的数目增加而近似线性地增大。
也发现样本SiOCN膜相比于根据类似沉积方法但是包括包含由来自H2的等离子体所产生反应性物质的第二反应物但是不包括氢等离子循环的方法所沉积的样本SiOCN膜更厚。
另一样本SiOCN膜如上所述进行准备,然而氢等离子体循环具有20秒的接触时间。发现该样本相比于根据包括4秒的氢等离子体接触时间的方法所制备的样本膜具有更低的折射率——约1.45。
实施例4
样本SiOCN膜沉积在具有包括聚酰亚胺膜的表面的衬底上。样本SiOCN膜通过如在此所述的包括第一含氮等离子体沉积步骤和第二含氢等离子体沉积步骤的PEALD方法沉积。第一含氮等离子体沉积步骤使用APTMS作为硅前驱物以及包括由来自N2的等离子体所产生的反应性物质的第二反应物以形成第一SiOCN层。第二含氢等离子体沉积步骤包括APTMS作为硅前驱物以及包括由来自H2的等离子体所产生反应性物质的第二反应物以形成第二SiOCN层。
样本SiOCN膜的最终厚度与基于在直接在热氧化硅上的第一和第二SiOCN层的单独样本沉积的累积厚度而计算的厚度作比较。发现样本SiOCN膜的测得厚度随着第一含氮等离子体沉积步骤循环数目增多而接近计算得到的厚度。经100个第一含氮等离子体沉积步骤循环而沉积的SiOCN样本膜发现约为计算得到膜厚度的80%,而经300个第一含氮等离子体沉积步骤循环所沉积的SiOCN样本膜发现约为计算得到的膜厚度。对于经50个或更少第一含氮等离子体沉积步骤循环所沉积的样本SiOCN膜观察到在计算的膜厚度与样本膜厚度之间的较大的不匹配,样本膜具有约为计算膜厚度25%的厚度。
不受任何理论约束,认为具有50个或更少循环的含氮等离子体沉积步骤导致第一SiOCN层太薄而无法有效地保护有机衬底表面免受由于第二含氢等离子体沉积步骤的降解,损失了有机表面材料,引起了测得膜厚度的减小。
通过包括具有50个循环的第一含氮等离子体沉积步骤以及具有经100W等离子体功率的100个循环之后接着经200W等离子体功率的100个循环、以及经400W等离子体功率的300个循环的第二含氢等离子体沉积步骤的方法制备另一样本SiOCN膜。发现,通过逐渐增大第二含氢等离子体沉积步骤的等离子体功率,有机衬底表面被充足地保护免受由于第二含氢等离子体沉积步骤导致的降解,即便具有相对薄的第一SiOCN层。
实施例5
图7示出了通过如在此所述利用APTMS作为硅前驱物以及包括由来自在100sccm下流入反应腔室中的H2的等离子体所产生的反应性物质的第二反应物的PEALD工艺沉积在包括三维沟槽结构的衬底上的样本SiOCN膜701。在沉积期间反应腔室中的压力是4托,温度是225℃,以及通过将200W的RF功率施加至第二反应物而产生第二反应物。在每个沉积循环中硅前驱物被脉冲输入至反应腔室中4秒并且被净化4秒,而第二反应物被脉冲至反应腔室中4秒并净化0.5秒。
样本SiOCN膜随后暴露至0.5wt%dHF 2分钟。已刻蚀的样本SiOCN膜702显示沉积在衬底垂直表面上SiOCN膜的湿法刻蚀速率与沉积在衬底水平表面上SiOCN膜的湿法刻蚀速率的比率为约21。
如在此使用的,术语“约”可以涉及在给定数值15%内、10%内、5%内或1%内的数值。
术语“膜”和“薄膜”在此为了简便而使用。“膜”和“薄膜”意味着由在此所公开方法沉积的任何连续或非连续结构和材料。例如,“膜”或“薄膜”可以包括2D材料、纳米棒、纳米管或纳米颗粒、或甚至单个局部或全部分子层或者局部或全部原子层和/或原子或分子的丛束。“膜”和“薄膜”可以包括具有针孔、但是仍然至少部分地连续的材料或层。
本领域技术人员应该理解的是可以做出许多和各种修改而并未脱离本发明的精神。所述特征、结构、特性和前驱物可以以任何合适的方式组合。因此,应该清楚理解的是本发明的形式仅是示意性的并且并非意在限制本发明的范围。所有修改和改变意在落入如由所附权利要求限定的本发明的范围内。

Claims (20)

1.一种通过等离子体增强原子层沉积(PEALD)工艺在反应空间中的衬底上形成氧碳氮化硅(SiOCN)薄膜的方法,其中所述PEALD工艺包括至少一个沉积循环,包括:
将所述衬底的表面与气相硅前驱物接触于所述衬底的表面上;
将吸附的硅物质与由从并不包括氧的气体所形成的等离子体所产生的至少一个反应性物质接触;以及
任选地重复所述接触步骤直至已经形成了所需厚度的SiOCN膜;
其中所述硅前驱物具有如以下通式之一的式:
(RIO)4-xSi(RII-NH2)x (1)
其中x是从1至4的整数;
RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO3)Si-RII-NH2 (2)
其中RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO)4-xSi(-[CH2]n-NH2)x (3)
其中x是从1至4的整数;
n是从1-5的整数;以及
RI是独立选择的烷基。
2.根据权利要求1所述的方法,其中所述SiOCN薄膜被沉积在所述衬底上的三维结构上。
3.根据权利要求2所述的方法,其中在所述三维结构的基本上垂直表面上形成的SiOCN的湿法刻蚀速率与在所述三维结构的基本上水平表面上形成的SiOCN的湿法刻蚀速率的湿法刻蚀速率比率在0.5wt%稀HF中大于约5∶1。
4.根据权利要求2所述的方法,其中在所述三维结构的基本上垂直表面上形成的SiOCN的湿法刻蚀速率与在所述三维结构的基本上水平表面上形成的SiOCN的湿法刻蚀速度的湿法刻蚀速率比率在0.5wt%稀HF中小于约1∶2。
5.根据权利要求1所述的方法,其中所述硅前驱物包括(3-氨丙基)三甲氧基硅烷(APTMS)。
6.根据权利要求1所述的方法,其中所述反应性物质包括氢等离子体、氢原子、氢自由基或氢离子。
7.根据权利要求1所述的方法,其中所述反应性物质由包括稀有气体的第二反应物产生。
8.根据权利要求6所述的方法,其中所述反应性物质进一步包括氮等离子体、氮原子、氮自由基、或氮离子。
9.根据权利要求6所述的方法,其中所述第二反应物包括H2
10.根据权利要求1所述的方法,其中所述衬底表面包括有机材料。
11.根据权利要求10所述的方法,其中所述有机材料包括光致抗蚀剂材料。
12.根据权利要求1所述的方法,进一步包括在预定数目的沉积循环之后执行氢等离子体处理循环,所述氢等离子体处理循环包括将所述衬底与由来自氢的等离子体所产生的反应性物质接触。
13.根据权利要求12所述的方法,其中在预定数目沉积循环之后的一个或多个间隔下执行的一个或多个氢等离子体处理循环与沉积循环的比率为约1∶1至约1∶10。
14.根据权利要求1所述的方法,其中由从不包括氧的气体所形成的等离子体所产生的至少一个反应性物质包括由来自N2的等离子体所产生的反应性物质。
15.根据权利要求14所述的方法,进一步包括在已经执行了预定数目的沉积循环之后执行的第二氢等离子体沉积步骤,所述氢等离子体沉积步骤包括至少一个循环,包括:
将所述衬底的表面与气相硅前驱物接触于衬底的表面上;
将吸附的硅物质与由从H2所形成的等离子体所产生的反应性物质接触;以及
任选地重复所述接触步骤直至已经形成了所需厚度的SiOCN膜;
其中所述硅前驱物具有如以下通式之一的式:
(RIO)4-xSi(RII-NH2)x (1)
其中x是从1至4的整数;
RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO3)Si-RII-NH2 (2)
其中RI是独立选择的烷基;以及
RII是独立选择的烃;
(RIO)4-xSi(-[CH2]n-NH2)x (3)
其中x是从1至4的整数;
n是从1-5的整数;以及
RI是独立选择的烷基。
16.根据权利要求15所述的方法,其中所述方法被用于在间隔件限定双重图案(SDDP)工艺中的衬底上形成SiOCN间隔件。
17.根据权利要求15所述的方法,其中所述方法被用于在间隔件限定四重图案(SQDP)工艺中的衬底上形成SiOCN间隔件。
18.根据权利要求1所述的方法,其中通过向所述第二反应物施加100瓦(W)至约1000W的RF功率而产生反应性物质。
19.根据权利要求1所述的方法,其中在约300℃至约400℃的工艺温度下执行所述沉积循环。
20.根据权利要求1所述的方法,其中在小于约100℃的工艺温度下执行所述沉积循环。
CN201611271042.4A 2015-11-12 2016-11-11 SiOCN薄膜的形成 Active CN106711025B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/939,984 2015-11-12
US14/939,984 US9786491B2 (en) 2015-11-12 2015-11-12 Formation of SiOCN thin films
US15/342,943 2016-11-03
US15/342,943 US9786492B2 (en) 2015-11-12 2016-11-03 Formation of SiOCN thin films

Publications (2)

Publication Number Publication Date
CN106711025A true CN106711025A (zh) 2017-05-24
CN106711025B CN106711025B (zh) 2019-11-19

Family

ID=58691575

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611271042.4A Active CN106711025B (zh) 2015-11-12 2016-11-11 SiOCN薄膜的形成

Country Status (5)

Country Link
US (2) US9786492B2 (zh)
JP (4) JP6654547B2 (zh)
KR (3) KR102385980B1 (zh)
CN (1) CN106711025B (zh)
TW (5) TWI697577B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108933088A (zh) * 2017-05-25 2018-12-04 上海稷以科技有限公司 一种封装的方法及封装结构
CN109576677A (zh) * 2018-12-28 2019-04-05 复旦大学 一种利用等离子体增强原子层沉积可控制备不同氧含量的SiON薄膜的方法
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN110776639A (zh) * 2018-07-26 2020-02-11 Asm Ip控股有限公司 用于形成热稳定有机硅聚合物膜的方法
CN112725732A (zh) * 2020-12-24 2021-04-30 温州大学 一种SiCNO基压阻薄膜体系及其制备方法
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
TWI845526B (zh) 2018-07-24 2024-06-21 美商蘭姆研究公司 在基板上沉積矽碳化物膜的方法

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
WO2019012797A1 (ja) * 2017-07-13 2019-01-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023196A (ko) * 2018-08-23 2020-03-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11466038B2 (en) * 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
WO2022085499A1 (ja) * 2020-10-19 2022-04-28 東京エレクトロン株式会社 成膜方法及び成膜装置
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240041928A (ko) * 2021-09-14 2024-04-01 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
CN1878888A (zh) * 2004-06-04 2006-12-13 应用微型构造公司 由氧化物层粘附的多层涂层的受控气相沉积
CN103224510A (zh) * 2012-01-27 2013-07-31 气体产品与化学公司 烷氧基氨基硅烷化合物及其应用

Family Cites Families (239)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
DE19581430D2 (de) 1994-12-27 1997-08-21 Siemens Ag Verfahren zum Herstellen von mit Bor dotiertem , einkristallinem Siliciumcarbid
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
CA2366500C (en) 1999-02-11 2010-07-27 Hardide Limited Tungsten carbide coatings and process for producing them
AU3229600A (en) 1999-02-12 2000-08-29 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
KR100803770B1 (ko) 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
JP4427254B2 (ja) 2001-03-20 2010-03-03 マットソン テクノロジイ インコーポレイテッド 誘電体皮膜を堆積するための方法
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
MY158548A (en) 2005-09-29 2016-10-14 Praxair Technology Inc Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20090291293A1 (en) 2006-07-14 2009-11-26 Dai Nippon Printing Co., Ltd. Film with transparent electroconductive membrane and its use
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
CN101668879B (zh) 2007-03-28 2012-05-09 陶氏康宁公司 含硅和碳的阻挡层的卷到卷等离子体增强化学气相沉积方法
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101444707B1 (ko) * 2008-06-03 2014-09-26 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 실리콘 함유 막의 저온 증착
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5083200B2 (ja) * 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2950633B1 (fr) * 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
US20160246763A1 (en) 2013-10-18 2016-08-25 Mitsubishi Electric Corporation Character string display device
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030015764A1 (en) * 2001-06-21 2003-01-23 Ivo Raaijmakers Trench isolation for integrated circuit
CN1878888A (zh) * 2004-06-04 2006-12-13 应用微型构造公司 由氧化物层粘附的多层涂层的受控气相沉积
CN103224510A (zh) * 2012-01-27 2013-07-31 气体产品与化学公司 烷氧基氨基硅烷化合物及其应用

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
CN108933088A (zh) * 2017-05-25 2018-12-04 上海稷以科技有限公司 一种封装的方法及封装结构
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
CN110648961B (zh) * 2018-06-27 2023-05-23 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
TWI845526B (zh) 2018-07-24 2024-06-21 美商蘭姆研究公司 在基板上沉積矽碳化物膜的方法
CN110776639A (zh) * 2018-07-26 2020-02-11 Asm Ip控股有限公司 用于形成热稳定有机硅聚合物膜的方法
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN109576677A (zh) * 2018-12-28 2019-04-05 复旦大学 一种利用等离子体增强原子层沉积可控制备不同氧含量的SiON薄膜的方法
CN112725732A (zh) * 2020-12-24 2021-04-30 温州大学 一种SiCNO基压阻薄膜体系及其制备方法
CN112725732B (zh) * 2020-12-24 2022-11-25 温州大学 一种SiCNO基压阻薄膜体系及其制备方法
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用

Also Published As

Publication number Publication date
TW202319570A (zh) 2023-05-16
US10510529B2 (en) 2019-12-17
KR102524573B1 (ko) 2023-04-21
KR20170055924A (ko) 2017-05-22
TWI759747B (zh) 2022-04-01
KR20220050854A (ko) 2022-04-25
CN106711025B (zh) 2019-11-19
TW202302902A (zh) 2023-01-16
JP7135187B2 (ja) 2022-09-12
US9786492B2 (en) 2017-10-10
TWI697577B (zh) 2020-07-01
US20170140925A1 (en) 2017-05-18
KR20230058344A (ko) 2023-05-03
TW201726965A (zh) 2017-08-01
JP6654547B2 (ja) 2020-02-26
JP6950012B2 (ja) 2021-10-13
KR102385980B1 (ko) 2022-04-13
TW202033812A (zh) 2020-09-16
TWI781889B (zh) 2022-10-21
TW202225459A (zh) 2022-07-01
JP2017092475A (ja) 2017-05-25
TWI794133B (zh) 2023-02-21
US20180190486A1 (en) 2018-07-05
JP2022003689A (ja) 2022-01-11
JP2020065087A (ja) 2020-04-23
JP2022164814A (ja) 2022-10-27

Similar Documents

Publication Publication Date Title
CN106711025B (zh) SiOCN薄膜的形成
CN110546302B (zh) 用于受控形成含氧薄膜的等离子体增强沉积方法
CN110651064B (zh) 电介质上氧化物的选择性peald
TWI737723B (zh) 形成及沈積碳氧化矽薄膜的方法
US20200075322A1 (en) FORMATION OF SiOCN THIN FILMS

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant