JP6654547B2 - SiOCN薄膜の形成 - Google Patents

SiOCN薄膜の形成 Download PDF

Info

Publication number
JP6654547B2
JP6654547B2 JP2016219973A JP2016219973A JP6654547B2 JP 6654547 B2 JP6654547 B2 JP 6654547B2 JP 2016219973 A JP2016219973 A JP 2016219973A JP 2016219973 A JP2016219973 A JP 2016219973A JP 6654547 B2 JP6654547 B2 JP 6654547B2
Authority
JP
Japan
Prior art keywords
siocn
plasma
substrate
reactant
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016219973A
Other languages
English (en)
Other versions
JP2017092475A5 (ja
JP2017092475A (ja
Inventor
俊哉 鈴木
俊哉 鈴木
ヴィルジャミ ジェイ. ポレ
ヴィルジャミ ジェイ. ポレ
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/939,984 external-priority patent/US9786491B2/en
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2017092475A publication Critical patent/JP2017092475A/ja
Publication of JP2017092475A5 publication Critical patent/JP2017092475A5/ja
Priority to JP2020011352A priority Critical patent/JP6950012B2/ja
Application granted granted Critical
Publication of JP6654547B2 publication Critical patent/JP6654547B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thin Film Transistor (AREA)

Description

関連出願の参照
本願は、2015年11月12日に出願された米国特許出願第14/939,984号の一部継続出願である2016年11月3日に出願された米国特許出願第15/342,943号の優先権を主張するものである。
本開示は、一般に、半導体素子製造の分野に関し、より詳細には、望ましい耐薬品性を有するシリコンオキシカーボナイトライド(SiOCN:Silicon oxycarboniride)膜の形成に関する。
誘電率(k)値が比較的低く、酸性ウェットエッチング速度が比較的遅い誘電材料がますます必要とされている。シリコンオキシカーボナイトライドは、これらの要件をある程度満たし得るものである。一般に、SiOCNの堆積プロセスは、ハロゲン化物を含む前駆体及び/又は酸素プラズマを必要とする。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーボナイトライド(SiOCN)薄膜を形成するためのプラズマエンハンスト原子層堆積(PEALD:plasma enhanced atomic layer deposition)プロセスを提供する。一部の実施形態においては、PEALDプロセスは、基板の表面を気相ケイ素前駆体と基板の表面で接触するステップと、吸着ケイ素種を酸素を含まないガスから形成されたプラズマによって生成された少なくとも1つの反応種と接触するステップと、場合によっては、所望の厚さのSiOCN膜が形成されるまで接触ステップを繰り返すステップとを含む、少なくとも1つの堆積サイクルを含んでもよい。一部の実施形態においては、PEALDプロセスに使用されるケイ素前駆体は、以下の一般式の1つのような式を有する。
(RO)4−xSi(RII−NH (1)
式中、xは、1から4の整数であり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
(RO)Si−RII−NH (2)
式中、Rは、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
(RO)4−xSi(−[CH−NH (3)
式中、xは、1から4の整数であり、
nは、1から5の整数であり、
は、独立に選択されるアルキル基である。
一部の実施形態においては、SiOCN薄膜のウェットエッチング速度と熱酸化ケイ素のウェットエッチング速度との比は、約5未満であってもよい。一部の実施形態においては、SiOCN薄膜のウェットエッチング速度と熱酸化ケイ素のウェットエッチング速度との比は、約0.3未満であってもよい。一部の実施形態においては、SiOCN薄膜のウェットエッチング速度と熱酸化ケイ素のウェットエッチング速度との比は、約0.1未満であってもよい。
一部の実施形態においては、SiOCN薄膜を基板上の3次元構造体上に堆積させてもよい。一部の実施形態においては、3次元構造体の上面に形成されたSiOCNのウェットエッチング速度と3次元構造体の側壁表面に形成されたSiOCNのウェットエッチング速度とのウェットエッチング速度比は、希釈HF中で約1:1、約1:5、又は約2:1未満であってもよい。
一部の実施形態においては、気相ケイ素前駆体は、ハロゲンを含まなくてもよい。一部の実施形態においては、ケイ素前駆体は、(3−アミノプロピル)トリメトキシシラン(3−aminopropyl)trimethoxysilane)(APTMS)を含んでもよい。一部の実施形態においては、反応種は、水素プラズマ、水素原子、水素ラジカル又は水素イオンを含んでもよい。一部の実施形態においては、反応種は、希ガスを含む第2の反応物から生成されてもよい。一部の実施形態においては、反応種は、さらに、窒素プラズマ、窒素原子、窒素ラジカル又は窒素イオンを含んでもよい。一部の実施形態においては、水素を含む第2の反応物からプラズマによって反応種を生成してもよい。一部の実施形態においては、第2の反応物は、Hを含んでもよい。
一部の実施形態においては、基板表面は、有機材料を含んでもよい。一部の実施形態においては、有機材料は、フォトレジスト材料を含む。
一部の実施形態においては、本方法は、さらに、所望の数の堆積サイクル後に実施される水素プラズマトリートメントサイクルを含んでもよく、水素プラズマトリートメントサイクルは、基板を、水素からプラズマによって生成された反応種と接触するステップを含む。一部の実施形態においては、水素プラズマトリートメントサイクルは、堆積プロセス中に1回を超えて実施されてもよい。一部の実施形態においては、水素プラズマトリートメントサイクルと堆積サイクルとの比は、約1:1から約1:10である。一部の実施形態においては、少なくとも1つの反応種は、Nからプラズマによって生成された反応種を含む。
一部の実施形態においては、本方法は、さらに、所望の数の堆積サイクルの実施後に実施される第2の水素プラズマ堆積ステップを含んでもよく、水素プラズマ堆積ステップは、基板の表面を気相ケイ素前駆体と接触させてケイ素種を基板の表面で形成するステップと、吸着されたケイ素種をHから形成されたプラズマによって生成された反応種と接触するステップと、場合によっては、所望の厚さのSiOCN膜が形成されるまで接触するステップを繰り返すステップと、を含む少なくとも1つのサイクルを含み、ケイ素前駆体は、以下の一般式の1つのような式を有する。
(RO)4−xSi(RII−NH (1)
式中、xは、1から4の整数であり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
(RO)Si−RII−NH (2)
式中、Rは、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
(RO)4−xSi(−[CH−NH (3)
式中、xは、1から4の整数であり、
nは、1から5の整数であり、
は、独立に選択されるアルキル基である。
一部の実施形態においては、本方法は、スペーサデファインドダブルパターニング(SDDP:spacer defined double patterning)プロセスにおいてSiOCNスペーサを基板上に形成するために用いられる。一部の実施形態においては、本方法は、スペーサデファインドクアドラプルパターニング(SQDP:spacer defined quadruple patterning)プロセスにおいてSiOCNスペーサを基板上に形成するために用いられる。
一部の実施形態においては、SiOCN薄膜は、少なくとも20原子%の酸素を含んでもよい。一部の実施形態においては、SiOCN薄膜は、少なくとも5原子%の炭素を含んでもよい。一部の実施形態においては、SiOCN薄膜は、少なくとも5原子%の窒素を含んでもよい。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーボナイトライド(SiOCN)薄膜を形成するプロセスを提供する。一部の実施形態においては、プロセスは、複数の堆積サイクルを含んでもよく、少なくとも1つの堆積サイクルは、基板の表面をケイ素前駆体及び少なくとも1種の反応種を含む第2の反応物と交互に順次接触するステップを含んでもよい。一部の実施形態においては、堆積サイクルを2回以上繰り返してSiOCN薄膜を形成してもよい。一部の実施形態においては、ケイ素前駆体は、以下の一般式を有してもよい。
Si(OR4−x−y−z−n(RIINRIIIIV(OH)
式中、nは0から3の整数であり、xは1から4の整数であり、yは0から3の整数であり、zは0から3の整数であり、4−x−y−z−nは0から3であり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
III及びRIVは、独立に選択されるアルキル基及び/又は水素であり、
Lは、独立に選択されるアルキル基又はハロゲンである。
一部の実施形態においては、少なくとも1つの反応種は、酸素を含まないガスから形成されたプラズマによって生成させてもよい。
一部の実施形態においては、ケイ素前駆体は、以下の一般式を有してもよい。
Si(OR4−x−n(RIINRIIIIV
式中、nは0から3の整数であり、xは1から3の整数であり、
Lは、独立に選択されるアルキル基又はハロゲンであり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
III及びRIVは、独立に選択されるアルキル基及び/又は水素である。
一部の実施形態においては、ケイ素前駆体は、以下の一般式を有してもよい。
Si(OR4−x−y−z(RIINRIIIIV(OH)
式中、xは1から4の整数であり、yは0から3の整数であり、zは0から3の整数であり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
III及びRIVは、独立に選択されるアルキル基及び/又は水素である。
一部の実施形態においては、ケイ素前駆体は、以下の一般式を有してもよい。
Si(OR4−x(RIINRIIIIV
式中、xは、1から4の整数であり、
は、独立に選択されるアルキル基であり、
IIは、独立に選択される炭化水素であり、
III及びRIVは、独立に選択されるアルキル基及び/又は水素である。
一部の実施形態においては、ケイ素前駆体は、APTMSを含んでもよい。一部の実施形態においては、少なくとも1つの堆積サイクルは、PEALDサイクルであってもよい。一部の実施形態においては、反応種は、約100ワット(W)から約1000WのRF電力を第2の反応物に印加することによってを生成されてもよい。一部の実施形態においては、堆積サイクルは、約300℃から約400℃のプロセス温度で実施されてもよい。一部の実施形態においては、堆積サイクルは、約100℃未満のプロセス温度で実施されてもよい。一部の実施形態においては、基板は、有機材料を含んでもよい。
一部の実施形態においては、反応空間において基板上にシリコンオキシカーボナイトライド(SiOCN)薄膜を堆積するプロセスを提供する。一部の実施形態においては、こうしたプロセスは、炭素を介してケイ素原子に結合し、かつ炭素鎖に結合したNH基を含む少なくとも1つのリガンドと、酸素原子を介してケイ素原子に結合し、アルキル基が酸素原子に結合した少なくとも1つのリガンドとを含むケイ素前駆体を基板の表面と接触するステップを含んでもよい。一部の実施形態においては、プロセスは、さらに、基板をパージガス及び/又は真空に暴露して、もしあれば、過剰のチタン反応物及び反応副生物を除去するステップと、基板の表面を水素を含む第2の反応物と接触するステップであって、ここで、第2の反応物は、プラズマによって生成された少なくとも1つの反応種を含む、ステップと、基板をパージガス及び/又は真空に暴露して、もしあれば、過剰の第2の反応物及び反応副生物を除去するステップと、所望の厚さのSiOCN薄膜が形成されるまで接触するステップを繰り返すステップと、を含んでもよい。
本開示の一部の実施形態に係るプラズマエンハンスト原子層堆積(PEALD)プロセスによってシリコンオキシカーボナイトライド(SiOCN)薄膜を堆積するプロセスフロー図である。 本開示の一部の実施形態に係る、堆積されたSiOCN薄膜における第2の反応物ガス混合比の関数としての膜の1サイクル当たりの成長(GPC:growth per cycle)、屈折率、及びウェットエッチング速度比(WERR:wet etch rate ratio)を示すグラフである。 熱酸化物(TOX:thermal oxide)及び本開示の一部の実施形態に係る、堆積されたSiOCN薄膜におけるエッチ量(dHFウェットエッチング)対エッチ時間を示すグラフである。 本開示の一部の実施形態に係る、堆積されたSiOCN薄膜の組成のX線光電子分光法(XPS:X−ray photoelectron spectroscopy)深度プロファイルを示すグラフである。 図5A〜Bは、2分間のdHF浸漬暴露前後の本開示の一部の実施形態に係る、堆積されたSiOCN薄膜の透過型電子顕微鏡(TEM:transmission electron microscope)画像である。図5C〜Dは、2分間のdHF浸漬暴露前後の本開示の一部の実施形態に係る、堆積されたSiOCN薄膜の透過型電子顕微鏡(TEM:transmission electron microscope)画像である。 Nプラズマ及びOプラズマを用いて形成された自己整合スペーサを概略的に示す図である。 一部の実施形態に係る、堆積された試料SiOCN膜を0.5重量%希釈HFに2分間暴露した前後の走査型電子顕微鏡写真である。
シリコンオキシカーボナイトライド(SiOCN)膜は、当業者には明らかなように、例えば、集積回路製作において、多種多様な適用例がある。より具体的には、エッチ速度が遅いSiOCN膜は、半導体産業と半導体産業の外部の両方で多種多様な適用例がある。SiOCN膜は、例えば、エッチストップ層、犠牲層、low−kスペーサ、反射防止層(ARL:anti−reflection layer)及び不動態化層として有用である。
本開示の一部の実施形態によれば、種々のSiOCN膜、前駆体、及び前記膜を堆積する方法が提供される。一部の実施形態においては、SiOCN膜は、例えばdHF中で、ウェットエッチング速度が比較的遅い。
一部の実施形態においては、SiOCN薄膜は、プラズマエンハンスト原子層堆積(plasma−enhanced atomic layer deposition)(PEALD)プロセスによって基板上に堆積される。一部の実施形態においては、SiOCN薄膜は、液相法で堆積されない。一部の実施形態においては、SiOCN薄膜は、フィン型FET素子の形成におけるフィンなどの3次元構造体上に堆積される。
シリコンオキシカーボナイトライド膜の式は、便宜上、かつ簡潔にするために、本明細書では一般にSiOCNと称する。本明細書では、SiOCNは、膜中のSi、O、C、N及び/又は任意の他の元素のいずれかの結合又は化学状態、例えば、酸化状態を限定、制限又は規定することを意図したものではない。さらに、一部の実施形態においては、SiOCN薄膜は、Si、O、C及び/又はNに加えて1以上の元素を含んでもよい。一部の実施形態においては、SiOCN膜は、Si−C結合、Si−O結合及び/又はSi−N結合を含んでもよい。一部の実施形態においては、SiOCN膜は、Si−C結合及びSi−O結合を含むことができ、Si−N結合を含まなくてもよい。一部の実施形態においては、SiOCN膜は、Si−C結合よりも多くのSi−O結合を含むことができ、例えば、Si−O結合とSi−C結合との比は、約1:1から約10:1であってもよい。一部の実施形態においては、SiOCN膜は、原子基準(原子%)で約0%から約10%の窒素を含んでもよい。一部の実施形態においては、SiOCNは、原子基準で約0%から約30%の炭素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約0%から約60%の酸素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約0%から約50%のケイ素を含んでもよい。
ALD型プロセスは、制御された一般に自己制限的な表面反応に基づく。気相反応は、一般に、基板を反応物と交互に順次接触することによって回避される。気相反応物は、例えば、過剰の反応物及び/又は反応副生物を反応物パルスの間に除去することによって、反応室中で互いに分離される。反応物は、基板表面の近くからパージガス及び/又は真空を利用して除去してもよい。一部の実施形態においては、過剰の反応物及び/又は反応副生物は、例えば、不活性ガスでパージして、反応空間から除去される。
一部の実施形態においては、プラズマエンハンストALD(PEALD)プロセスは、SiOCN膜を堆積するために用いられる。一部の実施形態においては、本明細書に記載のPEALDプロセスは、酸素プラズマを含まない。簡潔に述べると、基板又は被処理体は、反応室内に配置され、交互に繰り返す表面反応に供される。一部の実施形態においては、薄いSiOCN膜を自己制限的なALDサイクルの反復によって形成する。一部の実施形態においては、SiOCN膜を形成するために、各ALDサイクルは、少なくとも2つの異なる段階を含む。反応物の接触及び基板からの除去を1段階と考えてもよい。第1の段階においては、ケイ素を含む気相第1反応物は、基板と接触し、基板表面に約1つ以下の単層を形成する。この反応物を本明細書では「ケイ素前駆体」、「ケイ素含有前駆体」又は「ケイ素反応物」とも称し、例えば、(3−アミノプロピル)トリメトキシシラン(APTMS)としてもよい。
第2の段階においては、反応種を含む第2の反応物は、基板と接触し、吸着されたケイ素をSiOCNに転化してもよい。一部の実施形態においては、第2の反応物は、水素前駆体を含む。一部の実施形態においては、反応種は励起種を含む。一部の実施形態においては、第2の反応物は、水素含有プラズマ由来の種を含む。一部の実施形態においては、第2の反応物は、水素ラジカル、水素原子及び/又は水素プラズマを含む。第2の反応物は、水素前駆体ではない別の種を含んでもよい。一部の実施形態においては、第2の反応物は、窒素のプラズマ、窒素のラジカル又は原子状窒素を何らかの形で含んでもよい。一部の実施形態においては、第2の反応物は、He、Ne、Ar、Kr、Xeなどの希ガス由来の種を、例えば、ラジカルとして、プラズマの形で、又は元素の形で含んでもよい。希ガス由来のこれらの反応種は、必ずしも材料を堆積膜に与えないが、一部の状況においては、膜成長に寄与し、プラズマの形成及び点火(ignition)に役立つことができる。一部の実施形態においては、プラズマの形成に使用されるガスは、堆積プロセスを通して常に流されてもよいが、断続的にしか活性化されない。一部の実施形態においては、プラズマの形成に使用されるガスは、酸素を含まない。一部の実施形態においては、吸着されたケイ素前駆体は、酸素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、反応種を含む第2の反応物は、酸素を含まないガス中で生成される。例えば、一部の実施形態においては、第2の反応物は、酸素を含まないガス中で発生したプラズマを含んでもよい。一部の実施形態においては、第2の反応物は、約1原子%(at%)未満の酸素、約0.1原子%未満の酸素、約0.01原子%未満の酸素、又は約0.001原子%未満の酸素を含むガス中で生成されてもよい。
一部の実施形態においては、PEALDプロセスは、例えば、まず、窒素のプラズマ、窒素のラジカル、又は原子状窒素を何らかの形で含む第2の反応物を1つ以上の堆積サイクルに利用して所望の厚さの層を形成し、次いで所望の厚さのSiOCN層が堆積されると、水素ラジカル、水素原子及び/又は水素プラズマを含む第2の反応物を利用することによって、変更されてもよい。
一部の実施形態においては、PEALDプロセスは、不活性ガス、例えば、Ar、Heなどの希ガスからプラズマによって生成された反応種を含む第2の反応物を1以上の堆積サイクルに利用して所望の厚さの層を形成し、次いで所望の厚さのSiOCN層が堆積されると、水素ラジカル、水素原子及び/又は水素プラズマを含む第2の反応物を利用してもよい。
一部の実施形態においては、本明細書に記載のPEALDプロセスは、有機表面、例えば、フォトレジスト材料を含む表面を含む基板上にSiOCN薄膜を堆積するために、使用されてもよい。一部の実施形態においては、本明細書に記載のPEALDプロセスは、水素プラズマによる劣化又は変形を受けやすい表面、例えば、フォトレジスト表面を含む基板上にSiOCN薄膜を堆積するために、使用されてもよい。一部の実施形態においては、SiOCN薄膜を堆積させるプロセスは、本明細書に記載の2つの異なるPEALDプロセスを組み合わせてもよい。例えば、窒素のプラズマ、窒素のラジカル、又は原子状窒素を何らかの形で含む第2の反応物を利用する第1のPEALDプロセスは、水素プラズマの影響を受けやすい表面に、表面を水素プラズマから保護するのに十分な厚さのSiOCN層を堆積しうる。次いで、水素ラジカル、水素原子及び/又は水素プラズマを含む第2の反応物を利用する第2のPEALDプロセスは、所望の厚さの更なるSiOCN層を第1のSiOCN層の上に直接堆積しうる。
更なる段階が追加され、また、段階が必要に応じて省略されて、最終膜の組成を調節してもよい。
Ar、Heなどのキャリアガスを利用して1以上の反応物が供給されてもよい。一部の実施形態においては、ケイ素前駆体及び第2の反応物がキャリアガスを利用して供給される。
一部の実施形態においては、2つの段階が重複しても、組み合わされてもよい。例えば、ケイ素前駆体及び第2の反応物は、部分的又は完全に重複する段階で同時に基板と接触されてもよい。さらに、第1及び第2の段階、並びに第1及び第2の反応物と称するとはいえ、段階の順序を変えてもよく、ALDサイクルは、段階の任意の一つから開始されてもよい。すなわち、別段の記載がない限り、反応物は、基板と任意の順序で接触することができ、プロセスは、反応物のどれからでも開始してもよい。
以下でより詳細に考察するように、SiOCN膜を堆積する一部の実施形態においては、1以上の堆積サイクルは、基板をケイ素前駆体、続いて第2の前駆体と接触することによって開始する。別の実施形態においては、堆積は、基板を第2の前駆体、続いてケイ素前駆体と接触することによって開始してもよい。
一部の実施形態においては、半導体被処理体などの堆積させようとする基板は、反応空間又は反応器内に搬入される。反応器は、集積回路の形成において種々のプロセスがその中で実施されるクラスタツールの一部としてもよい。一部の実施形態においては、フロー型反応器が利用される。一部の実施形態においては、シャワーヘッド型の反応器が利用される。一部の実施形態においては、空間分割型反応器が利用される。一部の実施形態においては、大量生産可能な単一ウエハALD反応器が利用される。別の実施形態においては、複数の基板を含むバッチ反応器が使用される。バッチALD反応器を使用する実施形態の場合、基板の数は、10から200個の範囲、50から150個の範囲、又は100から130個の範囲である。
使用することができる適切な反応器の例としては、アリゾナ州フェニックスのASM America,Inc.及びオランダ、アルメアのASM Europe B.V.から入手可能なPulsar(登録商標)2000、Pulsar(登録商標)3000などのPulsar(登録商標)反応器、EmerALD(登録商標)反応器、Advance(登録商標)400シリーズ反応器などの市販装置が挙げられる。他の市販反応器としては、商品名Eagle(登録商標)XP及びXP8のASM Japan K.K(東京、日本)製反応器が挙げられる。
一部の実施形態においては、必要に応じて、被処理体の露出表面は、前処理して、ALDプロセスの第1の段階と反応する反応部位を用意してもよい。一部の実施形態においては、別個の前処理ステップが不要である。一部の実施形態においては、基板は、前処理され、所望の表面終端を施される。一部の実施形態においては、基板は、プラズマで前処理される。
一部の実施形態においては、前処理ステップは、有機材料を基板の表面の少なくとも一部に堆積するステップを含んでもよい。しかしながら、一部の実施形態においては、既に有機材料を含む基板が提供されてもよい。一部の実施形態においては、前処理ステップは、ポリマー材料を基板の表面の少なくとも一部に堆積するステップを含んでもよい。例えば、一部の実施形態においては、前処理ステップは、ポリイミド、ポリアミド、ポリスチレン、ポリ尿素、又は別のこうしたポリマー材料を基板の少なくとも一部に形成するステップを含んでもよい。一部の実施形態においては、ポリマーは、二量体、三量体、ポリウレタン、ポリチオ尿素、ポリエステル又はポリイミンを含んでもよい。一部の実施形態においては、形成された有機材料は、別のポリマー形態、又は上記材料の混合物を含んでもよい。
一部の実施形態においては、前処理ステップは、フォトレジストなどのレジスト材料を含む層を堆積又は形成するステップを含んでもよい。すなわち、一部の実施形態においては、前処理ステップは、例えば、フォトリソグラフィ又は写真製版プロセスに使用することができる感光性材料を含む層を形成又は堆積するステップを含んでもよい。例えば、一部の実施形態においては、前処理ステップは、EUVレジストとも称される、極端紫外線リソグラフィプロセスに使用することができるフォトレジスト材料を形成又は堆積するステップを含んでもよい。一部の実施形態においては、前処理ステップは、液浸リソグラフィプロセスに使用することができるフォトレジスト、例えば、193iレジストとも称される、波長193nmの光を用いた液浸リソグラフィプロセスに使用することができるフォトレジストを形成又は堆積するステップを含んでもよい。
過剰の反応物及び反応副生物があれば、過剰の反応物及び反応副生物は、反応物接触段階の間に基板の近傍から、特に基板表面から除去される。一部の実施形態においては、過剰の反応物及び反応副生物があれば、例えば、不活性ガスでパージするなど、反応物接触段階の間に反応室をパージすることによって、過剰の反応物及び反応副生物は、基板表面から除去される。各反応物の流量及び接触時間は、調節可能であり、除去ステップも同様であり、膜の品質及び諸性質の制御が可能である。
上述したように、一部の実施形態においては、ガスが各堆積サイクル中又は全ALDプロセス中に反応室に連続的に供給され、反応種は、反応室又は反応室の上流においてガス中でプラズマを発生することによって供給される。一部の実施形態においては、ガスは窒素を含む。一部の実施形態においては、ガスは窒素である。一部の実施形態においては、ガスは、ヘリウム、アルゴンなどの希ガスを含んでもよい。一部の実施形態においては、ガスはヘリウム又は窒素である。流動ガスは、第1及び/又は第2の反応物(又は反応種)のパージガスとしても機能してもよい。例えば、流動窒素は、第1のケイ素前駆体のパージガスとしても機能してもよく、第2の反応物として(反応種の供給源として)も機能してもよい。一部の実施形態においては、窒素、アルゴン又はヘリウムは、第1の前駆体のパージガス、及びケイ素前駆体をSiOCN膜に転化するための励起種の供給源として機能してもよい。一部の実施形態においては、プラズマをその中で発生するガスは、窒素を含まず、吸着されたケイ素前駆体は、窒素からプラズマによって生成された反応種と接触しない。一部の実施形態においては、プラズマをその中で発生するガスは、酸素を含まず、吸着されたケイ素前駆体は、酸素からプラズマによって生成された反応種と接触しない。
サイクルは、所望の厚さ及び組成の膜が得られるまで繰り返される。一部の実施形態においては、前駆体流量、接触時間、除去時間及び/又は反応物自体などの堆積パラメータは、所望の特性を有する膜を得るために、ALDプロセス中に1回以上の堆積サイクルにおいて変更されてもよい。
一部の実施形態においては、基板の表面は、反応物と接触される。一部の実施形態においては、1パルスの反応物は、基板を含む反応空間に供給される。「パルス」という用語は、反応物を反応室に所定の時間供給することを含むと理解される。「パルス」という用語は、パルスの長さ又は持続時間を限定せず、パルスを任意の時間とすることができる。一部の実施形態においては、基板は、反応物を含む反応空間に移される。一部の実施形態においては、続いて、基板は、第1の反応物を含む反応空間から第2の反応物を含む第2の異なる反応空間に移される。
一部の実施形態においては、基板は、最初にケイ素反応物と接触される。最初の表面終端後、必要に応じて、又は所望であれば、基板は、第1のケイ素反応物と接触される。一部の実施形態においては、第1のケイ素反応物パルスは、被処理体に供給される。一部の実施形態によれば、第1の反応物パルスは、キャリアガス流、及び目的とする被処理体表面と反応しやすいAPTMSなどの揮発性ケイ素種を含む。したがって、ケイ素反応物は、これらの被処理体表面に吸着する。第1の反応物パルスは、被処理体表面を自己飽和させて、第1の反応物パルスの過剰の成分がこのプロセスによって形成された分子層と更に反応しないようにする。
第1のケイ素反応物パルスは、ガス状で供給されることができる。ケイ素前駆体ガスは、プロセス条件下で露出表面を飽和するのに十分な濃度で被処理体に該種を移送するのに十分な蒸気圧を示す場合、本明細書では「揮発性」とみなす。
一部の実施形態においては、ケイ素反応物は、表面と約0.05秒から約5.0秒、約0.1秒から約3秒、又は約0.2秒から約1.0秒間接触する。最適接触時間は、個々の状況に基づいて当業者が明示的に決定されることができる。
約1つの分子層が基板表面に吸着するのに十分な時間の後、過剰の第1のケイ素反応物、及び反応副生物があれば、それらを基板表面から除去する。一部の実施形態においては、過剰の反応物及び反応副生物があればその除去は、反応室のパージを含んでもよい。一部の実施形態においては、過剰の反応物及び反応副生物があれば、それらを反応空間から拡散する又はパージするのに十分な時間キャリアガス又はパージガスを流し続けながら、第1の反応物の流れを停止することによって、反応室はパージされてもよい。一部の実施形態においては、過剰の第1の前駆体は、ALDサイクル全体を通して流れる窒素、アルゴンなどの不活性ガスを利用してパージされる。一部の実施形態においては、基板は、第1の反応物を含む反応空間から第2の異なる反応空間に移される。一部の実施形態においては、第1の反応物は、約0.1秒から約10秒、約0.3秒から約5秒、又は約0.3秒から約1秒間除去される。ケイ素反応物の接触及び除去は、ALDサイクルの第1又はケイ素段階とみなすことができる。
第2の段階においては、水素プラズマなどの反応種を含む第2の反応物は、被処理体に供給される。水素プラズマは、反応室又は反応室の上流において水素中でプラズマを発生することによって、例えば、水素(H)をリモートプラズマ発生装置に流すことによって、形成されてもよい。
一部の実施形態においては、プラズマは、流動Hガス中で発生される。一部の実施形態においては、プラズマが点火される前に、又は水素原子若しくはラジカルが形成される前に、Hは反応室に供給される。一部の実施形態においては、Hは反応室に連続的に供給され、水素含有プラズマ、原子又はラジカルを必要に応じて生成又は供給される。
一般に、例えば水素プラズマを含む、第2の反応物は、基板と約0.1秒から約10秒間接触される。一部の実施形態においては、水素含有プラズマなどの第2の反応物は、基板と約0.1秒から約10秒、0.5秒から約5秒、又は0.5秒から約2.0秒間接触される。しかしながら、反応器タイプ、基板タイプ及びその表面積に応じて、第2の反応物接触時間は、約10秒よりもさらに長くされてもよい。一部の実施形態においては、接触時間は、数分間にされることができる。最適接触時間は、個々の状況に基づいて当業者が明示的に決定されることができる。
一部の実施形態においては、第2の反応物は、2以上のパルスのどの間でも他の反応物導入せずに、2つ以上の異なるパルスで供給される。例えば、一部の実施形態においては、水素含有プラズマなどのプラズマは、連続したパルスの間にSi前駆体を導入せずに、2以上の連続したパルスで供給される。一部の実施形態においては、プラズマ放電を第1の時間供給し、プラズマ放電を、第2の時間、例えば、約0.1秒から約10秒、約0.5秒から約5秒、又は約1.0秒から約4.0秒間消し、Si前駆体又はパージステップの前などの別の前駆体又は除去ステップの導入の前に、それを再度第3の時間励起することによって、2以上の連続したプラズマパルスは、プラズマの供給中に発生される。追加のパルスのプラズマは、同様に導入されることができる。一部の実施形態においては、プラズマは、パルスの各々において同じ時間点火される。
一部の実施形態においては、プラズマ、例えば、水素含有プラズマは、一部の実施形態においては約10Wから約2000W、約50Wから約1000W、又は約100Wから約500WのRFパワーを印加することによって、発生されてもよい。一部の実施形態においては、窒素含有プラズマの発生に使用されるプラズマパワーは、約500Wから約1,500W、700Wから約1200W、又は約800Wから約1,000Wであることができる。一部の実施形態においては、RFパワー密度は、約0.02W/cmから約2.0W/cm、又は約0.05W/cmから約1.5W/cmであってもよい。RFパワーは、プラズマ接触時間中に流れる、反応室を通って連続的に流れる、及び/又はリモートプラズマ発生装置を通って流れる第2の反応物に印加されてもよい。したがって、一部の実施形態においては、プラズマは、in−situで発生され、別の実施形態においては、プラズマは、リモートで発生される。一部の実施形態においては、シャワーヘッド反応器が利用され、プラズマは、サセプタ(その上に基板が位置する)とシャワーヘッドプレートの間で発生される。一部の実施形態においては、サセプタとシャワーヘッドプレートの間隔は、約0.1cmから約20cm、約0.5cmから約5cm、又は約0.8cmから約3.0cmである。
以前に吸着した分子層をプラズマパルスで完全に飽和させ、プラズマパルスと反応させるのに十分な時間の後に、いかなる過剰の反応物及び反応副生物も基板表面から除去される。
一部の実施形態においては、過剰の反応物及び反応副生物があれば、過剰の反応物及び反応副生物の除去は、反応室のパージを含んでもよい。一部の実施形態においては、過剰の反応物及び反応副生物があれば、過剰の反応物及び反応副生物を反応空間から拡散する又はパージするのに十分な時間、キャリアガス又はパージガスを流し続けながら、第2の反応物の流れを停止することによって、反応室は、パージされてもよい。

一部の実施形態においては、過剰の第2の前駆体は、ALDサイクル全体を通して流れる窒素、アルゴンなどの不活性ガスを利用してパージされる。一部の実施形態においては、基板は、第2の反応物を含む反応空間から異なる反応空間に移されてもよい。除去は、一部の実施形態においては、約0.1秒から約10秒、約0.1秒から約4秒、又は約0.1秒から約0.5秒であってもよい。共に、反応種の接触と除去は、SiOCN原子層堆積サイクルにおける第2の反応種段階を成す。
2つの段階は共に1つのALDサイクルを成し、繰り返されて、所望の厚さのSiOCN薄膜を形成する。ALDサイクルは一般に本明細書ではケイ素段階で始まるとするが、別の実施形態においては、サイクルが反応種段階で開始し得ることが企図される。当業者は、第1の前駆体段階が、一般に、前のサイクルの最終段階によって残された終端と反応することを認識するはずである。したがって、反応種段階が第1のALDサイクルにおける第1段階であれば、反応物は、基板表面に前もって吸着し得ない、又は反応空間に存在し得ないが、それに続くサイクルにおいては、反応種段階は、ケイ素段階に効果的に続く。一部の実施形態においては、1以上の異なるALDサイクルが堆積プロセスにおいて用意される。
本開示の一部の実施形態によれば、PEALD反応は、約25℃から約700℃、約50℃から約600℃、約100℃から約450℃、又は約200℃から約400℃の温度で実施されてもよい。一部の実施形態においては、最適反応器温度は、最大許容熱収支によって制限されてもよい。したがって、一部の実施形態においては、反応温度は約300℃から約400℃である。一部の適用例においては、最高温度が約400℃であり、したがって、PEALDプロセスをその反応温度で行う。
薄膜が堆積する基板は、種々のタイプの材料を含んでもよい。一部の実施形態においては、基板は、集積回路被処理体を含んでもよい。一部の実施形態においては、基板はケイ素を含んでもよい。一部の実施形態においては、基板は、酸化ケイ素、例えば、熱酸化物を含んでもよい。一部の実施形態においては、基板は、high−k誘電材料を含んでもよい。一部の実施形態においては、基板は炭素を含んでもよい。例えば、基板は、アモルファスカーボン層、グラフェン及び/又はカーボンナノチューブを含んでもよい。
一部の実施形態においては、基板は、W、Cu、Ni、Co及び/又はAlを含めて、ただしそれだけに限定されない金属を含んでもよい。一部の実施形態においては、基板は、TiN及び/又はTaNを含めて、ただしそれだけに限定されない金属窒化物を含んでもよい。一部の実施形態においては、基板は、TiC及び/又はTaCを含めて、ただしそれだけに限定されない金属炭化物を含んでもよい。一部の実施形態においては、基板は、MoS、SbTe及び/又はGeTeを含めて、ただしそれだけに限定されない金属カルコゲニドを含んでもよい。一部の実施形態においては、基板は、酸素プラズマプロセスへの暴露によって酸化されるが、本明細書に記載のPEALDプロセスによって酸化されない材料を含んでもよい。
一部の実施形態においては、本明細書に記載のPEALDプロセスに使用される基板は、有機材料を含んでもよい。例えば、基板は、プラスチック、ポリマー及び/又はフォトレジストなどの有機材料を含んでもよい。一部の実施形態においては、基板は、ポリイミド、ポリアミド、ポリスチレン、ポリ尿素、又は別のこうしたポリマー材料を含んでもよい。
一部の実施形態においては、基板は、フォトレジストなどのレジスト材料を含んでもよい。すなわち、一部の実施形態においては、基板は、例えば、フォトリソグラフィ又は写真製版プロセスに使用することができる感光性材料を含んでもよい。例えば、一部の実施形態においては、基板は、EUVレジストとも称される、極端紫外線リソグラフィプロセスに使用することができるフォトレジスト材料を含んでもよい。一部の実施形態においては、基板は、液浸リソグラフィプロセスに使用することができるフォトレジスト、例えば、193iレジストとも称される、波長193nmの光を用いた液浸リソグラフィプロセスに使用することができるフォトレジストを含んでもよい。
基板が有機材料を含む一部の実施形態においては、PEALDプロセスの反応温度は、有機材料が劣化又は分解し得る温度よりも低くてもよい。基板が有機材料を含む一部の実施形態においては、PEALDプロセスの反応温度は、約200℃未満であってもよい。一部の実施形態においては、反応温度は、約150℃未満、約100℃未満、約75℃未満又は約50℃未満であってもよい。
基板が有機材料を含む一部の実施形態においては、最高プロセス温度は、100℃もの低温であってもよい。基板が有機材料を含む一部の実施形態においては、酸素から発生するプラズマがないことにより、酸素から発生されるプラズマを含む堆積プロセスにおいて劣化する有機材料上でのSiOCN薄膜の堆積を可能にしてもよい。一部の実施形態においては、窒素のプラズマ、窒素のラジカル、又は原子状窒素を何らかの形で含む第2の反応物を含む本明細書に記載のPEALDプロセスは、酸素又は水素から発生されるプラズマを含む堆積プロセスにおいて劣化する有機材料上でのSiOCN薄膜の堆積を可能にしてもよい。

一部の実施形態においては、こうしたSiOCN薄膜は、有機材料の保護層として機能してもよく、有機材料を劣化又は損傷させるPEALDプロセスによって更なるSiOCN膜の堆積を可能にしてもよい。
本開示の一部の実施形態によれば、処理中の反応室の圧力は、約0.01Torrから約50Torr、又は約0.1Torrから約10Torrに維持される。一部の実施形態においては、反応室の圧力は、約6Torr又は約20Torrを超える。一部の実施形態においては、SiOCN堆積プロセスは、約20Torrから約500Torr、約20Torrから約50Torr、又は約20Torrから約30Torrの圧力で実施されうる。
一部の実施形態においては、SiOCN堆積プロセスは、複数の堆積サイクルを含むことができ、少なくとも1つの堆積サイクルは、高圧領域で行われる。例えば、PEALDプロセスの堆積サイクルは、基板をケイ素前駆体及び第2の反応物と高圧下で交互に順次接触するステップを含んでもよい。一部の実施形態においては、PEALDプロセスの1以上の堆積サイクルは、約6Torrから約500Torr、約6Torrから約50Torr、又は約6Torrから約100Torrのプロセス圧力で実施されうる。一部の実施形態においては、1以上の堆積サイクルは、約20Torrから約500Torr、約30Torrから約500Torr、約40Torrから約500Torr、又は約50Torrから約500Torrを含めて、約20Torrを超えるプロセス圧力で実施されうる。一部の実施形態においては、1以上の堆積サイクルは、約20Torrから約30Torr、約20Torrから約100Torr、約30Torrから約100Torr、約40Torrから約100Torr、又は約50Torrから約100Torrのプロセス圧力で実施されうる。
SiOCNのPEALD
上述したように、また、以下でより詳細に考察するように、一部の実施形態においては、SiOCN薄膜は、プラズマエンハンスト原子層堆積(PEALD)プロセスによって反応空間において基板上に堆積されうる。一部の実施形態によれば、SiOCN薄膜は、PEALDプロセスによってフィン型FET適用例などにおける3次元的形状を有する基板上に堆積される。一部の実施形態においては、本明細書に記載のPEALDプロセスは、種々の用途に使用されてもよい。例えば、本明細書に記載のPEALDプロセスは、ハードマスク層、犠牲層、保護層又はlow−kスペーサの形成に使用されてもよい。本明細書に記載のPEALDプロセスは、例えば、メモリ素子用途に使用されてもよい。
一部の実施形態においては、SiOCN薄膜は、Oプラズマに損傷なしに耐えることができない基板、例えば、有機及び/又はフォトレジスト材料を含む基板上に本明細書に記載のPEALDプロセスによって堆積されてもよい。
図1を参照し、一部の実施形態によれば、
ステップ120において、ケイ素種が基板の表面に吸着するように基板を気相ケイ素含有前駆体と接触するステップと、
ステップ130において、過剰のケイ素含有前駆体及び反応副生物があれば、過剰のケイ素含有前駆体及び反応副生物を基板表面から除去するステップと、
ステップ140において、基板をプラズマによって生成された反応種を含む第2の反応物と接触させ、それによって吸着ケイ素種をSiOCNに転化するステップと、
ステップ150において、過剰の第2の反応物及び反応副生物があれば、過剰の第2の反応物及び反応副生物を基板表面から除去するステップと、
ステップ160において、場合によっては、接触及び除去ステップを繰り返して、所望の厚さ及び組成のSiOCN薄膜を形成するステップと、
を含む少なくとも1つのサイクルを含むPEALD堆積プロセス100によって、SiOCN薄膜は、反応空間において基板上に堆積される。
一部の実施形態においては、ステップ140は、基板を第2の反応物と接触する前に、プラズマ又は反応種をリモートで生成又は形成するステップを含んでもよい。
一部の実施形態によれば、SiOCNプラズマALD堆積サイクルは、SiOCN薄膜を堆積するために用いられてもよい。ある実施形態においては、複数のSiOCN堆積サイクルを含むALD型プロセスによって、SiOCN薄膜は、基板上に形成される。各SiOCN堆積サイクルは、
ケイ素化合物が基板表面に吸着するように基板を気相ケイ素反応物と接触するステップと、
基板をパージガス及び/又は真空に暴露するステップと、
第2の反応物中でプラズマを形成することによって生成された反応種と基板を接触するステップと、
基板をパージガス及び/又は真空に暴露するステップと、
場合によっては、所望の厚さ及び組成のSiOCN薄膜が得られるまで、接触及び暴露するステップを繰り返すステップと、
を含む。
一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、前駆体又は反応物の流れを停止している間に不活性キャリアガスの流れを継続するステップを含んでもよい。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、反応室への前駆体及びキャリアガスの流れを停止するステップと、例えば真空ポンプによって、反応室を排気するステップとを含んでもよい。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、基板を第1の反応室からパージガスを含む第2の異なる反応室に移すステップを含んでもよい。一部の実施形態においては、基板をパージガス及び/又は真空に暴露するステップは、基板を第1の反応室から減圧下の第2の異なる反応室に移すステップを含んでもよい。
一部の実施形態によれば、
ケイ素種が基板の表面に吸着するように基板をAPTMSと接触するステップと、
過剰のAPTMS及び反応副生物があれば、過剰のAPTMS及び反応副生物を基板表面から除去するステップと、
基板をプラズマによって生成された反応種を含む第2の反応物と接触するステップであって、ここで、反応種は水素を含む、ステップと、
過剰の第2の反応物及び反応副生物があれば、過剰の第2の反応物及び反応副生物を基板表面から除去するステップと、
場合によっては、接触及び除去ステップを繰り返して、所望の厚さ及び組成のSiOCN薄膜を形成するステップと、
を含む少なくとも1回のサイクルを含むPEALD堆積プロセスによって、SiOCN薄膜は、反応空間において基板上に堆積される。
一部の実施形態においては、基板を第2の反応物と接触するステップは、基板を第2の反応物と接触する前にプラズマ又は反応種をリモートで生成又は形成するステップを含んでもよい。
ある実施形態においては、SiOCN薄膜は、複数のSiOCN堆積サイクルを含むALD型プロセスによって基板上に形成され、各SiOCN堆積サイクルは、基板を第1の気相ケイ素前駆体及び反応種を含む第2の反応物と交互に順次接触するステップを含む。一部の実施形態においては、ケイ素前駆体はAPTMSを含んでもよく、第2の反応種は水素を含んでもよい。
例えば、上述したように、一部の実施形態においては、SiOCNは、水素プラズマを第2の反応物として含む本明細書に記載のPEALDプロセスによって劣化する表面、例えば、有機表面に堆積されてもよい。したがって、一部の実施形態においては、SiOCN膜を堆積するPEALDプロセスは、有機表面のパッシベーション層又は保護層として機能する第1のSiOCN層を形成するために、第2の反応物としての窒素含有ガス及び/又は希ガスなどの不活性ガスから発生されるプラズマを利用する幾つかの堆積サイクルから開始してもよい。次いで、さらに、SiOCN材料は、水素プラズマを含む第2の反応物を含む堆積サイクルを利用して第1のSiOCN層上に堆積されてもよい。
一部の実施形態においては、
ケイ素種が基板の表面に吸着するように基板を気相ケイ素含有前駆体と接触するステップと、
過剰のケイ素含有前駆体及び反応副生物があれば、過剰のケイ素含有前駆体及び反応副生物を基板表面から除去するステップと、
基板を窒素含有ガス及び/又は希ガス(単数又は複数)などの不活性ガスからプラズマによって生成された反応種を含む第2の反応物と接触するステップと、
過剰の第2の反応物及び反応副生物があれば、過剰の第2の反応物及び反応副生物を基板表面から除去するステップと、
場合によっては、接触及び除去するステップを繰り返して、所望の厚さ及び組成の第1のSiOCN層を形成するステップと、
を含む2以上の堆積サイクルを含む第1のプラズマ堆積プロセス、及び
ケイ素種が基板の表面に吸着するように基板を気相ケイ素含有前駆体と接触するステップと、
過剰のケイ素含有前駆体及び反応副生物があれば、過剰のケイ素含有前駆体及び反応副生物を基板表面から除去するステップと、
基板をプラズマによって生成された反応種を含む第2の反応物と接触するステップであって、ここで、反応種は水素を含む、ステップと、
過剰の第2の反応物及び反応副生物があれば、過剰の第2の反応物及び反応副生物を基板表面から除去するステップと、
場合によっては、接触及び除去するステップを繰り返して、所望の厚さ及び組成の第2のSiOCN層を形成するステップと、
を含む2以上の堆積サイクルを含む第2の水素含有プラズマ堆積プロセス
を含む方法によって、SiOCN薄膜は、反応空間において基板上に堆積されてもよい。
一部の実施形態においては、第1のSiOCN層と第2のSiOCN層は、分離した層でなくてもよく、所望の厚さの連続SiOCN膜を形成してもよい。一部の実施形態においては、第1のSiOCN層は、第2のSiOCN層とは異なる層であってもよい。一部の実施形態においては、第2のSiOCN層は、第1のSiOCN層よりも低密度であってもよい。一部の実施形態においては、第1のプラズマ堆積プロセス及び第2の水素含有プラズマ堆積プロセスを含む方法によって堆積したSiOCN膜は、Hプラズマを含まない方法によって堆積したSiOCN膜よりも低密度であってもよい。
一部の実施形態においては、第1のプラズマ堆積プロセスは水素プラズマを含まず、任意の数の堆積サイクルを含んでもよい。しかしながら、一部の実施形態においては、第1のプラズマ堆積プロセスは、第2の水素含有プラズマ堆積プロセスに起因する劣化から下地材料を保護するのに十分な厚さのSiOCN層を形成するのに十分な堆積サイクルを有してもよい。例えば、一部の実施形態においては、第1のプラズマ堆積プロセスによって堆積した第1のSiOCN層の厚さは、最高約20nm厚であってもよい。一部の実施形態においては、第1のSiOCN層の厚さは、約0.1nmから約3nmであってもよい。一部の実施形態においては、第1のSiOCN層の厚さは、約3nm以上、約4nm以上又は約5nm以上であってもよい。
一部の実施形態においては、第1のプラズマ堆積プロセスは、約5堆積サイクル以上、約10堆積サイクル以上、約20堆積サイクル以上、約50堆積サイクル以上、約100堆積サイクル以上又は約250堆積サイクル以上を含んでもよい。一部の実施形態においては、第1のプラズマ堆積プロセスは、約500堆積サイクル以下、約250堆積サイクル以下、約100堆積サイクル以下、約50堆積サイクル以下、約30堆積サイクル以下又は約20堆積サイクル以下を含んでもよい。
一部の実施形態においては、水素含有堆積プロセスは、任意の数の堆積サイクルを含んでもよい。一部の実施形態においては、窒素含有プラズマ堆積プロセスにおける堆積サイクル数、及び水素含有プラズマ堆積プロセスにおける堆積サイクル数は、独立に選択されてもよい。
一部の実施形態においては、基板は、有機表面を含んでもよい。一部の実施形態においては、基板は、ポリマー表面を含んでもよい。例えば、一部の実施形態においては、基板は、ポリイミド、ポリアミド、ポリスチレン、ポリ尿素(polyuria)、又は別のこうしたポリマーを含んでもよい。一部の実施形態においては、ポリマーは、二量体、三量体、ポリウレタン、ポリチオ尿素、ポリエステル又はポリイミンを含んでもよい。一部の実施形態においては、有機表面は、別のポリマー形態、又は上記材料の混合物を含んでもよい。一部の実施形態においては、有機材料は、グラフェン又は別の形態の炭素を含んでもよい。一部の実施形態においては、有機材料は、アモルファス炭素を含んでもよい。一部の実施形態においては、アモルファス炭素は、水素を含んでもよい。一部の実施形態においては、基板は、フォトレジスト材料を含んでもよい。一部の実施形態においては、例えば雰囲気からの、基板表面の炭化水素汚染がない。
一部の実施形態においては、基板表面は、フォトレジストなどのレジストを含んでもよい。すなわち、一部の実施形態においては、基板表面は、例えば、フォトリソグラフィ又は写真製版プロセスに使用することができる感光性材料を含んでもよい。例えば、一部の実施形態においては、基板表面は、EUVレジストとも称される、極端紫外線リソグラフィプロセスに使用することができるフォトレジストを含んでもよい。一部の実施形態においては、基板表面は、液浸リソグラフィプロセスに使用することができるフォトレジスト、例えば、193iレジストとも称される、波長193nmの光を用いた液浸リソグラフィプロセスに使用することができるフォトレジストを含んでもよい。
基板が有機材料を含んでもよい一部の実施形態においては、第1のプラズマ堆積プロセスによって形成された第1のSiOCN層は、有機材料の保護層として機能してもよい。すなわち、一部の実施形態においては、第1のSiOCN層は、後続プロセス中に、例えば、第2の水素含有プラズマ堆積プロセス中に、有機材料を劣化又は除去から保護するのに役立つ。一部の実施形態においては、第1のSiOCN層は、第2の水素含有プラズマ堆積プロセス中に、水素プラズマが有機材料と接触する、有機材料を劣化させる、又は有機材料を除去するのを防止するのに役立つ。
基板が有機表面を含む一部の実施形態においては、第1のプラズマ堆積プロセス及び水素含有プラズマ堆積プロセスを含むSiOCN堆積方法は、有機表面の厚さで測定して、有機表面の約40%未満、約30%未満、約20%未満、約10%未満、約5%未満又は約1%未満を除去又は劣化してもよい。一部の実施形態においては、SiOCNを堆積する方法によって実質的にどの材料も有機表面から除去せず、劣化しない場合もある。
一部の実施形態においては、反応空間において基板上にSiOCN薄膜を形成する方法は、基板を気相ケイ素含有前駆体、及び窒素含有ガス及び/又は希ガスなどの不活性ガスからプラズマによって生成された反応種を含む第2の反応物と交互に順次接触するステップを含む複数の堆積サイクルを含んでもよい。一部の実施形態においては、該方法は、さらに、基板をプラズマによって生成された反応種を含む第2の反応物と接触するステップを含む水素プラズマトリートメントサイクルを含むことができ、反応種は水素を含み、水素プラズマトリートメントサイクルを、場合によっては、所望の数の堆積サイクル後に実施することができる。一部の実施形態においては、更なる堆積サイクルは、水素プラズマトリートメントサイクル後に実施されてもよい。
一部の実施形態においては、水素プラズマトリートメントサイクルは、n回の堆積サイクルごとに実施されてもよい。ここで、nは整数である。一部の実施形態においては、水素プラズマトリートメントサイクルは、1、5、10、25、50、100、500、2000回以上の堆積サイクルごとに実施されてもよい。例えば、一部の実施形態においては、1回の水素プラズマトリートメントサイクルは、50回の堆積サイクルを含むSiOCN堆積方法において5回の堆積サイクルごとに実施されてもよい。一部の実施形態においては、堆積サイクルは、Nからプラズマによって生成された反応種を含む第2の反応物を含んでもよい。
一部の実施形態においては、第1の水素プラズマトリートメントサイクルは、第1の数の窒素含有プラズマ堆積サイクルなどの堆積サイクルで実施されてもよく、第2の水素プラズマトリートメントサイクルは、第2の異なる数の堆積サイクルで実施されてもよい。すなわち、一部の実施形態においては、水素プラズマ処理サイクルと堆積サイクルの比は、1:1から1:2000、1:1から1:500、1:1から1:100、1:1から1:50、1:1から1:25、1:1から1:10、1:1から1:5、又は1:1から1:2であってもよい。
一部の実施形態においては、水素プラズマトリートメントサイクルは、基板を水素を含む反応種と約0.1秒から約20秒間接触するステップを含んでもよい。一部の実施形態においては、水素を含む反応種は、基板と約0.1秒から約10秒、0.5秒から約5秒、又は0.5秒から約2.0秒間接触する。一部の実施形態においては、水素プラズマトリートメントサイクルは、基板を水素を含む反応種と約4秒間接触するステップを含んでもよい。しかしながら、反応器タイプ、基板タイプ及びその表面積、所望の膜特性、並びに他の因子に応じて、水素を含む反応種接触時間は、約20秒よりもさらに長くてもよい。一部の実施形態においては、接触時間は、数分間であってもよい。最適接触時間は、個々の状況に基づいて当業者が容易に決定することができる。
一部の実施形態においては、ケイ素含有前駆体は、APTMSを含んでもよい。一部の実施形態においては、堆積サイクルの第2の反応物は、Nからプラズマによって生成された反応種を含んでもよい。一部の実施形態においては、水素プラズマ処理サイクルの第2の反応物は、Hからプラズマによって生成された種を含んでもよい。
一部の実施形態においては、PEALDプロセスは、約100℃から約650℃、約100℃から約550℃、約100℃から約450℃、約200℃から約600℃、又は約200℃から約400℃の温度で実施される。一部の実施形態においては、温度は約300℃である。一部の実施形態においては、例えば、基板が有機フォトレジストなどの有機材料を含む場合、PEALDプロセスは、約100℃未満の温度で実施されてもよい。一部の実施形態においては、PEALDプロセスは、約75℃未満又は約50℃未満の温度で実施される。一部の実施形態においては、RFパワーを第2の反応物に印加することによってプラズマが発生されてもよい。RFパワーを第2の反応物に印加し、それによって反応種が生成されてもよい。一部の実施形態においては、反応室を連続的に流れる、及び/又はリモートプラズマ発生装置を流れる第2の反応物にRFパワーを印加することができる。したがって、一部の実施形態においては、プラズマは、in situで発生され、別の実施形態においては、プラズマは、リモートで発生される。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約10Wから約2000W、約100Wから約1000W、又は約200Wから約500Wである。一部の実施形態においては、第2の反応物に印加されるRFパワーは、約200Wである。一部の実施形態においては、窒素含有プラズマの発生に使用するプラズマパワーは、約500Wから約1500W、約800Wから約1200Wでありうる。
以下でより詳細に考察するように、SiOCN膜を堆積する一部の実施形態においては、1以上のPEALD堆積サイクルは、ケイ素前駆体、続いて第2の反応物の供給によって開始する。別の実施形態においては、第2の反応物、続いてケイ素前駆体の供給によって堆積は、開始されてもよい。当業者は、第1の前駆体段階が、一般に、前のサイクルの最終段階によって残された終端と反応することを認識するはずである。したがって、反応種段階が第1のPEALDサイクルにおける第1段階であれば、反応物は、基板表面に前もって吸着しない、又は反応空間に存在しないが、それに続くPEALDサイクルにおいては、反応種段階はケイ素段階に効果的に続く。一部の実施形態においては、1回以上の異なるPEALDサブサイクルが、SiOCN薄膜を形成するプロセスにおいて用意される。
Si前駆体
幾つかの異なる適切なSi前駆体は、本開示のPEALDプロセスに使用されてもよい。一部の実施形態においては、PEALDプロセスによるSiOCNの堆積に適切な少なくとも幾つかのSi前駆体は、以下の一般式を有する。
(1)Si(OR4−x(RIINRIIIIV
式中、x=1〜4であり、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素基であってもよく、RIII及びRIVは独立に選択されるアルキル基及び/又は水素であってもよい。一部の実施形態においては、R及びRIIは、メチル、エチル、n−プロピル、イソプロピルなどのC〜Cアルキルリガンドである。一部の実施形態においては、Rは、メチル、エチル、n−プロピル、イソプロピル、tert−ブチルなどのC〜Cアルキルリガンドであってもよい。一部の実施形態においては、RIIはC炭化水素ではない。一部の実施形態においては、RIIは、C〜C炭化水素又はC〜C炭化水素である。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。一部の実施形態においては、RIII及びRIVは水素である。一部の実施形態においては、Rはメチルであり、RIIはn−プロピルであり、RIIIは水素であり、RIVは水素であり、x=1である。
例えば、Si前駆体は、(結合を示すためにより詳細な様式で書かれた)式(R−O−)4−xSi(−RII−NRIIIIVを有してもよく、式中、x=1〜4であり、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよく、RIII及びRIVは、独立に選択されるアルキル基及び/又は水素であってもよい。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有してもよい。
(2) Si(OR4−x−y−z(RIINRIIIIV(OH)
式中、x=1〜4、y=0〜3及びz=0〜3であり、R及びRIIは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよく、RIII及びRIVは独立に選択されるアルキル基及び/又は水素であってもよい。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有してもよい。
(3)LSi(OR4−x−n(RIINRIIIIV
式中、n=1〜3、x=0〜3であり、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよく、RIII及びRIVは独立に選択されるアルキル基及び/又は水素であってもよく、Lは独立に選択されるアルキル基又はハロゲンである。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。
一部の実施形態によれば、一部のSi前駆体は、以下の一般式を有してもよい。
(4)LSi(OR4−x−y−z−n(RIINRIIIIV(OH)
式中、n=0〜3、x=1〜4、y=0〜3、z=0〜3であり、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよく、RIII及びRIVは独立に選択されるアルキル基及び/又は水素であってもよく、Lは独立に選択されるアルキル基又はハロゲンである。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有してもよい。
5)(RO)4−xSi(RII−NH
式中、x=1〜4であり、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよい。一部の実施形態においては、R及びRIIは、メチル、エチル、n−プロピル、イソプロピルなどのC〜Cアルキルリガンドである。一部の実施形態においては、Rはメチルであり、RIIはn−プロピルであり、x=1である。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有してもよい。
6)(RO)Si−RII−NH
式中、Rは独立に選択されるアルキル基であってもよく、RIIは独立に選択される炭化水素であってもよい。一部の実施形態においては、R及びRIIは、メチル、エチル、n−プロピル、イソプロピルなどのC〜Cアルキルリガンドである。一部の実施形態においては、RIIは、1以上の二重結合を含む炭化水素などの不飽和炭化水素であってもよい。一部の実施形態においては、RIIは、水素の1つが除去されたアルキル基であってもよい。
一部の実施形態によれば、一部のSi前駆体は以下の一般式を有してもよい。
7)(RO)4−xSi(−[CH−NH
式中、x=1〜4、n=1〜5であり、Rは独立に選択されるアルキル基であってもよい。一部の実施形態においては、Rは、メチル、エチル、n−プロピル、イソプロピルなどのC〜Cアルキルリガンドである。一部の実施形態においては、Rはメチルであり、x=1である。
一部の実施形態においては、ケイ素前駆体はハロゲンを含まない。一部の実施形態においては、ケイ素前駆体は、少なくとも1つのアミノアルキルリガンドを含んでもよい。一部の実施形態によれば、適切なケイ素前駆体は、炭素を介してケイ素に結合し、炭素鎖に結合した少なくとも1つのNH基を含む少なくとも1個のリガンド、例えば、アミノアルキルリガンドを含んでもよい。一部の実施形態によれば、適切なケイ素前駆体は、炭素を介してケイ素に結合し、炭素鎖に結合したNH基を含む少なくとも1つのリガンド、例えば、アミノアルキルリガンドを含むことができ、さらに、酸素原子を介してケイ素に結合し、アルキル基が酸素に結合した少なくとも1つのリガンド、例えば、アルコキシドリガンドを含んでもよい。一部の実施形態によれば、適切なケイ素前駆体は、炭素を介してケイ素に結合し、炭素鎖に結合した少なくとも1つのNRIIIIV基を含む少なくとも1つのリガンド、例えば、アミノアルキルリガンドを含んでもよい。式中、RIII及びRIVは、独立に選択されるアルキル基及び/又は水素であってもよい。一部の実施形態によれば、適切なケイ素前駆体は、炭素を介してケイ素に結合し、少なくとも1つの窒素が炭素に結合した少なくとも1つのリガンドを含んでもよい。さらに、炭素を介してケイ素に結合し、少なくとも1つの窒素が炭素に結合した1個のリガンドは、窒素に結合した水素を含んでもよい。一部の実施形態によれば、炭素を介してケイ素に結合したリガンドに加えて、適切なケイ素前駆体は、メトキシ、エトキシ、n−プロポキシ、i−プロポキシ、tert−ブトキシリガンドなどのアルコキシリガンドも含んでもよい。一部の実施形態によれば、上記の式の幾つかを含めて、適切なケイ素前駆体は、炭素を介してケイ素に結合し、炭素鎖に結合したアルキルアミノ又は−NH基などのアミノ基が存在し、炭素鎖が、炭素と水素のみを含むC〜C炭化水素、C〜C炭化水素又はC〜C炭化水素、線状、分枝又は環式である、炭素鎖を含む。一部の実施形態においては、炭素鎖は、不飽和とすることができ、二重炭素−炭素結合を含んでもよい。幾つかの別の実施形態においては、炭素鎖は、炭素及び水素以外の原子を含んでもよい。
一部の実施形態によれば、適切なケイ素前駆体は、一般式(1)から(7)のいずれかを有する少なくとも化合物を含んでもよい。一部の実施形態においては、ハロゲン化物/ハロゲンは、F、Cl、Br及びIを含んでもよい。一部の実施形態においては、ケイ素前駆体は、(3−アミノプロピル)トリメトキシシラン(APTMS)を含んでもよい。
一部の実施形態においては、1より多いケイ素前駆体は、ALD段階中に同時に基板表面と接触してもよい。一部の実施形態においては、ケイ素前駆体は、本明細書に記載のケイ素前駆体の2以上を含んでもよい。一部の実施形態においては、第1のケイ素前駆体は、第1のALDサイクルに使用され、第2の異なるALD前駆体は、その後のALDサイクルに使用される。一部の実施形態においては、例えば、堆積SiOCN膜のある種の性質を最適化するために、複数のケイ素前駆体は、単一のALD段階中に使用されてもよい。一部の実施形態においては、1のケイ素前駆体のみが堆積中に基板と接触してもよい。一部の実施形態においては、1のケイ素前駆体及び1の第2の反応物又は第2の反応物の組成物のみが堆積プロセス中に存在してもよい。一部の実施形態においては、堆積プロセス中に金属前駆体が存在しない。一部の実施形態においては、ケイ素前駆体は、シリル化剤として使用されない。一部の実施形態においては、ケイ素前駆体接触ステップの堆積温度及び/又は期間は、ケイ素前駆体が分解しないように選択される。一部の実施形態においては、ケイ素前駆体は、ケイ素前駆体接触ステップ中に分解してもよい。一部の実施形態においては、ケイ素前駆体は、塩素、フッ素などのハロゲンを含まない。
第2の反応物
上述したように、本開示に係るSiOCNを堆積するための第2の反応物は、反応種を含んでもよい水素前駆体を含んでもよい。一部の実施形態においては、反応種としては、ラジカル、プラズマ及び/又は励起原子若しくは種が挙げられるが、それだけに限定されない。こうした反応種は、例えば、プラズマ放電、熱線又は別の適切な方法によって生成されてもよい。一部の実施形態においては、反応種は、反応室から遠隔で、例えば、反応室の上流で生成されてもよい(「リモートプラズマ」)。一部の実施形態においては、反応種は、反応室において、基板のすぐ近くで、又は直接基板上で生成されてもよい(「ダイレクトプラズマ」)。
PEALDプロセスの適切なプラズマ組成物としては、何らかの形の水素のプラズマ、ラジカル又は原子状水素である水素反応種が挙げられる。一部の実施形態においては、第2の反応物は、少なくとも部分的にHから形成された反応種を含んでもよい。一部の実施形態においては、何らかの形の窒素のプラズマ、ラジカル又は原子状窒素の形の窒素反応種も供給される。さらに、一部の実施形態においては、プラズマは、He、Ne、Ar、Kr及びXe、又はAr若しくはHeなどの希ガスも、プラズマの形で、ラジカルとして、又は原子の形で含んでもよい。一部の実施形態においては、第2の反応物は、酸素から生成する種を含まない。したがって、一部の実施形態においては、反応種は、酸素を含むガスから生成されない。一部の実施形態においては、反応種を含む第2の反応物は、酸素を含まないガスから生成される。例えば、一部の実施形態においては、第2の反応物は、酸素を含まないガスから発生したプラズマを含んでもよい。一部の実施形態においては、第2の反応物は、約1原子%(at%)未満の酸素、約0.1原子%未満の酸素、約0.01原子%未満の酸素、又は約0.001原子%未満の酸素を含むガスから生成されてもよい。一部の実施形態においては、第2の反応物は、O、HO又はOを含まない。
したがって、一部の実施形態においては、第2の反応物は、NH、NなどのNとHの両方を有する化合物、N/Hの混合物、又はN−H結合を有する他の前駆体から形成された反応種を含んでもよい。一部の実施形態においては、第2の反応物は、少なくとも部分的に、Nから形成されてもよい。一部の実施形態においては、第2の反応物は、少なくとも部分的に、H及びNから形成されてもよく、HとNとは、約100:1から約1:100、約20:1から約1:20、約10:1から約1:10、約5:1から約1:5、及び/又は約2:1から約4:1、ある場合には1:1の流量比(H/N)で供給される。例えば、SiOCNを堆積するための水素含有プラズマは、NとHの両方を本明細書に記載の1以上の比を用いて発生されることができる。
一部の実施形態においては、水素プラズマは、窒素含有種(例えば、窒素イオン、ラジカル、原子状窒素)を含まなくてもよい、又は実質的に含まなくてもよい。例えば、窒素含有ガスは、水素プラズマの発生に使用されない。一部の実施形態においては、窒素含有ガス(例えば、Nガス)は、水素プラズマステップ中に反応室に流されない。
一部の実施形態においては、第2の反応物は、Nを含む化合物から形成された反応種を含んでもよい。一部の実施形態においては、第2の反応物は、Nから形成されてもよい。すなわち、一部の実施形態においては、反応種は、Nなどの窒素を含むガスから生成される。一部の実施形態においては、第2の反応物は、Nから生成する反応種を含んでもよい。一部の実施形態においては、第2の反応物は、Nから生成する反応種である。
一部の実施形態においては、第2の反応物は、希ガス、例えばAr又はHeなどの不活性ガスからプラズマによって形成された反応種を含んでもよい。一部の実施形態においては、第2の反応物は、1種以上のガス、例えば、窒素含有ガス及びAr、Heなどの希ガスからプラズマによって形成された反応種を含んでもよい。一部の実施形態においては、第2の反応物は、水素を含まない。一部の実施形態においては、第2の反応物は、Hを含む化合物から形成された反応種を含まない。
一部の実施形態においては、水素プラズマは、酸素含有種(例えば、酸素イオン、ラジカル、原子状酸素)を含まなくてもよい、又は実質的に含まなくてもよい。例えば、酸素含有ガスは、水素プラズマの発生に使用されない。一部の実施形態においては、酸素含有ガス(例えば、Oガス)は、水素プラズマステップ中に反応室に流されない。
一部の実施形態においては、第2の反応物は、窒素から生成される種を含まない。したがって、一部の実施形態においては、反応種は、窒素を含むガスから生成されない。一部の実施形態においては、反応種を含む第2の反応物は、窒素を含まないガスから生成される。例えば、一部の実施形態においては、第2の反応物は、窒素を含まないガスから発生されたプラズマを含んでもよい。一部の実施形態においては、第2の反応物は、約1原子%(at%)未満の窒素、約0.1原子%未満の窒素、約0.01原子%未満の窒素、又は約0.001原子%未満の窒素を含むガスから生成されてもよい。一部の実施形態においては、第2の反応物は、N、NH又はNを含まない。
一部の実施形態においては、酸素含有ガスは、水素プラズマの発生に使用されない。一部の実施形態においては、酸素含有ガス(例えば、Oガス)は、水素プラズマステップ中に反応室に流されない。
一部の実施形態においては、プラズマなどの反応種の生成に使用されるガスは、本質的に水素からなってもよい。一部の実施形態においては、プラズマなどの反応種の生成に使用されるガスは、本質的に窒素からなってもよい。一部の実施形態においては、プラズマなどの反応種の生成に使用されるガスは、本質的にアルゴン又は別の希ガスからなってもよい。一部の実施形態においては、水素含有プラズマの発生に使用するプラズマパワーは、約10ワット(W)から約2,000W、約50Wから約1000W、約100Wから約1000W、又は約100Wから約500Wでありうる。一部の実施形態においては、水素含有プラズマの発生に使用するプラズマパワーは、約100Wから約300Wでありうる。一部の実施形態においては、プラズマパワーは、幾つかの堆積サイクルにわたって次第に又は徐々に増加又は減少されてもよい。例えば、一部の実施形態においては、水素含有プラズマの発生に使用するプラズマパワーは、第1の数の堆積サイクルでは約100Wとすることができ、第2の数の堆積サイクルでは200Wに増加されてもよく、第3の数の堆積サイクルでは400Wに更に増加されてもよい。
SiOCN膜特性
本明細書で述べる実施形態の幾つかによって堆積したSiOCN薄膜は、不純物レベル又は濃度を約3原子%未満、約1原子%未満、約0.5原子%未満又は約0.1原子%未満にしてもよい。幾つかの薄膜においては、水素を除いた全不純物レベルは、約5原子%未満、約2原子%未満、約1原子%未満又は約0.2原子%未満であってもよい。さらに、幾つかの薄膜においては、水素レベルは、約30原子%未満、約20原子%未満、約15原子%未満又は約10原子%未満であってもよい。本明細書では、不純物は、Si、O、C及び/又はN以外の任意の元素と考えられてもよい。
一部の実施形態においては、堆積SiOCN膜は、測定可能な量の水素を含まない。しかし、一部の実施形態においては、水素を含むSiOCN膜が堆積される。一部の実施形態においては、堆積SiOCN膜は、約30原子%未満、約20原子%未満、約15原子%未満、約10原子%未満又は約5原子%未満の水素を含む。一部の実施形態においては、薄膜は、アルゴンを含まない。
一部の実施形態によれば、SiOCN薄膜は、約50%を超える、約80%を超える、約90%を超える、又は約95%を超えるステップカバレッジ及びパターンローディング効果を示すことができる。ある場合には、ステップカバレッジ及びパターンローディング効果は約98%を超え、ある場合には約100%であってもよい(測定ツール又は方法の精度内で)。一部の実施形態においては、ステップカバレッジ及びパターンローディング効果は、約100%を超え、約110%を超え、約120%を超え、約130%を超え、又は約140%を超え得る。これらの値は、アスペクト比が2以上、一部の実施形態においてはアスペクト比が約3以上、一部の実施形態においてはアスペクト比が約5以上、一部の実施形態においてはアスペクト比が約8以上の形状で得ることができる。
一部の実施形態においては、ステップカバレッジは、約50%から約110%、約80%から約110%、約90%から約110%、約95%から110%、約98%から110%、又は約100%から110%であってもよい。一部の実施形態においては、ステップカバレッジは、約50%から約100%、約80%から約100%、約90%から約100%、約95%から100%、又は約98%から100%であってもよい。
一部の実施形態においては、膜の成長速度は、約0.01Å/サイクルから約5Å/サイクル、約0.05Å/サイクルから約2Å/サイクルである。一部の実施形態においては、膜の成長速度は、約0.05Å/サイクルを超え、約0.1Å/サイクルを超え、約0.15Å/サイクルを超え、約0.3Å/サイクルを超え、約0.3Å/サイクルを超え、約0.4Å/サイクルを超える。本明細書では「パターンローディング効果」は、この分野におけるその通常の意味で使用される。パターンローディング効果は、不純物含有量、密度、電気的性質及びエッチ速度に関して認めることができるが、別段の記載がない限り、本明細書で使用するパターンローディング効果という用語は、構造が存在する基板の領域における膜厚の変化を指す。すなわち、パターンローディング効果は、オープンフィールドに面した3次元構造体/形状の側壁又は底部上の膜厚に対する、3次元構造体内部の形状の側壁又は底部における膜厚として示されうる。本明細書では、100%のパターンローディング効果(又は比が1)は、形状にかかわらず基板全体の完全に均一な膜特性を表し、すなわち、換言すれば、パターンローディング効果(形状対オープンフィールドにおける厚さなどの特定の膜特性の相違)がない。
一部の実施形態においては、SiOCN膜は、約3nmから約50nm、約5nmから約30nm、約5nmから約20nmの厚さで堆積される。これらの厚さは、約100nm未満、約50nm未満、約30nm未満、約20nm未満、及びある場合には約15nm未満の形状サイズ(幅)で得られうる。一部の実施形態によれば、SiOCN膜は3次元構造体上に堆積され、側壁における厚さは10nmをわずかに超えてもよい。一部の実施形態においては、50nmを超えるSiOCN膜が堆積されうる。一部の実施形態においては、100nmを超えるSiOCN膜が堆積されうる。一部の実施形態においては、SiOCN膜は、約1nmを超える、約2nmを超える、約3nmを超える、約5nmを超える、約10nmを超える厚さで堆積される。一部の実施形態によれば、種々のウェットエッチング速度(WER:wet etch rate)のSiOCN膜が堆積されてもよい。0.5重量%dHFのブランケットWER(nm/min)を用いるときには、SiOCN膜のWER値は、約5未満、約4未満、約2未満又は約1未満であってもよい。一部の実施形態においては、SiOCN膜のWER値は、1よりもかなり小さくてもよい。一部の実施形態においては、SiOCN膜のWER値は、約0.3未満、約0.2未満又は約0.1未満であってもよい。一部の実施形態においては、SiOCN膜のWER値を約0.05未満、約0.025未満又は約0.02未満であってもよい。
熱酸化物のWERに対する0.5重量%dHFのブランケットWER(nm/min)は、約3未満、約2未満、約1未満及び約0.5未満であってもよい。一部の実施形態においては、TOXのWERに対する0.5重量%dHFのブランケットWERは、約0.1未満であってもよい。
PEALDプロセスを約100℃未満の温度で実施する一部の実施形態においては、熱酸化物のWERに対する0.5重量%dHFのブランケットWER(nm/min)は、約10未満、約5未満、約3未満及び約2未満又は約1未満であってもよい。
さらに、一部の実施形態においては、0.5重量%dHFにおいてフィン、トレンチなどの3次元形状の上面に堆積したSiOCN膜のエッチ速度に対する、フィン、トレンチなどの3次元形状の上に堆積したSiOCN膜の側壁エッチ速度、例えば、WERの比は、約1から約2、約2から約5、約5から約10、約10から約20、又はある場合には約20以上であってもよい。一部の実施形態においては、3次元形状上に堆積したSiOCN膜のWERと3次元形状の上面に堆積したSiOCN膜のWERとの比は、約2以上、約5以上、約10以上、約15以上又は約20以上であってもよい。
一部の実施形態においては、3次元形状のほぼ垂直な表面、例えば、側壁表面の上又は中に堆積したSiOCN膜のWERと、3次元形状のほぼ水平な表面、例えば、上面の上又は中に堆積したSiOCN膜のWERとの比は、約1から約0.5、約0.5から約0.2、約0.2から約0.1、約0.1から約0.05、又はある場合には約0.05未満であってもよい。一部の実施形態においては、3次元形状のほぼ垂直な表面に堆積したSiOCN膜のWERと、3次元形状のほぼ水平な表面に堆積したSiOCN膜のWERとの比は、約0.5以下、約0.2以下、約0.1以下又は約0.05以下であってもよい。
一部の実施形態においては、本明細書に記載のプロセスによって堆積したSiOCNのWERとTOXのWERとの比は、約5から約10、約2から約5、約1から約2、約0.5から約1、又は約0.1から約0.5であってもよい。一部の実施形態においては、本明細書に記載のプロセスによって堆積したSiOCNのWERと、TOXのWERとの比は、約0.1以上、約0.5以上、約1以上、約2以上、約5以上又は約10以上であってもよい。
一部の実施形態においては、本明細書に記載の1つ以上のプロセスによって形成されたSiOCNは、有利には、ほぼ垂直な領域のWERとほぼ水平な領域のWERの比を、例えば0.5重量%dHFにおいて、約1にすることができる。例えば、基板表面の3次元構造体のほぼ垂直な表面(例えば、側壁表面)に形成されたSiOCN薄膜のウェットエッチング速度と、ほぼ水平な表面(例えば、上面)に形成されたSiOCN薄膜のウェットエッチング速度との比は、同じ又はほぼ同じにすることができる。一部の実施形態においては、比は、約4から約0.5、約2から約0.75、約1.25から約0.8、又は約1.1から約0.9でありうる。これらの比は、アスペクト比が約2以上、約3以上、約5以上、更には約8以上の形状で得られうる。
一部の実施形態においては、本開示によるSiOCN膜のエッチングの量は、0.5%HF浸漬プロセスにおいて熱SiO(TOX)で認められたエッチングの量の約1分の1、2分の1、5分の1、10分の1以下にしてもよい(例えば、約2から約3nmのTOXを除去するプロセスにおいては、本明細書に開示した方法によって堆積したときに、約1分の1、2分の1、5分の1、10分の1以下のSiOCNを除去する)。
一部の実施形態においては、約2nm未満のSiOCN膜は、エッチング時間5分の0.5%HF浸漬プロセスにおいて除去されてもよい。一部の実施形態においては、約2nm未満のSiOCN膜は、エッチング時間60分の0.5%HF浸漬プロセスにおいて除去されてもよい。
本明細書に記載のすべての原子パーセンテージ(すなわち、原子%)値は、簡潔にするために、また、水素を定量的に正確に分析することが困難であるので、別段の記載がない限り、水素を除外する。しかし、一部の実施形態においては、水素を妥当な精度で分析できる場合、膜の水素含有量は、約20原子%未満、約10原子%未満又は約5原子%未満である。一部の実施形態においては、堆積SiOCN薄膜は、原子基準(原子%)で最高約70%の酸素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約10%から約70%、約15%から約50%、又は約20%から約40%の酸素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で少なくとも約20%、約40%又は約50%の酸素を含んでもよい。
一部の実施形態においては、堆積SiOCN薄膜は、原子基準(原子%)で最高約40%の炭素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約0.5%から約40%、約1%から約30%、又は約5%から約20%の炭素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で少なくとも約1%、約10%又は約20%の炭素を含んでもよい。
一部の実施形態においては、堆積SiOCN薄膜は、原子基準(原子%)で最高約30%の窒素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約0.51%から約30%、約1%から約20%、又は約3%から約15%の窒素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で少なくとも約1%、約5%又は約10%の窒素を含んでもよい。
一部の実施形態においては、堆積SiOCN薄膜は、原子基準(原子%)で最高約50%のケイ素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で約10%から約50%、約15%から約40%、又は約20%から約35%のケイ素を含んでもよい。一部の実施形態においては、SiOCN膜は、原子基準で少なくとも約15%、約20%、約25%又は約30%のケイ素を含んでもよい。
一部の実施形態においては、堆積SiOCN薄膜は、約30原子%から約40原子%のケイ素、約25原子%から約40原子%の酸素、約10原子%から約20原子%の炭素、及び約10原子%の窒素を含んでもよい。一部の実施形態においては、堆積SiOCN膜は、約33%のケイ素及び約67%の酸素を含んでもよい。上述したように、一部の実施形態においては、SiOCN膜は、Si−C結合、Si−O結合及び/又はSi−N結合を含んでもよい。一部の実施形態においては、SiOCN膜は、Si−C結合及びSi−O結合を含んでもよく、Si−N結合を含まなくてもよい。一部の実施形態においては、SiOCN膜は、Si−N結合及びSi−O結合を含むことができ、Si−C結合を含まなくてもよい。一部の実施形態においては、SiOCN膜は、Si−N結合及びSi−C結合を含んでもよく、Si−O結合を含まなくてもよい。一部の実施形態においては、SiOCN膜は、Si−C結合よりも多くのSi−O結合を含んでもよく、例えば、Si−O結合とSi−C結合の比は、約1:1から約10:1としてもよい。一部の実施形態においては、堆積SiOCN膜は、SiN、SiO、SiC、SiCN、SiON及び/又はSiOCのうち1以上を含んでもよい。
一部の実施形態においては、SiOCN膜はlow−k膜ではなく、例えば、SiOCN膜は多孔質膜ではない。一部の実施形態においては、SiOCNは連続膜である。一部の実施形態においては、SiOCN膜はk値が約10未満である。一部の実施形態においては、SiOCN膜はk値が約7未満である。一部の実施形態においては、SiOCN膜はk値が約3.9から約10である。一部の実施形態においては、SiOCN膜はk値が約5.5未満、約5.0未満、約4.8未満、約4.6未満である。一部の実施形態においては、SiOCN膜はk値が約3.8から約7、約3.8から約5.5、約3.8から約5.0、約4.0から約4.8、約4.1から約4.7である。一部の実施形態においては、SiOCN膜はk値がどのlow−k膜のk値よりも高い。一部の実施形態においては、SiOCN膜はk値が純粋なSiOよりも高い。
一部の実施形態においては、本開示に係る堆積したSiOCN膜は、積層又はナノ積層構造を含まない。
一部の実施形態においては、本開示に係る堆積したSiOCN膜は、自己組織化単分子膜(SAM:self−assembled monolayer)ではない。一部の実施形態においては、本開示に係る堆積したSiOCN膜は、互いに結合していない別々の個体分子からならない。一部の実施形態においては、本開示に係る堆積したSiOCN膜は、実質的に結合又は連結した材料を含む。一部の実施形態においては、本開示に係る堆積したSiOCN膜は、機能層ではなく、アミノ官能性を持たず、及び/又は機能表面として使用されない。一部の実施形態においては、本開示に係る堆積したSiOCN膜は、−NH基で終端されない。一部の実施形態においては、本開示に係る堆積したSiOCN膜は、多量の−NH基を含まない。
集積化
一部の実施形態においては、本明細書に記載のSiOCN薄膜堆積プロセスを使用して、例えば自己整合パターニングプロセスなどのマルチパターニングプロセスに使用される、SiOCN薄膜が形成されてもよい。一部の実施形態においては、SiOCN薄膜は、有機材料、例えば、フォトレジストを含むパターン形成有機材料を含む基板上に、マルチパターニングプロセスの一部として形成又は堆積されてもよい。一部の実施形態においては、本明細書に記載のSiOCN堆積プロセスは、スペーサデファインドダブルパターニング(SDDP)プロセス又はスペーサデファインドクアドラプルパターニング(SDQP)プロセスの一部として使用されてもよい。
例として、直接SDDPプロセスにおいては、SiOCNは、本明細書に記載された、一部の実施形態によるプラズマALDプロセスによって、3次元レジスト形状を含むパターン形成フォトレジスト層などのパターン形成レジスト層を含む基板上の反応空間においてコンフォーマルに(conformally)堆積する。本明細書に記載のPEALDプロセスによって堆積したSiOCN膜は、レジスト形状との平滑な境界を有し、レジスト形状をさほど損傷、劣化又は変形させない。次いで、コンフォーマル及び平滑なSiOCN膜は、一方向にエッチングされて、SiOCNがレジスト形状及び基板の水平表面から除去され、レジスト形状の側壁に堆積される、又は側壁から延在するSiOCNのみを残すことができる。次いで、レジストは、エッチングプロセスによって除去されて、SiOCNスペーサを残すことができる。
一部の実施形態においては、基板は、熱SiO層をケイ素基板上に含む。一部の実施形態においては、基板は、ケイ素基板上のSi、SiO、SiN層などのシリコンベース層を含む。一部の実施形態においては、基板は犠牲層を含む。一部の実施形態においては、基板は、ポリマー又はフォトレジスト材料などのレジスト材料を含む。一部の実施形態においては、基板は、3次元隆起形状などの少なくとも1つの形状を含む。実施形態においては、形状は、ほぼ垂直の側壁を含む高架構造を含む。本発明の一部の実施形態においては、形状は、パターン形成フォトレジスト膜の形状を含む。
更なる例として、直接SDQPプロセスにおいては、第1のSiOCN膜は、Nから生成した反応種を含む第2の反応物を含む一部の実施形態によるPEALDプロセスによって、3次元レジスト形状を含むパターン形成フォトレジスト層などのパターン形成レジスト層を含む基板上の反応空間においてコンフォーマルに堆積する。しかし、一部の実施形態においては、SiOCN膜は、窒素含有ガス及び/又は希ガスなどの不活性ガスからプラズマによって生成された反応種を含む第2の反応物を含む第1の数の堆積サイクルと、第2の反応物として水素プラズマを含む第2の数の堆積サイクルとを含むプロセスによって堆積されてもよい。例えば、SiOCN膜は、第2の反応物として窒素プラズマを含む第1の数の堆積サイクルと第2の反応物として水素プラズマを含む第2の数の堆積サイクルとを含むプロセスによって堆積されてもよい。
本明細書に記載のPEALDプロセスによって堆積した第1のSiOCN膜は、レジスト形状との平滑な境界を有し、レジスト形状をさほど損傷、劣化又は変形させない。次いで、コンフォーマル及び平滑な第1のSiOCN膜は、一方向にエッチングされ、SiOCNがレジスト形状及び基板の水平表面から除去され、レジスト形状の側壁に堆積する、又は側壁から延在するSiOCNのみを残すことができる。次いで、レジストは、エッチングプロセスによって除去され、第1のSiOCN形状を残すことができる。
この第1のSiOCN形状は、化学機械研磨(CMP:chemical mechanical polish)に供して、ほぼ平坦な上面を形成することができる。第2のSiOCN膜は、Hから生成した反応種を含む第2の反応物を含む一部の実施形態によるPEALDプロセスによって、第1のSiOCN形状を含む基板上の反応空間においてコンフォーマルに堆積する。次いで、コンフォーマル及び平滑な第2のSiOCN膜は、一方向にエッチングされ、SiOCNが第1のSiOCN形状及び基板の水平表面から除去され、第1のSiOCN形状の側壁に堆積する、又は側壁から延在するSiOCNのみを残すことができる。次いで、第1のSiOCN膜が除去され、SiOCNスペーサを残すことができる。
図6に示すように、3次元レジスト形状を含むパターン形成フォトレジスト層などのパターン形成有機層を含む基板上の反応空間において、本明細書に記載された、一部の実施形態によるプラズマALDプロセスによってコンフォーマルに堆積したSiOCN膜601は、酸素含有プラズマを含むほぼ類似したPEALDプロセスによって堆積したSiOCN膜602に比べて、レジスト形状をさほど損傷、劣化又は変形させない場合がある。一部の実施形態においては、SiOCN膜は、3次元レジスト形状などの有機材料の上に、3次元レジスト形状の構造や形を実質的に変えずに堆積されてもよい。例えば、SiOCN膜は、一部の実施形態に従って堆積されてもよく、それが堆積した3次元レジスト形状の端部をさほど丸くしない場合がある。
[実施例1]
例示的なSiOCN薄膜を本明細書に記載のPEALDプロセスによって堆積させた。堆積温度は300℃であり、APTMSをケイ素前駆体として使用した。200WのRFパワーを第2の反応物に印加することによってプラズマを発生させた。HとNとの混合物を、Arキャリアガスと一緒に供給した第2の反応物として使用した。図2に、本明細書に記載のPEALDプロセスによって堆積されたSiOCN膜の1サイクル当たりの成長(Å/サイクル)、屈折率、及びTOXと比べたWERRを第2の反応物ガス比の関数として示す。第2の反応物ガス比は、図2のX軸に沿って示され、第2の反応物におけるHとNの両方に対するNの比(N:(H+N))である。
図2を見て分かるように、SiOCN膜の成長速度は、第2の反応物におけるN:(H+N)比が増加すると増加した。堆積膜の屈折率は、第2の反応物におけるN:(H+N)比が増加すると減少した。堆積SiOCN膜のWERとTOXのWERとの比(WERR/TOX)は、第2の反応物におけるN:(H+N)比が増加すると増加することが認められた。意義深いことに、N:(H+N)比50%及び0%(第2の反応物中にNがない)で堆積したSiOCN膜のWERR/TOXは、1未満であることが認められた。いかなる理論に拘束されるものではないが、第2の反応物中にHが存在すると、堆積SiOCN薄膜における液体化学薬品耐性(wet chemical resistance)が高くなると考えられる。
図3に、本明細書に記載のPEALDプロセスによって堆積されたSiOCN薄膜とTOXとの両方のエッチング量対エッチング時間を示す。エッチングプロセスは、0.5%HF浸漬プロセスであった。図3を見て分かるように、堆積SiOCNは、TOXよりもかなり大きい耐エッチ性を示す。0.5%HFに60分間浸漬暴露後、2nm未満のSiOCN膜を除去した。
本明細書に記載のPEALDプロセスによって堆積されたSiOCN膜の組成をX線光電子分光法(XPS)によって分析した。堆積温度は300℃であり、APTMSをケイ素前駆体として使用した。結果を以下の表1に示す。2つの異なるSi結合エネルギーが特定され、堆積膜におけるSi−C及びSiO結合の存在を示した。
さらに、図4に、本明細書に記載のPEALDプロセスによって堆積させた例示的なSiOCN膜について、膜組成を深さの関数として示す。
[実施例2]
図5A及び5Bは、それぞれ、dHFウェットエッチング溶液に2分間浸漬暴露前後のトレンチ構造上に形成されたSiOCN膜の断面図を示す走査型電子顕微鏡(SEM:scanning electron microscopy)画像である。図5A及び5BのSiOCN膜は、本明細書に記載のPEALDプロセスによって形成された。堆積温度は300℃であり、APTMSをケイ素前駆体として使用した。400WのRFパワーをHを含む第2の反応物に印加することによってプラズマを発生させた。プラズマパルス時間は8秒であった。図5C及び5Dは、それぞれ、dHFウェットエッチング溶液に2分間浸漬暴露前後のトレンチ構造上に形成されたSiOCN膜の断面図を示す走査型電子顕微鏡(SEM:scanning electron microscopy)画像である。図5C及び5DのSiOCN膜は、本明細書に記載のPEALDプロセスによって形成された。堆積温度は300℃であり、APTMSをケイ素前駆体として使用した。400WのRFパワーをH及びNを含む第2の反応物に印加することによってプラズマを発生させた。プラズマパルス時間は8秒であった。
図5A及び5Cに示すように、Nを含まない第2の反応物を用いたPEALDプロセスによって形成されたSiOCN膜は、H及びNを含む第2の反応物を用いたPEALDプロセスによって形成されたSiOCN膜に比べて、ウェットエッチング浸漬前のコンフォーマリティ(conformality)が改善された。Nを含まない第2の反応物を用いて形成されたSiOCN膜はステップカバレッジが114%から136%であり、H及びNを含む第2の反応物を用いて形成されたSiOCN膜はステップカバレッジが54%であった。図5B及び5Dに示すように、Nを含まない第2の反応物を用いて形成されたSiOCN膜のコンフォーマリティは、ウェットエッチング浸漬後も維持されたが、H及びNを含む第2の反応物を用いて形成されたSiOCN膜のコンフォーマリティは減少した。
さらに、Nを含まない第2の反応物を用いて形成されたSiOCN膜は、膜の水平領域のTOXに対するウェットエッチング速度比(WERR/TOX)が0.2であり、膜の垂直領域(側壁表面)のWERR/TOXが1.0であった。H及びNを含む第2の反応物を用いて形成されたSiOCN膜は、トレンチ構造の上に堆積した膜の水平領域のTOXに対するウェットエッチング速度比(WERR/TOX)が2.0であり、トレンチ構造の底部に堆積した膜の領域のWERR/TOXが1.4であり、膜の垂直領域(側壁表面)のWERR/TOXが1.6であった。
[実施例3]
例示的なSiOCN膜を本明細書に記載の方法によって堆積させた。ケイ素前駆体としてのAPTMSとNからプラズマによって生成された反応種を含む第2の反応物とを含む複数の堆積サイクルと、所与の数の堆積サイクルの後に繰り返される水素プラズマサイクルとを含む、一部の実施形態によるPEALDプロセスによって、SiOCN膜を堆積させた。水素プラズマサイクルは、基板を、Hからプラズマによって生成された反応種と約4秒間接触するステップを含んだ。
3回の堆積サイクルごと、5回の堆積サイクルごと、及び10回の堆積サイクルごとに水素プラズマサイクルを繰り返すステップを含む上記方法によって、試料SiOCN膜を調製した。水素プラズマサイクルを含むと、水素プラズマサイクルを含まない方法によって堆積した膜に比べて、全膜厚が約20%から40%減少することが判明した。試料SiOCN膜の屈折率を測定し、水素プラズマサイクルを含まない方法によって堆積した膜に比べて、約0.1から0.2低いことが判明した。1サイクル当たりの成長(GPC)は、水素プラズマサイクル間の堆積サイクル数の増加に伴いほぼ直線的に増加することも判明した。
試料SiOCN膜は、Hからプラズマによって生成された反応種を含む第2の反応物を含み、かつ水素プラズマサイクルを含まないことを除いて、同様の堆積方法によって堆積した試料SiOCN膜よりも厚いことも判明した。
別の試料SiOCN膜を上述したように調製した。ただし、水素プラズマサイクルの接触時間は20秒であった。この試料は、水素プラズマ接触時間が4秒である方法によって調製された試料膜よりも屈折率が低く、約1.45であることが判明した。
[実施例4]
表面にポリイミド膜を含む基板上に試料SiOCN膜を堆積させた。第1の窒素含有プラズマ堆積ステップ及び第2の水素含有プラズマ堆積ステップを含む本明細書に記載のPEALD法によって試料SiOCN膜を堆積させた。第1の窒素含有プラズマ堆積ステップは、ケイ素前駆体としてのAPTMSとNからプラズマによって生成された反応種を含む第2の反応物とを使用して、第1のSiOCN層を形成した。第2の水素含有プラズマ堆積ステップは、第2のSiOCN層を形成するために、ケイ素前駆体としてのAPTMSとHからプラズマによって生成された反応種を含む第2の反応物とを含んだ。
試料SiOCN膜の最終厚さを、熱酸化ケイ素の直上の第1及び第2のSiOCN層の別個の試料堆積物の累積厚さに基づく計算厚さと比較した。試料SiOCN膜の測定厚さは、第1の窒素含有プラズマ堆積ステップサイクル数の増加に伴い計算厚さに近づくことが判明した。100回の第1の窒素含有プラズマ堆積ステップサイクルによって堆積したSiOCN試料膜は、計算膜厚の約80%であることが判明したが、300回の第1の窒素含有プラズマ堆積ステップサイクルによって堆積したSiOCN試料膜は、ほぼ計算膜厚であることが判明した。計算膜厚と試料膜厚の大きな相違は、50回以下の第1の窒素含有プラズマ堆積ステップサイクルによって堆積したSiOCN試料膜で認められ、試料膜の厚さは計算膜厚の約25%であった。
いかなる理論に拘束されるものではないが、50サイクル以下の窒素含有プラズマ堆積ステップでは、第1のSiOCN層が薄すぎて、有機基板表面を第2の水素含有プラズマ堆積ステップに起因する劣化から効果的に保護できず、有機表面材料の損失が測定膜厚を減少させたと考えられる。
50サイクルの第1の窒素含有プラズマ堆積ステップと、プラズマパワー100Wで100サイクル、続いてプラズマパワー200Wで100サイクル、更にプラズマパワー400Wで300サイクルの第2の水素含有プラズマ堆積ステップとを含む方法によって別の試料SiOCN膜を調製した。第2の水素含有プラズマ堆積ステップのプラズマパワーを徐々に増加させることによって、比較的薄い第1のSiOCN層でも、有機基板表面を第2の水素含有プラズマ堆積ステップに起因する劣化から十分に保護することが判明した。
[実施例5]
図7に、ケイ素前駆体としてのAPTMSと反応室に100sccmで流入するHからプラズマによって生成された反応種を含む第2の反応物とを利用した本明細書に記載のPEALDプロセスによって、3次元トレンチ構造を含む基板上に堆積された試料SiOCN膜701を示す。堆積中の反応室の圧力は4Torrであり、温度は225℃であり、200WのRFパワーを第2の反応物に印加することによって第2の反応物を生成させた。各堆積サイクルにおいてケイ素前駆体を反応室に4秒間パルスし、4秒間パージし、一方、第2の反応物を反応室に4秒間パルスし、0.5秒間パージした。
次いで、試料SiOCN膜を0.5重量%dHFに2分間暴露した。エッチングされた試料SiOCN膜702は、基板の垂直表面に堆積したSiOCN膜のウェットエッチング速度と基板の水平表面に堆積したSiOCN膜のウェットエッチング速度との比が約21であった。
本明細書では「約」という用語は、所与の値の15%以内、10%以内、5%以内又は1%以内である値を指し得る。
「膜」及び「薄膜」という用語を本明細書では簡潔にするために使用する。「膜」及び「薄膜」は、本明細書に開示した方法によって堆積された任意の連続又は非連続構造体及び材料を意味するものとする。例えば、「膜」及び「薄膜」は、2D材料、ナノロッド、ナノチューブ、又はナノ粒子、更には単一の部分的若しくは完全な分子層、又は部分的若しくは完全な原子層、又は原子及び/又は分子のクラスタを含み得る。「膜」及び「薄膜」は、ピンホールを含みながらも少なくとも部分的に連続である材料又は層を含み得る。
多数の多様な改変を本発明の精神から逸脱することなく成し得ることを当業者は理解されたい。記述した形状、構造、特性及び前駆体は、任意の適切な様式で組み合わせることができる。したがって、本発明の形態は、単なる説明のためのものであって、本発明の範囲を限定することを意図したものではないことが明白に理解されるはずである。すべての改変及び変更が、添付の特許請求の範囲によって定義される本発明の範囲内にあるものとする。

Claims (18)

  1. 反応空間においてプラズマエンハンスト原子層堆積(PEALD)プロセスによって基板上にSi−O結合を含む薄膜を形成する方法であって、
    前記PEALDプロセスが、
    前記基板の表面を気相ケイ素前駆体に接触させ、それにより前記基板の表面上にケイ素種を吸着させるステップと、
    吸着された前記ケイ素種を、酸素を含まないガスから形成されたプラズマによって生成された少なくとも1つの反応種と接触させるステップであって、吸着された前記ケイ素種は、ガスから生成された酸素含有反応種とは接触されない、ステップと、
    を含む少なくとも1つの堆積サイクルを含み、
    前記ケイ素前駆体は、ケイ素原子、前記ケイ素原子と結合されたアルコキシド基及び炭素を介して前記ケイ素原子と結合されたアミノ基を含むリガンドを含み、前記PEALDプロセスは、所望の厚さのケイ素、酸素及び炭素を含む薄膜が形成されるまで、前記接触させるステップを繰り返すステップを更に含む、
    方法。
  2. 前記薄膜は、10原子%までの窒素を含む、請求項1に記載の方法。
  3. 前記薄膜は、5原子%超の窒素を含む、請求項2に記載の方法。
  4. 前記薄膜は、少なくとも20原子%の酸素を含む、請求項1に記載の方法。
  5. 前記薄膜は、少なくとも5原子%の炭素を含む、請求項1に記載の方法。
  6. 前記薄膜のウェットエッチング速度と熱酸化ケイ素のウェットエッチング速度との比は、約5未満である、請求項1に記載の方法。
  7. 前記薄膜のウェットエッチング速度と熱酸化ケイ素のウェットエッチング速度との比は、約0.3未満である、請求項1に記載の方法。
  8. 前記薄膜は、三次元構造上に堆積される、請求項1に記載の方法。
  9. 前記三次元構造の表面に形成される前記薄膜のウェットエッチング速度と前記三次元構造の側壁表面に形成される前記薄膜のウェットエッチング速度との比は、希釈HF中で約1:1である、請求項8に記載の方法。
  10. 前記ケイ素前駆体は、ハロゲンを含まない、請求項1に記載の方法。
  11. 前記ケイ素前駆体は、(3−アミノプロピル)トリメトキシシラン(3−aminopropyl)trimethoxysilane)(APTMS)を含む、請求項1に記載の方法。
  12. 前記反応種は、水素プラズマ、水素原子、水素ラジカル又は水素イオンを含む、請求項1に記載の方法。
  13. 前記反応種は、窒素プラズマ、窒素原子、窒素ラジカル又は窒素イオンを更に含む、請求項12に記載の方法。
  14. 前記反応種は、希ガスを含む第2の反応物から生成される、請求項1に記載の方法。
  15. 前記反応種は、水素を含む第2の反応物からプラズマによって生成される、請求項1に記載の方法。
  16. 前記第2の反応物は、Hを含む、請求項15に記載の方法。
  17. 前記堆積サイクルは、約100℃未満のプロセス温度で実施される、請求項1に記載の方法。
  18. 前記基板は、有機材料を含む、請求項1に記載の方法。
JP2016219973A 2015-11-12 2016-11-10 SiOCN薄膜の形成 Active JP6654547B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020011352A JP6950012B2 (ja) 2015-11-12 2020-01-28 SiOCN薄膜の形成

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/939,984 2015-11-12
US14/939,984 US9786491B2 (en) 2015-11-12 2015-11-12 Formation of SiOCN thin films
US15/342,943 US9786492B2 (en) 2015-11-12 2016-11-03 Formation of SiOCN thin films
US15/342,943 2016-11-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020011352A Division JP6950012B2 (ja) 2015-11-12 2020-01-28 SiOCN薄膜の形成

Publications (3)

Publication Number Publication Date
JP2017092475A JP2017092475A (ja) 2017-05-25
JP2017092475A5 JP2017092475A5 (ja) 2019-11-28
JP6654547B2 true JP6654547B2 (ja) 2020-02-26

Family

ID=58691575

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2016219973A Active JP6654547B2 (ja) 2015-11-12 2016-11-10 SiOCN薄膜の形成
JP2020011352A Active JP6950012B2 (ja) 2015-11-12 2020-01-28 SiOCN薄膜の形成
JP2021153262A Active JP7135187B2 (ja) 2015-11-12 2021-09-21 SiOCN薄膜の形成
JP2022137662A Pending JP2022164814A (ja) 2015-11-12 2022-08-31 SiOCN薄膜の形成

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2020011352A Active JP6950012B2 (ja) 2015-11-12 2020-01-28 SiOCN薄膜の形成
JP2021153262A Active JP7135187B2 (ja) 2015-11-12 2021-09-21 SiOCN薄膜の形成
JP2022137662A Pending JP2022164814A (ja) 2015-11-12 2022-08-31 SiOCN薄膜の形成

Country Status (5)

Country Link
US (2) US9786492B2 (ja)
JP (4) JP6654547B2 (ja)
KR (3) KR102385980B1 (ja)
CN (1) CN106711025B (ja)
TW (5) TWI794133B (ja)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10804094B2 (en) 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
CN108933088B (zh) * 2017-05-25 2020-05-29 上海稷以科技有限公司 一种封装的方法及封装结构
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP6805347B2 (ja) * 2017-07-13 2020-12-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) * 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) * 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI827645B (zh) * 2018-08-23 2024-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備及方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN109576677A (zh) * 2018-12-28 2019-04-05 复旦大学 一种利用等离子体增强原子层沉积可控制备不同氧含量的SiON薄膜的方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11466038B2 (en) * 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US20230399737A1 (en) * 2020-10-19 2023-12-14 Tokyo Electron Limited Film forming method and film forming apparatus
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112725732B (zh) * 2020-12-24 2022-11-25 温州大学 一种SiCNO基压阻薄膜体系及其制备方法
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023042264A1 (ja) * 2021-09-14 2023-03-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
CN115522180A (zh) * 2022-09-20 2022-12-27 苏州源展材料科技有限公司 一种低介电常数的硅基薄膜的制备方法及其应用

Family Cites Families (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JP2846477B2 (ja) 1994-12-27 1999-01-13 シーメンス アクチエンゲゼルシヤフト 炭化シリコン単結晶の製造方法
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
DE69939554D1 (de) 1999-02-11 2008-10-23 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US20090291293A1 (en) 2006-07-14 2009-11-26 Dai Nippon Printing Co., Ltd. Film with transparent electroconductive membrane and its use
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
KR20090126273A (ko) 2007-03-28 2009-12-08 다우 코닝 코포레이션 실리콘 및 탄소를 함유하는 장벽층의 롤투롤 플라즈마 화학 기상 증착법
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5083200B2 (ja) * 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2950633B1 (fr) * 2009-09-30 2011-11-25 Alchimer Solution et procede d'activation de la surface oxydee d'un substrat semi-conducteur.
JP5809152B2 (ja) 2009-10-20 2015-11-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 誘電体膜をパッシベーションする方法
US9390909B2 (en) * 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
SG11201400633RA (en) * 2011-09-23 2014-08-28 Novellus Systems Inc Plasma activated conformal dielectric film deposition
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) * 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6024484B2 (ja) 2013-01-29 2016-11-16 東京エレクトロン株式会社 成膜方法及び成膜装置
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US10453675B2 (en) * 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
WO2015056384A1 (ja) 2013-10-18 2015-04-23 三菱電機株式会社 文字列表示装置
JP6246558B2 (ja) * 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정

Also Published As

Publication number Publication date
JP2022003689A (ja) 2022-01-11
TW202302902A (zh) 2023-01-16
TW201726965A (zh) 2017-08-01
TWI759747B (zh) 2022-04-01
JP7135187B2 (ja) 2022-09-12
KR20230058344A (ko) 2023-05-03
TW202319570A (zh) 2023-05-16
CN106711025B (zh) 2019-11-19
TW202225459A (zh) 2022-07-01
US10510529B2 (en) 2019-12-17
CN106711025A (zh) 2017-05-24
TW202033812A (zh) 2020-09-16
TWI794133B (zh) 2023-02-21
KR102385980B1 (ko) 2022-04-13
TWI697577B (zh) 2020-07-01
KR102524573B1 (ko) 2023-04-21
JP2017092475A (ja) 2017-05-25
US20180190486A1 (en) 2018-07-05
KR20170055924A (ko) 2017-05-22
JP6950012B2 (ja) 2021-10-13
KR20220050854A (ko) 2022-04-25
US20170140925A1 (en) 2017-05-18
US9786492B2 (en) 2017-10-10
JP2022164814A (ja) 2022-10-27
JP2020065087A (ja) 2020-04-23
TWI781889B (zh) 2022-10-21

Similar Documents

Publication Publication Date Title
JP6654547B2 (ja) SiOCN薄膜の形成
JP7479334B2 (ja) SiOC薄膜の形成
TWI769248B (zh) 用於控制含氧薄膜的形成的電漿增強型沉積製程
US11107673B2 (en) Formation of SiOCN thin films
US11996284B2 (en) Formation of SiOCN thin films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191017

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191017

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20191017

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20191024

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200130

R150 Certificate of patent or registration of utility model

Ref document number: 6654547

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250