TW201712140A - 選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法 - Google Patents

選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法 Download PDF

Info

Publication number
TW201712140A
TW201712140A TW105124388A TW105124388A TW201712140A TW 201712140 A TW201712140 A TW 201712140A TW 105124388 A TW105124388 A TW 105124388A TW 105124388 A TW105124388 A TW 105124388A TW 201712140 A TW201712140 A TW 201712140A
Authority
TW
Taiwan
Prior art keywords
metal
selectively depositing
substrate
metal oxide
deposition
Prior art date
Application number
TW105124388A
Other languages
English (en)
Other versions
TWI698544B (zh
Inventor
蘇維 P. 賀加
雷傑 H. 梅特羅
艾琳娜 凡
湯姆 E. 布倫堡
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201712140A publication Critical patent/TW201712140A/zh
Application granted granted Critical
Publication of TWI698544B publication Critical patent/TWI698544B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Laminated Bodies (AREA)

Abstract

提供在基板的第一金屬或金屬性表面上相對於基板的第二介電質表面選擇性地沈積材料、或在基板的第一金屬氧化物表面上相對於第二矽氧化物表面選擇性地沈積金屬氧化物的方法。被選擇性地沈積的材料可為例如金屬、金屬氧化物、金屬氮化物、金屬矽化物、金屬碳化物及/或介電質材料。在一些實施例中,包括第一金屬或金屬性表面及第二介電質表面的基板交替地且依序地與第一氣相金屬鹵化物反應物及第二反應物接觸。在一些實施例中,包括第一金屬氧化物表面及第二矽氧化物表面的基板交替地且依序地與第一氣相金屬氟化物或氯化物反應物及水接觸。

Description

在相對於介電質表面之金屬或金屬表面的沈積
本申請案主張於2015年8月3日提出申請的名稱為「在金屬或金屬性表面上相對於介電質表面之選擇性沈積(SELECTIVE DEPOSITION ON METAL OR METALLIC SURFACES RELATIVE TO DIELECTRIC SURFACES)」的美國臨時專利申請案第62/200,502號、以及於2016年1月21日提出申請的名稱為「在金屬或金屬性表面上的相對於介電質表面之選擇性沈積」的美國臨時專利申請案第61/281,593號的權利,所述美國臨時專利申請案全文倂入本案供參考。
本申請案是有關於材料在基板的第一金屬或金屬性表面上的相對於第二介電質表面(例如,SiO2 表面)的選擇性沈積。
目前藉由複雜的製程來製造積體電路,在所述複雜的製程中,在半導體基板上按預定配置依序建構各種材料層。
材料在半導體基板上的預定配置常常是藉由以下方式達成:在整個基板表面上沈積材料,然後自所述基板的預定區域移除所述材料,例如藉由沈積遮罩層並隨後進行選擇性蝕刻製程。
在一些情形中,可利用選擇性沈積製程而減少在基板上製造積體表面時涉及的步驟數目,在所述選擇性沈積製程中,材料相對於第二表面選擇性地沈積在第一表面上,而無需進行後續處理或所需的後續處理減少。本文中揭露了在基板的第一金屬或金屬性表面上相對於所述基板的第二介電質表面進行選擇性沈積的方法。
在一些實施例中,提供在基板的第一金屬或金屬性表面上相對於所述基板的第二介電質表面選擇性地沈積材料的方法。在一些實施例中,一種方法可包括一或多個包括以下的沈積循環:在等於或高於約225℃的溫度下使所述基板與包含金屬鹵化物的第一氣相前驅物接觸;使所述基板與第二氣相前驅物接觸;以及視需要重複所述接觸步驟,直至在所述第一金屬或金屬性表面上沈積有所需厚度的材料。在一些實施例中,所述材料以高於約50%的選擇性而相對於所述第二介電質表面沈積於所述第一金屬或金屬性表面上。在一些實施例中,所述金屬鹵化物選自Nb、Ta、Mo、W、V、Cr的氟化物或氯化物。在一些實施例中,所述第一前驅物選自NbCl5 、NbF5 、TaCl5 、TaF5 、MoFx 、MoClx 、VFx 、VClx 、及CrFx 。在一些實施例中,所述第一前驅物選自NbCl5 、NbF5 、TaCl5 及TaF5 。在一些實施例中,所述第二介電質表面包含Si-O鍵。在一些實施例中,所述第二介電質表面包括非傳導性表面。在一些實施例中,所述金屬鹵化物不包括鎢。在一些實施例中,所述材料包括金屬、金屬氧化物、金屬矽化物、金屬碳化物或金屬氮化物。在一些實施例中,所述方法可更包括在等於或高於約300℃的溫度下使所述基板與包含金屬鹵化物的第一氣相前驅物接觸。在一些實施例中,所述第二前驅物包含矽烷。在一些實施例中,所述矽烷選自二矽烷、三矽烷及烷基矽烷。在一些實施例中,所述矽烷是二乙基矽烷。在一些實施例中,所述第二前驅物包含O-H鍵或向所述表面提供OH基。在一些實施例中,所述第二前驅物包含水或H2 O2 。在一些實施例中,所述方法可更包括藉由將所述所沈積的材料暴露至包含氧的反應物而將所述所沈積的材料轉換成包含氧的材料。在一些實施例中,在100個沈積循環之後在所述基板的所述第二介電質表面上不存在材料的可量測的沈積。在一些實施例中,所述材料以高於約95%的選擇性而相對於所述第二介電質表面沈積於所述第一金屬或金屬性表面上。
在一些實施例中,一種在基板的第一金屬氧化物表面或介電質表面上相對於所述基板的第二SiO2 表面選擇性地沈積金屬氧化物膜的方法可包括一或多個沈積循環,所述沈積循環包括使所述基板交替地且依序地與包含金屬鹵化物的氣相第一反應物及包含水的氣相第二反應物接觸。在一些實施例中,所述基板在高於約225℃的溫度下與所述第一反應物接觸。在一些實施例中,所述金屬氧化物膜以高於約50%的選擇性而相對於所述第二SiO2 表面沈積於所述第一金屬氧化物表面或介電質表面上。在一些實施例中,所述第一金屬氧化物表面包含氧化鎢、氧化鉿、氧化鈦、氧化鋁或氧化鋯。在一些實施例中,所述所沈積的金屬氧化物膜包括NbOx 膜。
根據本發明的一些態樣,可使用無需任何單獨鈍化化學製品或阻斷劑的選擇性沈積以相對於第二表面在第一表面上沈積材料。然而,在一些實施例中,可視需要使用鈍化化學製品或阻斷劑。其可包括例如自組裝單層(self-assembled monolayer)。在一些實施例中,在基板的第一金屬或金屬性表面上相對於所述基板的第二介電質表面選擇性地沈積材料。在一些實施例中,所述第二表面包括-OH基,例如SiO2 系表面。在一些實施例中,在基板的第一金屬氧化物表面或介電質表面上相對於第二不同SiO2 表面選擇性地沈積例如NbOx 等金屬氧化物。在一些實施例中,使用原子層沈積(atomic layer deposition,ALD)型製程。在完成對材料的選擇性沈積之後,可進行進一步的處理以形成所需的結構。
除非另外指出,否則若一個表面在本文中被稱為金屬表面,則所述表面可為金屬表面或金屬性表面。在一些實施例中,所述金屬或金屬性表面可包括金屬,例如元素金屬、金屬氮化物、金屬矽化物、金屬碳化物及/或其混合物。在一些實施例中,所述金屬或金屬性表面可包括表面氧化,例如自然金屬氧化物的表面層。在一些實施例中,所述金屬或金屬性表面的金屬或金屬性材料在存在或不存在表面氧化時具有導電性。在一些實施例中,所述金屬或金屬性表面包含矽,例如經H封端的矽。在一些實施例中,所述金屬或金屬性表面是例如經H封端的矽表面等矽表面。在一些實施例中,所述金屬或金屬性表面並非例如經H封端的矽表面等矽表面。第一金屬或金屬性表面亦可在本文中被稱為第一表面。
在一些實施例中,金屬或金屬性表面包含一或多種過渡金屬。在一些實施例中,金屬或金屬性表面包含鋁。在一些實施例中,金屬或金屬性表面包含Al、Cu、Co、Ni、W中的一或多者。在一些實施例中,金屬性表面包含氮化鈦。在一些實施例中,金屬或金屬性表面包含一或多種貴金屬,例如Ru。在一些實施例中,金屬或金屬性表面包含傳導性金屬氧化物,例如貴金屬氧化物(如氧化釕)。
在一些實施例中,材料選擇性地沈積於包括金屬氧化物表面的第一金屬表面上。金屬氧化物表面可為例如氧化鎢、氧化鉿、氧化鈦、氧化鋁或氧化鋯表面。在一些實施例中,金屬氧化物表面是金屬性材料的經氧化表面。在一些實施例中,金屬氧化物表面是藉由使用氧化合物(例如包含O3 、H2 O、H2 O2 、O2 、氧原子、電漿或自由基或其混合物的化合物)來至少使金屬性材料的表面氧化而形成。在一些實施例中,金屬氧化物表面是形成於金屬性材料上的自然氧化物。
在一些實施例中,材料相對於第二SiO2 表面選擇性地沈積於包括介電質表面的第一表面上。
在一些實施例中,金屬、金屬氧化物、金屬矽化物、金屬碳化物、或金屬氮化物或其混合物相對於基板的第二介電質表面選擇性地沈積於所述基板的第一金屬或金屬性表面上。在一些實施例中,被選擇性地沈積的材料並非鎢或矽化鎢。在本文中使用用語介電質是為了簡潔地與其他表面(即,金屬或金屬性表面)區分。除非針對特定實施例另外指明,否則在本申請案的上下文中的用語介電質可被理解為涵蓋不導電或具有極高電阻率的所有表面。在一些實施例中,所述介電質表面可在本文中被稱為第二表面。在一些實施例中,所述第二表面可包括任何介電質表面。
在一些實施例中,金屬氧化物選擇性地沈積於基板的第一金屬表面上,其中金屬表面相對於第二SiO2 表面包含金屬氧化物。在一些實施例中,第一金屬氧化物表面可為例如氧化鎢、氧化鉿、氧化鈦、氧化鋁或氧化鋯表面。在一些實施例中,金屬氧化物相對於第二SiO2 表面沈積於第一介電質表面上。在一些實施例中,沈積於第一金屬氧化物表面上的金屬氧化物是NbOx ,例如Nb2 O5 。第二SiO2 表面可為例如自然氧化物、熱氧化物或化學氧化物。
為簡潔起見,在本文中可由式MOx 來指代材料(例如,膜),其中M是金屬。式MOx 表示材料主要包含金屬M及氧,但在此種材料中亦可存在雜質。在一些實施例中,式MOx 表示所述材料是金屬M的氧化物。
圖1是製程流程圖,其概括說明一種用於在基板的第一金屬或金屬性表面上相對於所述同一基板的第二介電質表面選擇性地沈積材料的製程10。
在一些實施例中,在步驟11處提供包括第一金屬或金屬性表面以及第二介電質表面的基板。在一些實施例中,提供具有包括金屬氧化物表面的第一金屬表面的基板。在一些實施例中,第二表面可包含-OH基。在一些實施例中,第二表面可為SiO2 系表面。在一些實施例中,第二表面可包含Si-O鍵。在一些實施例中,第二表面可包含SiO2 系低介電常數材料。在一些實施例中,第二表面可包含多於約30%或多於約50%的SiO2 。在一些實施例中,第二表面可包含GeO2 。在一些實施例中,第二表面可包含Ge-O鍵。
在一些實施例中,在使基板接觸第一反應物之前,視需要使用預處理或清潔的步驟12。所述預處理或清潔步驟可包括電漿處理、氫處理或熱處理。舉例而言,在一些實施例中,視需要減少基板的金屬或金屬性表面的經氧化部分(若存在)。在一些實施例中,可藉由氫或含有氫的電漿、自由基或原子來減少經氧化表面。在一些實施例中,不使用電漿而是例如藉由暴露至羧酸(例如,甲酸)而減少經氧化表面。
在可選的預處理或清潔的步驟12(若執行)之後,在步驟13處使基板與包含金屬鹵化物的第一反應物接觸。在一些實施例中,所述金屬鹵化物是金屬氟化物或金屬氯化物。在一些實施例中,所述金屬鹵化物相對於介電質表面選擇性地吸附在基板的金屬或金屬性表面上。在一些實施例中,較大量的金屬鹵化物相對於介電質表面吸附在金屬或金屬性表面上。在一些實施例中,實質上沒有金屬鹵化物吸附在介電質表面上。在一些實施例中,沒有金屬鹵化物吸附在介電質表面上。在一些實施例中,沒有大量或可偵測到的量的金屬鹵化物吸附在介電質表面上。
在一些實施例中,具有包括金屬氧化物表面的第一金屬表面及第二SiO2 表面的基板與金屬鹵化物反應物(例如,金屬氟化物或金屬氯化物)接觸。舉例而言,所述基板可與NbF5 接觸。在一些實施例中,金屬鹵化物相對於SiO2 表面選擇性地吸附在金屬氧化物表面上。在一些實施例中,較大量的金屬鹵化物相對於SiO2 表面吸附在金屬氧化物表面上。在一些實施例中,實質上沒有金屬鹵化物吸附在SiO2 表面上。在一些實施例中,沒有金屬鹵化物吸附在SiO2 表面上。在一些實施例中,沒有顯著的或可偵測到的量的金屬鹵化物吸附在SiO2 表面上。
在一些實施例中,然後在步驟14處可使金屬表面、金屬性表面或金屬氧化物表面上所吸附的金屬鹵化物與一或多種額外的反應物反應以形成所需的材料,例如氧化物或金屬性材料、矽化物、金屬氮化物、金屬碳化物或其混合物。舉例而言,在一些實施例中,所吸附的金屬鹵化物可與包含-OH基的第二反應物(例如,水)反應,藉此形成金屬氧化物。可提供額外的反應物以獲得所需的材料。
在一些實施例中,在步驟14處,在自反應空間移除金屬鹵化物反應物或將基板暴露於沖洗氣體之後,使基板與第二反應物接觸。在一些實施例中,第二反應物包含-OH基。在一些實施例中,第二反應物是水。
使基板與第一金屬鹵化物反應物接觸、自反應空間移除第一金屬鹵化物反應物、使基板與第二反應物接觸、以及自反應空間移除第二反應物可一起被視為一個沈積循環。亦即,步驟13及步驟14可被視為一個沈積循環。在一些實施例中,可借助惰性沖洗氣體來達成對金屬鹵化物反應物的移除及/或對第二反應物的移除。所述沈積循環可被重複多次以選擇性地在金屬或金屬性表面上沈積所需量的材料。舉例而言,在一些實施例中,可形成具有所需厚度的所沈積材料的薄膜。
一旦材料在第一金屬表面上沈積至所需厚度,便可視需要在步驟15處對其進行處理以形成所需的材料。舉例而言,在沈積所需厚度之後,可對在所述沈積循環中沈積的材料進行氧化以形成金屬氧化物或金屬矽化物。氧化可例如藉由使基板與包含氧的反應物(例如,水、臭氧、氧原子、氧自由基或氧電漿)接觸而進行。如此一來,可自相對於第二不同介電質表面在基板的金屬或金屬性表面上所沈積的材料形成介電質材料。在一些實施例中,可使用其他處理步驟而自所沈積的材料形成例如金屬碳化物、金屬氮化物、或金屬矽化物。
在一些實施例中,在進行處理之前,可將沈積循環重複至少10次、25次、50次、100次或更多次。
可對反應條件(包括溫度)、基板表面、以及反應物(包括金屬鹵化物反應物)進行選擇以獲得材料在金屬或金屬性表面上的相對於介電質表面的所需程度的選擇性沈積。
在一些實施例中,在約250℃至約350℃或約295℃至約315℃的溫度下,金屬氧化物相對於第二SiO2 表面選擇性地沈積於包括金屬氧化物表面的第一金屬表面或包括介電質表面的第一金屬表面上。
在一些實施例中,可對第一表面及第二表面中的一或多者進行處理以增強相對於一或多個不同表面的在一個表面上的沈積。在一些實施例中,對第一金屬或金屬性表面進行處理以增強相對於第二表面的在第一表面上的沈積。在一些實施例中,對第二表面進行處理或去活化以減少相對於第一表面的在第二表面上的沈積。在一些實施例中,對第一表面進行處理以增強沈積且對第二表面進行處理以減少沈積,藉此增大在第一表面上的相對於第二表面的選擇性沈積。在一些實施例中,不進行預處理。
在一些實施例中,包括介電質材料(例如,SiO2 )的表面可包含具有使表面具有親水性的效果的氫氧基(或OH基),且可在本文中可被稱為第二表面、介電質表面或第二介電質表面。當第二表面暴露於周圍條件下時,可自然地發生此類OH基表面封端。在一些實施例中,可對介電質表面進行處理以提供親水性的經OH封端的表面。在一些實施例中,可對親水性的經OH封端的表面進行處理以增大所述表面上的OH基的量。舉例而言,可將介電質表面暴露於H2 O蒸氣以增大所述表面處的OH基的數目。在一些實施例中,舉例而言,第二表面處理可包括在介於約20℃與約50℃之間或介於約25℃與約40℃之間的溫度下將介電質表面暴露於已流經起泡器的載氣。在一些實施例中,將介電質表面暴露於含有濕氣的空氣,以提供包含至少一些OH基的親水性表面。在一些實施例中,在沈積之前未對介電質表面進行處理。
如上所述,在一些實施例中,所述沈積製程是原子層沈積(ALD)型製程。在一些實施例中,所述沈積製程是熱原子層沈積製程。在一些實施例中,所述沈積製程是包括一或多個沈積循環的氣相沈積製程,在所述沈積循環中使基板交替地且依序地與第一氣相鹵化物反應物及第二氣相反應物接觸。
在一些實施例中,所述金屬鹵化物是Nb、Ta、Mo、W、V或Cr的氟化物或氯化物。在一些實施例中,所述金屬鹵化物是Nb或Ta的氟化物或氯化物。
在一些實施例中,第一前驅物選自NbCl5 、NbF5 、TaCl5 、TaF5 、MoFx (x可為整數,且在一些實施例中為5或6)、MoClx (x可為整數,且在一些實施例中為5)、WF6 、WClx (x可為整數,且在一些實施例中為5)、VFx (x可為整數,且在一些實施例中為5)、VClx (x可為整數,且在一些實施例中為4)、及CrFx (x可為整數,且在一些實施例中為5)。
在一些實施例中,所述第一前驅物選自NbCl5 、NbF5 、TaCl5 及TaF5
在一些實施例中,所述第一前驅物選自NbF5 或TaF5
在一些實施例中,所述第一前驅物選自NbCl5 或TaCl5
在一些實施例中,所述第一前驅物是NbF5
在一些實施例中,所述第一前驅物是TaF5
在一些實施例中,所述第一前驅物是NbCl5
在一些實施例中,所述第一前驅物是TaCl5
在一些實施例中,所述第一前驅物並非為鎢前驅物。舉例而言,在一些實施例中,所述第一前驅物並非為WF6
在一些實施例中,第二前驅物包含矽烷,例如單矽烷(SiH4 )、二矽烷(Si2 H6 )或三矽烷(Si3 H8 )。在一些實施例中,所述第二前驅物是二烷基矽烷,例如二乙基矽烷。在一些實施例中,所述第二前驅物包含OH鍵或向表面提供OH基。在一些實施例中,所述第二前驅物是水。在一些實施例中,所述第二前驅物是H2 O2
在一些此類實施例中,第二反應物可包含例如氫氣H2 、氫電漿、自由基或原子。在一些實施例中,所述第二反應物可為矽烷,例如單矽烷、二矽烷或三矽烷。在一些實施例中,所述第二前驅物不包含電漿。
在一些實施例中,相對於第二表面選擇性地沈積於第一表面上的材料形成薄膜。在一些實施例中,被選擇性地沈積的薄膜是金屬薄膜。
在一些實施例中,被選擇性地沈積的薄膜是金屬氧化物薄膜。在一些實施例中,使用水作為第二反應物來形成金屬氧化物膜。在一些實施例中,藉由使基板交替地且依序地與包含氣相金屬鹵化物反應物的第一前驅物及包含水蒸氣的第二反應物接觸,金屬氧化物膜相對於基板上的包含介電質膜的第二表面選擇性地沈積於包含金屬或金屬性膜的第一金屬或金屬性表面上。在一些實施例中,金屬鹵化物反應物不包含W。
在一些實施例中,藉由使基板交替地且依序地與包含氣相金屬鹵化物反應物的第一前驅物及包含水蒸氣的第二反應物接觸,金屬氧化物膜相對於基板的包含SiO2 表面的第二表面選擇性地沈積於所述同一基板的包括金屬氧化物或介電質表面的第一表面上。舉例而言,在一些實施例中,藉由使基板交替地且依序地與包含金屬鹵化物反應物的第一前驅物及包含例如水蒸氣等氧反應物的第二反應物接觸,金屬氧化物(例如,NbOx 或TaOx )相對於包含SiO2 的第二表面選擇性地沈積於包括金屬氧化物或介電質表面的第一表面上。具體而言,在一些實施例中,NbOx (例如,Nb2 O5 )可自NbF5 及H2 O沈積於金屬氧化物(例如,氧化鎢、氧化鉿、氧化鈦、氧化鋁或氧化鋯)上。
在一些實施例中,被選擇性地沈積的薄膜包含氧以及鈮或鉭中的一者。在一些實施例中,被選擇性地沈積的薄膜包含NbOx 或TaOx ,其中x是約2至約2.75。為簡潔起見,在本文中可由式MOx 來指代材料(例如,膜),其中M是金屬。式MOx 表示材料主要包含金屬M及氧,但在此種材料中亦可存在雜質。在一些實施例中,式MOx 表示所述材料是金屬M的氧化物。在一些實施例中,被選擇性地沈積的薄膜是金屬矽化物。在一些實施例中,金屬矽化物可例如藉由本文中所述的沈積製程而形成並包括包含二矽烷或三矽烷的第二反應物。
在一些實施例中,被選擇性地沈積的薄膜是金屬碳化物膜。在一些實施例中,金屬碳化物膜可藉由本文中所述的沈積製程而形成並包括包含烷基矽烷的第二反應物。
在一些實施例中,被選擇性地沈積的薄膜是金屬氮化物。
在一些實施例中,在高於約225℃的沈積溫度下使基板與包含金屬鹵化物的第一前驅物接觸。在一些實施例中,所述沈積溫度高於約250℃、高於約275℃、或高於約300℃。在一些實施例中,所述沈積溫度介於約250℃與約350℃之間或介於約295℃與約315℃之間。在一些實施例中,所述溫度低於約600℃、低於約500℃、或低於約450℃。
在一些實施例中,本文中所述的沈積可隨著溫度的升高(例如自約225℃至約300℃)而變得更加具有選擇性,在一些情形中(但未必在所有情形中)在高於約300℃時可看到具有幾乎完全的選擇性。選擇性可被給出為藉由[(金屬或金屬性表面上的沈積)-(介電質表面上的沈積)]/ (金屬或金屬性表面上的沈積)而計算出的百分比。可以各種方式中的任一方式來量測沈積,舉例而言,在一些實施例中,沈積可被給出為所沈積材料的所量測的厚度。在一些實施例中,沈積可被給出為所沈積材料的所量測的量。
在一些實施例中,選擇性大於約50%、大於約60%、大於約70%、大於約80%、大於約90%、大於約95%、大於約99%、或者甚至大於約99.5%。
在一些實施例中,沈積僅發生於第一表面上而不發生於第二表面上。在一些實施例中,在基板的第一表面上的相對於基板的第二表面的沈積具有至少為約80%的選擇性,此選擇性對於一些特定應用而言可為足夠的。在一些實施例中,在基板的第一表面上的相對於基板的第二表面的沈積具有至少為約50%的選擇性,此選擇性對於一些特定應用而言可為足夠的。然而,在一些實施例中,一些沈積可發生在第二表面上。
為簡潔起見,在本文中可將一種製程稱為選擇性沈積製程,乃因此種製程的最終結果是在第一表面上相對於第二表面具有選擇性的量的所沈積材料。因此,本文中使用的選擇性是指沈積製程的最終結果而未必僅指選擇性沈積。在一些實施例中,選擇性可包括例如在沈積製程期間的固有蝕刻,所述固有蝕刻相對於第一表面上的所沈積材料優先地蝕刻第二表面上的所沈積材料,藉此達成在第一表面上相對於第二表面選擇性地形成材料。
在一些實施例中,在喪失選擇性之前,在基板的第一表面上的相對於基板的第二表面的沈積可執行高達約500個沈積循環,或在喪失選擇性之前可執行高達約50個沈積循環、或高達約20個沈積循環、或高達約10個沈積循環、或高達約5個沈積循環。在一些實施例中,在喪失選擇性之前即使具有1或2個循環的沈積亦可為有用的。
在以上提及的選擇性不再被滿足時可理解為已發生選擇性的喪失。視具體情況而定,當在基板的第一表面上的相對於基板的第二表面的沈積具有小於約90%、小於約95%、小於約96%、97%、98%或99%或小於99%或更高的選擇性時,可視為已發生選擇性的喪失。
在一些實施例中,在喪失選擇性之前,在基板的第一表面上的相對於基板的第二表面的沈積可進行高達約50奈米的厚度,或在喪失選擇性之前可進行高達約10奈米的厚度、或高達約5奈米的厚度、或高達約3奈米的厚度、或高達約2奈米的厚度、或高達約1奈米的厚度。視具體情況而定,當在基板的第一表面上的相對於基板的第二表面的沈積具有小於約90%、小於約95%、小於約96%、97%、98%或99%或小於99%或更高的選擇性時,可視為已發生選擇性的喪失。
在一些實施例中,膜以大於約10的選擇性相對於第二介電質表面以約0.5奈米至約50奈米的厚度沈積於金屬或金屬性表面上(在第二介電質表面上發生的沈積少10倍)。
在一些實施例中,膜以至少98%的選擇性、以約0.5奈米至約100奈米的厚度沈積於金屬或金屬性表面上。
在一些實施例中,膜以至少98%的選擇性、以大於約20奈米、大於約50奈米或大於約100奈米的厚度沈積於金屬或金屬性表面上。
在一些實施例中,膜以大於約20的選擇性相對於第二介電質表面以約0.5奈米至約50奈米的厚度沈積於金屬或金屬性表面上(在第二介電質表面上發生的沈積少20倍)。
在一些實施例中,膜以大於約40的選擇性相對於第二介電質表面以約0.5奈米至約50奈米的厚度沈積於金屬或金屬性表面上(在第二介電質表面上發生的沈積少40倍)。
在一些實施例中,膜以大於約15、大於約25、或大於約50的選擇性相對於第二介電質表面以約0.5奈米至約10奈米的厚度沈積於金屬或金屬性表面上。
在一些實施例中,膜以大於約25、或大於約50的選擇性相對於第二介電質表面以約0.5奈米至約5奈米的厚度沈積於金屬或金屬性表面上。
在一些實施例中,在約1至25個沈積循環、約1至50個沈積循環、約1至100個沈積循環、或約1至150個沈積循環之後,膜以大於約10、大於約20或大於約40的選擇性沈積於金屬或金屬性表面上。
在一些實施例中,在約1至50個沈積循環、約1至100個沈積循環、約1至250個沈積循環、或約1至500個沈積循環之後,膜以大於約10、大於約20或大於約40的選擇性沈積於金屬或金屬性表面上。
在一些實施例中,在進行高達25個循環、50個循環、100個循環或甚至200個循環時,在第二介電質表面上未發生可量測的沈積。在一些實施例中,在進行高達25個循環、50個循環、100個循環或甚至200個循環時,在第二介電質表面上沈積有小於0.1奈米的材料。在一些實施例中,在進行高達50個循環、100個循環、250個循環或甚至500個循環時,在第二介電質表面上沈積有小於0.3奈米的材料。
本發明的實施例可有關於在積體電路製作期間在微米級(或更小)特徵上選擇性地沈積金屬氧化物。在一些實施例中,所述選擇性沈積可被應用於製造具有小於100微米、小於1微米或小於200奈米的大小的特徵。在金屬表面上進行選擇性沈積的情形中,特徵的大小或線寬可小於1微米、小於200奈米、小於100奈米、或小於50奈米或小於30奈米或小於20奈米。此項技術中具有通常知識者可認識到:使用所揭露的方法在更大的特徵或更小的特徵上及在其他構造中進行選擇性沈積是可能的。
在一些實施例中,被選擇性地沈積的膜可以小於約20原子%、小於約10原子%、小於約5原子%、小於約2原子%、小於約1原子%或小於約0.5原子%的濃度包含例如鹵素(例如氟)等雜質。
在一些實施例中,被選擇性地沈積的膜例如在稀釋的HF中具有相對於SiO2 的蝕刻選擇性。在一些實施例中,在稀釋的HF中相對於SiO2 的濕式蝕刻選擇性大於1:5、大於1:10、或大於1:20。
在一些實施例中,可在沈積之後或在沈積期間使用蝕刻步驟以移除未被選擇性地沈積的材料。在一些實施例中,蝕刻製程可為濕式蝕刻製程或乾式蝕刻製程。在一些實施例中,蝕刻製程為乾式蝕刻製程。原子層沈積型製程
原子層沈積型製程是基於前驅物化學製品的受控的、自限制性表面反應。藉由使基板交替地且依序地與前驅物接觸而避免氣體相反應。舉例而言,藉由在反應物脈衝之間自反應室移除過量的反應物及/或反應物副產物而在基板表面上使氣相反應物彼此分離。
簡言之,通常在低壓下將包括第一金屬或金屬性表面及第二介電質表面的基板加熱至適當的沈積溫度。沈積溫度如上所述。
如上所述使基板的表面與氣相第一反應物接觸。在一些實施例中,將氣相第一反應物的脈衝提供至容納基板的反應空間。在一些實施例中,將基板移動至容納氣相第一反應物的反應空間。在一些實施例中,對條件進行選擇,以使得第一反應物的不超過約一個單層以自限制性的方式吸附在基板表面上。例如藉由以惰性氣體進行沖洗或藉由自第一反應物的存在移除基板而自基板表面移除過量的第一反應物及反應副產物(若存在)。
沖洗意指例如藉由以真空幫浦將室排空及/或藉由以惰性氣體(例如,氬氣或氮氣)替換反應器內的氣體而自基板表面移除氣相前驅物及/或氣相副產物。典型的沖洗時間是約0.05秒至20秒、介於約1秒與10秒之間、或介於約1秒與2秒之間。然而,可視需要使用其他沖洗時間,例如在需要極高縱橫比結構或其他具有複雜表面形態的結構上的高度共形階梯覆蓋性或對多個基板進行批量處理的情形中。在選擇性沈積中,可使用較長的沖洗時間或高效沖洗以避免有可能破壞選擇性的化學氣相沈積反應。
如上所述,在每一沈積循環中,基板的表面隨後與氣相第二氣態反應物接觸。在一些實施例中,將第二氣態反應物的脈衝提供至容納基板的反應空間。在一些實施例中,將基板移動至容納氣相第二反應物的反應空間。自基板表面移除過量的第二反應物及表面反應的氣態副產物(若存在)。
重複所述接觸步驟及移除步驟直至已於基板的第一表面上選擇性地形成所需厚度的薄膜。
移除過量反應物可包括排空反應空間的一些內容物及/或以氦氣、氮氣或另一惰性氣體沖洗反應空間。在一些實施例中,沖洗可包括關閉反應氣體的流動同時繼續使惰性載氣流動至反應空間。
在原子層沈積型製程中採用的前驅物可為在標準條件(室溫及大氣壓)下的固態、液態或氣態材料,前提條件是所述前驅物在與基板表面接觸之前處於氣相。使基板表面與氣化前驅物接觸意指前驅物蒸氣與基板表面以有限的時間段接觸。通常,接觸時間是約0.05秒至10秒。然而,視基板類型及其表面面積而定,接觸時間可甚至比10秒長。在一些情形中,接觸時間可處於分鐘級別。最佳接觸時間可由熟習此項技術者基於特定情況而確定。
前驅物的質量流率亦可由熟習此項技術者確定。在一些實施例中,金屬前驅物的流率無限制地介於約1標準立方公分/分鐘(sccm)與1000標準立方公分/分鐘之間,或介於約100標準立方公分/分鐘與500標準立方公分/分鐘之間。
反應室中的壓力通常為約0.01毫巴至約20毫巴、或約1毫巴至約10毫巴。然而,在一些情形中,如可由熟習此項技術者根據特定情況所確定,所述壓力將高於或低於此範圍。
可使用的適當的反應器的實例包括可商購獲得的原子層沈積儀器,例如可購自美國亞利桑那州菲尼克斯市的ASM美國有限公司(ASM America, Inc. of Phoenix, Ariz.)及荷蘭阿爾梅勒市的ASM歐洲公司(ASM Europe B.V., Almere, Netherlands)的F-120® 反應器、Pulsar®反應器及Advance® 400 系列反應器。除該些原子層沈積反應器以外,亦可採用能夠進行薄膜的原子層沈積生長的諸多其他種類的反應器(包括配備有適當儀器的化學氣相沈積反應器)以及用於脈衝供應前驅物的手段。在一些實施例中,使用流動型原子層沈積反應器。在一些實施例中,反應物保持分離直至到達反應室,使得前驅物的共用線路最小化。然而,其他配置亦為可能的,例如使用如在2004年8月30日提出申請的美國專利申請案第10/929,348號、以及在2001年4月16日提出申請的美國專利申請案第09/836,674號中所闡述的預反應室,所述美國專利申請案倂入本案供參考。
可在連接至集簇式工具(cluster tool)的反應器或反應空間中視需要進行生長製程。在集簇式工具中,由於每一反應空間專用於一種類型的製程,因此每一模組中的反應空間的溫度可保持恆定,此相較於其中基板在每次運作之前被加熱直至製程溫度的反應器會提高生產率。
獨立的反應器可配備有負載鎖定(load-lock)。在此情形中,無需在每次運作之間冷卻反應空間。實例 1
在反應室中進行如在本文中所述的沈積製程。使用NbF5 作為第一前驅物且使用H2 O作為第二反應物。將第一前驅物及第二反應物交替地且依序地脈衝供應至Pulsar® 2000反應器的反應空間中,其中反應物在約300℃的反應溫度下分別與各種樣本基板接觸。在各脈衝之間藉由沖洗反應空間而自反應空間移除反應物。用於包含NbF5 的第一前驅物的脈衝時間及沖洗時間分別為約0.5秒至約2.0秒(脈衝)及約6.0秒(沖洗)。用於包含H2 O的第二反應物的脈衝時間及沖洗時間分別為約0.5秒至約2.0秒(脈衝)及5.0秒至約6.0秒(沖洗)。
在分析樣本基板期間,在基板包括熱SiO2 表面的情形中,在用於包括至少高達200個循環或至少高達300至500個循環的沈積製程的基板的自然氧化物或矽表面上未觀察到膜生長。如圖2所示,在樣本基板的經物理氣相沈積(PVD)沈積的W表面上觀察到膜生長。在包括經PVD沈積的Co表面及經PVD沈積的Ru表面的樣本基板上亦觀察到膜生長。實例 2
利用本文中闡述的沈積製程在基板的金屬氧化物表面上相對於SiO2 表面選擇性地沈積NbOx 膜。
在反應室中進行如在本文中所述的沈積製程。使用NbF5 作為第一前驅物且使用H2 O作為第二反應物。將第一前驅物及第二反應物交替地且依序地脈衝供應至Pulsar® 2000反應器的反應空間中,其中反應物在約300℃的反應溫度下分別與各種樣本基板接觸。NbF5 反應物及H2 O反應物的源溫度分別為45℃及約21℃。在各脈衝之間藉由沖洗反應空間而自反應空間移除反應物。用於包含NbF5 的第一前驅物的脈衝時間及沖洗時間分別為約0.5秒至約2.0秒(脈衝)及約6.0秒(沖洗)。用於包含H2 O的第二反應物的脈衝時間及沖洗時間分別為約0.5秒至約2.0秒(脈衝)及5.0秒至約6.0秒(沖洗)。
在該些沈積條件下,觀察到Nb2 O5 膜將藉由包括500個沈積循環的沈積製程而沈積於基板的第一金屬氧化物表面上。基板包括SiO2 表面,所述SiO2 表面具有包括自然鎢氧化物表面層的鎢點,所述自然鎢氧化物表面層亦在本文中被稱為鎢/鎢氧化物區域。
由於沈積製程的選擇性,Nb2 O5 薄膜僅沈積於基板表面的包含鎢/鎢氧化物點的部分上。在藉由能量色散X射線光譜(energy-dispersive X-ray spectroscopy,EDX)進行分析時,在SiO2 表面上未量測到膜沈積。圖3示出在鎢/鎢氧化物表面上的所得Nb2 O5 的掃描式電子顯微鏡(SEM)影像。在具有約80奈米的厚度的鎢/鎢氧化物點上觀察到為大的晶體狀的Nb2 O5 。自利用類似的沈積製程以類似的條件而在毯式PVD W試片(coupon)上沈積的膜估測膜的厚度。
10‧‧‧製程
11、12、13、14、15‧‧‧步驟
藉由以下旨在說明而非限制本發明的說明及附圖,本申請案將得到更佳理解,在附圖中:
圖1是製程流程圖,其概括說明一種在基板的第一金屬表面上相對於所述同一基板的第二介電質表面選擇性地沈積材料的製程。
圖2是剖視掃描電子顯微圖,其示出在20奈米至30奈米厚的鎢層上沈積大約100奈米的NbOx ,所述20奈米至30奈米厚的鎢層藉由物理氣相沈積(physical vapor deposition,PVD)製程沈積於大約20奈米厚的Ti層上。
圖3是已相對於基板的SiO2 表面選擇性地沈積於所述同一基板的鎢/氧化鎢表面上的Nb2 O5 膜的掃描電子顯微圖。
10‧‧‧製程
11、12、13、14、15‧‧‧步驟

Claims (20)

  1. 一種選擇性地沈積材料的方法,其在基板的第一金屬或金屬性表面上相對於所述基板的第二表面介電質表面選擇性地沈積所述材料,所述選擇性地沈積材料的方法包括一或多個包括以下的沈積循環: 在等於或高於約225℃的溫度下使所述基板與包含金屬鹵化物的第一氣相前驅物接觸; 使所述基板與第二氣相前驅物接觸;以及 視需要重複接觸步驟,直至在所述第一金屬或金屬性表面上沈積所需厚度的材料, 其中所述材料以高於約50%的選擇性而相對於所述第二介電質表面沈積於所述第一金屬或金屬性表面上。
  2. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述金屬鹵化物選自Nb、Ta、Mo、W、V、Cr的氟化物或氯化物。
  3. 如申請專利範圍第2項所述的選擇性地沈積材料的方法,其中所述第一前驅物選自NbCl5 、NbF5 、TaCl5 、TaF5 、MoFx 、MoClx 、VFx 、VClx 、及CrFx
  4. 如申請專利範圍第3項所述的選擇性地沈積材料的方法,其中所述第一前驅物選自NbCl5 、NbF5 、TaCl5 及TaF5
  5. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述第二介電質表面包含Si-O鍵。
  6. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述第二介電質表面包括非傳導性表面。
  7. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述金屬鹵化物不包括鎢。
  8. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述材料包括金屬、金屬氧化物、金屬矽化物、金屬碳化物或金屬氮化物。
  9. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,更包括在等於或高於約300℃的溫度下使所述基板與包含所述金屬鹵化物的所述第一氣相前驅物接觸。
  10. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述第二前驅物包含矽烷。
  11. 如申請專利範圍第10項所述的選擇性地沈積材料的方法,其中所述矽烷選自二矽烷、三矽烷及烷基矽烷。
  12. 如申請專利範圍第11項所述的選擇性地沈積材料的方法,其中所述矽烷是二乙基矽烷。
  13. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述第二前驅物包含O-H鍵或向表面提供OH基。
  14. 如申請專利範圍第13項所述的選擇性地沈積材料的方法,其中所述第二前驅物包含水或H2 O2
  15. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,更包括藉由將所沈積的所述材料暴露至包含氧的反應物而將所沈積的所述材料轉換成包含氧的材料。
  16. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中在100個所述沈積循環之後在所述基板的所述第二介電質表面上不存在所述材料的可量測的沈積。
  17. 如申請專利範圍第1項所述的選擇性地沈積材料的方法,其中所述材料以高於約95%的選擇性而相對於所述第二介電質表面沈積於所述第一金屬或金屬性表面上。
  18. 一種選擇性地沈積金屬氧化物膜的方法,其在基板的第一金屬氧化物表面或介電質表面上相對於所述基板的第二SiO2 表面選擇性地沈積所述金屬氧化物膜,所述選擇性地沈積金屬氧化物膜的方法包括一或多個沈積循環,所述沈積循環包括使所述基板交替地且依序地與包含金屬鹵化物的氣相的第一反應物及包含水的氣相的第二反應物接觸, 其中所述基板在高於約225℃的溫度下與所述第一反應物接觸; 其中所述金屬氧化物膜以高於約50%的選擇性而相對於所述第二SiO2 表面沈積於所述第一金屬氧化物表面或所述介電質表面上。
  19. 如申請專利範圍第18項所述的選擇性地沈積金屬氧化物膜的方法,其中所述第一金屬氧化物表面包含氧化鎢、氧化鉿、氧化鈦、氧化鋁或氧化鋯。
  20. 如申請專利範圍第18項所述的選擇性地沈積金屬氧化物膜的方法,其中所沈積的所述金屬氧化物膜包括NbOx 膜。
TW105124388A 2015-08-03 2016-08-02 選擇性地沈積材料的方法及選擇性地沈積金屬氧化物膜的方法 TWI698544B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562200502P 2015-08-03 2015-08-03
US62/200,502 2015-08-03
US201662281593P 2016-01-21 2016-01-21
US62/281,593 2016-01-21

Publications (2)

Publication Number Publication Date
TW201712140A true TW201712140A (zh) 2017-04-01
TWI698544B TWI698544B (zh) 2020-07-11

Family

ID=58052888

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109117948A TWI721896B (zh) 2015-08-03 2016-08-02 選擇性地沈積金屬氧化物膜的方法
TW105124388A TWI698544B (zh) 2015-08-03 2016-08-02 選擇性地沈積材料的方法及選擇性地沈積金屬氧化物膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109117948A TWI721896B (zh) 2015-08-03 2016-08-02 選擇性地沈積金屬氧化物膜的方法

Country Status (3)

Country Link
US (3) US10428421B2 (zh)
KR (3) KR102520620B1 (zh)
TW (2) TWI721896B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI674650B (zh) * 2017-08-31 2019-10-11 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
TWI727389B (zh) * 2018-08-10 2021-05-11 美商應用材料股份有限公司 使用自組裝單層的選擇性沉積的方法
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices

Families Citing this family (352)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
KR102455480B1 (ko) * 2017-05-26 2022-10-18 어플라이드 머티어리얼스, 인코포레이티드 금속 규화물들의 선택적 증착
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI772516B (zh) * 2017-09-12 2022-08-01 美商應用材料股份有限公司 藉由化學蝕刻去除選擇性沉積缺陷
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
CN112074939A (zh) 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
US11152268B2 (en) 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019204121A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) * 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) * 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11699577B2 (en) 2021-05-25 2023-07-11 Applied Materials, Inc. Treatment for high-temperature cleans
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Family Cites Families (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4653428A (en) * 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4849260A (en) * 1986-06-30 1989-07-18 Nihon Sinku Gijutsu Kabushiki Kaisha Method for selectively depositing metal on a substrate
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
AU2001260374A1 (en) 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4150154B2 (ja) * 2000-08-21 2008-09-17 株式会社ルネサステクノロジ 半導体集積回路装置
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100449782B1 (ko) * 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP3479648B2 (ja) 2001-12-27 2003-12-15 クラリアント インターナショナル リミテッド ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
CN1726303B (zh) 2002-11-15 2011-08-24 哈佛学院院长等 使用脒基金属的原子层沉积
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7208412B2 (en) * 2004-08-02 2007-04-24 Micron Technology, Inc. Method of forming metal oxide and semimetal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
KR20070089197A (ko) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 배치 처리 챔버를 사용한 기판 처리 기기
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US8039062B2 (en) 2007-09-14 2011-10-18 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using hafnium and zirconium-based precursors
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
KR20100098380A (ko) 2007-11-06 2010-09-06 에이치시에프 파트너스, 엘.피. 원자 층 증착 공정
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8697234B2 (en) 2008-05-09 2014-04-15 Amf Gmbh Self-assembled monolayers and method of production
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20110221061A1 (en) 2008-12-01 2011-09-15 Shiva Prakash Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
WO2011012636A1 (en) 2009-07-31 2011-02-03 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US9024312B2 (en) 2009-09-30 2015-05-05 Dai Nippon Printing Co., Ltd. Substrate for flexible device, thin film transistor substrate for flexible device, flexible device, substrate for thin film element, thin film element, thin film transistor, method for manufacturing substrate for thin film element, method for manufacturing thin film element, and method for manufacturing thin film transistor
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) * 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
WO2012107138A1 (en) 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8753978B2 (en) 2011-06-03 2014-06-17 Novellus Systems, Inc. Metal and silicon containing capping layers for interconnects
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
MX2016002656A (es) 2013-09-20 2016-06-06 Baker Hughes Inc Materiales compuestos para uso en operaciones de estimulacion y control de arena.
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
EP3122918A4 (en) 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10421766B2 (en) 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
CN109844930B (zh) 2016-10-02 2024-03-08 应用材料公司 以钌衬垫改善铜电迁移的经掺杂选择性金属覆盖
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7183187B2 (ja) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI674650B (zh) * 2017-08-31 2019-10-11 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US10468260B2 (en) 2017-08-31 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10636664B2 (en) 2017-08-31 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10879075B2 (en) 2017-08-31 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
TWI727389B (zh) * 2018-08-10 2021-05-11 美商應用材料股份有限公司 使用自組裝單層的選擇性沉積的方法
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11482458B2 (en) 2019-03-15 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11990376B2 (en) 2019-03-15 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11855177B2 (en) 2019-12-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices

Also Published As

Publication number Publication date
TW202035768A (zh) 2020-10-01
US20170037513A1 (en) 2017-02-09
KR102520620B1 (ko) 2023-04-11
KR20240060770A (ko) 2024-05-08
KR20170016310A (ko) 2017-02-13
US11174550B2 (en) 2021-11-16
US20200010953A1 (en) 2020-01-09
KR20230051455A (ko) 2023-04-18
TWI721896B (zh) 2021-03-11
US20220025513A1 (en) 2022-01-27
TWI698544B (zh) 2020-07-11
US10428421B2 (en) 2019-10-01
KR102662636B1 (ko) 2024-05-03

Similar Documents

Publication Publication Date Title
US20220025513A1 (en) Selective deposition on metal or metallic surfaces relative to dielectric surfaces
TWI731074B (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
TWI666336B (zh) 選擇性地在基板上沈積材料的方法
TWI529808B (zh) 使膜選擇性沈積於基板上的方法
KR102521792B1 (ko) 선택적 산화알루미늄 막 증착
TW201809346A (zh) 反應室鈍化以及金屬膜的選擇性沈積
TW201833356A (zh) 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
US20100012153A1 (en) Method of cleaning film forming apparatus and film forming apparatus
TW201718923A (zh) 含鋁與氮之物質的選擇性沈積
TWI661080B (zh) 金屬矽化物的選擇性形成
JP2022137205A (ja) 金を含む薄膜の蒸着
KR101233525B1 (ko) 반도체기판 표면의 클리닝 방법, 박막 제조방법,반도체장치의 제조방법 및 반도체장치
KR20230057348A (ko) 에칭 방법
CN111133127A (zh) 为了较佳生物传感器性能的用于原生氧化物移除和介电氧化物再生长的方法、材料和工艺
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
TW202328401A (zh) 蝕刻製程和處理組件
TW202041701A (zh) 金屬氧化物在金屬表面上之選擇性沉積
JP2023510607A (ja) エッチングまたは堆積のための方法
KR20220145767A (ko) 영역 선택적 식각