TWI674650B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI674650B
TWI674650B TW106135914A TW106135914A TWI674650B TW I674650 B TWI674650 B TW I674650B TW 106135914 A TW106135914 A TW 106135914A TW 106135914 A TW106135914 A TW 106135914A TW I674650 B TWI674650 B TW I674650B
Authority
TW
Taiwan
Prior art keywords
layer
metal
metal silicide
semiconductor
forming
Prior art date
Application number
TW106135914A
Other languages
English (en)
Other versions
TW201913885A (zh
Inventor
王菘豊
許志成
黃鴻儀
張志維
徐志安
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913885A publication Critical patent/TW201913885A/zh
Application granted granted Critical
Publication of TWI674650B publication Critical patent/TWI674650B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種半導體裝置的製造方法,包括:形成一源極/汲極區;以及在一真空室或一真空群集系統中,施行一選擇性的沉積以在上述源極/汲極區上形成一金屬矽化物層並在相鄰於上述源極/汲極區的介電質區域上形成一金屬層。上述方法更包括在上述真空室中,選擇性地蝕刻上述金屬層;以及選擇性地在上述金屬矽化物層上形成一金屬氮化物層。選擇性地形成上述金屬氮化物層是在未破真空的狀態下在上述真空室或或一真空群集系統中施行。

Description

半導體裝置的製造方法
本揭露是關於半導體裝置的製造方法,特別是關於具有接觸結構的電晶體的製造方法。
在積體電路的製造中,接觸插塞是用來連接電晶體的源極、汲極區與閘極。上述源極/汲極接觸插塞通常是連接於源極/汲極矽化物區,上述源極/汲極矽化物區是藉由沉積一金屬層、然後施行一退火以使上述金屬層與上述源極/汲極區的矽反應而形成。然後,施行一溼蝕刻,以移除上述金屬層之未反應的部分。
本揭露的一實施例是提供一種半導體裝置的製造方法,包括:形成一源極/汲極區;以及在一真空室或一真空群集系統中,施行一選擇性的沉積以在上述源極/汲極區上形成一金屬矽化物層並在相鄰於上述源極/汲極區的介電質區域上形成一金屬層。上述方法更包括在上述真空室中,選擇性地蝕刻上述金屬層;以及選擇性地在上述金屬矽化物層上形成一金屬氮化物層。選擇性地形成上述金屬氮化物層是在未破真空的狀態下在上述真空室或或一真空群集系統中施行。
本揭露的另一實施例是提供一種半導體裝置的製 造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在上述半導體鰭狀物的一第二部分上,磊晶成長一半導體材料;在一真空室中,同時形成一金屬層與一金屬矽化物層,其中上述金屬矽化物層是形成在上述半導體材料上;在未破真空的情況下,移除上述金屬層;在未破真空的情況下,在上述金屬矽化物層上,形成一金屬矽氮化物層;形成一第一接觸蝕刻停止層(first Contact Etch Stop Layer;CESL),其覆蓋上述金屬矽氮化物層;以及在上述第一接觸蝕刻停止層的上方,形成一第一層間介電質。
本揭露的又一實施例是提供一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在上述半導體鰭狀物的一第二部分的上方,成長一半導體材料;使用一第一製程氣體來同時形成一金屬層與一金屬矽化物層,其中上述金屬矽化物層是形成在上述半導體材料上;使用一第二製程氣體來移除上述金屬層,其中上述第一製程氣體與上述第二製程氣體都包含一鹵化物;以及使用一第三製程氣體而在上述金屬矽化物層上形成一金屬氮化物層。
本揭露的又一實施例是提供一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一虛置閘極堆疊結構;在上述虛置閘極堆疊結構的一側壁上,形成一閘極間隔物;在上述半導體鰭狀物的一第二部分上,成長一半導體材料;形成一第一層間介電質,以覆蓋上述半導體材料;以一取代閘極堆疊結構來置換上述虛置閘極堆疊結構;移除上述第一層間介電質,以重新曝露出上述半導體材料;在一真空室中,清潔上述半導體材料;在上述真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述金屬矽化物層的上方,形成一金屬矽氮化物層,其中在完成上述金屬矽氮化物層的形成時,上述金屬矽化物層的一金屬未延伸到上述閘極間隔物上。
本揭露的又一實施例是提供一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一虛置閘極堆疊結構;在上述虛置閘極堆疊結構的一側壁上,形成一閘極間隔物;在上述半導體鰭狀物的一第二部分上,成長一半導體材料;形成一第一層間介電質,以覆蓋上述半導體材料;以一取代閘極堆疊結構來置換上述虛置閘極堆疊結構;移除上述第一層間介電質,以重新曝露出上述半導體材料;在一真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述真空室中,在上述金屬矽化物層的上方,形成一金屬矽氮化物層,其中在選擇性地形成上述金屬矽化物層與形成上述金屬矽氮化物層之間,未發生破真空。
本揭露的又一實施例是提供一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一部分上,成長一半導體材料;形成一層間介電質,以覆蓋上述半導體材料;移除上述層間介電質,以重新曝露出上述半導體材料;在一真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述真空室中,對於上述金屬矽化物層的一表面層進行氮化,以形成一金屬矽氮化物層。
10‧‧‧晶圓
20‧‧‧基底
22‧‧‧淺溝槽隔離區
22A‧‧‧頂表面
24’‧‧‧半導體鰭狀物(頂部)
24‧‧‧半導體條
24A‧‧‧部分
24B‧‧‧底部
25‧‧‧半導體區
27、67‧‧‧虛線
30‧‧‧虛置閘極堆疊結構
32‧‧‧虛置閘極介電層
34‧‧‧虛置閘極
38‧‧‧閘極間隔物
42‧‧‧磊晶半導體區
42A、42B‧‧‧磊晶區
44‧‧‧源極/汲極區
46‧‧‧接觸蝕刻停止層
48‧‧‧層間介電層
50‧‧‧取代閘極堆疊結構
52‧‧‧閘極介電質
54‧‧‧閘極
56‧‧‧硬罩幕
60‧‧‧真空室
61‧‧‧沉積工具
62‧‧‧晶圓座
64‧‧‧金屬矽化物層
66‧‧‧金屬層
68‧‧‧金屬矽氮化物層
70‧‧‧接觸蝕刻停止層
72‧‧‧層間介電層
74‧‧‧接觸插塞
76‧‧‧鰭式場效電晶體
78‧‧‧接觸開口
80‧‧‧金屬氮化物層
82‧‧‧填充金屬
200‧‧‧製程流程
202、204、206、208、210、212、214、216、218、220、 222‧‧‧步驟
T1、T1’、T2、T3‧‧‧厚度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第2圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第3圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第4圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第5圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第6A圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第6B圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第6C圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第6D圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第6E圖是根據一些實施例的一電晶體及接觸結構的形成 中的一中間階段的一剖面圖。
第7A圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第7B圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第7C圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第7D圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第7E圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第8A圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第8B圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第8C圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第8D圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第8E圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第9A圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第9B圖是根據一些實施例的一電晶體及接觸結構的形成 中的一中間階段的一剖面圖。
第9C圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第9D圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第9E圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第10A圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第10B圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第10C圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第10D圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第10E圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第11圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第12圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一透視圖。
第13圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第14圖是根據一些實施例的一電晶體及接觸結構的形成 中的一中間階段的一剖面圖。
第15圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第16圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第17圖是根據一些實施例的一電晶體及接觸結構的形成中的一中間階段的一剖面圖。
第18圖是顯示根據一些實施例之在一真空室進行一晶圓的製程的示意圖。
第19圖是顯示一製程流程圖,其用以形成根據一些實施例的一電晶體及接觸結構。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個組件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包含上述第一構件與上述第二構件是直接接觸的實施例,亦可能包含了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...之下”、“下 方”、“較低的”、“在...之上”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或構件與另一個(些)元件或構件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
根據各種例示的實施例,提供具有接觸結構的電晶體及其形成方法。在形成上述電晶體中的中間階段是根據一些實施例而敘述,並會討論一些實施例的變化。在整個圖示與敘述的實施例中,類似的元件符號是用來代表類似的元件。
第1~10E圖是根據本揭露的一些實施例的一電晶體的形成中的中間階段的剖面圖。示於第1~10E圖的步驟亦示意性地反映於示於第19圖的製程流程。
第1圖顯示一初始結構的一透視圖。上述初始結構包括晶圓10,晶圓10更包括基底20。基底20可以是一半導體基底,上述半導體基底可以是一矽基底、一矽鍺(silicon germanium)基底或以其他半導體材料形成的基底。基底20可鉍摻雜有p型或n型不純物。形成例如淺溝槽隔離(Shallow Trench Isolation;STI)區等的隔離區22,其從基底20的一頂表面延伸進入基底20。基底20之位於相鄰的淺溝槽隔離區22之間的部分,稱之為半導體條24。根據本揭露的一些實施例,半導體條24是原始的基底20的一部分,因此半導體條24的材料是與基底20的材料相同。
根據本揭露的替代性的實施例,半導體條24是取代式的條狀物,其是藉由以下製程形成:對基底20之位於相鄰的淺溝槽隔離區22之間的部分進行蝕刻以形成凹部;以及施行一磊晶步驟以在上述凹部中成長另一種半導體材料。因此,半導體條24是以不同於基底20的一半導體材料形成。根據一些實施例,半導體條24是以矽鍺、矽碳(silicon carbon)或一化合物半導體材料形成。根據本揭露的一些實施例,半導體條24的部分24A是以不同於底部24B的材料之一半導體材料取代。例如,可以以矽鍺、矽碳或其同類材料來形成部分24A;底部24B則是原始的基底20的一部分,且是以與其以下的基底20的塊狀部分(bulk portions)的材料相同的半導體材料(例如:矽)所形成。
淺溝槽隔離區22可包括一襯墊氧化物(未繪示),上述襯墊氧化物可以是通過對於基底20的一表面層的熱氧化而形成的一熱氧化物。上述襯墊氧化物亦可以是一沉積的氧化矽層,上述沉積的氧化矽層是使用例如原子層沉積(Atomic Layer Deposition;ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition;HDPCVD)或化學氣相沉積(Plasma Chemical Vapor Deposition;CVD)所形成。淺溝槽隔離區22亦可包括在上述襯墊氧化物的上方的一介電材料,且可使用流動式化學氣相沉積(Flowable Chemical Vapor Deposition;FCVD)、旋轉塗布法(spin-on)或其類似方法所形成。
使淺溝槽隔離區22凹下,所以半導體條24的某種程度的頂部24’會突出而高於淺溝槽隔離區22的留下來的部分的頂表面22A。對應的步驟是繪示於在第19圖所示的製程流程 200中的步驟202。在本說明書全文,頂部24’亦被稱為半導體鰭狀物24’。上述蝕刻可使用一乾蝕刻製程來施行,其中是使用HF3與NH3的混合物作為蝕刻氣體。在上述蝕刻製程的過程中,可產生電漿。氬亦可包含於上述蝕刻氣體。根據本揭露的替代性的實施例,淺溝槽隔離區22的凹下是使用一溼蝕刻製程來施行,其蝕刻劑可包括例如HF。
請參考第2圖,形成虛置(dummy)閘極堆疊結構30。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟204。虛置閘極堆疊結構30的形成包括:形成虛置閘極介電層32;以及在虛置閘極介電層32的上方形成一虛置閘極層。將上述虛置閘極層圖形化,以形成虛置閘極34。在本說明書全文,會將虛置閘極34與位於其下的部分的虛置閘極介電層32合稱為虛置閘極堆疊結構30。例如,可使用複晶矽來形成虛置閘極34,且其他材料亦可用來形成虛置閘極34。虛置閘極堆疊結構30可包括一或多個罩幕層(未繪示),上述罩幕層可以以氮化矽、氧化矽、碳氮化矽或上述的多層結構來形成。虛置閘極堆疊結構30可以與單一或複數個突出的半導體鰭狀物24’及/或淺溝槽隔離區22相交於其上方。虛置閘極堆疊結構30的縱向亦正交於突出的半導體鰭狀物24’的縱向。在上述虛置閘極層的圖形化之後,曝露出虛置閘極介電層32,且虛置閘極介電層32仍覆蓋突出的半導體鰭狀物24’的側壁與頂表面。
接下來,如第3圖所示,施行一蝕刻步驟,並移除虛置閘極介電層32之被曝露的部分。將閘極間隔物38形成在虛置閘極堆疊結構30的側壁上。根據本揭露的一些實施例,是以例如氮化矽、碳氮化矽或其同類材料等的一介電材料來形成閘極間隔物38,且閘極間隔物38可具有一單層結構或包括複數個介電層的一多層結構。
接下來,形成複數個源極/汲極區。根據本揭露的一些實施例,如第4圖所示,是將上述源極/汲極區形成為披覆式的源極/汲極區,其中將磊晶半導體區42(包括磊晶區42A與42B)磊晶成長在曝露的突出的半導體鰭狀物24’上。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟206。磊晶區42A與42B是代表用以形成不同形式的鰭式場效電晶體的磊晶區。取決於所形成的鰭式場效電晶體是一p型鰭式場效電晶體或一n型鰭式場效電晶體,可在進行上述磊晶步驟的過程中,臨場(in-situ)摻雜p型或n型不純物。例如,磊晶區42A可包括矽鍺硼(silicon germanium boron;SiGeB)且所形成的鰭式場效電晶體為一p型鰭式場效電晶體;磊晶區42A與42B可包括矽磷(silicon phosphorous;SiP)或矽碳磷(silicon carbon phosphorous;SiCP)且所形成的鰭式場效電晶體為一n型鰭式場效電晶體。根據本揭露的替代性的實施例,是以例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述之組合或上述多層結構等的III-V族化合物半導體來形成磊晶半導體區42。當以不同材料來形成磊晶區42A與42B時,其是在不同的磊晶步驟形成,且使用對應的罩幕(未繪示),以使發生於磊晶區42A與42B中的一個的磊晶不會發生在另一個。
根據替代性的實施例,不是在突出的半導體鰭狀 物24’上直接成長磊晶半導體區,而是施行一蝕刻步驟(其後,稱為源極/汲極的凹下),以移除突出的半導體鰭狀物24’之未被虛置閘極堆疊結構30與閘極間隔物38覆蓋的部分,因此而形成複數個凹部。然後,從上述凹部成長磊晶半導體區42。將所形成的例示的磊晶半導體區42示於第6E圖。
施行一或多個佈植步驟,以將例如硼或磷的所欲的p型或n型不純物佈植到突出的半導體鰭狀物24’與磊晶區42A、42B中。突出的半導體鰭狀物24’與對應的磊晶區42A、42B,是合稱為源極/汲極區44。根據本揭露的替代性的實施例,當已以臨場摻雜而對磊晶半導體區42植入p型或n型不純物時,可跳過上述佈植步驟。
第5圖顯示形成接觸蝕刻停止層(Contact Etch Stop Layer;CESL)46與層間介電層(Inter-Layer Dielectric;ILD)48之後的結構的一透視圖。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟208。根據本揭露的一些實施例,可不形成接觸蝕刻停止層46,在形成接觸蝕刻停止層46時,則可以以氮化矽、碳氮化矽或其同類材料來形成。根據本揭露的一些實施例,在接觸蝕刻停止層46之內不含氧。可使用例如原子層沉積或化學氣相沉積等的一共形(conformal)沉積的方法,來形成接觸蝕刻停止層46。層間介電層48可包含一介電材料,其形成可使用例如流動式化學氣相沉積、旋轉塗布法、化學氣相沉積或其他沉積方法。亦可以一含氧的介電材料來形成層間介電層48,上述含氧的介電材料可以是四乙氧基矽烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、電漿增益化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)的氧化物(SiO2)、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)或其同類材料。可施行例如化學機械研磨(Chemical Mechanical Polish;CMP)或機械研磨(mechanical grinding)等的平坦化步驟,以將層間介電層48、虛置閘極堆疊結構30(第4圖)以及閘極間隔物38的頂表面彼此齊平。
在接觸蝕刻停止層46與層間介電層48的形成之後,如第5圖所示,以取代閘極堆疊結構50來取代如第4圖所示的虛置閘極堆疊結構30。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟210。取代閘極堆疊結構50的形成,包括:施行蝕刻步驟,以移除虛置閘極堆疊結構30(第4圖);形成一或多個閘極介電層;沉積例如複數個金屬層等的複數個導體層;以及施行例如化學機械研磨或機械研磨等的平坦化步驟,以移除上述閘極介電層與上述金屬層的多餘部分。如第5圖所示,所形成的取代閘極堆疊結構50包括閘極介電質52與閘極54。
根據本揭露的一些實施例,閘極介電質52包括一界面層(Interfacial Layer;IL;未分開顯示)作為其下部。上述界面層是形成在突出的半導體鰭狀物24’的表面上。上述界面層可包括例如氧化矽層的一氧化物層,其是通過突出的半導體鰭狀物24’的熱氧化、一化學性氧化製程或一沉積製程而形成。閘極介電質52亦可包括在上述界面層的上方的一高介電常數介電層(未分開顯示)。上述高介電常數介電層包括例如氧化 鉿、氧化鑭、氧化鋁、氧化鋯、氮化矽或其同類材料等的一高介電常數介電材料。上述高介電常數介電材料的介電常數(k值)是大於3.9,且可大於約7.0。上述高介電常數介電層是被形成為一共形層,並延伸到突出的半導體鰭狀物24’的側壁上與閘極間隔物38的側壁上。根據本揭露的一些實施例,是此用原子層沉積或化學氣相沉積來形成上述高介電常數介電層。
閘極54可包括一擴散阻障層以及在上述擴散阻障層上方的一(或多個)功函數層。上述擴散阻障層可以以氮化鈦(TiN)形成,上述氮化鈦可摻雜(或可未摻雜)有矽。上述功函數層決定閘極的功函數,並包括至少一層或以不同材料形成的複數個層。上述功函數層的材料,是根據所形成的鰭式場效電晶體是一p型鰭式場效電晶體或一n型鰭式場效電晶體而選擇。例如,當上述鰭式場效電晶體是一n型鰭式場效電晶體,上述功函數層可包括一氮化鉭層與在上述氮化鉭層的上方的一鈦鋁(TiAl)層。當上述鰭式場效電晶體是一p型鰭式場效電晶體,上述功函數層可包括一氮化鉭層、在上述氮化鉭層的上方的一氮化鈦層與在上述氮化鈦層的上方的一鈦鋁層。在上述功函數層的沉積之後,形成一阻障層,其可以是另一氮化鈦層。閘極54亦可包括一填充材料,其可以以鋁、鎢或鈷來形成。
在形成取代閘極堆疊結構50之後,將取代閘極堆疊結構50凹下,之後將硬罩幕56填入所形成的凹部。硬罩幕56是以例如氮化矽等的一介電材料形成。施行一平坦化步驟,以使硬罩幕56的頂表面與層間介電層48齊平。
在形成取代閘極堆疊結構50與硬罩幕56之後,例如經由蝕刻,移除接觸蝕刻停止層46與層間介電層48。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟212。其形成的結構示於第6A圖。根據本揭露的一些實施例,是施行上述蝕刻以移除整個晶圓10中的所有的接觸蝕刻停止層46與層間介電層48。因此,在上述蝕刻中,並未形成任何罩幕來保護接觸蝕刻停止層46與層間介電層48的某些部分。隨著接觸蝕刻停止層46與層間介電層48的移除,曝露出磊晶半導體區42。
第6B、6C、6D與6E圖是顯示是於第6A圖的結構的某些部分的剖面圖。從第6A圖一直到第10E圖,各個圖號可能包含字母「A」、「B」、「C」、「D」或「E」。圖號含字母「A」是指各對應的圖式為透視圖,而字母「B」、「C」、「D」與「E」是指對應的圖式分別顯示示於其對應的透視圖的結構的剖面圖。在圖號具有字母「B」的圖式所顯示的剖面圖是從包含相同於在第6A圖的線A-A的垂直平面所獲得,其垂直平面切過半導體條24與突出的半導體鰭狀物24’。同樣地,在圖號具有字母「C」、「D」與「E」的圖式所顯示的剖面圖是從包含相同於在第6A圖的線B-B的垂直平面所獲得。此外,字母「C」、「D」與「E」是指分別反映不同實施例的圖式。
請參考第6B圖,顯示淺溝槽隔離區22(未在所繪示的平面)的頂表面22A,且突出的半導體鰭狀物24’將高於頂表面22A。源極/汲極區44的詳細結構可見於第6C、6D與6E圖,第6C、6D與6E圖是顯示關於各種實施例的源極/汲極區44的結構。
第6C圖是顯示披覆式的源極/汲極區44的剖面 圖,其中披覆式的源極/汲極區44包括在突出的半導體鰭狀物24’上成長的磊晶半導體區42。根據本揭露的一些實施例,突出的半導體鰭狀物24’是初始的基底的留下來的部分,因此突出的半導體鰭狀物24’的材料是與其下的基底20的塊狀部分的材料相同。
第6D圖是顯示披覆式的源極/汲極區44的剖面圖,其中披覆式的源極/汲極區44包括在突出的半導體鰭狀物24’上成長的磊晶半導體區42。根據本揭露的一些實施例,突出的半導體鰭狀物24’是從初始的基底再成長而成,因此突出的半導體鰭狀物24’的材料是與其下的基底20的塊狀部分的材料不同。上述再成長的半導體材料是從形成於淺溝槽隔離區22之間的凹部再成長而成,將其標示為半導體區25。
第6E圖是顯示再成長的源極/汲極區44的剖面圖,其中再成長的源極/汲極區44包括從蝕刻突出的半導體鰭狀物之後所形成的凹部成長的磊晶半導體區42。所形成的源極/汲極區44可包括刻面(facet)。根據本揭露的一些實施例,是形成取代式的鰭狀物,如所顯示的半導體區25,再一次將其凹下。因此,磊晶半導體區42是從半導體區25成長而成。
從第7A圖一直到第9E圖,是顯示根據一些實施例的源極/汲極矽化物區與金屬氮化物的形成中的一系列的透視圖與剖面圖。從第7A圖一直到第9E圖顯示的步驟可在例如一沉積工具等的相同的生產工具施行,且可以在上述生產工具中的相同的真空環境施行。例如,第18圖示意性地顯示沉積工具61中的真空室60。可將真空室60抽真空,以提供一真空環境。晶圓座(chuck)62是位於真空室60中。將晶圓10置於晶圓座62上,以施行從第7A圖一直到第9E圖顯示的步驟。從第7A圖一直到第9E圖顯示的步驟,可以在從第7A圖所示的步驟的開始時間到從第9A圖所示的步驟的結束時間為止的期間不破真空的狀態下施行。藉由在這些製程步驟的過程中維持真空,例如半導體區與金屬區等的被曝露的構件就不會受到氧化。因此,不需要移除(不會存在的)氧化物。
在將晶圓10置入上述生產工具(第18圖)之後,藉由對第18圖中的真空室60(或是包括共享一共同的真空環境的複數個真空室的一真空群集系統)抽真空,形成一真空環境。然後,施行一清潔步驟,可將其稱為臨場清潔。上述林場清潔步驟移除示於第6A、6B、6C、6D與6E圖的源極/汲極區44的表面上所形成的不需要的氧化物。上述被移除的氧化物可以是氧化矽、氧化矽鍺(silicon-germanium oxide)或其同類物質,取決於源極/汲極區44的材料。根據本揭露的一些實施例,上述清潔是使用包括NF3與NH3的製程氣體的混合物或HF與NH3的混合物來施行。
在上述清潔步驟之後,在與上述清潔步驟相同的真空環境,施行一臨場的選擇式沉積。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟214。因此,在上述清潔之後,在源極/汲極區44的表面上並未產生新的氧化物。所形成的結構是示於第7A、7B、7C、7D與7E圖。根據本揭露的一些實施例,是使用包括一金屬鹵化物(例如:TiCl4)與氫(H2)的製程氣體來施行上述臨場的選擇式沉積。根據本揭露的一些實施 例,TiCl4的流速是在約5sccm與約15sccm之間的範圍,而氫的流速是在約30sccm與約70sccm之間的範圍。功率可以在約200與約500瓦特之間的範圍,沉積溫度可以在約400℃與約500℃之間的範圍。上述選擇式沉積可持續約40秒與約60秒之間,取決於所需要的沉積層的厚度。在上述選擇式沉積的過程中,將電漿開啟。
由於沉積在源極/汲極區44上的是金屬矽化物層64,其是沉積金屬以及上述金屬與源極/汲極區44的表面層的矽化反應的結果,所以上述選擇式的沉積具有選擇性。這是因為包括較高的沉積溫度與適當的沉積速率的適當的製程條件的結果。
另一方面,在包括閘極間隔物38、硬罩幕56與淺溝槽隔離區22的介電層的表面上,形成一金屬層(例如:鈦層)66,其未被矽化。金屬矽化物層64的形成與金屬層66的形成是一併發生。根據本揭露的一些實施例,金屬矽化物層64具有在約2nm與約8nm之間的範圍的厚度T1,而金屬層66的厚度T2是在約0.5nm與約5nm之間的範圍。形成方法包括原子層沉積(Atomic Layer Deposition;ALD)、化學氣相沉積(Chemical Vapor Deposition;CVD)或其同類方法。
第7B、7C、7D與7E圖顯示根據各種實施例的源極/汲極區44與金屬矽化物層64的剖面圖。金屬矽化物層64是形成在源極/汲極區44的頂表面上與側壁上。金屬矽化物層64的形狀是取決於其下的源極/汲極區44。在淺溝槽隔離區22的頂表面上,可能會形成有小且薄的部分的金屬層66。金屬層66(鈦)的這些部分的厚度並不均勻。
在上述臨場的選擇性沉積之後,在與上述臨場的選擇性沉積相同的真空環境,施行一臨場的選擇性蝕刻步驟。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟216。所形成的結構是示於第8A、8B、8C、8D與8E圖。根據本揭露的一些實施例,是使用包括一金屬鹵化物(例如:TiCl4)、氫(H2)與氬的蝕刻氣體來施行上述臨場的選擇性蝕刻步驟。要注意的是,如果是以鈦以外的其他金屬來形成金屬層66,在上述蝕刻氣體中的金屬鹵化物可換成不同的鹵化物(或是金屬鹵化物)。例如,根據一些實施例,可使用氯化氫。根據本揭露的一些實施例,TiCl4的流速是在約20sccm與約30sccm之間的範圍,氫的流速是在約1100sccm與約1500sccm之間的範圍,而氬的流速是在約1100sccm與約1500sccm之間的範圍。晶圓10是在上述選擇性蝕刻的過程中被加熱,晶圓10的溫度可以在約400℃與約500℃之間的範圍。在上述選擇式蝕刻的過程中,將電漿關閉。
在上述選擇式蝕刻的過程中,如第7A與7B圖所示的金屬層66被蝕刻;另一方面,金屬矽化物層64則未被蝕刻。其結果,再一次曝露出閘極間隔物38與硬罩幕56。
根據一些實施例,用於上述選擇性沉積與上述選擇性蝕刻的製程氣體為共通。例如,TiCl4與氫在上述選擇性沉積與上述選擇性蝕刻都可使用。根據這些實施例,可以開啟電漿而造成上述選擇性沉積,但可以關閉電將而造成上述選擇性蝕刻。同樣地,例如製程氣體的流速等的製程條件,則在上述 選擇性沉積與上述選擇性蝕刻之間改變。
第8B、8C、8D與8E圖顯示源極/汲極區44與金屬矽化物層64的剖面圖。根據本揭露的一些實施例,在淺溝槽隔離區22的頂表面上的所有的金屬層66都被移除。根據替代性的實施例,金屬層66的較厚部分(請參考第7C、7D與7E圖)可能會有某些殘餘的部分留在淺溝槽隔離區22的頂表面上。然而,上述殘留的部分並不連續,因此將不影響所形成的鰭式場效電晶體的電性表現。
在上述選擇性蝕刻之後,在與上述選擇性蝕刻步驟相同的真空環境,施行一臨場的氮化。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟218。所形成的結構是示於第9A、9B、9C、9D與9E圖。根據本揭露的一些實施例,是使用例如氨(NH3)等的一含氮的製程氣體來施行上述臨場的氮化。根據本揭露的一些實施例,氨的流速是在約3000sccm與約5000sccm之間的範圍。功率可以在約400與約600瓦特之間的範圍,沉積溫度可以在約400℃與約500℃之間的範圍。上述氮化可持續約15秒與約25秒之間,取決於所需要的氮化物層的厚度與金屬矽化物層64的厚度。
上述選擇性的氮化,造成金屬矽化物層64的一頂表面層被氮化而形成一金屬矽氮化物層68,其可以是一鈦矽氮化物(titanium silicon nitride;TiSiN)層。金屬矽化物層64的底層則維持未被氮化的狀態,且不含氮。根據本揭露的一些實施例,留下來的金屬矽化物層64具有在約2nm與約7nm之間的範圍的厚度T1’,而鈦矽氮化物層的厚度T3是在約1nm與約3nm 之間的範圍。觀察到由於鈦矽氮化物層是藉由將金屬矽化物層64氮化而形成,金屬矽氮化物層68是形成在金屬矽化物層64上,但未形成在例如淺溝槽隔離區22、閘極間隔物38與硬罩幕56等的介電材料上。
第9B、9C、9D與9E圖顯示根據各種實施例的源極/汲極區44、金屬矽化物層64與金屬矽氮化物層68的剖面圖。如第9B、9C、9D與9E圖所示,金屬矽氮化物層68覆蓋在金屬矽化物層64的周圍。
第10A、10B、10C、10D與10E圖顯示接觸蝕刻停止層70、層間介電層72與接觸插塞74的形成。對應的步驟是繪示於在第19圖所示的製程流程圖中的步驟220與222。因此,形成鰭式場效電晶體76。層間介電層72與接觸蝕刻停止層70的形成可包括:在整個晶圓10形成一地毯式的接觸蝕刻停止層,其延伸至閘極間隔物38之間的間隙內;以層間介電層72填入上述剩下來的間隙;以及施行例如化學機械研磨或機械研磨等的平坦化步驟。接觸蝕刻停止層70可以以選自用以形成接觸蝕刻停止層46(第5圖)的候選材料的相同族群的一材料來形成,且層間介電層72可以以選自用以形成層間介電層48(第5圖)的候選材料的相同族群的一材料來形成。接觸蝕刻停止層70是一共形層,且可通過例如原子層沉積來形成。因此,接觸蝕刻停止層70覆蓋在上述間隙中的所有的曝露的表面的周圍。
然後,對層間介電層72與接觸蝕刻停止層70進行蝕刻,以形成複數個接觸開口(被如第10A、10B、10C、10D與10E圖所示的接觸插塞74填入)。因此,將金屬矽氮化物層68曝 露於上述接觸開口。接下來,以一導體材料填入上述接觸開口,以形成複數個接觸插塞74。根據本揭露的一些實施例,接觸插塞74的形成包括:地毯式地沉積延伸至上述接觸開口內的一共層的阻障層(未分開顯示);以及在上述阻障層的上方沉積一金屬材料,上述金屬材料並填入留下的接觸開口。上述阻障層可以以氮化鈦或氮化鉭來形成。上述金屬材料可以以鈷、鎢、鋁或其同類材料來形成。然後,施行一平坦化步驟,以移除上述阻障層與上述金屬材料的多餘部分。根據替代性的實施例,接觸插塞74包括例如鈷、鎢、鋁等的金屬材料,但不包括上述阻障層。
第10B、10C、10D與10E圖顯示根據一些實施例之接觸蝕刻停止層70、層間介電層72與接觸插塞74的剖面圖。如第10B、10C、10D與10E圖所示,金屬矽化物層64與接觸插塞74分別圍繞在源極/汲極區44的周圍,而接觸插塞74則與對應的金屬矽氮化物層68的某些部分而非全部的頂表面接觸。
在第1圖一直到第10E圖所示的實施例中,是在形成接觸蝕刻停止層70與層間介電層72之前,形成金屬矽化物層64與金屬矽氮化物層68。另一方面,接觸蝕刻停止層46與層間介電層48(第5圖)是被移出最終結構的犧牲層。根據替代性的實施例,可以在形成留在最終結構的接觸蝕刻停止層46與層間介電層48之後,形成金屬矽化物層64與金屬矽氮化物層68。第11至16圖是根據這些實施例的一電晶體的形成中的一中間階段的透視圖與剖面圖。除非有特定的其他方式,在這些實施例的構件的材料與形成方法,是本質上相同於在第1圖一直到第10E圖所示的實施例之以類似的元件符號代表的類似的構件。因此,關於在第11至16圖所示的構件的形成方法與材料的細節,可以查閱對於在第1圖一直到第10E圖所示的實施例之討論。
這些實施例的初始步驟是本質上與第1至5圖所示者相同。第11圖顯示一所形成的結構(第5圖所示的相同結構)作為一例,其中形成接觸蝕刻停止層46與層間介電層48來覆蓋源極/汲極區44。可以理解的是,源極/汲極區44可具有各種結構,例如如第6C、6D與6E圖所示者。接下來,請參考第12圖,藉由對層間介電層48與接觸蝕刻停止層46進行蝕刻而形成複數個接觸開口78。因此,曝露出源極/汲極區44。
根據本揭露的替代性的實施例,施行一凹下步驟以對突出的半導體鰭狀物24’進行蝕刻,而不使源極/汲極區44成長至高於突出的半導體鰭狀物24’的水平。虛線27示意性地顯示凹下的半導體鰭狀物24’的頂表面。對於凹下的半導體鰭狀物24’施行一佈植步驟,以形成凹下的源極/汲極區44。根據這些實施例,未形成磊晶半導體區42。
第13至17圖顯示根據一些實施例的選擇性沉積、選擇性蝕刻與選擇性氮化中的中間階段的剖面圖。根據本揭露的一些實施例,示於第13至15圖(並可再加上第16圖)的製程步驟是在例如如第18圖所示的真空室等的相同的真空環境中施行,且在用以施行這些製程步驟的整個期間,未作破真空。要注意的是,第13至17圖顯示在與包含第12圖的線A’-A’的垂直平面相同的垂直平面的剖面圖。在垂直平面B’-B’(第12圖)的剖面圖會與在第7C/7D/7E圖、第8C/8D/8E圖與第9C/9D/9E圖所示 的結構相似,因此不重複繪示。
請參考第13圖,施行一臨場的沉積,以在源極/汲極區44的曝露的表面上,同時形成金屬矽化物層64(其可以是一矽化鈦層)。根據一些實施例,如第12與13圖所示,磊晶半導體區42的磊晶步驟造成金屬矽化物層64的頂表面高於突出的半導體鰭狀物24’的頂表面。
根據未形成磊晶半導體區42(第12圖)的實施例,將突出的半導體鰭狀物24’凹下至虛線27所示的水平(第12圖),金屬矽化物層64的形狀會與以虛線67所繪示的區域的形狀相似,且金屬層66會進一步向下延伸至閘極間隔物38的底端。
接下來,施行上述臨場的選擇性蝕刻,因此對金屬層66進行蝕刻,留下金屬矽化物層64,所形成的結構是顯示於在第14圖。第15圖是顯示上述臨場的選擇性氮化,以形成金屬矽氮化物層68。根據本揭露的一些實施例,施行一退火步驟,以使上述金屬矽化物的相發生改變,因此減少所形成的金屬矽化物層64的電阻。上述退火步驟亦可以在用於上述選擇性沉積、上述選擇性蝕刻與上述選擇性氮化的相同的真空室臨場施行。
在上述退火步驟之後,如第16圖所示,沉積一金屬氮化物層80,金屬氮化物層80可以是一氮化矽層。根據本揭露的一些實施例,上述沉積是在用於上述選擇性沉積、上述選擇性蝕刻、上述選擇性氮化與上述退火步驟的相同的製程室(期間未作任何破真空)臨場施行。根據本揭露的其他實施例,金屬氮化物層80的沉積是在一破真空步驟之後施行,且在一不同的製程室施行。金屬氮化物層80是共形層,並延伸至相鄰的閘極堆疊結構之間的間隙內。金屬氮化物層80的底表面接觸金屬矽氮化物層68。
第17圖顯示以一填充金屬82填入留下來的間隙以及用以移除填充金屬82與金屬氮化物層80的多餘部分的一平坦化步驟。填充金屬82與金屬氮化物層80是一起被稱作是一接觸插塞,且具有如第10A、10B、10C、10D與10E圖所示者的一相似形狀。
本揭露的實施例具有一些有益的特徵。在傳統的矽化物形成製程中,是先沉積一金屬層,後接一退火製程來形成矽化物,其中上述金屬層的一些部分與源極/汲極區反應而形成矽化物。然後,將上述金屬層的未反應部分移除,其可包括使用過氧化物的溼蝕刻。這樣造成上述金屬層的某些部分將會被氧化,在形成一金屬矽化物層之前,需要移除所形成的氧化物。然而,上述氧化物的移除會造成金屬矽化物的損失,且特別因為上述金屬矽化物通常是富金屬的金屬矽化物,因此上述金屬矽化物的性質為接近金屬。然而,根據本揭露的一些實施例,藉由使用臨場施行的上述選擇性沉積、上述選擇性蝕刻與上述選擇性氮化,並未在上述金屬矽化物上發生氧化,且不需要移除氧化物。因此,避免了由移除氧化物導致的上述金屬矽化物的損失。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:形成一源極/汲極區;以及在一真空室或一真空群集系統中,施行一選擇性的沉積以在上述源極/汲極區上 形成一金屬矽化物層並在相鄰於上述源極/汲極區的介電質區域上形成一金屬層。上述方法更包括在上述真空室中,選擇性地蝕刻上述金屬層;以及選擇性地在上述金屬矽化物層上形成一金屬氮化物層。選擇性地形成上述金屬氮化物層是在未破真空的狀態下在上述真空室或或一真空群集系統中施行。在一實施例中,上述選擇性的沉積與選擇性地蝕刻上述金屬層是在其間並未破真空的情況下臨場(in-situ)施行。在一實施例中,選擇性地蝕刻上述金屬層與選擇性地形成上述金屬氮化物層是在其間並未破真空的情況下臨場施行。在一實施例中,上述金屬矽化物層與上述金屬層是同時使用相同的製程氣體而形成。在一實施例中,選擇性地形成上述金屬氮化物層,包含對上述金屬矽化物層的一表面層進行氮化。在一實施例中,上述選擇性的沉積是使用包含一金屬鹵化物的製程氣體來施行。在一實施例中,上述選擇性地蝕刻是使用包含一金屬鹵化物的製程氣體來施行。在一實施例中,上述選擇性的沉積是在約400℃與約500℃之間的一高溫下施行。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在上述半導體鰭狀物的一第二部分上,磊晶成長一半導體材料;在一真空室中,同時形成一金屬層與一金屬矽化物層,其中上述金屬矽化物層是形成在上述半導體材料上;在未破真空的情況下,移除上述金屬層;在未破真空的情況下,在上述金屬矽化物層上,形成一金屬矽氮化物層;形成一第一接觸蝕刻停止層,其覆蓋上述金屬矽氮化物層;以及在上述第一接觸蝕刻停止層的上方,形成一第一層間介電質。在一實施例中,上述方法更包含:在同時形成上述金屬層與上述金屬矽化物層之前,形成一第二接觸蝕刻停止層與一第二層間介電質而覆蓋上述半導體材料;以及移除在上述半導體鰭狀物的上述第一部分的上方的一虛設(dummy)閘極堆疊結構,其中上述閘極堆疊結構式形成在由上述虛設閘極堆疊結構留下來的一凹部中。在一實施例中,同時形成上述金屬層與上述金屬矽化物層,是使用包含TiCl4的製程氣體來施行。在一實施例中,移除上述金屬層是使用包含TiCl4的額外的製程氣體來施行。在一實施例中,上述方法更包含:對上述第一接觸蝕刻停止層與上述第一層間介電質進行蝕刻,以形成一接觸開口;以及以一接觸插塞填充上述接觸開口。在一實施例中,上述金屬層與上述金屬矽化物層分別包含一鈦層與一矽化鈦層。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在上述半導體鰭狀物的一第二部分的上方,成長一半導體材料;使用一第一製程氣體來同時形成一金屬層與一金屬矽化物層,其中上述金屬矽化物層是形成在上述半導體材料上;使用一第二製程氣體來移除上述金屬層,其中上述第一製程氣體與上述第二製程氣體都包含一鹵化物;以及使用一第三製程氣體而在上述金屬矽化物層上形成一金屬氮化物層。在一實施例中,上述第一製程氣體與上述第二製程氣體各包含一金屬鹵化物。在一實施例中,上述第一製程氣體與上述第二製程氣體包含相同的金屬鹵化物。在一實施例中,上述金 屬層包含鈦,而上述第一製程氣體與上述第二製程氣體都包含TiCl4。在一實施例中,形成上述金屬氮化物層包含將上述金屬矽化物層的一表面層轉換成一金屬矽氮化物層。在一實施例中,同時形成上述金屬層與上述金屬矽化物層、移除上述金屬層以及形成上述金屬矽化物層是在相同的製程室中施行。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一虛置閘極堆疊結構;在上述虛置閘極堆疊結構的一側壁上,形成一閘極間隔物;在上述半導體鰭狀物的一第二部分上,成長一半導體材料;形成一第一層間介電質,以覆蓋上述半導體材料;以一取代閘極堆疊結構來置換上述虛置閘極堆疊結構;移除上述第一層間介電質,以重新曝露出上述半導體材料;在一真空室中,清潔上述半導體材料;在上述真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述金屬矽化物層的上方,形成一金屬矽氮化物層,其中在完成上述金屬矽氮化物層的形成時,上述金屬矽化物層的一金屬未延伸到上述閘極間隔物上。在一實施例中,在形成上述金屬矽化物層的同時,一金屬層室形成在上述閘極間隔物上。在一實施例中,上述方法更包括:在上述真空室中,選擇性地蝕刻上述金屬層。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一第一部分的上方,形成一虛置閘極堆疊結構;在上述虛置閘極堆疊結構的一側壁上,形成一閘極間隔物;在上述半導體鰭狀物的一第二部分上,成 長一半導體材料;形成一第一層間介電質,以覆蓋上述半導體材料;以一取代閘極堆疊結構來置換上述虛置閘極堆疊結構;移除上述第一層間介電質,以重新曝露出上述半導體材料;在一真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述真空室中,在上述金屬矽化物層的上方,形成一金屬矽氮化物層,其中在選擇性地形成上述金屬矽化物層與形成上述金屬矽氮化物層之間,未發生破真空。在一實施例中,選擇性地形成上述金屬矽化物層與形成上述金屬矽氮化物層,是使用相同的鹵化物作為製程氣體而施行。在一實施例中,選擇性地形成上述金屬矽化物層與形成上述金屬矽氮化物層,是使用TiCl4作為製程氣體而施行。
根據本揭露的一些實施例,一種半導體裝置的製造方法,包括:在一半導體鰭狀物的一部分上,成長一半導體材料;形成一層間介電質,以覆蓋上述半導體材料;移除上述層間介電質,以重新曝露出上述半導體材料;在一真空室中,選擇性地在上述半導體材料上形成一金屬矽化物層;以及在上述真空室中,對於上述金屬矽化物層的一表面層進行氮化,以形成一金屬矽氮化物層。在一實施例中,上述方法更包括:在形成上述層間介電質與移除上述層間介電質之間,一取代閘極堆疊結構來置換在上述半導體鰭狀物的上述部分上的一虛置閘極堆疊結構。在一實施例中,在選擇性地形成上述金屬矽化物層與對於上述金屬矽化物層的上述表面層進行氮化之間,未發生破真空。在一實施例中,當形成上述金屬矽化物層時,在相鄰於上述半導體材料的介電材料上,形成一金屬層。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (12)

  1. 一種半導體裝置的製造方法,包含:形成一源極/汲極區;在一真空室中,施行一選擇性的沉積以在該源極/汲極區上形成一金屬矽化物層並在相鄰於該源極/汲極區的介電質區域上形成一金屬層,其中該選擇性的沉積是使用包含一金屬鹵化物與氫(H2)的製程氣體來施行;在該真空室中,選擇性地蝕刻該金屬層;以及選擇性地在該金屬矽化物層上形成一金屬氮化物層,其中選擇性地形成該金屬氮化物層是在該真空室中施行。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包含以下形成條件的至少一種:該選擇性的沉積與選擇性地蝕刻該金屬層是在其間並未破真空的情況下臨場(in-situ)施行;以及選擇性地蝕刻該金屬層與選擇性地形成該金屬氮化物層是在其間並未破真空的情況下臨場施行。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中選擇性地形成該金屬氮化物層,包含對該金屬矽化物層的一表面層進行氮化。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該選擇性地蝕刻是使用包含一金屬鹵化物的製程氣體來施行。
  5. 一種半導體裝置的製造方法,包含:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在該半導體鰭狀物的一第二部分上,磊晶成長一半導體材料;在一真空室中,同時形成一金屬層與一金屬矽化物層,其中該金屬矽化物層是形成在該半導體材料上;在未破真空的情況下,移除該金屬層;在未破真空的情況下,在該金屬矽化物層上,形成一金屬矽氮化物層;形成一第一接觸蝕刻停止層(first Contact Etch Stop Layer;CESL),其覆蓋該金屬矽氮化物層;以及在該第一接觸蝕刻停止層的上方,形成一第一層間介電質。
  6. 如申請專利範圍第5項所述之半導體裝置的製造方法,更包含:在同時形成該金屬層與該金屬矽化物層之前,形成一第二接觸蝕刻停止層與一第二層間介電質而覆蓋該半導體材料;以及移除在該半導體鰭狀物的該第一部分的上方的一虛設(dummy)閘極堆疊結構,其中該閘極堆疊結構式形成在由該虛設閘極堆疊結構留下來的一凹部中。
  7. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中同時形成該金屬層與該金屬矽化物層,是使用包含TiCl4的製程氣體來施行,移除該金屬層是使用包含TiCl4的額外的製程氣體來施行。
  8. 如申請專利範圍第5項所述之半導體裝置的製造方法,更包含:對該第一接觸蝕刻停止層與該第一層間介電質進行蝕刻,以形成一接觸開口;以及以一接觸插塞填充該接觸開口。
  9. 一種半導體裝置的製造方法,包含:在一半導體鰭狀物的一第一部分的上方,形成一閘極堆疊結構;在該半導體鰭狀物的一第二部分的上方,成長一半導體材料;使用一第一製程氣體來同時形成一金屬層與一金屬矽化物層,其中該金屬矽化物層是形成在該半導體材料上;使用一第二製程氣體來移除該金屬層,其中該第一製程氣體與該第二製程氣體都包含一鹵化物;以及使用一第三製程氣體而在該金屬矽化物層上形成一金屬氮化物層。
  10. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中該第一製程氣體與該第二製程氣體各包含一金屬鹵化物;以及該第一製程氣體與該第二製程氣體包含相同的金屬鹵化物。
  11. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中形成該金屬氮化物層包含將該金屬矽化物層的一表面層轉換成一金屬矽氮化物層。
  12. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中同時形成該金屬層與該金屬矽化物層、移除該金屬層以及形成該金屬矽化物層是在相同的製程室中施行。
TW106135914A 2017-08-31 2017-10-19 半導體裝置的製造方法 TWI674650B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691,871 US10475654B2 (en) 2017-08-31 2017-08-31 Wrap-around contact plug and method manufacturing same
US15/691,871 2017-08-31

Publications (2)

Publication Number Publication Date
TW201913885A TW201913885A (zh) 2019-04-01
TWI674650B true TWI674650B (zh) 2019-10-11

Family

ID=65320857

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135914A TWI674650B (zh) 2017-08-31 2017-10-19 半導體裝置的製造方法

Country Status (5)

Country Link
US (4) US10475654B2 (zh)
KR (1) KR102083604B1 (zh)
CN (1) CN109427898B (zh)
DE (1) DE102017123353B4 (zh)
TW (1) TWI674650B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269803B2 (en) 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10943829B2 (en) * 2018-10-23 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
KR20210111894A (ko) * 2019-02-08 2021-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스, 반도체 디바이스를 제조하는 방법, 및 프로세싱 시스템
KR20210122880A (ko) * 2019-02-28 2021-10-12 도쿄엘렉트론가부시키가이샤 반도체 디바이스를 위한 이중 규화물 랩-어라운드 접촉부
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US11232953B2 (en) * 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11677015B2 (en) * 2020-05-13 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11450751B2 (en) * 2020-06-18 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with backside via rail
US11810960B2 (en) * 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11563083B2 (en) * 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
KR20220049175A (ko) 2020-10-14 2022-04-21 삼성전자주식회사 반도체 장치
US20230009981A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Conductive structures and methods of formation
US20230207655A1 (en) * 2021-12-24 2023-06-29 Intel Corporation Formation of metal contacts to silicon germanium layers with etch resistive cap layers

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201712140A (zh) * 2015-08-03 2017-04-01 Asm Ip控股公司 選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法
TW201724273A (zh) * 2015-09-25 2017-07-01 英特爾股份有限公司 用於三維半導體裝置形貌之由下而上填充的技術

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
JP3129232B2 (ja) 1997-05-08 2001-01-29 日本電気株式会社 半導体装置の製造方法
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
KR20000000869A (ko) 1998-06-05 2000-01-15 김영환 반도체장치의 제조 방법
KR100407684B1 (ko) 2000-06-28 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100538806B1 (ko) 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
US7734569B2 (en) 2005-02-03 2010-06-08 Strands, Inc. Recommender system for identifying a new set of media items responsive to an input set of media items and knowledge base metrics
KR100735522B1 (ko) * 2005-11-07 2007-07-04 삼성전자주식회사 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6155719B2 (ja) * 2013-03-15 2017-07-05 セイコーエプソン株式会社 同期計測システム
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9330936B2 (en) 2013-11-09 2016-05-03 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9887129B2 (en) * 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9601586B1 (en) * 2015-11-02 2017-03-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a metal layer on source/drain regions
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9992978B2 (en) * 2016-01-05 2018-06-12 Miller Manufacturing Company Oxalic acid vaporizer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201712140A (zh) * 2015-08-03 2017-04-01 Asm Ip控股公司 選擇性地沉積材料的方法及選擇性地沉積金屬氧化物膜的方法
TW201724273A (zh) * 2015-09-25 2017-07-01 英特爾股份有限公司 用於三維半導體裝置形貌之由下而上填充的技術

Also Published As

Publication number Publication date
CN109427898B (zh) 2022-05-31
US20190067013A1 (en) 2019-02-28
US20200043738A1 (en) 2020-02-06
KR102083604B1 (ko) 2020-03-02
TW201913885A (zh) 2019-04-01
US20190109006A1 (en) 2019-04-11
CN109427898A (zh) 2019-03-05
DE102017123353A1 (de) 2019-02-28
US10468260B2 (en) 2019-11-05
US10475654B2 (en) 2019-11-12
DE102017123353B4 (de) 2022-11-03
KR20190024536A (ko) 2019-03-08
US10636664B2 (en) 2020-04-28
US20200258746A1 (en) 2020-08-13
US10879075B2 (en) 2020-12-29

Similar Documents

Publication Publication Date Title
TWI674650B (zh) 半導體裝置的製造方法
TWI677924B (zh) 半導體裝置及其製造方法
TWI668744B (zh) 半導體裝置及其形成方法
TWI731009B (zh) 半導體裝置及其製造方法
TWI724508B (zh) 半導體結構及其製造方法
TW201916115A (zh) 半導體結構的製造方法
TWI651761B (zh) 半導體裝置及其製造方法
TWI696220B (zh) 半導體裝置的形成方法
TWI740271B (zh) 積體電路結構及其製造方法
TWI746141B (zh) 半導體裝置及其形成方法
TWI737007B (zh) 積體電路裝置及其形成方法
TWI713122B (zh) 半導體裝置的形成方法
TW201903958A (zh) 半導體裝置的形成方法
TWI803754B (zh) 積體電路結構及其製造方法
TW202006830A (zh) 半導體裝置及其製造方法
TWI822061B (zh) 半導體結構、半導體裝置及其製造方法
TWI719168B (zh) 以原子層沉積形成鎢層的方法及半導體裝置與其形成方法
US20220336626A1 (en) Densified gate spacers and formation thereof
US20230034854A1 (en) Semiconductor structure and method for forming the same
TWI839552B (zh) 閘極結構、半導體元件及其形成方法
TW202331934A (zh) 半導體裝置結構之形成方法
TW202129722A (zh) 半導體裝置的形成方法