DE102017123353A1 - Umwickelter kontaktstift und verfahren zu dessen herstellung - Google Patents

Umwickelter kontaktstift und verfahren zu dessen herstellung Download PDF

Info

Publication number
DE102017123353A1
DE102017123353A1 DE102017123353.9A DE102017123353A DE102017123353A1 DE 102017123353 A1 DE102017123353 A1 DE 102017123353A1 DE 102017123353 A DE102017123353 A DE 102017123353A DE 102017123353 A1 DE102017123353 A1 DE 102017123353A1
Authority
DE
Germany
Prior art keywords
metal
layer
metal silicide
silicide layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017123353.9A
Other languages
English (en)
Other versions
DE102017123353B4 (de
Inventor
Sung-Li Wang
Jyh-Cherng Sheu
Huang-Yi Huang
Chih-Wei Chang
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017123353A1 publication Critical patent/DE102017123353A1/de
Application granted granted Critical
Publication of DE102017123353B4 publication Critical patent/DE102017123353B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Verfahren weist die folgenden Schritte auf: Herstellen eines Source-/Drain-Bereichs; und Durchführen einer selektiven Abscheidung in einer Vakuumkammer oder einem Vakuum-Gruppensystem, um eine Metallsilizidschicht auf dem Source-/Drain-Bereich und eine Metallschicht auf dielektrischen Bereichen herzustellen, die dem Source-/Drain-Bereich benachbart sind. Das Verfahren umfasst weiterhin das selektive Ätzen der Metallschicht in der Vakuumkammer und das selektive Herstellen einer Metallnitridschicht auf der Metallsilizidschicht. Das selektive Herstellen der Metallnitridschicht wird in der Vakuumkammer oder dem Vakuum-Gruppensystem ohne Vakuum-Unterbrechung durchgeführt.

Description

  • Hintergrund
  • Bei der Herstellung von integrierten Schaltkreisen werden Kontaktstifte zum Verbinden mit Source- und Drain-Bereichen und Gates von Transistoren verwendet. Die Source-/Drain-Kontaktstifte werden normalerweise mit Source-/Drain-Silizidbereichen verbunden, die dadurch hergestellt werden, dass eine Metallschicht abgeschieden wird und dann eine Glühung durchgeführt wird, um die Metallschicht mit dem Silizium der Source-/Drain-Bereiche zur Reaktion zu bringen. Anschließend wird eine Nassätzung durchgeführt, um den Teil der Metallschicht zu entfernen, der nicht in Reaktion getreten ist.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 10E sind perspektivische Darstellungen und Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und von Kontaktstrukturen gemäß einigen Ausführungsformen.
    • Die 11 bis 17 sind perspektivische Darstellungen und Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und von Kontaktstrukturen gemäß einigen Ausführungsformen.
    • 18 ist eine schematische Darstellung eines Wafers, der in einer Vakuumkammer bearbeitet wird, gemäß einigen Ausführungsformen.
    • 19 zeigt einen Prozessablauf zur Herstellung eines Transistors und von Kontaktstiften gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen beispielhaften Ausführungsformen werden ein Transistor mit Kontaktstrukturen und ein Verfahren zu dessen Herstellung bereitgestellt. Gemäß einigen Ausführungsformen werden die Zwischenstufen bei der Herstellung des Transistors beschrieben. Außerdem werden einige Abwandlungen und Ausführungsformen erörtert. In allen verschiedenen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.
  • Die 1 bis 10E zeigen Schnittansichten von Zwischenstufen bei der Herstellung von Transistoren gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die Schritte, die in den 1 bis 10E gezeigt sind, werden auch in dem in 19 gezeigten Prozessablauf schematisch wiedergegeben.
  • 1 zeigt eine perspektivische Darstellung einer Anfangsstruktur. Die Anfangsstruktur weist einen Wafer 10 auf, der wiederum ein Substrat 20 aufweist. Das Substrat 20 kann ein Halbleitersubstrat sein, das ein Siliziumsubstrat, ein Siliziumgermaniumsubstrat oder ein Substrat sein kann, das aus anderen Halbleitermaterialien besteht. Das Substrat 20 kann mit einem p- oder einem n-Dotierungsstoff dotiert sein. Trennbereiche 22, wie etwa STI-Bereiche (STI: flache Grabenisolation), werden so hergestellt, dass sie von einer Oberseite des Substrats 20 in das Substrat 20 hinein reichen. Die Teile des Substrats 20 zwischen benachbarten STI-Bereichen 22 werden als Halbleiterstreifen 24 bezeichnet. Gemäß einigen Ausführungsformen der vorliegenden Erfindung sind die Halbleiterstreifen 24 Bestandteile des ursprünglichen Substrats 20, und somit ist das Material der Halbleiterstreifen 24 das Gleiche wie das des Substrats 20.
  • Gemäß alternativen Ausführungsformen der vorliegenden Erfindung sind die Halbleiterstreifen 24 Ersatzstreifen, die hergestellt werden, indem die Teile des Substrats 20 zwischen den STI-Bereichen 22 geätzt werden, sodass Aussparungen entstehen, und indem eine Epitaxie durchgeführt wird, um ein weiteres Halbleitermaterial in den Aussparungen aufzuwachsen. Daher bestehen die Halbleiterstreifen 24 aus einem Halbleitermaterial, das von dem des Substrats 20 verschieden ist. Bei einigen beispielhaften Ausführungsformen bestehen die Halbleiterstreifen 24 aus Siliziumgermanium, Silizium-Kohlenstoff oder einem III-V-Verbindungshalbleitermaterial. Bei einigen Ausführungsformen der vorliegenden Erfindung werden Teile 24A der Halbleiterstreifen 24 durch ein Halbleitermaterial ersetzt, das von dem Material von unteren Teilen 24B verschieden ist. Die Teile 24A können zum Beispiel aus Siliziumgermanium, Silizium-Kohlenstoff oder dergleichen bestehen. Die unteren Teile 24B sind Teile des ursprünglichen Substrats 20 und bestehen aus dem gleichen Halbleitermaterial (wie etwa Silizium) wie die darunter befindlichen massiven Teile des Substrats 20.
  • Die STI-Bereiche 22 können einen Oxidbelag (nicht dargestellt) aufweisen, der ein thermisches Oxid sein kann, das durch thermische Oxidation einer Oberflächenschicht des Substrats 20 hergestellt wird. Der Oxidbelag kann auch eine abgeschiedene Siliziumoxidschicht sein, die zum Beispiel durch Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDPCVD) oder chemische Aufdampfung (CVD) hergestellt wird. Die STI-Bereiche 22 können auch ein dielektrisches Material über dem Oxidbelag aufweisen, und das dielektrische Material kann durch fließfähige chemische Aufdampfung (FCVD), Aufschleudern oder dergleichen hergestellt werden.
  • Die STI-Bereiche 22 werden ausgespart, sodass einige obere Teile 24' der Halbleiterstreifen 24 über die Oberseiten 22A der übrigen Teile der STI-Bereiche 22 überstehen. Der entsprechende Schritt ist als Schritt 202 in dem Prozessablauf angegeben, der in 19 gezeigt ist. In der gesamten Beschreibung werden die oberen Teile 24' alternativ als Halbleiterfinnen 24' oder überstehende Finnen 24' bezeichnet. Die Ätzung kann mit einem Trockenätzprozess durchgeführt werden, bei dem ein Gemisch aus HF3 und NH3 als das Ätzgas verwendet wird. Während des Ätzprozesses kann Plasma erzeugt werden. Außerdem kann Argon verwendet werden. Bei alternativen Ausführungsformen der vorliegenden Erfindung wird die Aussparung der STI-Bereiche 22 mit einem Nassätzprozess durchgeführt. Die Ätzchemikalie kann zum Beispiel HF sein.
  • In 2 werden Dummy-Gate-Stapel 30 hergestellt. Der entsprechende Schritt ist als Schritt 204 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die Herstellung der Dummy-Gate-Stapel 30 umfasst das Herstellen einer dielektrischen Dummy-Gate-Schicht 32 und einer Dummy-Gate-Elektrodenschicht über der dielektrischen Dummy-Gate-Schicht 32. Die Dummy-Gate-Elektrodenschicht wird so strukturiert, dass Dummy-Gate-Elektroden 34 entstehen. In der gesamten Beschreibung werden die Dummy-Gate-Elektroden 34 und die darunter befindlichen Teile der dielektrischen Dummy-Gate-Schicht 32 gemeinsam als die Dummy-Gate-Stapel 30 bezeichnet. Die Dummy-Gate-Elektroden 34 können zum Beispiel unter Verwendung von Polysilizium hergestellt werden, aber es können auch andere Materialien verwendet werden. Die Dummy-Gate-Stapel 30 können eine oder mehrere Maskenschichten (nicht dargestellt) umfassen, die aus Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid oder Mehrfachschichten davon bestehen können. Die Dummy-Gate-Stapel 30 können über eine einzelne oder mehrere der überstehenden Finnen 24' und/oder der STI-Bereiche 22 hinwegführen. Die Dummy-Gate-Stapel 30 haben außerdem jeweils eine Längsrichtung, die senkrecht zu der Längsrichtung der überstehenden Finnen 24' ist. Nach der Strukturierung der Dummy-Gate-Elektrodenschicht liegt die dielektrische Dummy-Gate-Schicht 32 frei, und sie bedeckt die Seitenwände und die Oberseiten der überstehenden Finnen 24'.
  • Dann wird ein Ätzprozess durchgeführt, und die freiliegenden Teile der dielektrischen Dummy-Gate-Schicht 32 werden entfernt, wie in 3 gezeigt ist. Auf den Seitenwänden der Dummy-Gate-Stapel 30 werden Gate-Abstandshalter 38 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung bestehen die Gate-Abstandshalter 38 aus einem dielektrischen Material, wie etwa Siliziumnitrid, Siliziumcarbonitrid oder dergleichen, und sie können eine Ein- oder Mehrschichtstruktur mit einer Vielzahl von dielektrischen Schichten haben.
  • Dann werden Source-/Drain-Bereiche hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Source-/Drain-Bereiche als Mantel-Source-/Drain-Bereiche hergestellt, wie in 4 gezeigt ist, in der epitaxiale Halbleiterbereiche 42 (die Bereiche 42A und 42B umfassen) epitaxial auf den freiliegenden überstehenden Finnen 24' aufgewachsen werden. Der entsprechende Schritt ist als Schritt 206 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die Epitaxiebereiche 42A und 42B stellen Epitaxiebereiche zum Herstellen verschiedener Arten von FinFETs dar. In Abhängigkeit davon, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann ein p- oder ein n-Dotierungsstoff im Verlauf der Epitaxie in situ dotiert werden. Zum Beispiel können die Epitaxiebereiche 42A Siliziumgermaniumbor (SiGeB) aufweisen, und der resultierende FinFET ist ein p-FinFET. Die Epitaxiebereiche 42B können Siliziumphosphor (SiP) oder Siliziumkohlenstoffphosphor (SiCP) aufweisen, und der jeweilige resultierende FinFET ist ein n-FinFET. Bei alternativen Ausführungsformen der vorliegenden Erfindung bestehen die Epitaxiebereiche 42 aus einem III-V-Verbindungshalbleiter, wie etwa GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon oder Mehrfachschichten davon. Wenn die Epitaxiebereiche 42A und 42B aus unterschiedlichen Materialien bestehen, werden sie in unterschiedlichen Epitaxieprozessen hergestellt, und durch entsprechende Masken (nicht dargestellt) kann die Epitaxie auf einem der Epitaxiebereiche 42A und 42B, aber nicht auf dem anderen erfolgen.
  • Bei alternativen Ausführungsformen wird, statt Epitaxiebereiche direkt auf den überstehenden Finnen 24' aufzuwachsen, ein Ätzprozess (nachstehend als Source-/Drain-Aussparung bezeichnet) durchgeführt, um die Teile der überstehenden Finnen 24' zu ätzen, die nicht von dem Dummy-Gate-Stapel 30 und den Gate-Abstandshaltern 38 bedeckt sind, sodass Aussparungen entstehen. Die Epitaxiebereiche 42 werden dann aus den Aussparungen aufgewachsen. Beispielhafte resultierende Epitaxiebereiche 42 sind in 6E gezeigt.
  • Es können ein oder mehrere Implantationsprozesse durchgeführt werden, um den gewünschten p- oder n-Dotierungsstoff, wie etwa Bor oder Phosphor, in die überstehenden Finnen 24' und die Epitaxiebereiche 42A und 42B zu implantieren. Die überstehenden Finnen 24' und die entsprechenden Epitaxiebereiche 42A und 42B werden gemeinsam als Source-/Drain-Bereiche 44 bezeichnet. Bei alternativen Ausführungsformen der vorliegenden Erfindung wird der Implantationsprozess ausgelassen, wenn die Epitaxiebereiche 42 mit dem p- oder n-Dotierungsstoff in situ dotiert werden.
  • 5 zeigt eine perspektivische Darstellung der Struktur nach der Herstellung einer Kontakt-Ätzstoppschicht (CESL) 46 und eines Zwischenschicht-Dielektrikums (ILD) 48. Der entsprechende Schritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die CESL 46 wird bei einigen Ausführungsformen der vorliegenden Erfindung nicht hergestellt, und wenn doch, kann sie aus Siliziumnitrid, Siliziumcarbonitrid oder dergleichen bestehen. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die CESL 46 frei von Sauerstoff. Die CESL 46 kann mit einem konformen Abscheidungsverfahren, wie etwa ALD oder CVD, hergestellt werden. Das ILD 48 kann aus einem sauerstoffhaltigen dielektrischen Material bestehen, das ein Material auf Siliziumoxid-Basis sein kann, wie etwa TEOS-Oxid (TEOS: Tetraethylorthosilicat), PECVD-Oxid (SiO2) (PECVD: Plasma-unterstützte chemische Aufdampfung), Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG) oder dergleichen. Es kann ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP) oder ein mechanischer Schleifprozess, durchgeführt werden, um die Oberseiten des ILD 420, der Dummy-Gate-Stapel 30 (4) und der Gate-Abstandshalter 38 auf gleiche Höhe zu bringen.
  • Nach der Herstellung der CESL 46 und des ILD 48 werden die in 4 gezeigten Dummy-Gate-Stapel 30 durch Ersatz-Gate-Stapel 50 ersetzt, die in 5 gezeigt sind. Der entsprechende Schritt ist als Schritt 210 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die Herstellung der Ersatz-Gate-Stapel 50 umfasst die folgenden Schritte: Durchführen von Ätzprozessen, um die Dummy-Gate-Stapel 30 (4) zu entfernen; Herstellen einer oder mehrerer dielektrischer Gate-Schichten; Abscheiden einen Vielzahl von leitenden Schichten, wie etwa Metallschichten; und Durchführen einer Planarisierung, wie etwa einer CMP oder eines mechanischen Schleifprozesses, um überschüssige Teile der dielektrischen Gate-Schicht und der Metallschichten zu entfernen. Der resultierende Ersatz-Gate-Stapel 50 umfasst ein Gate-Dielektrikum 52 und eine Gate-Elektrode 54, die in 5 gezeigt sind.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist das Gate-Dielektrikum 52 eine Zwischenschicht (IL, nicht gesondert dargestellt) als seinen unteren Teil auf. Die IL ist auf den Oberflächen der überstehenden Finnen 24' hergestellt. Die IL kann eine Oxidschicht, wie etwa eine Siliziumoxidschicht, sein, die durch thermische Oxidation der überstehenden Finnen 24', eine chemische Oxidation oder eine Abscheidung hergestellt wird. Das Gate-Dielektrikum 52 kann auch eine dielektrische High-k-Schicht (nicht gesondert dargestellt) über der IL aufweisen. Die dielektrische High-k-Schicht weist ein dielektrisches High-k-Material auf, wie etwa Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirconiumoxid, Siliziumnitrid oder dergleichen. Die Dielektrizitätskonstante (k-Wert) des dielektrischen High-k-Materials ist höher als 3,9 und kann höher als etwa 7,0 sein. Die dielektrische High-k-Schicht wird als eine konforme Schicht hergestellt und verläuft auf den Seitenwänden der überstehenden Finnen 24' und den Seitenwänden der Gate-Abstandshalter 38. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die dielektrische High-k-Schicht durch ALD oder CVD hergestellt.
  • Die Gate-Elektrode 54 kann eine Diffusionssperrschicht und eine oder mehrere Austrittsarbeitsschichten über der Diffusionssperrschicht aufweisen. Die Diffusionssperrschicht kann aus Titannidrid (TiN) bestehen, das mit Silizium dotiert sein kann (oder auch nicht). Die Austrittsarbeitsschicht bestimmt die Austrittsarbeit des Gate und umfasst mindestens eine Schicht oder aber eine Vielzahl von Schichten, die aus unterschiedlichen Materialien bestehen. Das Material der Austrittsarbeitsschicht wird entsprechend dem Umstand gewählt, ob der jeweilige FinFET ein n-FinFET oder ein p-FinFET ist. Wenn der FinFET zum Beispiel ein n-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht und eine Titan-Aluminium(TiAl)-Schicht über der TaN-Schicht aufweisen. Wenn der FinFET zum Beispiel ein p-FinFET ist, kann die Austrittsarbeitsschicht eine TaN-Schicht, eine TiN-Schicht über der TaN-Schicht und eine TiAl-Schicht über der TiN-Schicht aufweisen. Nach der Abscheidung der einen oder der mehreren Austrittsarbeitsschichten wird eine Sperrschicht hergestellt, die eine weitere TiN-Schicht sein kann. Die Gate-Elektrode 54 kann außerdem ein Füllmetall aus Aluminium, Wolfram oder Cobalt aufweisen.
  • Nach der Herstellung der Ersatz-Gate-Stapel 50 werden die Ersatz-Gate-Stapel 50 ausgespart, und anschließend werden Hartmasken 56 in die resultierenden Aussparungen gefüllt. Die Hartmasken 56 bestehen aus einem dielektrischen Material, wie etwa Siliziumnitrid. Es wird ein Planarisierungsprozess durchgeführt, um die Oberseite der Hartmasken 56 mit dem ILD 48 auf gleiche Höhe zu bringen.
  • Nach der Herstellung der Ersatz-Gate-Stapel 50 und der Hartmasken 56 werden die CESL 46 und das ILD 48 zum Beispiel durch Ätzung entfernt. Der entsprechende Schritt ist als Schritt 212 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die resultierende Struktur ist in 6A gezeigt. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Ätzung so durchgeführt, dass die gesamte CESL 46 und das gesamte ILD 48 auf dem gesamten Wafer 10 entfernt werden. Daher wird bei der Ätzung keine Maske zum Schützen einiger Teile der CESL 46 und des ILD 48 hergestellt. Die Epitaxiebereiche 42 werden durch die Entfernung der CESL 46 und des ILD 48 freigelegt.
  • Die 6B, 6C, 6D und 6E zeigen Schnittansichten einiger Teile der Struktur, die in 6A gezeigt ist. In allen 6A bis 10E können alle Figuren-Bezeichnungen jeweils den Buchstaben A, B, C, D oder E haben. Der Buchstabe A gibt an, dass die jeweilige Figur eine perspektivische Darstellung ist, und die Buchstaben B, C, D und E geben an, dass die entsprechenden Figuren die Schnittansichten der Struktur darstellen, die in der jeweiligen perspektivischen Darstellung gezeigt ist. Außerdem werden die Schnittansichten, die in den Figuren mit dem Buchstaben B gezeigt sind, von der Ebene erhalten, die die Gleiche wie die vertikale Ebene ist, die die Linie A - A in 6A enthält, wobei die vertikale Ebene die Halbleiterstreifen 24 und die überstehenden Finnen 24' durchtrennt. Die Buchstaben B, C und D geben an, dass die jeweiligen Figuren von der Ebene erhalten werden, die die Gleiche wie die vertikale Ebene ist, die die Linie B - B in der jeweiligen perspektivischen Darstellung enthält. Außerdem geben die Buchstaben B, C und D an, dass die jeweiligen Figuren andere Ausführungsformen darstellen.
  • In 6B ist die Oberseite 22A der STI-Bereiche 22 (nicht in der dargestellten Ebene) gezeigt, und die überstehenden Finnen 24' sind höher als die Oberseite 22A. Die detaillierte Struktur der Source-/Drain-Bereiche 44 ist in den 6C, 6D und 6E zu finden, die die Strukturen der Source-/Drain-Bereiche 44 bei verschiedenen Ausführungsformen zeigen.
  • 6C zeigt eine Schnittansicht der Mantel-Source-/Drain-Bereiche 44, die Halbleiter-Epitaxiebereiche 42 aufweisen, die auf den überstehenden Finnen 24' aufgewachsen sind. Bei einigen Ausführungsformen der vorliegenden Erfindung sind die überstehenden Finnen 24' die verbliebenen Teile des ursprünglichen Substrats, und somit ist das Material der überstehenden Finnen 24' das Gleiche wie das Material des darunter befindlichen massiven Teils des Substrats 20.
  • 6D zeigt eine Schnittansicht der Mantel-Source-/Drain-Bereiche 44, die Halbleiter-Epitaxiebereiche 42 aufweisen, die auf den überstehenden Finnen 24' aufgewachsen sind. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die überstehenden Finnen 24' aus dem ursprünglichen Substrat wieder aufgewachsen, und somit ist das Material der überstehenden Finnen 24' von dem Material des darunter befindlichen massiven Teils des Substrats 20 verschieden. Das wieder aufgewachsene Halbleitermaterial, das von den Aussparungen, die zwischen den STI-Bereichen hergestellt sind, wieder aufgewachsen wird, wird als Halbleiterbereiche 25 bezeichnet.
  • 6E zeigt eine Schnittansicht der wieder aufgewachsenen Source-/Drain-Bereiche 44, die die Halbleiter-Epitaxiebereiche 42 aufweisen, die von den Aussparungen aufgewachsen werden, die nach der Ätzung der überstehenden Finnen entstanden sind. Die resultierenden Source-/Drain-Bereiche 44 können Abschrägungen aufweisen. Bei einigen Ausführungsformen der vorliegenden Erfindung werden Ersatzfinnen hergestellt, die als Halbleiterbereiche 25 dargestellt sind und ebenfalls ausgespart werden. Auf diese Weise werden die Epitaxiebereiche 42 von den Halbleiterbereichen 25 aufgewachsen.
  • Die 7A bis 9E zeigen perspektivische Darstellungen und Schnittansichten bei der Herstellung von Source-/Drain-Silizidbereichen und Metallnitriden bei einigen Ausführungsformen. Die Schritte, die in den 7A bis 9E gezeigt sind, können in ein und derselben Fertigungsanlage, wie etwa einer Abscheidungsanlage, ausgeführt werden und können in ein und derselben Vakuum-Umgebung in der Fertigungsanlage ausgeführt werden. In 18 ist zum Beispiel eine Vakuumkammer 60 in einer Abscheidungsanlage 61 schematisch dargestellt. In der Vakuumkammer 60 kann eine Vakuum-Umgebung erzeugt werden. In der Vakuumkammer 60 befindet sich eine Aufspannvorrichtung 62. Der Wafer 10 wird auf der Aufspannvorrichtung 62 platziert, um die Schritte auszuführen, die in den 7A bis 9E gezeigt sind. Die Schritte, die in den 7A bis 9E gezeigt sind, können ohne Unterbrechung des Vakuums in dem Zeitraum ausgeführt werden, der zu dem Zeitpunkt beginnt, zu dem der in 7A gezeigte Schritt begonnen wird, und zu dem Zeitpunkt endet, zu dem der in 9E gezeigte Schritt beendet wird. Durch Aufrechterhalten des Vakuums während dieser Prozessschritte werden die freigelegten Strukturelemente, wie etwa Halbleiterbereiche und Metallbereiche, nicht oxidiert. Daher braucht das (nicht vorhandene) Oxid nicht entfernt zu werden.
  • Nachdem der Wafer 10 in der Fertigungsanlage (18) platziert worden ist, wird durch Evakuieren der Vakuumkammer 60 in 18 (oder eines Gruppensystems mit einer Vielzahl von Vakuumkammern, die eine Vakuum-Umgebung gemeinsam nutzen) eine Vakuum-Umgebung erzeugt. Dann wird ein Reinigungsprozess durchgeführt, der als In-situ-Reinigung bezeichnet wird. Bei der In-situ-Reinigung wird das unerwünschte Oxid auf der Oberfläche der Source-/Drain-Bereiche 44 entfernt, die in den 6A bis 6E gezeigt sind. Das entfernte Oxid kann in Abhängigkeit von dem Material der Source-/Drain-Bereiche 44 Siliziumoxid, Siliziumgermaniumoxid oder dergleichen sein. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Reinigung unter Verwendung eines Gemisches aus Prozessgasen, die NF3 und NH3 umfassen, oder eines Gemisches aus HF und NH3 durchgeführt.
  • Nach dem Reinigungsprozess wird eine selektive In-situ-Abscheidung in der gleichen Vakuum-Umgebung wie bei dem Reinigungsprozess durchgeführt. Der entsprechende Schritt ist als Schritt 214 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Daher entsteht nach der Reinigung kein neues Oxid auf den Oberflächen der Source-/Drain-Bereiche 44. Die resultierende Struktur ist in den 7A bis 7E gezeigt. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die selektive In-situ-Abscheidung unter Verwendung von Prozessgasen durchgeführt, die ein Metallhalogenid (wie etwa TiCl4) und Wasserstoff (H2) umfassen. Bei einigen Ausführungsformen der vorliegenden Erfindung liegt der Durchsatz von TiCl4 in dem Bereich von etwa 5 Ncm3/min bis etwa 15 Ncm3/min, und der Durchsatz von Wasserstoff liegt in dem Bereich von etwa 30 Ncm3/min bis etwa 70 Ncm3/min. Die Leistung kann in dem Bereich von etwa 200 W bis etwa 500 W liegen. Die Abscheidungstemperatur kann in dem Bereich von etwa 400 °C bis etwa 500 °C liegen. Die selektive Abscheidung kann in Abhängigkeit von der Dicke der abgeschiedenen Schichten etwa 40 s bis etwa 60 s dauern. Während der selektiven Abscheidung kann ein Plasma eingeschaltet werden.
  • Die selektive Abscheidung ist deshalb selektiv, weil das, was auf den Source-/Drain-Bereichen 44 abgeschieden wird, eine Metallsilizidschicht 64 ist, die als ein Ergebnis der Abscheidung eines Metalls und der Silizid-Reaktion des Metalls mit den Oberflächenschichten der Source-/Drain-Bereiche 44 entsteht. Das ist auf geeignete Prozessbedingungen zurückzuführen, die die erhöhte Abscheidungstemperatur und eine entsprechende Abscheidungsrate umfassen. Andererseits wird auf den Oberflächen der dielektrischen Schichten, die die Gate-Abstandshalter 38, die Hartmasken 56 und die STI-Bereiche 22 umfassen, eine Metallschicht (wie etwa eine Titanschicht) 66 hergestellt, die nicht silizidiert ist. Die Herstellung der Metallsilizidschicht 64 erfolgt gleichzeitig mit der Herstellung der Metallschicht 66. Bei einigen Ausführungsformen der vorliegenden Erfindung hat die Metallsilizidschicht 64 eine Dicke T1 in dem Bereich von etwa 2 nm bis etwa 8 nm, und eine Dicke T2 der Metallschicht 66 liegt in dem Bereich von etwa 0,5 nm bis etwa 5 nm. Die Herstellung kann durch Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) oder dergleichen erfolgen.
  • Die 7B bis 7E zeigen Schnittansichten der Source-/Drain-Bereiche 44 und der Metallsilizidschicht 64 gemäß verschiedenen Ausführungsformen. Die Metallsilizidschicht 64 wird auf der Oberseite und den Seitenwänden der Source-/Drain-Bereiche 44 hergestellt. Die Form der Metallsilizidschicht 64 hängt von den Formen der darunter befindlichen Source-/Drain-Bereiche 44 ab. Auf der Oberseite der STI-Bereiche 22 können einige kleine und dünne Teile der Metallschicht 66 hergestellt werden. Die Dicken dieser Teile 66 aus Titan sind nicht einheitlich.
  • Nach der selektiven In-situ-Abscheidung wird eine selektive In-situ-Ätzung in der gleichen Vakuum-Umgebung wie bei der selektiven In-situ-Abscheidung durchgeführt. Der entsprechende Schritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die resultierende Struktur ist in den 8A bis 8E gezeigt. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die selektive In-situ-Ätzung unter Verwendung von Prozessgasen durchgeführt, die ein Metallhalogenid (wie etwa TiCl4), Wasserstoff (H2) und Argon umfassen. Es ist zu beachten, dass das Metallhalogenid in dem Ätzgas zu anderen Halogeniden (oder Metallhalogeniden) geändert werden kann, wenn die Metallschicht 66 aus anderen Metallen als Titan besteht. Bei einigen Ausführungsformen kann zum Beispiel HCl verwendet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung liegt der Durchsatz von TiCl4 in dem Bereich von etwa 20 Ncm3/min bis etwa 30 Ncm3/min, der Durchsatz von Wasserstoff liegt in dem Bereich von etwa 1100 Ncm3/min bis etwa 1500 Ncm3/min, und der Durchsatz von Argon liegt in dem Bereich von etwa 1100 Ncm3/min bis etwa 1500 Ncm3/min. Der Wafer 10 wird während der selektiven Ätzung erwärmt, und die Temperatur des Wafers kann in dem Bereich von etwa 400 °C bis etwa 500 °C liegen. Während der selektiven Ätzung kann ein Plasma eingeschaltet werden.
  • Während der selektiven Ätzung wird die Metallschicht 66 geätzt, wie in den 7A und 7B gezeigt ist. Die Metallsilizidschicht 64 wird hingegen nicht geätzt. Dadurch werden die Gate-Abstandshalter 38 und die Hartmasken 56 wieder freigelegt.
  • Bei einigen Ausführungsformen werden die gleichen Prozessgase für die selektive Abscheidung und die selektive Ätzung verwendet. Zum Beispiel können TiCl4 und Wasserstoff sowohl für die selektive Abscheidung als auch für die selektive Ätzung verwendet werden. Bei einigen Ausführungsformen kann das Plasma eingeschaltet werden, um die selektive Abscheidung zu bewirken, während das Plasma ausgeschaltet werden kann, um die selektive Ätzung zu bewirken. Außerdem werden die Prozessbedingungen, wie etwa der Durchsatz der Prozessgase, zwischen der selektiven Abscheidung und der selektiven Ätzung geändert.
  • Die 8B bis 8E zeigen Schnittansichten der Source-/Drain-Bereiche 44 und der Metallsilizidschicht 64. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die gesamte Metallschicht 66 auf den Oberseiten der STI-Bereiche 22 entfernt. Bei alternativen Ausführungsformen können die dickeren Teile (siehe 7C, 7B und 7D) der Metallschicht 66 einige Restteile haben, die auf den Oberseiten der STI-Bereiche 22 zurückbleiben. Die Restteile sind jedoch nicht zusammenhängend und beeinträchtigen daher nicht die elektrische Leistungsfähigkeit des resultierenden FinFET.
  • Nach der selektiven Ätzung wird eine In-situ-Nitrierung in der gleichen Vakuum-Umgebung wie bei der selektiven Ätzung durchgeführt. Der entsprechende Schritt ist als Schritt 218 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Die resultierende Struktur ist in den 9A bis 9E gezeigt. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die In-situ-Nitrierung unter Verwendung eines stickstoffhaltigen Prozessgases, wie etwa Ammoniak (NH3), durchgeführt. Bei einigen Ausführungsformen der vorliegenden Erfindung liegt der Durchsatz von Ammoniak in dem Bereich von etwa 3000 Ncm3/min bis etwa 5000 Ncm3/min. Die Leistung kann in dem Bereich von etwa 400 W bis etwa 600 W liegen. Die Abscheidungstemperatur kann in dem Bereich von etwa 400 °C bis etwa 500 °C liegen. Die Nitrierung kann in Abhängigkeit von der gewünschten Dicke der Nitridschicht und der Dicke der Metallsilizidschicht 64 etwa 15 s bis etwa 25 s dauern.
  • Die selektive Nitrierung bewirkt, dass eine Oberflächenschicht der Metallsilizidschicht 64 nitriert wird, sodass eine Titansiliziumnitrid(TiSiN)-Schicht 68 entsteht. Die untere Schicht der Metallsilizidschicht 64 wird nicht nitriert und ist frei von Stickstoff. Bei einigen Ausführungsformen der vorliegenden Erfindung hat die verbliebene Metallsilizidschicht 64 eine Dicke T1' in dem Bereich von etwa 2 nm bis etwa 7 nm, und eine Dicke T3 der Titansiliziumnitridschicht 68 liegt in dem Bereich von etwa 1 nm bis etwa 3 nm. Es ist zu beobachten, dass, da die Titansiliziumnitridschicht 68 durch Nitrierung der Titansilizidschicht 64 hergestellt wird, die Metallsiliziumnitridschicht 68 zwar auf der Metallsilizidschicht 64, aber nicht auf dielektrischen Materialien, wie etwa den STI-Bereichen 22, den Gate-Abstandshaltern 38 und den Hartmasken 56, hergestellt wird.
  • Die 9B bis 9E zeigen Schnittansichten der Source-/Drain-Bereiche 44, der Metallsilizidschicht 64 und der Metallsiliziumnitridschicht 68 gemäß verschiedenen Ausführungsformen. Wie in den 9B bis 9E gezeigt ist, legt sich die Metallsiliziumnitridschicht 68 um die Metallsilizidschicht 64.
  • Die 10A bis 10E zeigen die Herstellung einer CESL 70, eines ILD 72 und von Kontaktstiften 74. Der entsprechende Schritt ist als Schritt 220 in dem Prozessablauf angegeben, der in 19 gezeigt ist. Auf diese Weise entsteht ein FinFET 76. Die Herstellung der CESL 70 und des ILD 72 kann die folgenden Schritte umfassen: Herstellen einer CESL-Schutzschicht, die in die Spalte zwischen den Gate-Abstandshaltern 38 hinein reicht, über dem gesamten Wafer 10; Füllen der übrigen Spalte mit dem ILD 72; und Durchführen einer Planarisierung, wie etwa einer CMP oder eines mechanischen Schleifprozesses. Die CESL 70 kann aus einem Material bestehen, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Herstellung der CESL 46 (5) gewählt ist, und das ILD 72 kann aus einem Material bestehen, das aus der gleichen Gruppe von in Frage kommenden Materialien wie für die Herstellung des ILD 48 (5) gewählt ist. Die CESL 70 ist eine konforme Schicht, die zum Beispiel durch ALD hergestellt werden kann. Somit legt sich die CESL 70 um alle freiliegenden Oberflächen in den Spalten.
  • Das ILD 72 und die CESL 70 werden anschließend geätzt, um Kontaktöffnungen (die von den Kontaktstiften 74 gefüllt werden, wie in den 10A bis 10E gezeigt ist) herzustellen. Somit wird die Metallsiliziumnitridschicht 68 zu den Kontaktöffnungen freigelegt. Dann werden die Kontaktöffnungen mit einem leitenden Material gefüllt, um die Kontaktstifte 74 herzustellen. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Kontaktstifte 74 eine Schutzabscheidung einer konformen Sperrschicht (nicht gesondert dargestellt), die in die Kontaktöffnungen hinein reicht, und das Abscheiden eines Metallmaterials über der Sperrschicht, das die übrigen Kontaktöffnungen füllt. Die Sperrschicht kann aus Titannidrid oder Tantalnitrid bestehen. Das Metallmaterial kann aus Cobalt, Wolfram, Aluminium oder dergleichen bestehen. Dann wird eine Planarisierung durchgeführt, um überschüssige Teile der Sperrschicht und des Metallmaterials zu entfernen. Bei alternativen Ausführungsformen weisen die Kontaktstifte 74 zwar das Metallmaterial, wie etwa Cobalt, Wolfram oder Aluminium, aber nicht die Sperrschicht auf.
  • Die 10B bis 10E zeigen Schnittansichten der CESL 70, des ILD 72 und der Kontaktstifte 74 gemäß einigen Ausführungsformen. Wie in den 10B bis 10E gezeigt ist, legen sich Metallsilizidbereiche 64 und die Metallsiliziumnitridschicht 68 um die jeweiligen Source-/Drain-Bereiche 44, während die Kontaktstifte 74 in Kontakt mit den Oberseiten einiger, jedoch nicht aller, Teile der entsprechenden Metallsiliziumnitridschicht 68 sind.
  • Bei den Ausführungsformen, die in den 1 bis 10E gezeigt sind, werden die Metallsilizidbereiche 64 und die Metallsiliziumnitridschicht 68 vor der Herstellung der CESL 70 und des ILD 72 hergestellt. Hingegen sind die CESL 46 und das ILD 48 (5) Opfer-Elemente, die aus der Endstruktur entfernt werden. Bei alternativen Ausführungsformen können die Metallsilizidbereiche 64 und die Metallsiliziumnitridschicht 68 nach der Herstellung der CESL 46 und des ILD 48 hergestellt werden, die in der Endstruktur zurückbleiben. Die 11 bis 16 zeigen perspektivische Darstellungen und Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors gemäß diesen Ausführungsformen der vorliegenden Erfindung. Wenn nicht anders angegeben, sind die Materialien und die Herstellungsverfahren für die Komponenten in diesen Ausführungsformen im Wesentlichen die Gleichen wie für die ähnlichen Komponenten, und sie sind mit ähnlichen Bezugssymbolen wie bei den Ausführungsformen bezeichnet, die in den 1 bis 10E gezeigt sind. Die Einzelheiten der Materialien und Herstellungsverfahren für die Komponenten, die in den 11 bis 16 gezeigt sind, sind somit in der Erörterung der Ausführungsformen zu finden, die in den 1 bis 10E gezeigt sind.
  • Die ersten Schritte dieser Ausfiihrungsformen sind im Wesentlichen die Gleichen wie die, die in den 1 bis 5 gezeigt sind. 11 zeigt eine resultierende Struktur (die gleiche Struktur wie in 5) als ein Beispiel, wobei die CESL 46 und das ILD 48 so hergestellt werden, dass sie die Source-/Drain-Bereiche 44 bedecken. Es ist klar, dass die Source-/Drain-Bereiche 44 verschiedene Strukturen haben können, wie etwa die, die in den 6C bis 6E gezeigt sind. In 12 werden Kontaktöffnungen 78 durch Ätzen des ILD 48 und der CESL 46 hergestellt. Dadurch werden die Source-/Drain-Bereiche 44 freigelegt.
  • Bei alternativen Ausführungsformen der vorliegenden Erfindung wird eine Aussparung durchgeführt, um die überstehenden Finnen 24' zu ätzen, statt die Source-/Drain-Bereiche 44 auf ein Niveau aufzuwachsen, das höher als die Oberseite der überstehenden Finnen 24' ist. Linien 27 zeigen schematisch die Oberseiten der ausgesparten Finnen 24'. An den ausgesparten Finnen 24' wird eine Implantation durchgeführt, um ausgesparte Source-/Drain-Bereiche 44 herzustellen. Bei diesen Ausführungsformen werden die Halbleiter-Epitaxiebereiche 42 nicht hergestellt.
  • Die 13 bis 17 zeigen Schnittansichten von Zwischenstufen bei der selektiven Abscheidung, der selektiven Ätzung und der selektiven Nitrierung gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Prozessschritte, die in den 13 bis 15 gezeigt sind (und eventuell in 16) in ein und derselben Vakuum-Umgebung, wie etwa in der in 18 gezeigten Vakuumkammer 60, ausgeführt, und in dem gesamten Zeitraum der Ausführung dieser Prozessschritte gibt es keine Unterbrechung des Vakuums. Es ist zu beachten, dass die 13 bis 17 die Schnittansichten in einer vertikalen Ebene zeigen, die die gleiche vertikale Ebene ist, die die Linie A' - A' von 12 enthält. Die Schnittansichten in der vertikalen Ebene B' - B' (12) sind den Strukturen ähnlich, die in den Figuren mit den Bezeichnungen 7C/7D/7E, 8C/8D/8E und 9C/9D/9E gezeigt sind, und sie werden daher nicht nochmals beschrieben.
  • In 13 wird eine selektive In-situ-Abscheidung durchgeführt, um eine Metallsilizidschicht 64 (die eine Titansilizidschicht sein kann) auf den freigelegten Oberflächen der Source-/Drain-Bereiche 44 gleichzeitig herzustellen. Bei einigen Ausführungsformen, die in den 12 und 13 gezeigt sind, führt die Epitaxie der Halbleiterbereiche 42 dazu, dass die Oberseite der Metallsilizidschicht 64 höher als die Oberseite der überstehenden Finnen 24' ist.
  • Bei einigen Ausführungsformen, bei denen die Halbleiter-Epitaxiebereiche 42 (12) nicht hergestellt werden und die überstehenden Finnen 24' auf das Niveau 27 ( 12) ausgespart werden, ist die Form der Metallsilizidschicht 64 den Bereichen ähnlich, die durch Strichlinien 67 dargestellt sind, und die Metallschicht 66 verläuft weiter nach unten bis zu dem unteren Ende der Gate-Abstandshalter 38.
  • Dann wird die selektive In-situ-Ätzung durchgeführt, und dadurch wird die Metallschicht 66 geätzt. Die Metallsilizidschicht 64 bleibt zurück. Die resultierende Struktur ist in 14 gezeigt. 15 zeigt die selektive In-situ-Nitrierung zur Herstellung der Metallsiliziumnitridschicht 68. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine Glühung durchgeführt, um die Phase des Metallsilizids so zu ändern, dass der Widerstand der resultierenden Metallsilizidschicht 64 verringert wird. Die Glühung kann in der gleichen Vakuumkammer wie bei der selektiven Abscheidung, der selektiven Ätzung und der selektiven Nitrierung in situ durchgeführt werden.
  • Nach der Glühung wird eine Metallnitridschicht 80, die eine Titannidridschicht sein kann, abgeschieden, wie in 16 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung kann die Abscheidung in der gleichen Prozesskammer (ohne eine Vakuum-Unterbrechung dazwischen) wie bei der selektiven Abscheidung, der selektiven Ätzung, der selektiven Nitrierung und der Glühung in situ durchgeführt werden. Bei anderen Ausführungsformen der vorliegenden Erfindung wird die Abscheidung der Metallnitridschicht 80 nach einer Vakuum-Unterbrechung und in einer anderen Prozesskammer durchgeführt. Die Metallnitridschicht 80 ist konform und reicht in den Spalt zwischen benachbarten Gate-Stapeln hinein. Die Unterseite der Metallnitridschicht 80 kontaktiert die Metallsiliziumnitridschicht 68.
  • 17 zeigt das Füllen des verbliebenen Spalts mit einem Füllmetall 82 und eine Planarisierung zum Entfernen von überschüssigen Teilen des Füllmetalls 82 und der Metallnitridschicht 80. Das Füllmetall 82 und die Metallnitridschicht 80 werden gemeinsam als ein Kontaktstift bezeichnet, und sie haben eine ähnliche Form wie die, die in den 10A bis 10E gezeigt ist.
  • Die Ausführungsformen der vorliegenden Erfindung haben einige vorteilhafte Merkmale. Bei herkömmlichen Silizidbildungsprozessen wird zunächst eine Metallschicht abgeschieden, und anschließend wird ein Glühprozess zur Silizidbildung durchgeführt, bei dem einige Teile der Metallschicht mit den Source-/Drain-Bereichen zu Silizid reagieren. Die Teile der Metallschicht, die nicht in Reaktion getreten sind, werden entfernt, zum Beispiel durch Nassätzung mittels Peroxid. Dadurch werden einige Teile des Metallsilizids oxidiert, und das resultierende Oxid muss entfernt werden, bevor eine Metallnitridschicht hergestellt wird. Die Entfernung des Oxids führt jedoch zu einem Verlust von Metallsilizid, insbesondere da das Metallsilizid normalerweise metallreich ist und daher die Eigenschaften des Metallsilizids ähnlich denen des Metalls sind. Durch Verwenden der selektiven Abscheidung, der selektiven Ätzung und der selektiven Nitrierung, die in situ durchgeführt werden, tritt jedoch bei den Ausführungsformen der vorliegenden Erfindung keine Oxidation des Metallsilizids auf, und es ist keine Oxidentfernung erforderlich. Der Verlust des Metallsilizids, der durch die Oxidentfernung entsteht, wird somit vermieden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Source-/Drain-Bereichs; und Durchführen einer selektiven Abscheidung in einer Vakuumkammer, um eine Metallsilizidschicht auf dem Source-/Drain-Bereich und eine Metallschicht auf dielektrischen Bereichen herzustellen, die an den Source-/Drain-Bereich angrenzen. Das Verfahren umfasst weiterhin das selektive Ätzen der Metallschicht in der Vakuumkammer und das selektive Herstellen einer Metallnitridschicht auf der Metallsilizidschicht. Die selektive Herstellung der Metallnitridschicht wird in der Vakuumkammer durchgeführt. Bei einer Ausführungsform werden die selektive Abscheidung und die selektive Ätzung der Metallschicht ohne eine Vakuum-Unterbrechung dazwischen in situ durchgeführt. Bei einer Ausführungsform werden die selektive Ätzung der Metallschicht und die selektive Herstellung der Metallnitridschicht ohne eine Vakuum-Unterbrechung dazwischen in situ durchgeführt. Bei einer Ausführungsform werden die Metallsilizidschicht und die Metallschicht unter Verwendung der gleichen Prozessgase simultan hergestellt. Bei einer Ausführungsform umfasst die selektive Herstellung der Metallnitridschicht das Nitrieren einer Oberflächenschicht der Metallsilizidschicht. Bei einer Ausführungsform wird die selektive Abscheidung unter Verwendung von Prozessgasen durchgeführt, die ein Metallhalogenid umfassen. Bei einer Ausführungsform wird die selektive Ätzung unter Verwendung von Prozessgasen durchgeführt, die ein Metallhalogenid umfassen. Bei einer Ausführungsform wird die selektive Abscheidung bei einer erhöhten Temperatur von etwa 400 °C bis etwa 500 °C durchgeführt.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Gate-Stapels über einem ersten Teil einer Halbleiterfinne; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; simultanes Herstellen einer Metallschicht und einer Metallsilizidschicht in einer Vakuumkammer, wobei die Metallsilizidschicht auf dem Halbleitermaterial hergestellt wird; Entfernen der Metallschicht ohne Vakuum-Unterbrechung; Herstellen einer Metallsiliziumnitridschicht auf der Metallsilizidschicht ohne Vakuum-Unterbrechung; Herstellen einer ersten CESL, die die Metallsiliziumnitridschicht bedeckt; und Herstellen eines ersten Zwischenschichtdielektrikums über der ersten CESL. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Herstellen einer zweiten CESL und eines zweiten Zwischenschichtdielektrikums, das das Halbleitermaterial bedeckt, vor der simultanen Herstellung der Metallschicht und der Metallsilizidschicht; und Entfernen eines Dummy-Gate-Stapels über dem ersten Teil der Halbleiterfinne, wobei der Gate-Stapel in einer Aussparung hergestellt wird, die von dem Dummy-Gate-Stapel hinterlassen wird. Bei einer Ausführungsform wird die simultane Herstellung der Metallschicht und der Metallsilizidschicht unter Verwendung von Prozessgasen durchgeführt, die TiCl4 umfassen. Bei einer Ausführungsform wird die Entfernung der Metallschicht unter Verwendung von weiteren Prozessgasen durchgeführt, die TiCl4 umfassen. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Ätzen der ersten CESL und des ersten Zwischenschichtdielektrikums, um eine Kontaktöffnung herzustellen; und Füllen der Kontaktöffnung mit einem Kontaktstift. Bei einer Ausführungsform umfassen die Metallschicht und die Metallsilizidschicht eine Titanschicht bzw. eine Titansilizidschicht.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Gate-Stapels über einem ersten Teil einer Halbleiterfinne; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; simultanes Herstellen einer Metallschicht und einer Metallsilizidschicht unter Verwendung eines ersten Prozessgases, wobei die Metallsilizidschicht auf dem Halbleitermaterial hergestellt wird; Entfernen der Metallschicht unter Verwendung eines zweiten Prozessgases, wobei das erste und das zweite Prozessgas ein Halogenid umfassen; und Herstellen einer Metallnitridschicht auf der Metallsilizidschicht unter Verwendung eines dritten Prozessgases. Bei einer Ausführungsform umfassen das erste und das zweite Prozessgas jeweils ein Metallhalogenid. Bei einer Ausführungsform umfassen das erste und das zweite Prozessgas das gleiche Metallhalogenid. Bei einer Ausführungsform umfasst die Metallschicht Titan, und das erste und das zweite Prozessgas umfassen TiCl4. Bei einer Ausführungsform umfasst die Herstellung der Metallnitridschicht das Umwandeln einer Oberflächenschicht der Metallsilizidschicht in eine Metallsiliziumnitridschicht. Bei einer Ausführungsform werden die simultane Herstellung der Metallschicht und der Metallsilizidschicht, die Entfernung der Metallschicht und die Herstellung der Metallnitridschicht in der gleichen Prozesskammer durchgeführt.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Dummy-Gate-Stapels über einem ersten Teil einer Halbleiterfinne; Herstellen eines Gate-Abstandshalters auf einer Seitenwand des Dummy-Gate-Stapels; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; Herstellen eines ersten Zwischenschichtdielektrikums so, dass es das Halbleitermaterial bedeckt; Ersetzen des Dummy-Gate-Stapels durch einen Ersatz-Gate-Stapel; Entfernen des ersten Zwischenschicht-Dielektrikums, um das Halbleitermaterial wieder freizulegen; Reinigen des Halbleitermaterials in einer Vakuumkammer; selektives Herstellen einer Metallsilizidschicht auf dem Halbleitermaterial in der Vakuumkammer; und Herstellen einer Metallsiliziumnitridschicht über der Metallsilizidschicht, wobei zu einem Zeitpunkt, zu dem die Herstellung der Metallsiliziumnitridschicht beendet ist, ein Metall der Metallsilizidschicht nicht auf dem Gate-Abstandshalter verläuft. Bei einer Ausführungsform wird zu einem Zeitpunkt, zu dem die Metallsilizidschicht hergestellt wird, eine Metallschicht auf dem Gate-Abstandshalter hergestellt. Bei einer Ausführungsform umfasst das Verfahren weiterhin das selektive Ätzen der Metallschicht in der Vakuumkammer.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Dummy-Gate-Stapels über einem ersten Teil einer Halbleiterfinne; Herstellen eines Gate-Abstandshalters auf einer Seitenwand des Dummy-Gate-Stapels; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; Herstellen eines ersten Zwischenschichtdielektrikums so, dass es das Halbleitermaterial bedeckt; Ersetzen des Dummy-Gate-Stapels durch einen Ersatz-Gate-Stapel; Entfernen des ersten Zwischenschicht-Dielektrikums, um das Halbleitermaterial wieder freizulegen; selektives Herstellen einer Metallsilizidschicht auf dem Halbleitermaterial in einer Vakuumkammer; und Herstellen einer Metallsiliziumnitridschicht über der Metallsilizidschicht in der Vakuumkammer, wobei keine Vakuum-Unterbrechung zwischen der selektiven Herstellung der Metallsilizidschicht und der Herstellung der Metallsiliziumnitridschicht erfolgt. Bei einer Ausführungsform werden die selektive Herstellung der Metallsilizidschicht und die Herstellung der Metallsiliziumnitridschicht unter Verwendung des gleichen Halogenids als ein Prozessgas durchgeführt. Bei einer Ausführungsform werden die selektive Herstellung der Metallsilizidschicht und die Herstellung der Metallsiliziumnitridschicht unter Verwendung von TiCl4 als ein Prozessgas durchgeführt.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: epitaxiales Aufwachsen eines Halbleitermaterials auf einem Teil der Halbleiterfinne; Herstellen eines Zwischenschichtdielektrikums so, dass es das Halbleitermaterial bedeckt; Entfernen des Zwischenschicht-Dielektrikums, um das Halbleitermaterial wieder freizulegen; selektives Herstellen einer Metallsilizidschicht auf dem Halbleitermaterial in einer Vakuumkammer; und Nitrieren einer Oberflächenschicht der Metallsilizidschicht in der Vakuumkammer, um eine Metallsiliziumnitridschicht herzustellen. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Ersetzen eines Dummy-Gate-Stapels auf dem Teil der Halbleiterfinne durch einen Ersatz-Gate-Stapel zwischen der Herstellung des Zwischenschichtdielektrikums und der Entfernung des Zwischenschichtdielektrikums. Bei einer Ausführungsform erfolgt keine Vakuum-Unterbrechung zwischen der selektiven Herstellung der Metallsilizidschicht und der Nitrierung der Oberflächenschicht der Metallsilizidschicht. Bei einer Ausführungsform wird eine Metallschicht auf einem dielektrischen Material, das an das Halbleitermaterial angrenzt, hergestellt, wenn die Metallsilizidschicht hergestellt wird.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen eines Source-/Drain-Bereichs; Durchführen einer selektiven Abscheidung in einer Vakuumkammer, um eine Metallsilizidschicht auf dem Source-/Drain-Bereich und eine Metallschicht auf dielektrischen Bereichen herzustellen, die dem Source-/Drain-Bereich benachbart sind; selektives Ätzen der Metallschicht in der Vakuumkammer; und selektives Herstellen einer Metallnitridschicht auf der Metallsilizidschicht, wobei das selektive Herstellen der Metallnitridschicht in der Vakuumkammer durchgeführt wird.
  2. Verfahren nach Anspruch 1, wobei die selektive Abscheidung und das selektive Ätzen der Metallschicht ohne eine Vakuum-Unterbrechung dazwischen in situ durchgeführt werden.
  3. Verfahren nach Anspruch 1 oder 2, wobei das selektive Ätzen der Metallschicht und das selektive Herstellen der Metallnitridschicht ohne eine Vakuum-Unterbrechung dazwischen in situ durchgeführt werden.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Metallsilizidschicht und die Metallschicht unter Verwendung der gleichen Prozessgase simultan hergestellt werden.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das selektive Herstellen der Metallnitridschicht das Nitrieren einer Oberflächenschicht der Metallsilizidschicht umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die selektive Abscheidung unter Verwendung von Prozessgasen durchgeführt wird, die ein Metallhalogenid umfassen.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das selektive Ätzen unter Verwendung von Prozessgasen durchgeführt wird, die ein Metallhalogenid umfassen.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei die selektive Abscheidung bei einer erhöhten Temperatur von etwa 400 °C bis etwa 500 °C durchgeführt wird.
  9. Verfahren mit den folgenden Schritten: Herstellen eines Gate-Stapels über einem ersten Teil einer Halbleiterfinne; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; simultanes Herstellen einer Metallschicht und einer Metallsilizidschicht in einer Vakuumkammer, wobei die Metallsilizidschicht auf dem Halbleitermaterial hergestellt wird; Entfernen der Metallschicht ohne Vakuum-Unterbrechung; Herstellen einer Metallsiliziumnitridschicht auf der Metallsilizidschicht ohne Vakuum-Unterbrechung; Herstellen einer ersten Kontakt-Ätzstoppschicht (CESL), die die Metallsiliziumnitridschicht bedeckt; und Herstellen eines ersten Zwischenschichtdielektrikums über der ersten CESL.
  10. Verfahren nach Anspruch 9, das weiterhin Folgendes umfasst: vor dem simultanen Herstellen der Metallschicht und der Metallsilizidschicht Herstellen einer zweiten CESL und eines zweiten Zwischenschichtdielektrikums, das das Halbleitermaterial bedeckt; und Entfernen eines Dummy-Gate-Stapels über dem ersten Teil der Halbleiterfinne, wobei der Gate-Stapel in einer Aussparung hergestellt wird, die von dem Dummy-Gate-Stapel hinterlassen wird.
  11. Verfahren nach Anspruch 9 oder 10, wobei das simultane Herstellen der Metallschicht und der Metallsilizidschicht unter Verwendung von Prozessgasen durchgeführt wird, die TiCl4 umfassen.
  12. Verfahren nach Anspruch 11, wobei das Entfernen der Metallschicht unter Verwendung von weiteren Prozessgasen durchgeführt wird, die TiCl4 umfassen.
  13. Verfahren nach einem der Ansprüche 9 bis 12, das weiterhin Folgendes umfasst: Ätzen der ersten CESL und des ersten Zwischenschichtdielektrikums, um eine Kontaktöffnung herzustellen; und Füllen der Kontaktöffnung mit einem Kontaktstift.
  14. Verfahren nach einem der Ansprüche 9 bis 13, wobei die Metallschicht und die Metallsilizidschicht eine Titanschicht bzw. eine Titansilizidschicht umfassen.
  15. Verfahren mit den folgenden Schritten: Herstellen eines Gate-Stapels über einem ersten Teil einer Halbleiterfinne; epitaxiales Aufwachsen eines Halbleitermaterials auf einem zweiten Teil der Halbleiterfinne; simultanes Herstellen einer Metallschicht und einer Metallsilizidschicht unter Verwendung eines ersten Prozessgases, wobei die Metallsilizidschicht auf dem Halbleitermaterial hergestellt wird; Entfernen der Metallschicht unter Verwendung eines zweiten Prozessgases, wobei das erste und das zweite Prozessgas ein Halogenid umfassen; und Herstellen einer Metallnitridschicht auf der Metallsilizidschicht unter Verwendung eines dritten Prozessgases.
  16. Verfahren nach Anspruch 15, wobei das erste und das zweite Prozessgas jeweils ein Metallhalogenid umfassen.
  17. Verfahren nach Anspruch 15 oder 16, wobei das erste und das zweite Prozessgas das gleiche Metallhalogenid umfassen.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei die Metallschicht Titan umfasst und das erste und das zweite Prozessgas TiCl4 umfassen.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei das Herstellen der Metallnitridschicht das Umwandeln einer Oberflächenschicht der Metallsilizidschicht in eine Metallsiliziumnitridschicht umfasst.
  20. Verfahren nach einem der Ansprüche 15 bis 19, wobei das simultane Herstellen der Metallschicht und der Metallsilizidschicht, das Entfernen der Metallschicht und das Herstellen der Metallnitridschicht in der gleichen Prozesskammer durchgeführt werden.
DE102017123353.9A 2017-08-31 2017-10-09 Verfahren zur herstellung eines umwickelten kontaktstifts Active DE102017123353B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691,871 2017-08-31
US15/691,871 US10475654B2 (en) 2017-08-31 2017-08-31 Wrap-around contact plug and method manufacturing same

Publications (2)

Publication Number Publication Date
DE102017123353A1 true DE102017123353A1 (de) 2019-02-28
DE102017123353B4 DE102017123353B4 (de) 2022-11-03

Family

ID=65320857

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017123353.9A Active DE102017123353B4 (de) 2017-08-31 2017-10-09 Verfahren zur herstellung eines umwickelten kontaktstifts

Country Status (5)

Country Link
US (4) US10475654B2 (de)
KR (1) KR102083604B1 (de)
CN (1) CN109427898B (de)
DE (1) DE102017123353B4 (de)
TW (1) TWI674650B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542422A (zh) * 2019-09-20 2021-03-23 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10943829B2 (en) 2018-10-23 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
US11152479B2 (en) * 2019-02-08 2021-10-19 Applied Materials, Inc. Semiconductor device, method of making a semiconductor device, and processing system
WO2020176814A1 (en) * 2019-02-28 2020-09-03 Tokyo Electron Limited Dual silicide wrap-around contacts for semiconductor devices
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US11232953B2 (en) 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11677015B2 (en) * 2020-05-13 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11450751B2 (en) * 2020-06-18 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with backside via rail
US11810960B2 (en) * 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11563083B2 (en) * 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
KR20220049175A (ko) 2020-10-14 2022-04-21 삼성전자주식회사 반도체 장치
US20230009981A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Conductive structures and methods of formation
US20230207655A1 (en) * 2021-12-24 2023-06-29 Intel Corporation Formation of metal contacts to silicon germanium layers with etch resistive cap layers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US6204170B1 (en) * 1997-05-08 2001-03-20 Nec Corporation Method for manufacturing semiconductor device having metal silicide film and metal film in which metal film can be selectively removed
US6461960B2 (en) * 2000-06-28 2002-10-08 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US20150132939A1 (en) * 2013-11-09 2015-05-14 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
KR20000000869A (ko) 1998-06-05 2000-01-15 김영환 반도체장치의 제조 방법
KR100538806B1 (ko) 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
WO2006084102A2 (en) 2005-02-03 2006-08-10 Musicstrands, Inc. Recommender system for identifying a new set of media items responsive to an input set of media items and knowledge base metrics
KR100735522B1 (ko) * 2005-11-07 2007-07-04 삼성전자주식회사 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6155719B2 (ja) * 2013-03-15 2017-07-05 セイコーエプソン株式会社 同期計測システム
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9887129B2 (en) * 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US10084085B2 (en) * 2015-06-11 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
WO2017052610A1 (en) 2015-09-25 2017-03-30 Intel Corporation Techniques for bottom-up filling of three-dimensional semiconductor device topographies
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9601586B1 (en) * 2015-11-02 2017-03-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a metal layer on source/drain regions
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9992978B2 (en) * 2016-01-05 2018-06-12 Miller Manufacturing Company Oxalic acid vaporizer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6204170B1 (en) * 1997-05-08 2001-03-20 Nec Corporation Method for manufacturing semiconductor device having metal silicide film and metal film in which metal film can be selectively removed
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US6461960B2 (en) * 2000-06-28 2002-10-08 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US20150132939A1 (en) * 2013-11-09 2015-05-14 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542422A (zh) * 2019-09-20 2021-03-23 台湾积体电路制造股份有限公司 半导体器件和形成半导体器件的方法

Also Published As

Publication number Publication date
CN109427898A (zh) 2019-03-05
DE102017123353B4 (de) 2022-11-03
TWI674650B (zh) 2019-10-11
US10879075B2 (en) 2020-12-29
US10636664B2 (en) 2020-04-28
US10468260B2 (en) 2019-11-05
US10475654B2 (en) 2019-11-12
US20190067013A1 (en) 2019-02-28
CN109427898B (zh) 2022-05-31
KR20190024536A (ko) 2019-03-08
US20200258746A1 (en) 2020-08-13
TW201913885A (zh) 2019-04-01
US20200043738A1 (en) 2020-02-06
KR102083604B1 (ko) 2020-03-02
US20190109006A1 (en) 2019-04-11

Similar Documents

Publication Publication Date Title
DE102017123353B4 (de) Verfahren zur herstellung eines umwickelten kontaktstifts
DE102018115901B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung einer Halbleitervorrichtung
DE102017124663B4 (de) Hybridschema für verbesserte leistung bei p- und n-finfets
DE102017127201A1 (de) Halbleiter-bauelement und verfahren
DE102020115120A1 (de) Nanosheet-feldeffekttransistor-vorrichtung und verfahren zu deren herstellung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102017127692B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102017127285A1 (de) Verbesserung der Abscheidungsselektivität und Herstellungsverfahren dafür
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102017118345A1 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102018113168A1 (de) Nicht konforme oxidauskleidung und herstellungsverfahren dafür
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102022107309A1 (de) Metallgate-finnenelektrodenstruktur und verfahren
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102019101555B4 (de) Metall-gate-schneideform
DE102017127770B4 (de) Halbleitervorrichtung und -verfahren
DE102022104650A1 (de) Herstellen von nähten mit erwünschten abmessungen in isolationsbereichen
DE102022100092A1 (de) Gatestrukturen in transistorvorrichtungen und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021285000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final