DE102017118345A1 - Kontaktöffnungen und verfahren zu deren herstellung - Google Patents

Kontaktöffnungen und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102017118345A1
DE102017118345A1 DE102017118345.0A DE102017118345A DE102017118345A1 DE 102017118345 A1 DE102017118345 A1 DE 102017118345A1 DE 102017118345 A DE102017118345 A DE 102017118345A DE 102017118345 A1 DE102017118345 A1 DE 102017118345A1
Authority
DE
Germany
Prior art keywords
layer
etching
implanted
boron
doped region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102017118345.0A
Other languages
English (en)
Other versions
DE102017118345B4 (de
Inventor
Chih-Hung SUN
Han-Ti Hsiaw
Yi-Wei Chiu
Kuan-Cheng Wang
Shin-Yeu Tsai
Jr-Yu CHEN
Wen-Cheng Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017118345A1 publication Critical patent/DE102017118345A1/de
Application granted granted Critical
Publication of DE102017118345B4 publication Critical patent/DE102017118345B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26533Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically inactive species in silicon to make buried insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein Verfahren weist die folgenden Schritte auf: Durchführen einer Implantation an einem Teil einer ersten Schicht, um einen implantierten Bereich herzustellen; und Entfernen von nicht-implantierten Teilen der ersten Schicht. Der implantierte Bereich bleibt nach der Entfernung der nicht-implantierten Teile der ersten Schicht bestehen. Dann wird eine Ätzung an einer zweiten Schicht durchgeführt, die sich unter der ersten Schicht befindet, wobei der implantierte Bereich als ein Teil einer ersten Ätzmaske bei der Ätzung verwendet wird. Dann wird der implantierte Bereich entfernt. Unter Verwendung der zweiten Schicht wird eine Metallmaske geätzt, um eine strukturierte Maske herzustellen. Dann wird ein Zwischenschicht-Dielektrikum geätzt, um eine Kontaktöffnung herzustellen, wobei die strukturierte Maske als eine zweite Ätzmaske verwendet wird.

Description

  • Prioritätsanspruch und Querverweis
  • Diese Anmeldung beansprucht die Priorität der am 29. November 2016 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/427.435 und dem Titel „Using Implanted Layers to Define Hard Masks for Forming Contact Openings“ („Verwendung von implantierten Schichten zum Definieren von Hartmasken zur Herstellung von Kontaktöffnungen“), die durch Bezugnahme aufgenommen ist.
  • Hintergrund der Erfindung
  • Bei der Herstellung von integrierten Schaltkreisen werden Kontaktstifte zum Verbinden mit den Source- und Drain-Bereichen und den Gates von Transistoren verwendet. Die Source-/Drain-Kontaktstifte werden normalerweise mit Source-/Drain-Silizidbereichen verbunden, deren Herstellungsprozess das Herstellen von Kontaktöffnungen in einem Zwischenschicht-Dielektrikum, das Abscheiden einer Metallschicht, die in die Kontaktöffnungen hinein reicht, und das anschließende Durchführen einer Glühung umfasst, um die Metallschicht mit dem Silizium/Germanium der Source-/Drain-Bereiche zur Reaktion zu bringen. Dann werden die Source-/Drain-Kontaktstifte in den Kontaktöffnungen hergestellt.
  • Bei herkömmlichen Verfahren zur Herstellung von Kontaktöffnungen werden die Positionen der Kontaktöffnungen mit Titannidrid-Masken definiert, und über den Titannidrid-Masken wird ein Fotoresist hergestellt. Das Fotoresist wird zusammen mit den Titannidrid-Masken als eine Ätzmaske zum Ätzen des Zwischenschicht-Dielektrikums und zum Herstellen von Kontaktöffnungen verwendet.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1A bis 14 sind Draufsichten und Schnittansichten von Zwischenstufen bei der Herstellung von Transistoren und entsprechenden Kontaktstiften gemäß einigen Ausführungsformen.
    • 15 zeigt einen Prozessablauf zur Herstellung von Kontaktstiften gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„öberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen beispielhaften Ausführungsformen werden Transistoren, Kontaktstifte von Transistoren und Verfahren zu deren Herstellung zur Verfügung gestellt. Es werden die Zwischenstufen beim Definieren und Herstellen der Kontaktstifte dargelegt. Außerdem werden Abwandlungen einiger Ausführungsformen erörtert. In allen verschiedenen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet. Die Schritte, die in den 1A bis 14 gezeigt sind, sind auch in dem Prozessablauf 200 schematisch angegeben, der in 15 gezeigt ist. Einige der Figuren können mit einer Kombination aus einer Zahl und einem Buchstaben bezeichnet sein, wobei die Figuren mit dem Buchstaben A Draufsichten zeigen und die Figuren mit dem Buchstaben B Schnittansichten zeigen. Darüber hinaus zeigen Figuren mit den gleichen Zahlen (aber unterschiedlichen Buchstaben) die gleiche Struktur.
  • Die 1A bis 14 sind Draufsichten und Schnittansichten von Zwischenstufen bei der Herstellung eines Transistors und der jeweiligen Kontaktstifte gemäß einigen beispielhaften Ausführungsformen. Die 1A und 1B zeigen eine Draufsicht bzw. eine Schnittansicht der Herstellung einer Anfangsstruktur. In 1B wird ein Wafer 10 hergestellt. Der Wafer 10 weist ein Substrat 20 auf, das aus einem Halbleitermaterial bestehen kann, wie etwa Silizium, Siliziumgermanium, Silizium-Kohlenstoff, einem III-V-Verbindungshalbleitermaterial oder dergleichen. Das Substrat 20 kann ein massives Substrat oder ein Halbleiter-auf-Isolator(SOI)-Substrat sein.
  • Über dem Substrat 20 wird eine Vielzahl von Gate-Stapeln 24A bis 24F hergestellt, die gemeinsam als Gate-Stapel 24 bezeichnet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die Gate-Stapel 24 als parallele Gate-Stapelstreifen (die in 1A gezeigt sind) hergestellt. Die Gate-Stapel 24 können jeweils ein Gate-Dielektrikum 26, eine Gate-Elektrode 28 über dem Gate-Dielektrikum 26 und eine Hartmaske 38 über der Gate-Elektrode 28 aufweisen. Bei einigen Ausführungsformen der vorliegenden Erfindung sind die Gate-Stapel 24 Ersatz-Gate-Stapel, die dadurch hergestellt werden, dass Dummy-Gate-Stapel (nicht dargestellt) hergestellt werden, die Dummy-Gate-Stapel entfernt werden, sodass Aussparungen entstehen, und in den Aussparungen die Ersatz-Gate-Stapel hergestellt werden. Dadurch haben die Gate-Dielektrika 26 jeweils einen unteren Teil, der sich unter der jeweiligen Gate-Elektrode 28 befindet, und Seitenwandteile auf den Seitenwänden der jeweiligen Gate-Elektrode 28. Die Seitenwandteile der Gate-Dielektrika 26 bilden Ringe, die die jeweiligen Gate-Elektroden 28 umschließen. Wenn Finnen-Feldeffekttransistoren (FinFETs) hergestellt werden sollen, ist der dargestellte obere Teil des Substrats 20 eine Halbleiterfinne 25, und die Gate-Stapel 24 verlaufen auf den Seitenwänden und den Oberseiten der Halbleiterfinne 25.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung werden Source- und Drain-Bereiche 22 (nachstehend als Source-/Drain-Bereiche 22 bezeichnet) zwischen benachbarten Gate-Stapeln 24 hergestellt, und sie reichen in das Substrat 20 hinein. Die Source-/Drain-Bereiche 22 können Epitaxiebereiche umfassen, die dadurch hergestellt werden, dass das ursprüngliche Halbleitersubstrat (oder die Halbleiterfinne) ausgespart wird, um Aussparungen herzustellen, und ein Halbleitermaterial erneut in den Aussparungen aufgewachsen wird. Dadurch können die Oberseiten der Source-/Drain-Bereiche 22 auf gleicher Höhe mit den Unterseiten der Gate-Stapel 24 sein (wie gezeigt ist) oder sind können höher als diese sein. Einige der Source-/Drain-Bereiche 22 können gemeinsame Source-Bereiche oder gemeinsame Drain-Bereiche haben, die von benachbarten Gate-Stapeln 24 gemeinsam verwendet werden.
  • Die Gate-Dielektrika 26 können jeweils eine einzelne Schicht oder eine zusammengesetzte Schicht sein, die eine Vielzahl von Schichten umfasst. Zum Beispiel können die Gate-Dielektrika 26 jeweils eine Oxid-Zwischenschicht und eine dielektrische High-k-Schicht über der Oxidschicht aufweisen. Die Oxidschicht kann eine Siliziumoxidschicht sein, die durch thermische Oxidation oder chemische Oxidation hergestellt wird. Die dielektrische High-k-Schicht kann einen k-Wert haben, der größer als 7 oder größer als 20 ist. Beispielhafte dielektrische High-k-Materialien sind Hafniumoxid, Zirconiumoxid, Lanthanoxid und dergleichen.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung sind die Gate-Elektroden 28 Metall-Gates, die aus Metallmaterialien bestehen. Zum Beispiel können die Gate-Elektroden 28 jeweils eine Verbundstruktur mit einer Vielzahl von Schichten haben, die aus TiN, TaSiN, WN, TiAl TiAlN, TaC, TaN, Aluminium oder Legierungen davon bestehen. Die Herstellung der Gate-Elektroden 28 kann physikalische Aufdampfung (PVD), metallorganische chemische Aufdampfung (MOCVD) und/oder andere geeigneten Verfahren umfassen. Die Hartmasken 38 können zum Beispiel aus Siliziumnitrid bestehen.
  • Bei alternativen Ausführungsformen der vorliegenden Erfindung kann die Herstellung der Gate-Stapel 24, statt Ersatz-Gate-Stapel zu sein, das Herstellen einer dielektrischen Gate-Schutzschicht und eine Gate-Elektroden-Schutzschicht (wie etwa einer Polysiliziumschicht) und das anschließende Strukturieren der dielektrischen Gate-Schutzschicht und der Gate-Elektroden-Schutzschicht umfassen.
  • In 1B wird eine Kontakt-Ätzstoppschicht (CESL) 34 so hergestellt, dass sie das Substrat 20 bedeckt, und sie kann auf den Seitenwänden von Gate-Abstandshalter 30 verlaufen. Bei einigen Ausführungsformen der vorliegenden Erfindung besteht die CESL 34 aus Siliziumnitrid, Siliziumcarbid oder einem anderen dielektrischen Material. Über der CESL 34 und den Gate-Stapeln 24 wird ein Zwischenschicht-Dielektrikum (ILD) 36 hergestellt. Das ILD 36 kann aus einem Oxid bestehen, wie etwa Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), Tetraethylorthosilicat(TEOS)-Oxid oder dergleichen. Die Herstellung kann zum Beispiel chemische Aufdampfung (CVD), fließfähige CVD (FCVD), Schleuderbeschichtung oder dergleichen umfassen.
  • Dann werden Schichten 40, 42 und 44 über dem ILD 36 hergestellt. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die Schicht 40 eine Metall-Hartmaskenschicht, die zum Beispiel aus Titannidrid bestehen kann. Die Schicht 40 kann eine Dicke in dem Bereich von etwa 200 Å bis etwa 400 Å haben. Die Schicht 42 kann eine Tieftemperatur(LT)-Oxidschicht sein, die bei einer niedrigen Temperatur, zum Beispiel niedriger als etwa 100 °C, abgeschieden wird. Die Schicht 42 kann zum Beispiel aus Siliziumoxid bestehen. Die Schicht 42 kann eine Dicke in dem Bereich von etwa 300 Å bis etwa 600 Å haben. Die Schicht 44 wird über der Schicht 42 abgeschieden und kann eine Dicke von etwa 100 Å bis etwa 300 Å haben. Die Schicht 44 kann eine Schicht aus amorphem Silizium sein und wird nachstehend als amorphe Siliziumschicht 44 bezeichnet. Die Schicht 44 kann aber auch aus anderen Materialien bestehen. Die Schichten 40, 42 und 44 können durch chemische Aufdampfung (CVD), Atomlagenabscheidung (ALD) oder dergleichen hergestellt werden.
  • 1C zeigt eine perspektivische Darstellung eines Teils eines FinFET, der in den 1A und 1B gezeigt ist, gemäß einigen Ausführungsformen, wobei eine der Halbleiterfinnen 25 und einer der Gate-Stapel 24 als Beispiele gezeigt sind. Der FinFET weist den Gate-Stapel 24 auf, wobei die Einzelheiten zu dem Gate-Stapel 24 in 1B zu finden. Auf gegenüberliegenden Seiten des Gate-Stapels 24 werden Source-/Drain-Bereiche 22 hergestellt. Der Gate-Stapel 24 wird auf den Seitenwänden und der Oberseite der Halbleiterfinne 25 hergestellt, die über die Oberseiten eines STI-Bereichs 23 (STI: flache Grabenisolation) übersteht. Die Halbleiterfinne 25 kann ein Teil des Halbleitersubstrats 20 sein oder kann ein epitaxial aufgewachsener Halbleiterbereich sein. Das ILD 36, das in 1B gezeigt ist, ist nicht in 1C gezeigt, und es wird über den STI-Bereichen 23, den Gate-Stapeln 24, den Gate-Abstandshaltern 30 und den Source-/Drain-Bereichen 22 hergestellt. Die Schnittansicht der Gate-Stapel 24 in 1B kann von der vertikalen Ebene erhalten werden, die die Linie 1B - 1B von 1C enthält.
  • Die 1A bis 3B zeigen einen ersten Lithografie- und Implantationsprozess. Über der amorphen Siliziumschicht 44 wird eine Dreifachschicht mit einer unteren Schicht 46, einer mittleren Schicht 48 über der unteren Schicht 46 und einer oberen Schicht 50 über der mittleren Schicht 48 hergestellt. Bei einigen Ausführungsformen bestehen die untere Schicht 46 und die obere Schicht 50 aus Fotoresists. Die mittlere Schicht 48 kann aus einem anorganischen Material bestehen, das ein Carbid (wie etwa Siliziumoxidcarbid), ein Nitrid (wie etwa Siliziumnitrid), ein Oxidnitrid (wie etwa Siliziumoxidnitrid), ein Oxid (wie etwa Siliziumoxid) oder dergleichen sein kann. Die mittlere Schicht 48 hat eine hohe Ätzselektivität gegenüber der oberen Schicht 50 und der unteren Schicht 46, und daher kann die obere Schicht 50 als eine Ätzmaske zum Ätzen der mittleren Schicht 48 verwendet werden, und die mittlere Schicht 48 kann als eine Ätzmaske zum Ätzen der unteren Schicht 46 verwendet werden. Die obere Schicht 50 kann strukturiert werden, um eine Öffnung 52 herzustellen.
  • In 1A ist die Struktur einer beispielhaften Öffnung 52 dargestellt. Die Öffnung 52 verläuft von einem Gate-Stapel 24D bis zu einem Gate-Stapel 24E und kann Teile des Gate-Stapels 24D und des Gate-Stapels 24E überdecken. Die Schnittansicht, die in 1B gezeigt ist, wird von der Ebene erhalten, die die Linie 1B - 1B von 1A enthält. Auch die später gezeigten Schnittansichten werden von dieser Ebene erhalten.
  • Dann wird die mittlere Schicht 48 unter Verwendung der strukturierten oberen Schicht 50 (2) als eine Ätzmaske geätzt, sodass die Struktur der oberen Schicht 50 in die mittlere Schicht 48 übertragen wird. Während der Strukturierung der mittleren Schicht 48 wird die obere Schicht 50 teilweise oder vollständig aufgebraucht. Nachdem die mittlere Schicht 48 durchgeätzt worden ist, wird die untere Schicht 46 strukturiert, wobei die mittlere Schicht 48 als eine Ätzmaske verwendet wird. Die obere Schicht 50 wird während der Strukturierung der unteren Schicht 46 vollständig aufgebraucht, wenn sie bei der Strukturierung der mittleren Schicht 48 noch nicht vollständig aufgebraucht worden ist. Dadurch entsteht die Öffnung 52 in der unteren Schicht 46.
  • Ein Teil der amorphen Siliziumschicht 44 wird zu der Öffnung 52 freigelegt. Dann wird eine Implantation (53) durchgeführt, bei der ein Dotand, wie etwa Bor, in die amorphe Siliziumschicht 44 implantiert wird, sodass ein implantierter Bereich 54 in der amorphen Siliziumschicht 44 entsteht. Der entsprechende Prozessschritt ist als Schritt 202 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Es ist klar, dass die Schicht 44 und der implantierte Dotand aus anderen Materialien als aus amorphem Silizium und Bor bestehen können, wenn der implantierte Bereich 54 eine hohe Ätzselektivität gegenüber den nicht-implantierten Teilen der Schicht 44 in einem späteren Ätzschritt hat, der in späteren Absätzen erörtert wird. Bei einigen Ausführungsformen erreicht der implantierte Dotand die Unterseite der amorphen Siliziumschicht 44. Ein Teil, jedoch kein überschüssiger Teil, des implantierten Dotanden kann weiter in die Schicht 42 hinein reichen.
  • Dann wird die verbliebene untere Schicht 46 zum Beispiel mit einem Ablöseverfahren entfernt, sodass die in den 3A und 3B gezeigte Struktur zurückbleibt, wobei die nicht-implantierte amorphe Siliziumschicht 44 und der implantierte Bereich 54 nicht dargestellt sind. Wie in den 4A bis 5B gezeigt ist, wird dann ein zweiter Lithografie- und Implantationsprozess durchgeführt, um einen zweiten implantierten Bereich herzustellen. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine zweite Dreifachschicht über der amorphen Siliziumschicht 44 hergestellt, wie in 4B gezeigt ist. Die zweite Dreifachschicht umfasst eine untere Schicht 56, eine mittlere Schicht 58 über der unteren Schicht 56 und eine obere Schicht 60 über der mittleren Schicht 58. In der strukturierten oberen Schicht 60 wird eine Öffnung 62 hergestellt. Die in 4B gezeigte Dreifachschicht kann eine ähnliche Struktur wie die in 2B gezeigte Dreifachschicht haben und kann aus einem ähnlichen Material wie diese bestehen. Die mittlere Schicht 58 wird unter Verwendung der strukturierten oberen Schicht 60 als eine Ätzmaske geätzt, sodass die Struktur der oberen Schicht 60 in die mittlere Schicht 58 und dann in die untere Schicht 56 übertragen wird. In 4B sind die mittlere Schicht 58 und die obere Schicht 60 durch Strichlinien dargestellt, um anzugeben, dass zu dem Zeitpunkt, zu dem die amorphe Siliziumschicht 44 zu der Öffnung 62 freigelegt wird, die mittlere Schicht 58 und die obere Schicht 60 bereits aufgebraucht worden sein können.
  • Dann wird ein implantierter Bereich 64 in der amorphen Siliziumschicht 44 durch Implantieren durch die Öffnung 62 hergestellt. Der entsprechende Prozessschritt ist als Schritt 204 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen wird der implantierte Bereich 64 durch Implantieren des gleichen Dotanden wie bei der Herstellung des implantierten Bereichs 54 hergestellt, wobei der implantierte Dotand bei einigen Ausführungsformen der vorliegenden Erfindung Bor sein kann. Der implantierte Bereich 64 kann einen ersten Teil, der einen Teil des Gate-Stapels 24B überdeckt; einen zweiten Teil, der einen Teil des Gate-Stapels 24C überdeckt; und einen dritten Teil haben, der den Teil des ILD zwischen den Gate-Stapeln 24B und 24C überdeckt.
  • Dann wird die verbliebene untere Schicht 56 entfernt, und die resultierende Struktur ist in den 5A und 5B gezeigt. Vorzugsweise umfassen die in den 1A bis 5B gezeigten Prozessschritte zwei lithografische Prozesse, und daher umfassen die jeweiligen Schritte Doppelstrukturierungsprozesse. Dadurch können die implantierten Bereiche 54 und 64 (5A und 5B) eng aneinander angeordnet werden, ohne dass ein optischer Proximity-Effekt entsteht. Bei alternativen Ausführungsformen werden die implantierten Bereiche 54 und 64 in dem gleichen lithografischen Prozess hergestellt.
  • Dann wird ein isotroper Ätzprozess durchgeführt, um die nicht-implantierten Teile der amorphen Siliziumschicht 44 zu entfernen und die implantierten Bereiche 54 und 64 bestehen zu lassen. Die resultierende Struktur ist in den 6A und 6B gezeigt. Der entsprechende Prozessschritt ist als Schritt 206 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen, bei denen die implantierten Bereiche mit Bor dotiert sind, kann die Entfernung der nicht-implantierten Teile der amorphen Siliziumschicht 44 unter Verwendung einer Ammoniaklösung realisiert werden, die Ammoniak und Wasser umfasst und die chemische Formel NH4OH hat, die auch als Ammoniakhydrat bekannt ist. Die mit Bor dotierten Bereiche 54 und 64 sind gegen das Ammoniakhydrat beständig. Daher bleiben nach der Ätzung die mit Bor dotierten Bereiche 54 und 64 bestehen, während die nicht-implantierten Teile der amorphen Siliziumschicht 44 entfernt werden. Die Ränder der verbleibenden implantierten Bereiche 54 und 64 können im Wesentlichen vertikal sein.
  • Wie in den 6A und 6B gezeigt ist, befinden sich die implantierten Bereiche 54 und 64 auf einer Schutzschicht 42. Es ist zu beachten, dass zwar in den 6A und 6B zwei implantierte Bereiche 54 und 64 dargestellt sind, aber mehr implantierte Bereiche hergestellt werden können. Wie schematisch in 6A gezeigt ist, können zum Beispiel weitere implantierte Bereiche 57A und 57B hergestellt werden. Bei einigen Ausführungsformen können die implantierten Bereiche 57A simultan mit dem implantierten Bereich 54 hergestellt werden, und der weitere implantierte Bereich 57B kann simultan mit dem implantierten Bereich 64 hergestellt werden. Die implantierten Masken 57A und 57B und die Gate-Stapel 24 können weitere Teile außerhalb des dargestellten Bereichs haben. Die Positionen der weiteren implantierten Bereiche 57A und 57B werden von den gewünschten Längen der herzustellenden Kontaktstifte bestimmt.
  • Die 7A, 7B, 8A und 8B zeigen einen ersten Strukturherstellungsprozess zum Herstellen der Strukturen einiger Kontaktstifte in der Schicht 42. Daher wird die Schicht 42 in der gesamten Beschreibung als eine Strukturreservierungsschicht bezeichnet. Der entsprechende Prozessschritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 15 gezeigt ist. In 7B wird eine Dreifachschicht mit einer unteren Schicht 66, einer mittleren Schicht 68 und einer oberen Schicht 70 so hergestellt, dass sie die implantierten Bereiche 54 und 64 bedeckt. Die obere Schicht 70 wird in einem lithografischen Prozess so strukturiert, dass Öffnungen 72 entstehen, und die Strukturen werden dann in die mittlere Schicht 68 und weiter in die untere Schicht 66 übertragen. Wie in 7A gezeigt ist, können die Öffnungen 72 die Form von Streifen haben, die in der in 7A gezeigten Draufsicht eine im Wesentlichen gleichbleibende Breite haben können. Außerdem überdecken die Öffnungen 72 das ILD 36, jedoch nicht die Gate-Stapel 24. Obwohl 7A nicht zeigt, dass sich die Öffnungen 72 über implantierten Bereichen befinden, ist klar, dass bei einigen Ausführungsformen die Öffnungen 72 tatsächlich einige der implantierten Bereiche, wie etwa die in 6A gezeigten Bereiche 57A und 57B, überdecken können und über diese hinwegführen können.
  • In den 8A und 8B wird dann die Schicht 42 unter Verwendung der in den 7A und 7B gezeigten Dreifachschicht als eine Ätzmaske geätzt, und die Öffnungen 72 reichen in die Schicht 42 hinein. Wenn die in 6A gezeigten implantierten Bereiche 57A und 57B hergestellt werden, fungieren sie als eine Ätzstoppschicht, und sie vermeiden, dass die Öffnungen 72 in die Teile der Schicht 42 hinein reichen, die sich direkt unter den implantierten Bereichen 57A und 57B befinden. Die Ätzung kann unter Verwendung eines Ätzgases auf Fluorbasis, wie etwa eines Gasgemisches aus NH3 (Ammoniak) und HF3, durchgeführt werden, das zwar die Schicht 42 (wie etwa Siliziumoxid), aber nicht die mit Bor dotierten implantierten amorphen Siliziumbereiche 54 ist 64 angreift. Nach der Ätzung liegen einige Teile der Metall-Hartmaskenschicht 40 frei. Dann wird der übrige Teil der Dreifachschicht entfernt.
  • Die 9A, 9B, 10A und 10B zeigen einen zweiten Strukturherstellungsprozess zum Herstellen der Strukturen einiger Kontaktstifte in der Schicht 42. Der entsprechende Prozessschritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 15 gezeigt ist. In 9B wird eine Dreifachschicht mit einer unteren Schicht 74, einer mittleren Schicht 76 und einer oberen Schicht 78 so hergestellt, dass sie die implantierten Bereiche 54 und 64 bedeckt.
  • Die obere Schicht 78 wird in einem lithografischen Prozess so strukturiert, dass Öffnungen 80 entstehen. Wie in 9A gezeigt ist, können die Öffnungen 80 die Form von Streifen haben, die in der in 9A gezeigten Draufsicht im Wesentlichen gleichbleibende Breiten haben können. Außerdem überdecken die Öffnungen 80 das ILD 36, jedoch nicht die Gate-Stapel 24. Die Öffnungen 80 können Teile der implantierten Bereiche 54 und 64 überdecken. Außerdem kann eine Öffnung 80 einen ersten Teil und einen zweiten Teil auf gegenüberliegenden Seiten der jeweiligen implantierten Bereiche 54 und 64 sowie einen dritten Teil aufweisen, der den ersten Teil mit dem zweiten Teil verbindet, wobei der dritte Teil einen Teil der jeweiligen implantierten Bereiche 54 und 64 überdeckt.
  • In den 10A und 10B wird dann die Schicht 42 unter Verwendung der in den 9A und 9B gezeigten Dreifachschicht als eine Ätzmaske geätzt, und die Öffnungen 80 reichen in die Schicht 42 hinein. Während der Ätzung fungieren die implantierten Bereiche 54 und 64 als eine Ätzstoppschicht, und sie vermeiden, dass die Öffnungen 80 in die Teile der Schicht 42 hinein reichen, die sich direkt unter den implantierten Bereichen 54 und 64 befinden. Dadurch entstehen, wie in 10A gezeigt ist, zwei diskrete Öffnungen 80 auf gegenüberliegenden Seiten des implantierten Bereichs 54 und zwei diskrete Öffnungen 80 auf gegenüberliegenden Seiten des implantierten Bereichs 64. Die Ätzung kann unter Verwendung des gleichen Ätzgases auf Fluorbasis wie bei der Herstellung der Öffnungen 72 durchgeführt werden. Nach der Ätzung liegt die Metall-Hartmaskenschicht 40 zu den Öffnungen 80 frei. Dann werden die übrigen Teile der Dreifachschicht entfernt, wie in 9B gezeigt ist.
  • Wie in den 7A bis 11B gezeigt ist, dient die Schicht 42 zum Reservieren der Strukturen (der Öffnungen 72 und 80), die in zwei lithografischen Prozessen hergestellt werden. Darüber hinaus werden durch die Herstellung der implantierten Bereiche 54 und 64 die andernfalls langen streifenförmigen (in der Draufsicht betrachtet) Öffnungen 72 und 80 in kürzere Streifen zertrennt.
  • In einem nachfolgenden Schritt werden die implantierten Bereiche 54 und 64 in einem Ätzschritt entfernt. Die Ätzung kann isotrop oder anisotrop sein und kann durch Nass- oder Trockenätzung erfolgen. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Ätzung unter Verwendung eines Prozessgases auf Chlorbasis durchgeführt, das zum Beispiel Cl2 umfasst. Die resultierende Struktur ist in den 11A und 11B gezeigt. In einem nachfolgenden Schritt, der ebenfalls in den 11A und 11B gezeigt ist, wird die Schicht 42 als eine Ätzmaske zum Ätzen der darunter befindlichen Metall-Hartmaskenschicht 40 verwendet, sodass die Öffnungen 72 und 80 in die Metall-Hartmaskenschicht 40 hinein reichen. Der entsprechende Prozessschritt ist als Schritt 210 in dem Prozessablauf angegeben, der in 15 gezeigt ist.
  • Dann werden die strukturierte Schicht 42 und die Schicht 40 als eine Ätzmaske zum Ätzen des darunter befindlichen ILD 36 verwendet. Die resultierende Struktur, in der Kontaktöffnungen 82 hergestellt sind, ist in den 12A und 12B gezeigt. Der entsprechende Prozessschritt ist als Schritt 212 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung bestehen die Schicht 42 und das ILD 36 aus Materialien, die ähnliche Eigenschaften haben, und daher haben sie eine niedrige Ätzselektivität. Während der Ätzung wird die Schicht 42 vollständig aufgebraucht, und die Metall-Hartmaske 42 wird als die Ätzmaske verwendet. Die CESL 34 wird ebenfalls durchgeätzt. Dadurch werden die Source-/Drain-Bereiche 22 zu den Kontaktöffnungen 82 freigelegt.
  • Die 13A und 13B zeigen eine Draufsicht und eine Schnittansicht bei der Herstellung von Source-/Drain-Silizidbereichen 84 und Kontaktstiften 90. In 13B wird eine Metallschicht 86 als eine konforme Metallschicht abgeschieden, die in die Kontaktöffnungen 82 (12A und 12B) hinein reicht. Die Metallschicht 86 kann zum Beispiel aus Titan bestehen. Dann wird eine Glühung durchgeführt, sodass die Teile der Metallschicht 86, die sich an den Unterseiten der Kontaktöffnungen 82 befinden, mit den Source-/Drain-Bereichen 22 zu Source-/Drain-Silizidbereichen 84 reagieren. Der entsprechende Prozessschritt ist als Schritt 214 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen kann eine weitere leitende Nitridschicht (nicht dargestellt), wie etwa eine Titannidridschicht, vor der Glühung auf der Metallschicht 86 hergestellt werden. Wie in 12B gezeigt ist, bleiben die Seitenwandteile der Metallschicht 86 nach der Glühung bestehen. Die verbliebenen Kontaktöffnungen werden dann mit einem Metallmaterial 88 gefüllt, das aus Wolfram, Kupfer, Aluminium oder Legierungen davon bestehen kann. Anschließend wird eine Planarisierung, wie etwa eine CMP, durchgeführt, um die Oberseiten der resultierenden Kontaktstifte 90, die die Metallschicht 86 und das Metallmaterial 88 umfassen, auf gleiche Höhe zu bringen. Der entsprechende Prozessschritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 15 gezeigt ist.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung sind durch das Zertrennen der langen Gate-Kontaktstifte in kurze Kontaktstifte die Höhen H1 (13B) der Kontaktstifte 90 unabhängig von ihren Breiten W1 einheitlich. Versuchsergebnisse, die von Wafern erhalten wurden, zeigen, dass die Höhen von drei beispielhaften Kontaktstiften 90 im Wesentlichen gleichgroß sein können, obwohl ihre Breiten 67,54 nm, 38,13 nm bzw. 145,52 nm betragen, was signifikant unterschiedlich ist. Die Verhältnisse der Höhen von jeweils zwei Kontaktstiften 90 in einem Wafer können in dem Bereich von 0,9 bis 1,2 eingestellt werden. Es ist festgestellt worden, dass der Neigungswinkel α□der Seitenwand des Kontaktstifts 90 kleiner als etwa 85 Grad ist, wobei der kleinere Neigungswinkel α zum Füllen von Gräben 82 (12B) günstig ist, um die Kontaktstifte 90 herzustellen.
  • 13B zeigt außerdem die Prozesse zum Herstellen von Gate-Kontaktstiften 92, und 14 zeigt die Prozesse zum Herstellen von oberen Kontaktstiften 94 gemäß einigen Ausführungsformen der vorliegenden Erfindung. Der entsprechende Prozessschritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen wird eine Ätzung durchgeführt, um das ILD 36 und einige Teile der Hartmasken 38 (13B) zu ätzen, sodass Gate-Kontaktöffnungen entstehen, in denen die Gate-Kontaktstifte 92 zum Beispiel durch Abscheidung und CMP hergestellt werden. Dann werden eine Ätzstoppschicht 96 und eine dielektrische Schicht 98 hergestellt. Anschließend werden die Kontaktstifte (oder Durchkontaktierungen) 94 in der dielektrischen Schicht 98 hergestellt, um die Gate-Kontaktstifte 92 und die Source-/Drain-Kontaktstifte 90 zu verbinden. Bei alternativen Ausführungsformen der vorliegenden Erfindung wird die Ätzstoppschicht 96 nicht hergestellt, und das ILD 98 ist in Kontakt mit dem ILD 36. Es ist zu beachten, dass die Strukturelemente, die in 14 gezeigt sind, nicht in der gleichen Ebene zu sein brauchen, obwohl sie in der gleichen Ebene dargestellt sind. Zum Beispiel können die Gate-Kontaktstifte 92 in einer Ebene hergestellt werden, die von der dargestellten Ebene verschieden ist.
  • In 13A sind mehrere Transistoren 102 (die 102A, 102B, 102C und 102D umfassen) schematisch dargestellt, wobei die Source-/Drain-Bereiche 22 und die jeweiligen Gates 24' bezeichnet sind. Die Kontaktstifte 90 können als eine Zwischenverbindung zum Verbinden der Source-/Drain-Bereiche 22 einiger Transistoren mit den Source-/Drain-Bereichen 22 von benachbarten Transistoren fungieren.
  • Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Durch Herstellen von implantierten Bereichen entstehen Strukturen in der Metall-Hartmaske, sodass die andernfalls langen Gate-Kontaktstifte in kurze Kontaktstifte zertrennt werden. Daher werden die Strukturen der implantierten Bereiche als Schnittlinien bezeichnet. Die Verwendung der implantierten Bereiche zum Herstellen von Schnittlinien-Strukturen auf der Metall-Hartmaske ist vorteilhafter, als die Metall-Hartmaske direkt zu ätzen, um Schnittlinien-Strukturen herzustellen, da eine geringere Rundung an dem Ende der Kontaktstifte erfolgt, wenn die implantierten Bereiche zum Herstellen von Schnittlinien-Strukturen verwendet werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Durchführen einer Implantation an einem Teil einer ersten Schicht, um einen implantierten Bereich herzustellen; und Entfernen von nicht-implantierten Teilen der ersten Schicht. Der implantierte Bereich bleibt nach dem Entfernen der nicht-implantierten Teile der ersten Schicht bestehen. Dann wird eine Ätzung an einer zweiten Schicht durchgeführt, die sich unter der ersten Schicht befindet, wobei der implantierte Bereich als ein Teil einer ersten Ätzmaske bei der Ätzung verwendet wird. Dann wird der implantierte Bereich entfernt. Unter Verwendung der zweiten Schicht wird eine Metall-Hartmaske geätzt, um eine strukturierte Hartmaske herzustellen. Dann wird ein Zwischenschicht-Dielektrikum geätzt, um eine Kontaktöffnung herzustellen, wobei die strukturierte Hartmaske als eine zweite Ätzmaske verwendet wird.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Abscheiden einer Oxidschicht über einer Metall-Hartmaske; Abscheiden einer amorphen Siliziumschicht über der Oxidschicht; Implantieren von Bor in die amorphe Siliziumschicht, um einen mit Bor dotierten Bereich herzustellen; Entfernen von nicht-implantierten Teilen der amorphen Siliziumschicht; und Herstellen eines strukturierten Fotoresists über dem mit Bor dotierten Bereich. Das strukturierte Fotoresist hat eine Öffnung, wobei ein mittlerer Teil des mit Bor dotierten Bereichs zu der Öffnung freiliegt und Teile der Oxidschicht zu der Öffnung freiliegen. Das Verfahren umfasst weiterhin Folgendes: Ätzen der freiliegenden Teile der Oxidschicht, wobei der mittlere Teil des mit Bor dotierten Bereichs einen Teil der Oxidschicht schützt; Entfernen des strukturierten Fotoresists; Entfernen des mit Bor dotierten Bereichs; Ätzen der Metall-Hartmaske unter Verwendung der Oxidschicht als eine erste Ätzmaske; und Ätzen eines Zwischenschicht-Dielektrikums, um eine Kontaktöffnung herzustellen, wobei die Metall-Hartmaske als eine zweite Ätzmaske verwendet wird.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen einer Oxidschicht über einer Metall-Hartmaske; Herstellen einer amorphen Siliziumschicht über der Oxidschicht; Implantieren von Bor in die amorphe Siliziumschicht, um einen mit Bor dotierten Bereich herzustellen; Herstellen eines strukturierten Fotoresists über dem mit Bor dotierten Bereich; Ätzen der Oxidschicht unter Verwendung des mit Bor dotierten Bereichs zusammen mit dem strukturierten Fotoresist als eine Ätzmaske; und Übertragen von Strukturen in der geätzten Oxidschicht in die Metall-Hartmaske.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen oder Beispielen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Durchführen einer ersten Implantation an einem Teil einer ersten Schicht, um einen ersten implantierten Bereich herzustellen; Entfernen von nicht-implantierten Teilen der ersten Schicht, wobei der erste implantierte Bereich nach der Entfernung bestehen bleibt; Durchführen einer ersten Ätzung an einer zweiten Schicht, die sich unter der ersten Schicht befindet, wobei der erste implantierte Bereich als ein Teil einer ersten Ätzmaske bei der ersten Ätzung verwendet wird; Entfernen des ersten implantierten Bereichs; Ätzen einer Metallmaske unter Verwendung der zweiten Schicht, um eine strukturierte Metallmaske herzustellen; und Ätzen eines Zwischenschicht-Dielektrikums, um eine Kontaktöffnung herzustellen, wobei die strukturierte Metallmaske als eine zweite Ätzmaske verwendet wird.
  2. Verfahren nach Anspruch 1, wobei die erste Schicht eine amorphe Siliziumschicht ist und die erste Implantation das Implantieren von Bor in die amorphe Siliziumschicht umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei die zweite Schicht Siliziumoxid aufweist und die erste Ätzung an der zweiten Schicht unter Verwendung eines chlorhaltigen Prozessgases durchgeführt wird.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin das Durchführen einer zweiten Implantation an einem weiteren Teil der ersten Schicht zum Herstellen eines zweiten implantierten Bereichs umfasst, wobei der zweite implantierte Bereich nach der Entfernung der nicht-implantierten Teile der ersten Schicht bestehen bleibt und bei der ersten Ätzung an der zweiten Schicht der zweite implantierte Bereich als ein weiterer Teil der ersten Ätzmaske verwendet wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche das weiterhin Folgendes umfasst: Herstellen eines Silizidbereichs an einer Unterseite der Kontaktöffnung; und Füllen der Kontaktöffnung mit einem Metallmaterial, um einen Kontaktstift herzustellen.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei zu einem Zeitpunkt, zu dem die Ätzung des Zwischenschicht-Dielektrikums begonnen wird, die zweite Schicht über der Metallmaske vorhanden ist, und die zweite Schicht während der Ätzung des Zwischenschicht-Dielektrikums entfernt wird.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei der erste implantierte Bereich Folgendes aufweist: einen ersten Teil, der einen Teil eines ersten Gate-Stapels überdeckt; einen zweiten Teil, der einen Teil eines zweiten Gate-Stapels überdeckt; und einen dritten Teil, der den ersten Teil des ersten implantierten Bereichs mit dem zweiten Teil des ersten implantierten Bereichs verbindet.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei beim Ätzen der zweiten Schicht bei der ersten Ätzung ein strukturiertes Fotoresist zusammen mit dem ersten implantierten Bereich als die erste Ätzmaske verwendet wird.
  9. Verfahren mit den folgenden Schritten: Abscheiden einer Oxidschicht über einer Metallmaske; Abscheiden einer amorphen Siliziumschicht über der Oxidschicht; Implantieren von Bor in die amorphe Siliziumschicht, um einen mit Bor dotierten Bereich herzustellen; Entfernen von nicht-implantierten Teilen der amorphen Siliziumschicht; und Herstellen eines strukturierten Fotoresists über dem mit Bor dotierten Bereich, wobei das strukturierte Fotoresist eine Öffnung aufweist, wobei ein mittlerer Teil des mit Bor dotierten Bereichs zu der Öffnung freiliegt, und Teile der Oxidschicht zu der Öffnung freiliegen; Ätzen der freiliegenden Teile der Oxidschicht, wobei der Teil des mit Bor dotierten Bereichs einen Teil der Oxidschicht schützt; Entfernen des strukturierten Fotoresists; Entfernen des mit Bor dotierten Bereichs; Ätzen der Metallmaske unter Verwendung der Oxidschicht als eine erste Ätzmaske; und Ätzen eines Zwischenschicht-Dielektrikums, um eine Kontaktöffnung herzustellen, wobei die Metallmaske als eine zweite Ätzmaske verwendet wird.
  10. Verfahren nach Anspruch 9, das weiterhin das Implantieren von Bor in die amorphe Siliziumschicht zum Herstellen eines weiteren mit Bor dotierten Bereichs umfasst, wobei der weitere mit Bor dotierte Bereich und der mit Bor dotierte Bereich unter Verwendung von unterschiedlichen Fotoresists als Implantationsmasken implantiert werden.
  11. Verfahren nach Anspruch 9 oder 10, wobei zu einem Zeitpunkt, zu dem die Ätzung des Zwischenschicht-Dielektrikums begonnen wird, der mit Bor dotierte Bereich entfernt worden ist.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei die Entfernung der nicht-implantierten Teile der amorphen Siliziumschicht einen Ätzschritt umfasst, bei dem Ammoniakhydrat als ein Ätzmittel verwendet wird.
  13. Verfahren nach einem der Ansprüche 9 bis 12, wobei die Ätzung der freiliegenden Teile der Oxidschicht unter Verwendung eines chlorhaltigen Prozessgases durchgeführt wird.
  14. Verfahren nach einem der Ansprüche 9 bis 13, wobei nach der Ätzung der freiliegenden Teile der Oxidschicht die Oxidschicht einen ersten Graben und einen zweiten Graben aufweist, und in einer Draufsicht der Oxidschicht ein Rand des ersten Grabens und ein Rand des zweiten Grabens im Wesentlichen jeweils zu gegenüberliegenden Rändern des Teils des mit Bor dotierten Bereichs ausgerichtet sind.
  15. Verfahren nach einem der Ansprüche 9 bis 14, das weiterhin Folgendes umfasst: Herstellen eines Silizidbereichs an einer Unterseite der Kontaktöffnung; und Füllen der Kontaktöffnung mit einem Metallmaterial, um einen Kontaktstift herzustellen.
  16. Verfahren nach einem der Ansprüche 9 bis 15, wobei der mit Bor dotierte Bereich Folgendes aufweist: einen ersten Teil, der einen Teil eines ersten Gate-Stapels überdeckt; einen zweiten Teil, der einen Teil eines zweiten Gate-Stapels überdeckt; und einen dritten Teil, der den ersten Teil des mit Bor dotierten Bereichs mit dem zweiten Teil des mit Bor dotierten Bereichs verbindet.
  17. Verfahren mit den folgenden Schritten: Herstellen einer Oxidschicht über einer Metallmaske; Herstellen einer amorphen Siliziumschicht über der Oxidschicht; Implantieren von Bor in die amorphe Siliziumschicht, um einen mit Bor dotierten Bereich herzustellen; Herstellen eines strukturierten Fotoresists über dem mit Bor dotierten Bereich; Ätzen der Oxidschicht unter Verwendung des mit Bor dotierten Bereichs zusammen mit dem strukturierten Fotoresist als eine Ätzmaske; und Übertragen von Strukturen in der geätzten Oxidschicht in die Metallmaske.
  18. Verfahren nach Anspruch 17, das weiterhin Folgendes umfasst: Ätzen der dielektrischen Schicht unter der Metallmaske, um eine Kontaktöffnung herzustellen; und Füllen der Kontaktöffnung, um einen Kontaktstift herzustellen.
  19. Verfahren nach Anspruch 17 oder 18, wobei die Oxidschicht Siliziumoxid aufweist, und das Verfahren vor der Herstellung des strukturierten Fotoresists weiterhin das Ätzen von nicht-implantierten Teilen der amorphen Siliziumschicht ohne Ätzung des mit Bor dotierten Bereichs umfasst.
  20. Verfahren nach Anspruch 19, wobei die Ätzung der nicht-implantierten Teile der amorphen Siliziumschicht unter Verwendung von Ammoniakhydrat durchgeführt wird.
DE102017118345.0A 2016-11-29 2017-08-11 Kontaktöffnungen und verfahren zu deren herstellung Active DE102017118345B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427435P 2016-11-29 2016-11-29
US62/427,435 2016-11-29
US15/462,001 2017-03-17
US15/462,001 US9881834B1 (en) 2016-11-29 2017-03-17 Contact openings and methods forming same

Publications (2)

Publication Number Publication Date
DE102017118345A1 true DE102017118345A1 (de) 2018-05-30
DE102017118345B4 DE102017118345B4 (de) 2022-03-31

Family

ID=61005145

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017118345.0A Active DE102017118345B4 (de) 2016-11-29 2017-08-11 Kontaktöffnungen und verfahren zu deren herstellung

Country Status (5)

Country Link
US (3) US9881834B1 (de)
KR (1) KR102066246B1 (de)
CN (1) CN108122743B (de)
DE (1) DE102017118345B4 (de)
TW (1) TWI646632B (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
CN110739265B (zh) * 2018-07-18 2022-07-15 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
CN111403342A (zh) * 2020-03-30 2020-07-10 上海华力集成电路制造有限公司 一种鳍式场效应晶体管及其连接件的制备方法
US11764220B2 (en) * 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11502000B2 (en) * 2020-08-24 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom lateral expansion of contact plugs through implantation
US11901434B2 (en) * 2021-04-30 2024-02-13 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8301262A (nl) 1983-04-11 1984-11-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting, waarbij met behulp van ionenimplantatie patronen worden aangebracht in een laag siliciumnitride.
US7115509B2 (en) * 2003-11-17 2006-10-03 Micron Technology, Inc. Method for forming polysilicon local interconnects
CN100339978C (zh) * 2004-10-22 2007-09-26 力晶半导体股份有限公司 快闪存储单元及其制造方法
KR100732297B1 (ko) * 2005-06-28 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 랜딩플러그 콘택홀 형성방법
US7229928B2 (en) * 2005-08-31 2007-06-12 Infineon Technologies Ag Method for processing a layered stack in the production of a semiconductor device
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7737049B2 (en) * 2007-07-31 2010-06-15 Qimonda Ag Method for forming a structure on a substrate and device
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8470708B2 (en) * 2010-02-25 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US9111861B2 (en) * 2012-02-06 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor structure with ion-implanted conductive layer
CN102569180B (zh) * 2012-02-10 2016-11-23 上海华虹宏力半导体制造有限公司 功率mos接触孔的制造方法
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9165839B2 (en) * 2012-03-13 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma protection diode for a HEMT device
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9111756B2 (en) 2013-09-23 2015-08-18 GlobalFoundries, Inc. Integrated circuits with protected resistors and methods for fabricating the same
US9881834B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same

Also Published As

Publication number Publication date
KR20180060942A (ko) 2018-06-07
TW201830580A (zh) 2018-08-16
US20180151425A1 (en) 2018-05-31
US9881834B1 (en) 2018-01-30
KR102066246B1 (ko) 2020-01-14
TWI646632B (zh) 2019-01-01
US10978341B2 (en) 2021-04-13
US10510593B2 (en) 2019-12-17
CN108122743B (zh) 2020-07-03
DE102017118345B4 (de) 2022-03-31
US20200111705A1 (en) 2020-04-09
CN108122743A (zh) 2018-06-05

Similar Documents

Publication Publication Date Title
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102016115984B4 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE102018111381A1 (de) Selektive NFET/PFET-Auskehlung von Source/Drain-Bereichen
DE102017111545A1 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102020118388A1 (de) Ferroelektrische direktzugriffsspeichervorrichtungen und verfahren
DE102020115120A1 (de) Nanosheet-feldeffekttransistor-vorrichtung und verfahren zu deren herstellung
DE102018106631A1 (de) Schneideverfahren für Halbleiterstruktur und dadurch gebildete Strukturen
DE102016118956A1 (de) Zweistufige Dummy-Gate-Bildung
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102019117150A1 (de) Verringern von pattern loading beim rückätzen eines metallgates
DE102020109608A1 (de) Dummy-finnen und verfahren zu deren herstellung
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102019121750A1 (de) Metallgatestrukturschneidverfahren
DE102020115408A1 (de) Halbleitervorrichtung und verfahren
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102019119716A1 (de) Hybrid-source-drain-regionen, die basierend auf derselben finne gebildet sind und verfahren zur bildung dieser
DE102022100570A1 (de) Halbleitervorrichtungen mit parasitären kanalstrukturen
DE102020122407A1 (de) Untere seitliche ausdehnung von kontaktsteckern durch implantierung
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021115000A1 (de) Kontaktwiderstandsreduzierung für transistoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final