CN109427898A - 形成半导体器件的方法 - Google Patents

形成半导体器件的方法 Download PDF

Info

Publication number
CN109427898A
CN109427898A CN201711287662.1A CN201711287662A CN109427898A CN 109427898 A CN109427898 A CN 109427898A CN 201711287662 A CN201711287662 A CN 201711287662A CN 109427898 A CN109427898 A CN 109427898A
Authority
CN
China
Prior art keywords
layer
metal
process gas
nitride
metal silicide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711287662.1A
Other languages
English (en)
Other versions
CN109427898B (zh
Inventor
王菘豊
许志成
黄鸿仪
张志维
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427898A publication Critical patent/CN109427898A/zh
Application granted granted Critical
Publication of CN109427898B publication Critical patent/CN109427898B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明实施例提供一种形成半导体器件的方法,其包括形成源极/漏极区,以及在真空腔室或真空集群系统中进行选择性沉积以在源极/漏极区上形成金属硅化物层,并且在与源极/漏极区相邻的介电区上形成金属层。该方法还包括选择性地蚀刻真空腔室中的金属层,并且在金属硅化物层上选择性地形成金属氮化物层。在真空腔室或真空集群系统中进行选择性地形成金属氮化物层而没有真空破坏。本发明实施例还提供另外两种形成半导体器件的方法。

Description

形成半导体器件的方法
技术领域
本发明涉及半导体领域,并且具体地,涉及形成半导体的方法。
背景技术
在集成电路的制造中,接触插塞被用于连接到晶体管的源极和漏极区以及栅极。源极/漏极接触插塞通常连接到源极/漏极硅化物区,其通过沉积金属层,并且然后进行退火以使金属层与源极/漏极区的硅反应来形成。然后,进行湿蚀刻以去除金属层的未反应部分。
发明内容
根据本发明的一个方面,提供一种形成半导体器件的方法,包括:形成源极/漏极区;在真空腔室中进行选择性沉积以在所述源极/漏极区上形成金属硅化物层,并且在与所述源极/漏极区相邻的介电区上形成金属层;选择性地蚀刻所述真空腔室中的所述金属层;以及在所述金属硅化物层上选择性地形成金属氮化物层,其中,在所述真空腔室中进行选择性地形成所述金属氮化物层。
根据本发明的另一方面,提供一种形成半导体器件的方法,包括:在半导体鳍的第一部分上方形成栅极堆叠件;在所述半导体鳍的第二部分上外延生长半导体材料;在真空腔室中同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;在没有真空破坏的情况下去除所述金属层;在没有真空破坏的情况下在所述金属硅化物层上形成金属氮化硅层;形成覆盖所述金属氮化硅层的第一接触蚀刻停止层(CESL);以及在所述第一CESL上方形成第一层间电介质。
根据本发明的另一方面,提供一种形成半导体器件的方法,包括:在半导体鳍的第一部分上方形成栅极堆叠件;在所述半导体鳍的第二部分上外延生长半导体材料;使用第一工艺气体同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;使用第二工艺气体去除所述金属层,其中,所述第一工艺气体和所述第二工艺气体均包括卤化物;以及使用第三工艺气体在所述金属硅化物层上形成金属氮化物层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的方面。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1至图10E是根据一些实施例的晶体管和接触结构的形成中的中间阶段的立体图和横截面图。
图11至图17是根据一些实施例的晶体管和接触结构的形成中的中间阶段的立体图和横截面图。
图18示意性地示出了根据一些实施例的在真空腔室中处理的晶圆。
图19示出了根据一些实施例的用于形成晶体管和接触插塞的工艺流程。
具体实施方式
以下公开内容提供了许多不同实施例或实例,用于实现本发明的不同特征。以下描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间的附加部件使得第一部件和第二部件不直接接触的实施例。而且,本发明在各个实例中可以重复参考数字和/或字母。这种重复仅是为了简明和清楚,其自身并不表示所论述的各个实施例和/或配置之间的关系。
而且,为了便于描述,在此可以使用诸如“在...下面”、“在...下方”、“下部”、“在...上面”、“上部”等空间相对术语以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),并且本文使用的空间相对描述符可以同样地作相应的解释。
根据各种示例性实施例提供一种具有接触结构的晶体管及其形成方法。根据一些实施例示出了晶体管的形成中的中间阶段。讨论了一些实施例的一些变型。贯穿各个视图和说明性实施例,相同的参考标号用于指定相同的元件。
图1至图10E示出了根据本公开的一些实施例的晶体管的形成中的中间阶段的横截面图。图1至图10E中示出的步骤也在图19中示出的工艺流程中示意性地反映。
图1示出了初始结构的立体图。初始结构包括晶圆10,晶圆10进一步包括衬底20。衬底20可以是半导体衬底,半导体衬底可以为硅衬底、硅锗衬底、或由其他半导体材料形成的衬底。衬底20可以掺杂有p型杂质或n型杂质。形成诸如浅沟槽隔离(STI)区的隔离区22从衬底20的顶面延伸到衬底20中。衬底20的位于相邻的STI区22之间的部分称为半导体条24。根据本公开的一些实施例,半导体条24是原始衬底20的部分,并且因此半导体条24的材料与衬底20的材料相同。
根据本公开的可选实施例,半导体条24是通过蚀刻衬底20的在STI区22之间部分而形成凹槽,并且进行外延以在凹槽中再生另一半导体材料形成的替换条。因此,半导体条24由与衬底20的材料不同的半导体材料形成。根据一些示例性实施例,半导体条24由硅锗、硅碳、或III-V族化合物半导体材料形成。根据本公开的一些实施例,半导体条24的部分24A被替换为与底部部分24B的材料不同的半导体材料。例如,部分24A可以由硅锗、硅碳等形成。底部部分24B是原始衬底20的部分,并且由与下面的衬底20的本体部分相同的半导体材料(诸如硅)形成。
STI区22可以包括衬垫氧化物(未示出),其可以是通过衬底20的表面层的热氧化形成的热氧化物。衬垫氧化物也可以是使用,例如,原子层沉积(ALD)、高密度等离子体化学汽相沉积(HDPCVD)、或化学汽相沉积(CVD)形成的沉积氧化硅层。STI区22还可以包括在衬垫氧化物上方的介电材料,并且介电材料可以使用可流动化学汽相沉积(FCVD)、旋涂等形成。
STI区22是被凹进的,使得半导体条24的一些顶部部分24'突出高于STI区22的其余部分的顶面22A。相应的步骤被示出为图19所示的工艺流程中的步骤202。贯穿整个说明书,顶部部分24'被可选地称为半导体鳍24'或突出鳍24'。可以使用干蚀刻工艺进行蚀刻,其中,HF3和NH3的混合物用作蚀刻气体。在蚀刻工艺期间,可以生成等离子体。也可以包括氩气。根据本公开的可选实施例,使用湿蚀刻工艺进行STI区22的凹进。例如,蚀刻化学品可以包括HF。
参考图2,形成伪栅极堆叠件30。相应的步骤被示出为图19所示的工艺流程中的步骤204。伪栅极堆叠件30的形成包括形成伪栅极介电层32和在伪介电层32上方的伪栅电极层。图案化伪栅电极层以形成伪栅电极34。贯穿说明书,伪栅电极34和下面的伪栅极介电层32的部分组合被称为伪栅极堆叠件30。例如,可以使用多晶硅形成伪栅电极34,并且也可以使用其他材料。伪栅极堆叠件30可以包括可由氮化硅、氧化硅、碳氮化硅、或其多层形成的一个或多个掩模层(未示出)。伪栅极堆叠件30可以横跨在单个或多个突出鳍24’和/或STI区22上方。伪栅极堆叠件30还具有垂直于突出鳍24'的长度方向的长度方向。在图案化伪栅电极层之后,伪栅极介电层32被暴露,并且覆盖突出鳍24'的侧壁和顶面。
接下来,进行蚀刻步骤,并且去除伪栅极介电层32的暴露部分,如图3所示。在伪栅极堆叠件30的侧壁上形成栅极间隔件38。根据本公开的一些实施例,栅极间隔件38由诸如氮化硅、碳氮化硅等的介电材料形成,并且可以具有单层结构或包括多个介电层的多层结构。
接下来,形成源极/漏极区。根据本公开的一些实施例,如图4所示,源极/漏极区形成为覆盖(cladding)源极/漏极区,其中外延半导体区42(包括42A和42B)在暴露的突出鳍24'上外延生长。相应的步骤被示出为图19所示的工艺流程中的步骤206。外延区42A和外延区42B表示用于形成不同类型的FinFET的外延区。取决于所得到的FinFET是p型FinFET还是n型FinFET,随着外延的进行可以原位掺杂p型或n型杂质。例如,外延区42A可以包括硅锗硼(SiGeB),并且所得的FinFET是p型FinFET。外延区42B可以包括硅磷(SiP)或硅碳磷(SiCP),并且相应所得到的FinFET是n型FinFET。根据本公开的可选实施例,外延区42由诸如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP的III-V族化合物、它们的组合、或它们的多层形成。当外延区42A和外延区42B由不同的材料形成时,它们以不同的外延工艺形成,并且使用相应的掩模(未示出)以允许在外延区42A和外延区42B中的一个上,但不在另一个上发生外延。
根据可选的实施例,代替在突出鳍24'上直接生长外延区,进行蚀刻步骤(以下称为源极/漏极凹进)以蚀刻突出鳍24'的未被伪栅极堆叠件30和栅极间隔件38覆盖的部分,从而形成凹槽。然后,从凹槽生长外延区42。示例性的所得外延区42如图6E所示。
可以进行注入步骤以将诸如硼或磷的期望的p型或n型杂质注入到突出鳍24'和外延区42A和外延区42B中。突出鳍24'和相应的外延区42A和外延区42B组合地被称为源极/漏极区44。根据本公开的可选实施例,当外延区42原位掺杂有p型或n型杂质时,跳过注入步骤。
图5示出了形成接触蚀刻停止层(CESL)46和层间电介质(ILD)48之后的结构的立体图。相应的步骤被示出为图19所示的工艺流程中的步骤208。根据本公开的一些实施例,可以不形成CESL 46,并且当形成时,CESL 46可以由氮化硅、碳氮化硅等形成。根据本公开的一些实施例,CESL 46没有氧气在其中。例如,可以使用诸如ALD或CVD的共形沉积方法形成CESL 46。ILD 48可以包括使用,例如,FCVD、旋涂、CVD或另一沉积方法形成的介电材料。ILD 48还可以由含氧介电材料形成,其可以是基于氧化硅的,诸如正硅酸乙酯(TEOS)氧化物、等离子体增强CVD(PECVD)氧化物(SiO2)、磷酸硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷酸硅酸盐玻璃(BPSG)等。可以进行诸如化学机械抛光(CMP)或机械研磨的平坦化步骤,以使ILD 48、伪栅极堆叠件30(图4)、和栅极间隔件38的顶面彼此齐平。
在形成CESL 46和ILD 48之后,如图4所示的伪栅极堆叠件30被替换为如图5所示的替换栅极堆叠件50。相应的步骤被示出为图19所示的工艺流程中的步骤210。替换栅极堆叠件50的形成包括进行蚀刻步骤以移除伪栅极堆叠件30(图4),形成一个或多个栅极介电层,沉积诸如金属层的多个导电层,以及进行诸如CMP或机械研磨的平坦化以去除栅极介电层和金属层的多余部分。如图5所示,所得到的替换栅极堆叠件50包括栅极电介质52和栅电极54。
根据本公开的一些实施例,栅极电介质52包括作为其下部的界面层(未单独地示出的IL)。在突出鳍24’的表面上形成IL。IL可以包括通过突出鳍24'的热氧化、化学氧化工艺或沉积工艺形成诸如氧化硅层的氧化物层。栅极电介质52还可以包括在IL上方的高k介电层(未单独地示出)。高k介电层包括诸如氧化铪、氧化镧、氧化铝、氧化锆、氮化硅等的高k介电材料。高k介电材料的介电常数(k值)高于3.9,并且可以高于约7.0。高k介电层形成为共形层,并且在突出鳍24’的侧壁上以及栅极间隔件38的侧壁上延伸。根据本公开的一些实施例,使用ALD或CVD形成高k介电层。
栅电极54可以包括扩散阻挡层和扩散阻挡层上方的一个(或多个)功函层。扩散阻挡层可以由氮化钛(TiN)形成,其可以(或可以不)掺杂硅。功函层确定栅极的功函数,并且包括由不同材料形成的至少一层或多层。根据相应的FinFET是n型FinFET还是p型FinFET来选择功函层的材料。例如,当FinFET是n型FinFET时,功函层可以包括TaN层和在TaN层上方的钛铝(TiAl)层。当FinFET是p型FinFET时,功函层可以包括TaN层、TaN层上方的TiN层、和TiN层上方的TiAl层。在沉积功函层之后,形成可以是另一TiN层的阻挡层。栅电极54还可以包括可以由铝、钨、或钴形成的填充金属。
在形成替换栅极堆叠件50之后,凹进栅极堆叠件50,随后将硬掩模56填充到所得的凹槽中。硬掩模56由诸如氮化硅的介电材料形成。进行平坦化步骤以将硬掩模56的顶面与ILD 48齐平。
在形成替换栅极50和硬掩模56之后,例如,通过蚀刻去除CESL 46和ILD 48。相应的步骤被示出为图19所示的工艺流程中的步骤212。图6A中示出得到的结构。根据本公开的一些实施例,进行蚀刻以去除整个晶圆10上的所有CESL 46和ILD 48。因此,在蚀刻中,没有形成用于保护CESL 46和ILD 48的一些部分的掩模。外延区42由于去除CESL 46和ILD 48而被暴露。
图6B、图6C、图6D、和图6E示出了图6A所示结构的一些部分的横截面图。在图6A至10E中,附图标号中的每个可以包括字母“A”、“B”、“C”、“D”、或“E”。字母“A”表示相应的附图是立体图,字母“B”、“C”、“D”、和“E”表示相应的图示出了相应立体图所示的结构的横截面图。此外,从与图6A中的包含线A-A的垂直平面相同的平面获得具有字母“B”的附图中显示的横截面图,垂直平面切穿半导体条24和突出鳍24’。字母“B”、“C”、和“D”表示从与相应的立体图中的包含线B-B的垂直平面相同的平面获得的相应的附图。此外,字母“B”、“C”、和“D”表示各个图反映不同的实施例。
参考图6B,示出了STI区22的顶面22A(不在所示的平面中),并且突出鳍24'将高于顶面22A。源极/漏极区44的详细结构可以在图6C、图6D、和图6E中找到,它们示出了根据各个实施例的源极/漏极区44的结构。
图6C示出了覆盖源极/漏极区44的横截面图,覆盖源极/漏极区44包括在突出鳍24'上生长的外延半导体区42。根据本公开的一些实施例,突出鳍24'是原始衬底的剩余部分,并且因此突出鳍24'的材料与下面的衬底20的本体部分的材料相同。
图6D示出了覆盖源极/漏极区44的横截面图,覆盖源极/漏极区44包括在突出鳍24'上生长的外延半导体区42。根据本公开的一些实施例,突出鳍24'从原始衬底再生长,并且因此突出鳍24'的材料与下面的衬底20的本体部分的材料不同。从在STI区之间形成的凹槽再生长的再生长半导体材料被标记为半导体区25。
图6E示出了再生长源极/漏极区44的横截面图,再生长源极/漏极区44包括从蚀刻突出鳍之后形成的凹槽生长的外延半导体区42。所得到的源极/漏极区44可以包括刻面。根据一些实施例,如半导体区25所示形成替换鳍,并且再次被凹进。因此,从半导体区25生长外延区42。
图7A至图9E示出了根据一些实施例的源极/漏极硅化物区和金属氮化物的形成中的立体图和横截面图。可以在诸如沉积工具的相同的生产工具中进行图7A至图9E所示的步骤,并且可以在生产工具中的相同的真空环境中进行图7A至图9E所示的步骤。例如,图18示意性地示出了沉积工具61中的真空腔室60。真空腔室60可被抽真空以提供真空环境。卡盘62位于真空腔室60中。为了进行图7A至图9E所示的步骤,将晶圆10放置在卡盘62上。图7A至图9E所示的步骤可以在从图7A所示的步骤开始的时间开始并在图9A所示的步骤结束的时间结束的时间段内没有发生真空破坏的情况下进行。通过在这些工艺步骤期间保持真空,诸如半导体区和金属区的暴露的部件不会受到氧化。因此,不需要除去(不存在的)氧化物。
在将晶圆10放入生产工具(图18)中之后,通过抽真空图18中的真空腔室60(或包括共享共同真空环境的多个真空腔室的集群系统)形成真空环境。然后,进行被称为原位清洗的清洗步骤。原位清洗步骤去除了在图6A、图6B、图6C、图6D、和图6E中所示的源极/漏极区44的表面上形成的不需要的氧化物。取决于源极/漏极区44的材料,去除的氧化物可以是氧化硅、氧化硅锗等。根据本公开的一些实施例,使用包括NF3和NH3的工艺气体的混合物,或HF和NH3的混合物进行清洗。
在清洗步骤之后,在与清洗步骤相同的真空环境中进行原位选择性沉积。相应的步骤被示出为图19所示的工艺流程中的步骤214。因此,在清洗之后,在源极/漏极区44的表面上不产生新的氧化物。所得到的结构在图7A、图7B、图7C、图7D、和图7E中示出。根据本公开的一些实施例,使用包括金属卤化物(诸如TiCl4)和氢气(H2)的工艺气体进行原位选择性沉积。根据本公开的一些实施例,TiCl4的流速在约5sccm至约15sccm之间的范围内,并且氢气的流速在约30sccm至约70sccm之间的范围内。功率可以在约200瓦至约500瓦之间的范围内。沉积温度可以在约400℃和约500℃之间的范围内。取决于所需沉积层的厚度,选择性沉积可以持续约40秒至约60秒之间。在选择性沉积期间,等离子体被打开。
选择性沉积是选择性的,因为在源极/漏极区44上,沉积的是金属硅化物层64,其由金属的沉积以及金属和源极/漏极区44的表面层的硅化反应形成的。这是由于包括升高的沉积温度和合适的沉积速率的适当的工艺条件。另一方面,在包括栅极间隔件38、硬掩模56、和STI区22的介电层的表面上,形成未被硅化的金属层(诸如钛层)66。金属硅化物层64的形成和金属层66的形成是同时发生的。根据本公开的一些实施例,金属硅化物层64具有在约2nm至约8nm范围内的厚度T1,并且金属层66的厚度T2在约0.5nm至约5nm的范围内。形成方法可以包括原子层沉积(ALD)、化学汽相沉积(CVD)等。
图7B、图7C、图7D、和图7E示出了根据各个实施例的源极/漏极区44和金属硅化物层64的横截面图。在源极/漏极区44的顶面和侧壁上形成金属硅化物层64。金属硅化物层64的形状取决于下面的源极/漏极区44的形状。在STI区22的顶面上可以具有形成的金属层66的一些小而薄的部分。钛66的这些部分的厚度不均匀。
在原位选择性沉积之后,在与原位选择性沉积相同的真空环境中进行原位选择性蚀刻步骤。相应的步骤被示出为图19所示的工艺流程中的步骤216。图8A、图8B、图8C、图8D、和图8E中示出了所得到的结构。根据本公开的一些实施例,使用包括金属卤化物(诸如TiCl4)、氢气(H2)、和氩气的蚀刻气体进行原位选择性蚀刻。应该注意,如果金属层66由除钛之外的其它金属形成,则蚀刻气体中的金属卤化物可以改变为不同的卤化物(或金属卤化物)。例如,可以根据一些实施实施例使用HCl。根据本公开的一些实施例,TiCl4的流速在约20sccm至约30sccm之间的范围内,氢气的流速在约1,100sccm至约1,500sccm之间的范围内,以及氩气的流速在约1,100sccm至约1,500sccm之间的范围内。晶圆10在选择性蚀刻期间被加热,并且晶圆的温度可以在约400℃和约500℃之间的范围内。在选择性蚀刻期间,可以关闭等离子体。
在选择性蚀刻期间,蚀刻如图7A和图7B所示的金属层66。另一方面,金属硅化物层64未被蚀刻。结果,栅极间隔件38和硬掩模56再次被暴露出。
根据一些实施例,用于选择性沉积和选择性蚀刻的工艺气体是常见的。例如,可以在选择性沉积和选择性蚀刻中使用TiCl4和氢气。根据这些实施例,可以打开等离子体以导致选择性沉积,同时可以关闭等离子体以导致选择性蚀刻。而且,选择性沉积和选择性蚀刻之间改变诸如工艺气体的流速的工艺条件。
图8B、图8C、图8D、和图8E示出了源极/漏极区44和金属硅化物层64的横截面图。根据本公开的一些实施例,去除STI区22的顶面上的所有金属层66。根据可选实施例,金属层66的较厚部分(参见图7C、图7B、和图7D)可以具有一些残留部分留在STI区22的顶面。然而,残留部分是不连续的,并且因此将不影响所得FinFET的电性能。
在选择性蚀刻之后,在与选择性蚀刻步骤相同的真空环境中进行原位氮化。相应的步骤被示出为图19所示的工艺流程中的步骤218。图9A、图9B、图9C、图9D、和图9E中示出了所得到的结构。根据本公开的一些实施例,使用诸如氨(NH3)的含氮工艺气体进行原位氮化。根据本公开的一些实施例,氨的流速在约3,000sccm至约5,000sccm之间的范围内。功率可以在约400瓦至约600瓦之间的范围内。沉积温度可以在约400℃和约500℃之间的范围内。取决于期望的氮化物层的厚度和金属硅化物层64的厚度,氮化可以在约15秒至约25秒之间持续。
选择性氮化导致金属硅化物层64的顶表面层被氮化以形成氮化硅钛层68,其可以是氮化钛硅(TiSiN)层。金属硅化物层64的底层保持不被氮化,并且不含氮。根据本公开的一些实施例,剩余的金属硅化物层64的厚度T1'在约2nm至约7nm的范围内,并且氮化硅钛(titanium silicon nitride)层68的厚度T3在约1nm和约3nm的范围内。观察到,由于氮化硅钛层68通过氮化钛硅化物层64形成,所以在金属硅化物层64上,而不是在诸如STI区22、栅极间隔件38、和硬掩模56的介电材料上形成金属氮化硅层68。
图9B、图9C、图9D、和图9E示出了根据各个实施例的源极/漏极区44、金属硅化物层64、和金属氮化硅层68的横截面图。如图9B、图9C、图9D、和图9E所示,金属氮化硅层68包裹环绕金属硅化物层64。
图10A、图10B、图10C、图10D和图10E示出了CESL 70、ILD 72、和接触插塞74的形成。相应的步骤被示出为图19所示的工艺流程中的步骤220和222。因此形成FinFET 76。CESL 70和ILD 72的形成可以包括在整个晶圆10上形成毯式CESL层并且延伸到栅极间隔件38之间的间隙中,用ILD 72填充剩余的间隙,并且进行诸如CMP或机械研磨的平坦化。CESL70可以由选自用于形成CESL 46(图5)的同一组候选材料的材料形成,并且ILD 72可以由选自用于形成ILD 48的同一组候选材料的材料形成(图5)。CESL 70是可以通过,例如,ALD形成的共形层。因此,CESL 70包裹环绕间隙中的所有暴露表面。
然后,蚀刻ILD 72和CESL 70以形成接触开口(由如图10A、图10B、图10C、图10D、和图10E所示的接触插塞74填充)。因此,金属氮化硅层68暴露于接触开口。接下来,接触开口用导电材料填充以形成接触塞74。根据本公开的一些实施例,接触插塞74的形成包括:毯式沉积延伸到接触开口中的共形阻挡层(未单独地示出),并且在阻挡层上方沉积金属材料并填充剩余的接触开口。阻挡层可以由氮化钛或氮化钽形成。该金属材料可以由钴、钨、铝等形成。然后,进行平坦化以去除阻挡层和金属材料的多余部分。根据可选实施例,接触插塞74包括诸如钴、钨、铝的金属材料,并且不包括阻挡层。
图10B、图10C、图10D、和图10E示出了根据一些实施例的CESL 70、ILD 72、和接触插塞74的横截面图。如图10B、图10C、图10D、和图10E所示,金属硅化物区64和金属氮化硅层68包裹环绕相应的源极/漏极区44,而接触插塞74与相应的金属氮化硅层68的一些部分的,但不是全部,的顶面接触。
在图1至图10E所示的实施例中,在形成CESL 70和ILD 72之前形成金属硅化物区64和金属氮化硅层68。另一方面,CESL 46和ILD 48(图5)是从最终结构中移除的牺牲部件。根据可选实施例,可以在形成最终结构中留下的CESL 46和ILD 48之后形成金属硅化物区64和金属氮化硅层68。图11至图16示出了根据本发明的一些实施例的晶体管的形成中的中间阶段的立体图和横截面图。除非另有说明,否则这些实施例中的组件的材料和形成方法与相似组件基本上相同,在图1至图10E中示出的实施例中,相同组件由相同的参考标号表示。因此,关于图11至图16中示出的组件的形成工艺和材料的细节可以在图1至图10E中示出的实施例的讨论中找到。
这些实施例的初始步骤与图1至图5中示出的步骤基本相同。图11示出了作为示例的所得结构(与图5中相同的结构),其中,CESL 46和ILD 48形成为覆盖源极/漏极区44。应当理解,源极/漏极区44可以具有诸如图6C、图6D、和图6E所示的结构的各种结构。接下来,参考图12,通过蚀刻ILD 48和CESL 46形成接触开口78。因此,源极/漏极区44被暴露。
根据本公开的可选实施例,代替生长源极/漏极区44到高于突出鳍24'的顶面的水平,进行凹进以蚀刻突出鳍24'。线27示意性地示出了凹进的鳍24'的顶面。在凹进的鳍24'上进行注入以形成凹进的源极/漏极区44。根据这些实施例,不形成外延半导体区42。
图13至图17示出了根据一些实施例的选择性沉积、选择性蚀刻、和选择性氮化中的中间阶段的横截面图。根据本公开的一些实施例,图13至图15(以及可能的图16)所示的工艺步骤在如图18所示的真空腔室60的相同的真空环境中原位进行,并且在进行这些工艺步骤的整个时间段内没有真空破坏。注意,图13至图17示出了与包含图12中的线A'-A'的垂直平面相同的垂直平面中的横截面图。垂直平面B'-B'中的横截面图(图12)将类似于与用标号7C/7D/7E、8C/8D/8E、和9C/9D/9E标识的附图中所示的结构,并且因此不再赘述。
参考图13,进行原位选择性沉积以在源极/漏极区44的暴露表面上同时形成金属硅化物层(其可以是硅化钛层)64。根据一些实施例,如图12和图13所示,半导体区42的外延导致金属硅化物层64的顶面高于突出鳍24'的顶面。
根据其中未形成外延半导体区42(图12)并且突出鳍24'被凹进至水平27(图12)的实施例,金属硅化物层64的形状将类似于用虚线67示出的区域,并且金属层66将进一步向下延伸到栅极间隔件38的底端。
接下来,进行原位选择性蚀刻,并且因此蚀刻金属层66。留下金属硅化物层64。图14中示出得到的结构。图15示出了形成金属氮化硅层68的原位选择性氮化。根据本公开的一些实施例,进行退火以改变金属硅化物的相位,使得所得到的金属硅化物层64的电阻降低。退火还可以在用于选择性沉积、选择性蚀刻、和选择性氮化的相同的真空腔室中原位进行。
在退火之后,可以沉积可以是氮化钛层的金属氮化物层80,如图16所示。根据本公开的一些实施例,在与选择性沉积、选择性蚀刻、选择性氮化、和退火相同的工艺腔室中原位进行沉积(其间没有真空破坏)。根据本公开的其他实施例,在真空破坏之后和在不同的工艺腔室中进行金属氮化物层80的沉积。金属氮化物层80是共形的,并且延伸到相邻栅极堆叠件之间的间隙中。金属氮化物层80的底面接触金属硅化物层68。
图17示出了用填充金属82填充剩余的间隙,以及用于去除填充金属82和金属氮化物层80的多余部分的平坦化步骤。填充金属82和金属氮化物层80组合称为具有与图10A、图10B、图10C、图10D、和图10E所示相似的形状的接触插塞。
本发明的实施例具有一些有利的特征。在常规的硅化物形成工艺中,首先沉积金属层,接着进行退火处理以形成硅化物,其中,金属层的一些部分与源极/漏极区反应以形成硅化物。然后,去除金属层的未反应部分,这可能涉及使用过氧化物的湿蚀刻。这导致金属硅化物的一些部分被氧化,并且在形成金属氮化物层之前需要除去所得到的氧化物。然而,去除氧化物导致金属硅化物的损失,特别地因为金属硅化物通常富含金属,并且因此金属硅化物的性质接近于金属。然而,在本公开的实施例中,通过使用原位进行的选择性沉积、选择性蚀刻、和选择性氮化,在金属硅化物上不发生氧化,并且不需要去除氧化物。因此,避免了由于氧化物去除引起的金属硅化物的损失。
根据本公开的一些实施例,一种方法包括形成源极/漏极区,并且在真空腔室中预先形成选择性沉积以在源极/漏极区上形成金属硅化物层,以及在与源极/漏极区相邻的介电区上形成金属层。该方法还包括选择性地蚀刻真空腔室中的金属层,并且在金属硅化物层上选择性地形成金属氮化物层。在真空腔室中进行选择性地形成金属氮化物层。在一个实施例中,原位进行选择性沉积和选择性蚀刻金属层而没有真空破坏。在一个实施例中,原位进行选择性地蚀刻金属层和选择性地形成金属氮化物层而在其间没有真空破坏。在一个实施例中,金属硅化物层和金属层是使用相同的工艺气体同时形成的。在一个实施例中,选择性地形成金属氮化物层包括氮化金属硅化物层的表面层。在一个实施例中,使用包含金属卤化物的工艺气体进行选择性沉积。在一个实施例中,使用包含金属卤化物的工艺气体进行选择性蚀刻。在一个实施例中,在约400℃和约500℃之间的升高的温度下进行选择性沉积。
根据本公开的一些实施例,一种方法包括在半导体鳍的第一部分上方形成栅极堆叠件;在半导体鳍的第二部分上外延生长半导体材料;在真空腔室中同时形成金属层和金属硅化物层,并且在半导体材料上形成金属硅化物层;无真空破坏,去除金属层;没有真空破坏,在金属硅化物层上形成金属氮化硅层;形成覆盖金属氮化硅层的第一CESL;以及在第一CESL上方形成第一层间电介质。在一个实施例中,该方法还包括在同时形成金属层和金属硅化物层之前,形成覆盖该半导体材料的第二CESL和第二层间电介质;以及去除在半导体鳍的第一部分上方的伪栅极堆叠件,其中,在由伪栅极堆叠件留下的凹槽中形成栅极堆叠件。在一个实施例中,使用包含TiCl4的工艺气体进行同时形成金属层和金属硅化物层。在一个实施例中,使用包含TiCl4的另外的工艺气体来进行去除金属层。在一个实施例中,该方法还包括蚀刻第一CESL和第一层间电介质以形成接触开口;并且用接触插塞填充接触开口。在一个实施例中,金属层和金属硅化物层分别包括钛层和钛硅化物层。
根据本公开的一些实施例,一种方法包括在半导体鳍的第一部分上方形成栅极堆叠件;在半导体鳍的第二部分上外延生长半导体材料;使用第一工艺气体同时形成金属层和金属硅化物层,并且在半导体材料上形成金属硅化物层;使用第二工艺气体去除金属层,其中,第一工艺气体和第二工艺气体均包括卤化物;以及使用第三工艺气体在金属硅化物层上形成金属氮化物层。在一个实施例中,第一工艺气体和第二工艺气体中的每一个包括金属卤化物。在一个实施例中,第一工艺气体和第二工艺气体包括相同的金属卤化物。在一个实施例中,金属层包括钛,并且第一工艺气体和第二工艺气体均包括TiCl4。在一个实施例中,形成金属氮化物层包括将金属硅化物层的表面层转变成金属氮化硅层。在一个实施例中,在相同的工艺腔室中进行同时形成金属层和金属硅化物层,去除金属层、以及形成金属氮化物层。
根据本公开的一些实施例,一种方法包括在半导体鳍的第一部分上方形成伪栅极堆叠件;在伪栅极堆叠件的侧壁上形成栅极间隔件;在半导体鳍的第二部分上外延生长半导体材料;形成覆盖半导体材料的第一层间电介质;用替换栅极堆叠件代替伪栅极堆叠件;去除第一层间电介质以再次暴露出半导体材料;在真空腔室中,清洗半导体材料;在真空腔室中,在半导体材料上选择性地形成金属硅化物层;以及在金属硅化物层上方形成金属氮化硅层,以及在金属氮化硅层的形成完成时,金属硅化物层的金属不会在栅极间隔件上延伸。在一个实施例中,形成金属硅化物层的同时,在栅极间隔件上形成金属层。在一个实施例中,该方法还包括选择性地蚀刻真空腔室中的金属层。
根据本公开的一些实施例,一种方法包括在半导体鳍的第一部分上方形成伪栅极堆叠件;在伪栅极堆叠件的侧壁上形成栅极间隔件;在半导体鳍的第二部分上外延生长半导体材料;形成覆盖半导体材料的第一层间电介质;用替换栅极堆叠件代替伪栅极堆叠件;去除第一层间电介质以再次暴露半导体材料;在真空腔室中,在半导体材料上选择性地形成金属硅化物层;并且在真空腔室中,在金属硅化物层上方形成金属氮化硅层,其中,在选择性地形成金属硅化物层和形成金属氮化硅层之间不发生真空破坏。在一个实施例中,使用与工艺气体相同的卤化物进行选择性地形成金属硅化物层和形成金属氮化硅层。在一个实施例中,使用TiCl4作为工艺气体进行选择性地形成金属硅化物层和形成金属氮化硅层。
根据本公开的一些实施例,一种方法包括在半导体鳍的一部分上外延生长半导体材料;形成覆盖半导体材料的层间电介质;去除层间电介质以再次暴露出半导体材料;在真空腔室中,在半导体材料上选择性地形成金属硅化物层;并且在真空腔室中,氮化金属硅化物层的表面层以形成金属氮化硅层。在一个实施例中,该方法还包括在形成层间电介质和去除层间电介质之间,用替换栅极堆叠件替换半导体鳍的部分上的伪栅极堆叠件。在一个实施例中,在选择性地形成金属硅化物层和氮化金属硅化物层的表面层之间不发生真空破坏。在一个实施例中,当形成金属硅化物层时,在与半导体材料相邻的介电材料上形成金属层。
根据本发明的一个方面,提供一种形成半导体器件的方法,包括:形成源极/漏极区;在真空腔室中进行选择性沉积以在所述源极/漏极区上形成金属硅化物层,并且在与所述源极/漏极区相邻的介电区上形成金属层;选择性地蚀刻所述真空腔室中的所述金属层;以及在所述金属硅化物层上选择性地形成金属氮化物层,其中,在所述真空腔室中进行选择性地形成所述金属氮化物层。
根据本发明的一个实施例,选择性沉积和选择性蚀刻所述金属层被原位进行而其间没有真空破坏。
根据本发明的一个实施例,选择性地蚀刻所述金属层和选择性地形成所述金属氮化物层被原位进行而在其间没有真空破坏。
根据本发明的一个实施例,使用相同的工艺气体同时形成所述金属硅化物层和所述金属层。
根据本发明的一个实施例,选择性地形成所述金属氮化物层包括氮化所述金属硅化物层的表面层。
根据本发明的一个实施例,使用包含金属卤化物的工艺气体进行所述选择性沉积。
根据本发明的一个实施例,使用包含金属卤化物的工艺气体进行所述选择性蚀刻。
根据本发明的一个实施例,在约400℃和约500℃之间的升高的温度下进行所述选择性沉积。
根据本发明的另一方面,提供一种形成半导体器件的方法,包括:在半导体鳍的第一部分上方形成栅极堆叠件;在所述半导体鳍的第二部分上外延生长半导体材料;在真空腔室中同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;在没有真空破坏的情况下去除所述金属层;在没有真空破坏的情况下在所述金属硅化物层上形成金属氮化硅层;形成覆盖所述金属氮化硅层的第一接触蚀刻停止层(CESL);以及在所述第一CESL上方形成第一层间电介质。
根据本发明的一个实施例,方法还包括:在同时形成所述金属层和所述金属硅化物层之前,形成覆盖所述半导体材料的第二CESL和第二层间电介质;以及去除所述半导体鳍的所述第一部分上方的伪栅极堆叠件,其中,在由所述伪栅极堆叠件剩下的凹槽中形成所述栅极堆叠件。
根据本发明的一个实施例,使用包含TiCl4的工艺气体进行同时形成所述金属层和所述金属硅化物层。
根据本发明的一个实施例,使用包含TiCl4的附加的工艺气体进行去除所述金属层。
根据本发明的一个实施例,方法还包括:蚀刻所述第一CESL和所述第一层间电介质以形成接触开口;以及用接触插塞填充所述接触开口。
根据本发明的一个实施例,所述金属层和所述金属硅化物层分别包括钛层和钛硅化物层。
根据本发明的另一方面,提供一种形成半导体器件的方法,包括:在半导体鳍的第一部分上方形成栅极堆叠件;在所述半导体鳍的第二部分上外延生长半导体材料;使用第一工艺气体同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;使用第二工艺气体去除所述金属层,其中,所述第一工艺气体和所述第二工艺气体均包括卤化物;以及使用第三工艺气体在所述金属硅化物层上形成金属氮化物层。
根据本发明的一个实施例,所述第一工艺气体和所述第二工艺气体中的每一个包括金属卤化物。
根据本发明的一个实施例,所述第一工艺气体和所述第二工艺气体包括相同的金属卤化物。
根据本发明的一个实施例,所述金属层包括钛,并且所述第一工艺气体和所述第二工艺气体均包括TiCl4
根据本发明的一个实施例,形成所述金属氮化物层包括将所述金属硅化物层的表面层转变成金属氮化硅层。
根据本发明的一个实施例,在相同的工艺腔室中进行同时地形成所述金属层和所述金属硅化物层,去除所述金属层,以及形成所述金属氮化物层。
以上论述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的各方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍的实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成半导体器件的方法,包括:
形成源极/漏极区;
在真空腔室中进行选择性沉积以在所述源极/漏极区上形成金属硅化物层,并且在与所述源极/漏极区相邻的介电区上形成金属层;
选择性地蚀刻所述真空腔室中的所述金属层;以及
在所述金属硅化物层上选择性地形成金属氮化物层,其中,在所述真空腔室中进行选择性地形成所述金属氮化物层。
2.根据权利要求1所述的方法,其中,选择性沉积和选择性蚀刻所述金属层被原位进行而其间没有真空破坏。
3.根据权利要求1所述的方法,其中,选择性地蚀刻所述金属层和选择性地形成所述金属氮化物层被原位进行而在其间没有真空破坏。
4.根据权利要求1所述的方法,其中,使用相同的工艺气体同时形成所述金属硅化物层和所述金属层。
5.根据权利要求1所述的方法,其中,选择性地形成所述金属氮化物层包括氮化所述金属硅化物层的表面层。
6.根据权利要求1所述的方法,其中,使用包含金属卤化物的工艺气体进行所述选择性沉积。
7.根据权利要求1所述的方法,其中,使用包含金属卤化物的工艺气体进行所述选择性蚀刻。
8.根据权利要求1所述的方法,其中,在400℃和500℃之间的升高的温度下进行所述选择性沉积。
9.一种形成半导体器件的方法,包括:
在半导体鳍的第一部分上方形成栅极堆叠件;
在所述半导体鳍的第二部分上外延生长半导体材料;
在真空腔室中同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;
在没有真空破坏的情况下去除所述金属层;
在没有真空破坏的情况下在所述金属硅化物层上形成金属氮化硅层;
形成覆盖所述金属氮化硅层的第一接触蚀刻停止层(CESL);以及
在所述第一接触蚀刻停止层上方形成第一层间电介质。
10.一种形成半导体器件的方法,包括:
在半导体鳍的第一部分上方形成栅极堆叠件;
在所述半导体鳍的第二部分上外延生长半导体材料;
使用第一工艺气体同时地形成金属层和金属硅化物层,其中,在所述半导体材料上形成所述金属硅化物层;
使用第二工艺气体去除所述金属层,其中,所述第一工艺气体和所述第二工艺气体均包括卤化物;以及
使用第三工艺气体在所述金属硅化物层上形成金属氮化物层。
CN201711287662.1A 2017-08-31 2017-12-07 形成半导体器件的方法 Active CN109427898B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/691,871 2017-08-31
US15/691,871 US10475654B2 (en) 2017-08-31 2017-08-31 Wrap-around contact plug and method manufacturing same

Publications (2)

Publication Number Publication Date
CN109427898A true CN109427898A (zh) 2019-03-05
CN109427898B CN109427898B (zh) 2022-05-31

Family

ID=65320857

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711287662.1A Active CN109427898B (zh) 2017-08-31 2017-12-07 形成半导体器件的方法

Country Status (5)

Country Link
US (4) US10475654B2 (zh)
KR (1) KR102083604B1 (zh)
CN (1) CN109427898B (zh)
DE (1) DE102017123353B4 (zh)
TW (1) TWI674650B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764414A (zh) * 2020-08-14 2021-12-07 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269803B2 (en) 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10943829B2 (en) 2018-10-23 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Slot contacts and method forming same
JP7266105B2 (ja) * 2019-02-08 2023-04-27 アプライド マテリアルズ インコーポレイテッド 半導体デバイス、半導体デバイスの製造方法、および処理システム
US20200279943A1 (en) * 2019-02-28 2020-09-03 Tokyo Electron Limited Dual silicide wrap-around contacts for semiconductor devices
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US20210020635A1 (en) * 2019-07-17 2021-01-21 Nanya Technology Corporation Semiconductor structure and method of formation
US11232953B2 (en) 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11677015B2 (en) * 2020-05-13 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11450751B2 (en) * 2020-06-18 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with backside via rail
US11810960B2 (en) * 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
KR20220049175A (ko) 2020-10-14 2022-04-21 삼성전자주식회사 반도체 장치
US20230009981A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Conductive structures and methods of formation
US20230207655A1 (en) * 2021-12-24 2023-06-29 Intel Corporation Formation of metal contacts to silicon germanium layers with etch resistive cap layers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US20160365446A1 (en) * 2015-06-11 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (finfet) device structure with stop layer and method for forming the same

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3129232B2 (ja) 1997-05-08 2001-01-29 日本電気株式会社 半導体装置の製造方法
KR20000000869A (ko) 1998-06-05 2000-01-15 김영환 반도체장치의 제조 방법
KR100407684B1 (ko) 2000-06-28 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR100538806B1 (ko) 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
EP1849099B1 (en) 2005-02-03 2014-05-07 Apple Inc. Recommender system for identifying a new set of media items responsive to an input set of media items and knowledge base metrics
KR100735522B1 (ko) * 2005-11-07 2007-07-04 삼성전자주식회사 반도체 소자의 제조 방법 및 그에 의해 제조된 반도체 소자
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6155719B2 (ja) * 2013-03-15 2017-07-05 セイコーエプソン株式会社 同期計測システム
US9214556B2 (en) * 2013-08-09 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dual-metal silicide and germanide formation
US9330936B2 (en) 2013-11-09 2016-05-03 Tokyo Electron Limited Method for depositing metal layers on germanium-containing films using metal chloride precursors
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9887129B2 (en) * 2014-09-04 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with contact plug
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9922978B2 (en) * 2015-08-21 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with recessed source/drain structure and method for forming the same
US10233413B2 (en) 2015-09-23 2019-03-19 Versum Materials Us, Llc Cleaning formulations
WO2017052610A1 (en) 2015-09-25 2017-03-30 Intel Corporation Techniques for bottom-up filling of three-dimensional semiconductor device topographies
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9601586B1 (en) * 2015-11-02 2017-03-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a metal layer on source/drain regions
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9992978B2 (en) * 2016-01-05 2018-06-12 Miller Manufacturing Company Oxalic acid vaporizer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5856237A (en) * 1997-10-20 1999-01-05 Industrial Technology Research Institute Insitu formation of TiSi2/TiN bi-layer structures using self-aligned nitridation treatment on underlying CVD-TiSi2 layer
US20160365446A1 (en) * 2015-06-11 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (finfet) device structure with stop layer and method for forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764414A (zh) * 2020-08-14 2021-12-07 台湾积体电路制造股份有限公司 半导体器件及其制造方法
CN113764414B (zh) * 2020-08-14 2024-01-12 台湾积体电路制造股份有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
US10879075B2 (en) 2020-12-29
US10468260B2 (en) 2019-11-05
US10475654B2 (en) 2019-11-12
US10636664B2 (en) 2020-04-28
DE102017123353B4 (de) 2022-11-03
KR102083604B1 (ko) 2020-03-02
TWI674650B (zh) 2019-10-11
US20190067013A1 (en) 2019-02-28
DE102017123353A1 (de) 2019-02-28
CN109427898B (zh) 2022-05-31
KR20190024536A (ko) 2019-03-08
TW201913885A (zh) 2019-04-01
US20200258746A1 (en) 2020-08-13
US20200043738A1 (en) 2020-02-06
US20190109006A1 (en) 2019-04-11

Similar Documents

Publication Publication Date Title
CN109427898A (zh) 形成半导体器件的方法
TWI693689B (zh) 半導體裝置及其形成方法
CN104835780B (zh) 半导体结构及其制造方法
CN105810681B (zh) 堆叠器件以及相关的布局结构
CN108231588A (zh) 晶体管及其形成方法
CN106971939A (zh) 制造一半导体装置的方法
CN105845725B (zh) 一种半导体器件及其制造方法和电子装置
TWI740271B (zh) 積體電路結構及其製造方法
CN106206730A (zh) 包括FinFET的半导体器件及其制造方法
TWI649796B (zh) 半導體元件及其製造方法
KR102495788B1 (ko) 콘택트 플러그의 상향식 형성
TWI685974B (zh) 鰭片型場效應電晶體元件及其製造方法
TW202213789A (zh) 半導體結構之製造方法
TWI803754B (zh) 積體電路結構及其製造方法
TW201903958A (zh) 半導體裝置的形成方法
CN109585294A (zh) Finfet器件、半导体器件及其形成方法
US20230387328A1 (en) Semiconductor device and method
CN107301950A (zh) 晶体管及其形成方法
TWI832320B (zh) 形成具有接觸特徵之半導體裝置的方法
US20230044771A1 (en) Self-Aligned Contact Hard Mask Structure of Semiconductor Device and Method of Forming Same
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
US20230282729A1 (en) Work-Function Metal in Transistors and Method Forming Same
US20220238697A1 (en) Reducing K Values of Dielectric Films Through Anneal
TW202343578A (zh) 半導體裝置及其形成方法
CN116469836A (zh) 集成电路结构及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant