TW202006830A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202006830A
TW202006830A TW108122240A TW108122240A TW202006830A TW 202006830 A TW202006830 A TW 202006830A TW 108122240 A TW108122240 A TW 108122240A TW 108122240 A TW108122240 A TW 108122240A TW 202006830 A TW202006830 A TW 202006830A
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
silicon
dielectric
semiconductor device
Prior art date
Application number
TW108122240A
Other languages
English (en)
Other versions
TWI756544B (zh
Inventor
高琬貽
柯忠祁
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006830A publication Critical patent/TW202006830A/zh
Application granted granted Critical
Publication of TWI756544B publication Critical patent/TWI756544B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置的製造方法,包括:在一晶圓上形成一矽層;形成與上述矽層接觸的氧化物層;以及在形成上述氧化物層之後,在包括氨(NH3 )的環境對上述晶圓退火,以形成在上述矽層與上述氧化物層之間、且接觸上述矽層與上述氧化物層的一介電質阻障層,其中上述介電質阻障層包括矽與氮。

Description

半導體裝置及其製造方法
本發明實施例是關於半導體製程技術,特別是關於一種半導體裝置及其製造方法。
電晶體是積體電路中的基礎元件。在積體電路過去的發展中,形成鰭式場效電晶體(Fin Field-Effect Transistors;FinFETs)以替代平面式的電晶體。在鰭式場效電晶體的形成中,會形成半導體鰭狀物,將虛置(dummy)閘極形成在半導體鰭狀物上,將閘極間隔物形成在虛置的閘極堆疊的側壁上,然後移除上述虛置的閘極堆疊而在上述閘極間隔物之間形成溝槽。然後,在上述溝槽中形成取代閘極。
一實施例是關於一種半導體裝置的製造方法,包括:在一晶圓上形成一矽層;形成與上述矽層接觸的氧化物層;以及在形成上述氧化物層之後,在包括氨(NH3 )的環境對上述晶圓退火,以形成在上述矽層與上述氧化物層之間、且接觸上述矽層與上述氧化物層的一介電質阻障層,其中上述介電質阻障層包括矽與氮。
另一實施例是關於一種半導體裝置的製造方法,包括:對一晶圓的一半導體晶圓蝕刻,以形成複數個溝槽,其中一半導體條置於上述溝槽之間;沉積一矽層,使上述矽層在上述半導體條的側壁上延伸;在上述矽層上沉積一含氧的介電層;在包括氨的環境對上述晶圓退火;在上述溝槽中形成複數個隔離區;使上述隔離區下凹,其中上述半導體條的一頂部高於上述凹下的隔離區,而形成一半導體鰭狀物;在上述半導體鰭狀物上形成一閘極堆疊;以及形成以上述半導體鰭狀物為基底的複數個源極/汲極區,其中上述源極/汲極區是在上述閘極堆疊的二側。
又另一實施例是關於一種半導體裝置,包括:一半導體基底;一隔離區,延伸而進入上述半導體基底中,其中上述隔離區包括一介電質阻障層與氧化物層,上述介電質阻障層包括矽與氮,上述氧化物層在上述介電質阻障層上;一半導體鰭狀物,其突出而高於上述介電質阻障層的一頂表面;以及一半導體條,被上述半導體鰭狀物所重疊,其中上述半導體條與上述介電質阻障層接觸。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同構件。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包括上述第一構件與上述第二構件是直接接觸的實施例,亦可能包括了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種構件。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或構件與另一個(些)元件或構件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
在本發明實施例的一些實施態樣中,是提供一種鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)及其形成方法。在本發明實施例的一些實施態樣中,顯示形成上述鰭式場效電晶體的中間階段,並討論一些實施例的一些變化。在各個圖式及整篇書面敘述的實施例中,會以類似的元件符號來標示類似的元件。在本發明實施例的一些實施態樣中,經由在氨中進行的退火,形成的是單一的氮化矽層。上述單一的氮化矽層是在氧化物區與半導體區之間,因此上述單一的氮化矽層可保護上述半導體區不被氧化,特別是在後續的退火製程中,在上述退火製程的過程中,氧可能會遷移至上述半導體區中而導致對上述半導體區有害的氧化。
第1至16圖及第17A與17B圖是一系列的透視圖及剖面圖,顯示關於本發明實施例的一些實施態樣的一鰭式場效電晶體的形成的中間階段。示於1至16圖及第17A與17B的步驟亦示意性地反映示於第18圖的製程流程200。
第1圖顯示一初始結構的透視圖。上述初始結構包括晶圓10,晶圓10包括半導體基底20。半導體基底20可更包括基底部(substrate portion)20-1。基底部20-1可以是一半導體基底,上述半導體基底可以是一矽基底、一矽鍺(silicon germanium)基底或以其他半導體材料形成的基底。基底部20-1亦可以是一塊狀基底(bulk substrate)或一絕緣體上覆半導體(semiconductor-on-insulator)基底。
在關於本發明實施例的一些實施態樣中,上述半導體區是一p型裝置區,在其中即將形成一p型電晶體,例如一p型鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)。磊晶半導體層20-2可以在基底部20-1的頂部作磊晶成長,以形成半導體基底20。例如,磊晶半導體層20-2可以以矽鍺(silicon germanium;SiGe)或鍺(其內不含矽)形成。磊晶半導體層20-2中的鍺的原子百分率可高於基底部20-1中的鍺的原子百分率。在關於本發明實施例的一些實施態樣中,磊晶半導體層20-2(以矽鍺形成的情況)中的鍺的原子百分率是在約百分之三十與百分之百之間的範圍。磊晶半導體層20-2亦可以是以SiP、SiC、SiPC、SiGeB或一III-V族化合物半導體形成,上述III-V族化合物半導體例如為InP、GaAs、AlAs、InAs、InAlAs、InGaAs或類似物。磊晶半導體層20-2亦可以實質上不含矽,例如,其矽的原子百分比低於約百分之一。
在關於本發明實施例的一些實施態樣中,上述半導體區是一p型裝置區,在其中即將形成一p型電晶體,例如一p型鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)。因此,可形成磊晶半導體層20-2。在關於本發明實施例的一些實施態樣中,上述半導體區是一n型裝置區,在其中即將形成一n型電晶體,例如一n型鰭式場效電晶體(Fin Field-Effect Transistor;FinFET)。磊晶半導體層20-2可延伸而進入上述p型裝置區中。如果形成一n型鰭式場效電晶體,對應的裝置區可能不會有形成於其內的磊晶半導體層20-2。上述p型裝置區與上述n型鰭式場效電晶體可以在相同的晶圓上與相同的裝置晶片上。因此,在基底部20-1與磊晶半導體層20-2 (基底20的部分)之間繪製一條虛線,以顯示磊晶半導體層20-2可能存在或可能未存在於所敘述的裝置區。
可以將墊層22與罩幕層24形成在半導體基底20上。墊層22可以是以氧化矽形成的薄膜。在關於本發明實施例的一些實施態樣中,墊層22是在一熱氧化製程中形成,其中半導體基底20的一頂表面層被氧化。墊層22作為半導體基底20與罩幕層24之間的一黏著層。墊層22亦可作為對罩幕層24蝕刻的一蝕刻停止層。在關於本發明實施例的一些實施態樣中,罩幕層24是以氮化矽形成,例如使用低壓化學氣相沉積(low-pressure Chemical Vapor Deposition;LPCVD)來形成。在關於本發明實施例的其他實施態樣中,罩幕層24是經由矽的加熱氮化、電漿輔助化學氣相沉積 (Plasma Enhanced Chemical Vapor Deposition;PECVD)或電漿陽極氮化程序(plasma anodic nitridation)而形成。罩幕層24是用於在後續的微影製程過程中的一硬罩幕。
請參考第2圖,罩幕層24與墊層22被蝕刻,暴露出底下的半導體基底20。然後,對暴露的半導體基底20作蝕刻,形成複數個溝槽26。對應的製程顯示為第18圖所示的製程流程200中的製程202。其後,將半導體基底20之在相鄰的溝槽26之間的部分稱為半導體條30。部分的溝槽26可具有條狀的形狀(在晶圓10的俯視圖觀之)而彼此互相平行,且溝槽26呈彼此緊密排列。在關於本發明實施例的一些實施態樣中,溝槽26的深寬比(深度對寬度的比值)大於7,並可大於10。儘管繪示出一個半導體條30,但是可形成複數個半導體條並使其互相平行,其中溝槽26使上述複數個半導體條互相分離。在關於本發明實施例的形成磊晶半導體層20-2的一些實施態樣中,溝槽26的底部低於基底部20-1與磊晶半導體層20-2之間的界面23。界面23亦是磊晶半導體層20-2的底表面。
請參考第3圖,在關於本發明實施例的一些實施態樣中,沉積矽層32。對應的製程顯示為第18圖所示的製程流程200中的製程204。上述沉積可經由例如化學氣相沉積(Chemical Vapor Deposition;CVD)等的一共形式(conformal)的沉積方法而施行。矽層32可不含或實質上不含例如鍺、碳或類似物等的其他元素。例如,矽層32的矽的原子百分比可高於約百分之九十五。可以將矽層32形成為一結晶矽層或一多晶矽層,其可藉由例如調整沉積製程中的溫度與成長速率而達成。矽層32的厚度可大於約10Å,因此其在後續的製程中可作為一有效的氮阻擋層。矽層32的厚度可以在約10Å與約20Å之間的範圍。
當在先前的步驟形成磊晶半導體層20-2時,則形成矽層32。在未形成磊晶半導體層20-2的區域以及以矽形成的整個半導體條30,可以形成矽層32、亦可不形成矽層32(因為半導體條30本身是以矽形成)。在第3圖中,以虛線來顯示矽層32,意指根據不同的實施態樣而可以形成矽層32或可以不形成矽層32。
然後,在矽層32上沉積含氧的介電層(其可以是氧化物層)34。對應的製程顯示為第18圖所示的製程流程200中的製程206。在關於本發明實施例的一些實施態樣中,是以氧化矽(SiO2 )形成含氧的介電層34。在關於本發明實施例的其他實施態樣中,含氧的介電層34是以碳氧化矽(silicon oxy-carbide;SiOC)、氮氧化矽(silicon oxy-nitride;SiON)、氮碳氧化矽(silicon oxy-carbon-nitride;SiOCN)或類似材料形成。形成方法可包括一共形式的沉積方法,例如原子層沉積技術(atomic-layer deposition;ALD)、化學氣相沉積或類似方法。含氧的介電層34的厚度是選擇為夠薄而使氮原子可以在後續的退火製程穿透含氧的介電層34,同時夠厚而足以發揮氮原子阻障的功能,因此氮原子可以在後續的步驟累積於含氧的介電層34與矽層32之間。在關於本發明實施例的一些實施態樣中,含氧的介電層34的厚度是在約15Å與約50Å之間的範圍。當含氧的介電層34的厚度在此範圍外,含氧的介電層34會無法讓氮原子穿透或是無法保留氮原子以在含氧的介電層34與矽層32之間累積至一設定的厚度。
第4圖顯示在含氧的介電層34與矽層32之間形成(第一)介電質阻障層36。在關於本發明實施例的一些實施態樣中,其形成是藉由在一含氨(NH3 )的環境中對晶圓作熱處理。在關於本發明實施例的一些實施態樣中,其形成是藉由在一含N2 、N2 H2 或類似物質的環境中對晶圓作熱處理。上述環境可以是一製程室(process chamber)。對應的製程顯示為第18圖所示的製程流程200中的製程208。在關於本發明實施例的一些實施態樣中,氨的壓力是在約0.5 torr與約10 torr之間的範圍。上述熱處理的溫度可以在約500°C與約700°C之間的範圍,處理時間可以在約20分鐘與約40分鐘之間的範圍。在關於本發明實施例的其他實施態樣中,上述熱處理的溫度是在約900°C與約1100°C之間的範圍,處理時間可以在約1毫秒(millisecond)與約5毫秒之間的範圍。在上述熱處理的過程中,未產生任何電漿,因此上述熱處理是在一不含電漿的環境中施行。
作為在上述含氨的環境中的處理結果,氨中的氮原子穿透含氧的介電層34。含氧的介電層34中的氧使含氧的介電層34有浸透性而讓氮原子穿透。在氨中的氮原子穿透含氧的介電層34之後,穿過的氮原子被矽層32阻擋,對於氮,矽層32是有效的阻擋層。氮原子因此而在含氧的介電層34與矽層32之間累積,以形成介電質阻障層36。在關於本發明實施例的一些實施態樣中,含矽與氮的介電質阻障層36包括矽、氮並可能包括碳與氧。介電質阻障層36可在含氧的介電層34與矽層32接觸、共存之處,被形成來作為一共形層或一實質的共形層。在介電質阻障層36中的氮的原子百分比可大於約百分之三十且可以在約百分之四十與約百分之七十之間的範圍。介電質阻障層36可包括少量的氫、氧與碳(例如,氫、氧與碳的合計小於約20原子百分比)於其中。介電質阻障層36可具有一中間部,上述中間層具有一尖峰的氮的原子百分比,且在上述中間部的兩側的二個側部,具有從上述中間部漸減的氮的原子百分比。因此,在從上述中間部指向矽層32的方向,介電質阻障層36中的氮與氧的原子百分比呈漸變式地減少,而介電質阻障層36中的矽的原子百分比呈漸變式地增加。在從上述中間部(氮的尖峰)指向含氧的介電層34的方向,介電質阻障層36中的氧的原子百分比呈漸變式地增加,而介電質阻障層36中的矽與氮的原子百分比呈漸變式地減少。在關於本發明實施例的一些實施態樣中,在矽層32、含氧的介電層34與介電質阻障層36中的碳的百分比彼此相近。
我們明白介電質阻障層36的厚度受到各種因素的影響,例如含氧的介電層34的組成與厚度。例如,較厚的含氧的介電層34可能導致較厚的介電質阻障層36。然而,形成較厚的介電質阻障層36是藉由較長的處理時間及/或較高的處理溫度。在關於本發明實施例的一些實施態樣中,介電質阻障層36的厚度是在約1Å與約10Å之間的範圍。因此,為了讓介電質阻障層36的厚度落入上述較佳的範圍,而採用含氧的介電層34的較佳厚度。另外,可以使用製程條件/因子(例如,含氧的介電層34的厚度、退火時間、退火溫度等等)的不同組合來對樣品晶圓進行實驗,以求得一組製程因子(以及條件),而使所得到的介電質阻障層36的厚度可以落入上述想要的(目標)範圍。介電質阻障層36的想要的範圍及其效果亦會在後文討論。介電質阻障層36的厚度可以小於矽層32的厚度、亦小於含氧的介電層34的厚度。同時,矽層32的厚度亦可小於含氧的介電層34的厚度。
在上述處理的起始階段,有較多的氮原子從上述含氨的環境向矽層32擴散。在累積氮原子之後,會有愈來愈多的氮原子會反向而從累積氮原子的介電質阻障層36向上述含氨的環境擴散。當處理時間夠長,會達成平衡,且即使將退火延長,介電質阻障層36的厚度仍維持不變。
然後,形成介電質材料40,以填充溝槽26的其餘部分,然後進行平坦化,而得到示於第5圖的結構。對應的製程顯示為第18圖所示的製程流程200中的製程210。介電質材料40的形成方法可以選自可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)、旋轉塗佈法(spin-on coating)、化學氣相沉積、原子層沉積技術、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)、低壓化學氣相沉積(low-pressure Chemical Vapor Deposition;LPCVD) 或類似方法。
在使用可流動化學氣相沉積的一些實施態樣中,是使用含矽與氮的前驅物(例如,三甲矽烷胺(trisilylamine;TSA)或二甲矽烷胺(disilylamine;DSA)),因此所得到的介電質材料40是可流動式。在關於本發明實施例的替代性的實施態樣中,是使用烴基胺基矽烷(alkylamino silane)類的前驅物來形成可流動的介電質材料40。在上述沉積的過程中,開啟電漿以活化氣體前驅物,用以形成可流動的氧化物。在形成介電質材料40之後,進行一退火/熟化製程,其將可流動的介電質材料40轉變為一固態的介電質材料。亦將上述固化後的介電質材料稱為介電質材料40。
在關於本發明實施例的一些實施態樣中,上述退火是在一含氧的環境中進行,退火溫度可高於約200°C,例如在約200°C與約700°C之間的溫度範圍。在上述熱處理的過程中,將一含氧的製程氣體導入放置晶圓10的製程室中。上述含氧的製程氣體可包括氧(O2 )、臭氧(O3 )或上述之組合,亦可以使用水蒸氣(H2 O)。作為上述熱處理的結果,將介電質材料40熟化並固化。所形成的介電質材料40可以是氧化物。可施行例如化學機械拋光(Chemical Mechanical Polish;CMP)或機械研磨(mechanical grinding)等的一平坦化製程,以使介電質材料40的頂表面齊平。在上述平坦化製程中,罩幕層24(硬罩幕)可用來作為一停止層。
在介電質材料40的熟化中,氧可能從介電質材料40遷移至半導體條30,導致半導體條30的外側部被氧化。這樣會造成半導體條30的預期外的薄化。在關於本發明實施例的一些實施態樣中,是形成介電質阻障層36作為氧的阻擋層,其避免氧到達半導體條30。一較厚的介電質阻障層36會較有效地阻擋氧。另一方面,一較厚的介電質阻障層36會在半導體條30及其下方的基底20的部分吸引較多的電荷,因此在矽層32及其相鄰的基底20的部分與半導體條30的部分會累積較多的電荷。上述電荷累積層形成漏電流的通道。因此,介電質阻障層36不可過厚。為了平衡阻擋氧的效果而不導致漏電流的不利的增加,介電質阻障層36的厚度可選擇在約1Å與約10Å之間的範圍。
在關於本發明實施例的一些實施態樣中,含氧的介電層34與介電質阻障層36的組合在漏電流的減少與避免氧化(介電質阻障層36的貢獻)的方面都顯示良好的結果。上述良好的結果是因為不吸引電荷的含氧的介電層34的存在,並進一步因為限定介電質阻障層36的厚度。
接下來,如第6圖所示,將包括矽層32、介電質阻障層36與含氧的介電層34的介電質區下凹,而將所形成的介電質區稱為介電質區42。對應的製程顯示為第18圖所示的製程流程200中的製程212。在整篇說明中,介電質區42會另外被稱為隔離區42或淺溝槽隔離(Shallow Trench Isolation;STI)區42。半導體條30之高於淺溝槽隔離區42的部分(以及矽層32之高於淺溝槽隔離區42的部分),則稱為突出的(半導體)鰭狀物44。在關於本發明實施例的一些實施態樣中,淺溝槽隔離區42的頂表面高於磊晶半導體層20-2(若有形成)的底表面23。上述介電質區的下凹可使用一乾蝕刻製程來施行,其中使用HF3 與NH3 作為蝕刻氣體。在本發明實施例的替代性的實施樣態中,是使用一溼蝕刻製程來施行上述介電質區的下凹。蝕刻藥劑可包括例如氫氟酸溶液。
在上述的例示性的實施例中,可使用任何適當的方法來形成半導體鰭狀物。例如,可使用一或多道微影製程,包括雙重圖形化(double-patterning)或多重圖形化(multi-patterning)製程,來形成上述半導體鰭狀物。一般而言,雙重圖形化或多重圖形化製程結合微影與自對準製程,得以使形成的圖形所具有的例如節距(pitch)小於使用單一、直接圖形化製程所能得到的節距。例如,在一實施例中,在一基底的上方形成一犧牲層並使用一微影製程將此犧牲層圖形化。使用一自對準製程,以與上述已圖形化的犧牲層並排的方式形成間隔物。然後,移除上述犧牲層,再使用留下來的間隔物或心軸(mandrels)將上述鰭狀物圖形化。
請參考第7圖,形成複數個虛置閘極堆疊46而與突出的鰭狀物44交叉。對應的步驟顯示為如第18圖所示的製程流程200的製程214。虛置閘極堆疊46可包括虛置閘極介電質48與虛置閘極介電質48上方的虛置閘極電極50。虛置閘極介電質48可以以氧化矽或其他介電質材料來形成。虛置閘極電極50可使用例如多晶矽或非晶矽來形成,亦可使用其他材料來形成。每個虛置閘極堆疊46亦可包括一個(或複數個)硬罩幕層52,其在虛置閘極電極50的上方。硬罩幕層52可以以氮化矽、氧化矽、氮碳化矽(silicon carbo-nitride)或上述的多層結構形成。虛置閘極堆疊46可相交且跨越於單一或複數個突出的鰭狀物44及/或淺溝槽隔離區42的上方。虛置閘極堆疊46所具有的長度方向亦是正交於突出的鰭狀物24’的長度方向。虛置閘極堆疊46的形成包括:沉積一虛置閘極介電層;在上述虛置閘極介電層的上方沉積一閘極層;沉積一硬罩幕層;以及將上述堆疊層圖形化,以形成虛置閘極堆疊46。
接下來,請參考第8圖,在虛置閘極堆疊46的側壁上形成閘極間隔物54。對應的製程顯示為第18圖所示的製程流程200中的製程216。閘極間隔物54的形成可包括沉積一毯覆式的介電層以及施行一非等向性蝕刻以移除上述介電層的水平部分,留下閘極間隔物54而使其在虛置閘極堆疊46的側壁上。根據本發明實施例的一些實施態樣,是使用例如SiO2 、SiOC、SiOCN或類似材料等的一含氧的介電質材料(氧化物)來形成閘極間隔物54。在關於本發明實施例的一些實施態樣中,閘極間隔物54可以亦包括例如氮化矽等的一非氧化物的介電質材料。
請參考第9圖,施行熱處理,以形成含矽與氮層56。對應的製程顯示為第18圖所示的製程流程200中的製程218。此處理類似於用以形成介電質阻障層36的處理製程,其製程細節可基本上類似於用以形成介電質阻障層36的製程細節,並因此在此不重複敘述。所形成的含矽與氮層56的細節(例如:組成、厚度等等)可類似於介電質阻障層36的細節(例如:組成、厚度等等)。在整篇說明中,可替代性地將含矽與氮層56稱為氮化矽層56,雖然其可包括其他元素,例如碳、氧或類似者。在關於虛置閘極電極50是以多晶矽或非晶矽形成的一些實施態樣中,虛置閘極電極50是作為用以阻擋氮原子的阻擋層,並因此未形成以阻擋氮原子為目的的額外的矽層。在關於以一非矽的材料(例如,非晶碳)來形成虛置閘極電極50的替代性的實施態樣中,則在形成閘極間隔物54之前,在虛置閘極堆疊46的側壁上形成一額外的矽層(未繪示)。因此,氮化矽層56將會形成在上述額外的矽層與閘極間隔物54之間。在後文中,會將氮化矽層56與閘極間隔物54一起稱為閘極間隔物58。
在關於本發明實施例的替代性的實施態樣中,跳過用以形成氮化矽層56的熱處理,因此未形成氮化矽層56。
在一後續的步驟中,施行一蝕刻製程(其後稱為「鰭狀物下凹」)以對突出的鰭狀物44之未被虛置閘極堆疊46與閘極間隔物58覆蓋的部分進行蝕刻,其形成的結構如第10圖所示。對應的製程顯示為第18圖所示的製程流程200中的製程220。突出的鰭狀物44的上述下凹可經由一非等向性的蝕刻製程施行,而因此突出的鰭狀物44之在虛置閘極堆疊46與閘極間隔物58的正下方的部分受到保護,而未被蝕刻。根據一些實施例,被下凹的半導體條30的頂表面可能會低於淺溝槽隔離區42的頂表面42A。因此,在淺溝槽隔離區42之間形成凹部60。凹部60是置於虛置閘極堆疊46的二側上。在下凹時,矽層32之高於凹部60的底表面60A的部分亦被蝕刻,因此暴露出氮化矽層36的側壁。底表面60A亦可高於、齊平於或低於界面23。因此,磊晶半導體層20-2可能會有、也可能沒有一些遺留的部分在凹部60的正下方。
接下來,藉由選擇性地從凹部60成長一半導體材料,形成磊晶區(源極/汲極區)62,其形成的結構示於第11圖。對應的製程顯示為第18圖所示的製程流程200中的製程222。根據本發明實施例的一些實施態樣,磊晶區62包括矽鍺、矽或矽碳(silicon carbon)。依存於所形成的鰭式場效電晶體是一p型鰭式場效電晶體或一n型鰭式場效電晶體,可以在磊晶的過程中一起作p型或n型不純物的臨場(in-situ)摻雜。例如,當所形成的鰭式場效電晶體是一p型鰭式場效電晶體,可以成長矽鍺硼(SiGeB)、鍺硼(GeB)或類似材料。相反地,當所形成的鰭式場效電晶體是一n型鰭式場效電晶體,可成長矽磷(silicon phosphorous;SiP)、矽碳磷(silicon carbon phosphorous;SiCP) 或類似材料。根據本發明實施例的替代性的實施態樣,可以以例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述之組合或上述之多層結構等的III-V族化合物半導體來形成磊晶區62。在磊晶區62完全填滿凹部60之後,磊晶區62開始水平擴展,而可能形成刻面(facet)。
在上述磊晶步驟之後,可以進一步對磊晶區62佈植p型或n型的不純物,以形成源極區與汲極區,亦可將其以元件符號「62」標示。根據本發明實施例的替代性的實施態樣,在磊晶過程中已以p型或n型不純物對磊晶區62進行臨場摻雜而形成源極/汲極區時,可以跳過上述佈植步驟。
根據本發明實施例的替代性的實施態樣,是形成披覆(cladding)式的源極/汲極區來取代將突出的鰭狀物44下凹及重新成長磊晶區(源極/汲極區)62。根據這些實施例,如第9圖所示的突出的鰭狀物44並未被下凹,而在突出的鰭狀物44上成長磊晶區(未繪示)。上述磊晶區的材料可類似於如第11圖所示的磊晶區62的磊晶半導體材料,視所形成的鰭式場效電晶體是一p型或一n型的鰭式場效電晶體而定。因此,源極/汲極區62包括突出的鰭狀物44與上述磊晶區。可施行一佈植(或可不施行),以植入p型或n型的不純物。
第12圖顯示在形成一接觸蝕刻停止層(contact etch stop layer;CESL)66以及一層間介電質(interlayer dielectric;ILD)68之後的結構的透視圖。對應的步驟顯示為如第18圖所示的製程流程200的製程224。接觸蝕刻停止層66可以以氮化矽、氮碳化矽(silicon carbo-nitride)或類似材料形成。可使用例如原子層沉積或化學氣相沉積等的一共形式(conformal)沉積方法來形成接觸蝕刻停止層66。層間介電質68可包括使用例如可流動化學氣相沉積、旋轉塗布法、化學氣相沉積或其他沉積方法而形成的一含氧的介電質材料。層間介電質48亦可以以矽氧化物類的介電材料形成,其可以是四乙氧基矽烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)氧化物(SiO2 )、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)或類似材料。施行例如化學機械拋光(Chemical Mechanical Polish;CMP)或機械研磨(mechanical grinding)等的一平坦化製程,以使層間介電質68的頂表面、虛置閘極堆疊46的頂表面以及閘極間隔物58的頂表面彼此齊平。在形成層間介電質68時,可利用一退火製程。根據本發明實施例的一些實施態樣,氮化矽層56是作為阻擋層而阻止氧而使其不會穿透而到達突出的鰭狀物44(在第12圖中看不到,請參考第6與7圖)。
接下來,以一或複數道蝕刻製程,將包括硬罩幕層52、虛置閘極電極50與虛置閘極介電質48的虛置閘極堆疊46予以蝕刻,結果如第13圖所示,在閘極間隔物58的對立的二個部分之間,形成溝槽70。對應的製程顯示為第18圖所示的製程流程200中的製程226。可使用例如乾蝕刻來施行上述蝕刻製程。在上述蝕刻製程中,亦可開啟電漿。蝕刻氣體的選擇,是基於將被蝕刻的材料。例如,當作為硬罩幕的介電質阻障層36包括氮化矽,蝕刻氣體可包括含氟的製程氣體,例如CF4 /O2 /N2 、NF3 /O2 、SF6 、SF6 /O2 或類似物質。虛置閘極電極50可使用C2 F6 、CF4 、SO2 、HBr和Cl2 及O2 的混合物或HBr和Cl2 及O2 還有CF2 的混合物等等來蝕刻。虛置閘極介電質48可使用NF3 與NH3 的混合物或HF與NH3 的混合物來蝕刻。如果在虛置閘極堆疊46的側壁上形成矽層,則上述矽層亦被蝕刻。
作為虛置閘極堆疊46的蝕刻的結果,將氮化矽層56暴露於溝槽70。在上述蝕刻製程中,可將氮化矽層56薄化至例如在1Å與5Å之間的範圍的厚度。在移除虛置閘極堆疊46之前的原始的氮化矽層56就非常薄的一些實施態樣中,氮化矽層56亦可能被移除而作為蝕刻的結果,因此而將閘極間隔物54的側壁暴露於溝槽70。具有高的氮的百分比的氮化矽層56,對於由使用於虛置閘極堆疊46的蝕刻的電漿所造成的傷害較有抵抗能力。
接下來,請參考第14圖,形成(取代)閘極堆疊72,其包括閘極介電質74與閘極電極76。對應的步驟顯示為如第18圖所示的製程流程200的製程228。閘極堆疊72的形成包括形成/沉積複數個層,然後施行例如化學機械拋光製程或機械研磨製程等的一平坦化製程。閘極介電質74延伸至溝槽70(第13圖)中。根據本發明實施例的一些實施態樣,閘極介電質74包括界面層(Interfacial Layer;IL)78(第17A與17B圖)作為其下部。界面層78是形成在突出的鰭狀物44的暴露的表面上。界面層78可包括例如氧化矽層等的氧化物層,其是經由突出的鰭狀物44的加熱氧化、一化學氧化製程或一沉積製程而形成。閘極介電質74可以包括高介電常數介電層80(第9B圖),其形成於界面層78的上方。高介電常數介電層80可包括例如HfO2 、ZrO2 、HfZrOx、HfSiOx、HfSiON、ZrSiOx、HfZrSiOx、Al2 O3 、HfAlOx、HfAlN、ZrAlOx、La2 O3 、TiO2 、Yb2 O3 、氮化矽或類似材料等的一高介電常數介電材料。上述高介電常數介電材料的介電常數(k值)高於3.9,且可高於約7.0。將高介電常數介電層80形成為一共形層,並在突出的鰭狀物44的側壁上以及閘極間隔物58的側壁上延伸。根據本發明實施例的一些實施態樣,是使用原子層沉積或化學氣相沉積來形成高介電常數介電層80。
請再一次參考第14圖,閘極電極76是形成在閘極介電質74的頂部上,並填充藉由上述虛置閘極堆疊的移除而留下來的溝槽的剩餘部分。閘極電極76中的複數個子層(sub-layer)並未分開顯示於第14圖;相對於此,在實際上,上述子層由於其成分的不同而相互區別。可以使用例如原子層沉積或化學氣相沉積等的共形沉積方法,來施行至少較下方的子層的沉積,因此閘極電極76(的每個子層)的垂直部分的厚度與水平部分的厚度是實質上彼此相等。
閘極電極76可包括複數個層,其包括但不限於氮化鈦矽(Titanium Silicon Nitride;TSN)層、氮化鉭(TaN)層、氮化鈦(TiN)層、鈦鋁層(titanium aluminum;TiAl)、一附加的TiN及/或TaN層以及一填充材料。這些層中的一些決定了對應的鰭式場效電晶體的功函數。此外,一p型的鰭式場效電晶體的金屬層與一n型的鰭式場效電晶體的金屬層可以彼此不同,因此上述金屬層的功函數適用於對應的p型或n型的鰭式場效電晶體。上述填充材料可包括鋁、銅或鈷。
接下來,如第15圖所示,形成複數個硬罩幕82。在根據本發明實施例的一些實施態樣中,硬罩幕82的形成包括:經由蝕刻而將取代閘極堆疊72凹下,以形成複數個凹部;將一介電材料填充至上述凹部中;以及施行一平坦化步驟,以移除上述介電材料的多餘部分。上述介電材料的剩餘部分為硬罩幕82。在根據本發明實施例的一些實施態樣中,硬罩幕82是以氮化矽、氮氧化矽、碳氧化矽、氮碳氧化矽或類似材料形成。
第16圖顯示用以形成複數個接觸插塞的後續步驟。先蝕刻出複數個接觸開口而進入層間介電質68與接觸蝕刻停止層66,以顯示出源極/汲極區62。然後,形成矽化物區84與源極/汲極接觸插塞86並使其延伸而進入層間介電質68與接觸蝕刻停止層66。對應的步驟顯示為如第18圖所示的製程流程200的製程230。氮化矽層36的頂部邊緣可以與矽化物區84接觸或與源極/汲極接觸插塞86接觸,取決於矽化物區84延伸至何處。或者,氮化矽層36的頂部邊緣可以與源極/汲極區62接觸。
在後續的步驟中,如第17A圖所示,形成蝕刻停止層88,接著形成層間介電質90。第17A圖顯示的剖面圖是從第16圖中包含A-A線的相同平面得到。在根據本發明實施例的一些實施態樣中,蝕刻停止層88是以SiN、SiCN、SiC、SiOCN或另一種介電材料來形成,形成方法可包括電漿輔助化學氣相沉積、原子層沉積技術、化學氣相沉積或類似方法。層間介電質90的材料可選自用以形成層間介電質68的相同的候選材料(及方法),且層間介電質68與90可以以相同或不同的介電材料形成。在根據本發明實施例的一些實施態樣中,層間介電質90是使用電漿輔助化學氣相沉積、可流動化學氣相沉積、旋轉塗佈法或類似方法而形成,層間介電質90並可包括氧化矽(SiO2 )。
將層間介電質90與蝕刻停止層88蝕刻,以形成複數個開口。可使用例如反應性離子蝕刻(Reactive Ion Etch;RIE)來施行上述蝕刻。在上述開口中形成閘極接觸插塞92與複數個源極/汲極接觸插塞94,以分別電性連接於閘極電極76與源極/汲極接觸插塞86。因此,形成了鰭式場效電晶體96。
第17B圖繪示從另一個平面取得的鰭式場效電晶體96的剖面圖,上述另一個平面是第16圖中包含B-B線的相同平面。第17圖繪示相對於其他構件的氮化矽層34與56。
本發明實施例的實施態樣具有一些有利的特徵。藉由形成氮化矽層,達成了上述氮化矽層用於避免氧到達鰭狀物而將鰭狀物氧化的有利的特徵。另一方面,上述氮化矽層非常薄,因此不會造成漏電流的不利的增加。上述薄層的氮化矽層與氧化物層的組合因此而形成良好的抗氧化性及有效防止漏電流的阻障。
關於一些實施例,是提供一種半導體裝置的製造方法,包括:在一晶圓上形成一矽層;形成與上述矽層接觸的氧化物層;以及在形成上述氧化物層之後,在包括氨(NH3 )的環境對上述晶圓退火,以形成在上述矽層與上述氧化物層之間、且接觸上述矽層與上述氧化物層的一介電質阻障層,其中上述介電質阻障層包括矽與氮。在一實施例中,上述半導體裝置的製造方法更包括在對上述晶圓退火之後,移除上述矽層的一部分。在一實施例中,在約500°C與約700°C之間的範圍的溫度對上述晶圓進行退火,且退火時間在約20分鐘與約40分鐘之間的範圍。在一實施例中,在約900°C與約1100°C之間的範圍的溫度對上述晶圓進行退火,且退火時間在約1毫秒與約5毫秒之間的範圍。在一實施例中,在一不含電漿的環境對上述晶圓進行退火。在一實施例中,上述介電質阻障層的形成,包括:引導氮原子而使其穿透上述氧化物層,其中上述氮原子被上述矽層阻擋。在一實施例中,上述矽層的形成,包括磊晶成長一結晶矽層或沉積一多晶矽層。在一實施例中,上述介電質阻障層在上述矽層與上述氧化物層之間具有一尖峰氮濃度,氮的原子百分比從上述介電質阻障層的一中間部向上述矽層呈漸變式地降低,且氮的原子百分比從上述介電質阻障層的上述中間部向上述氧化物層呈漸變式地降低。在一實施例中,上述矽層實質上不含鍺,且上述矽層是形成在一含鍺的半導體區上。在一實施例中,上述矽層是一虛置(dummy)的閘極電極,且上述半導體裝置的製造方法更包括移除上述虛置的閘極電極以暴露出上述介電質阻障層。
關於一些實施例,是提供一種半導體裝置的製造方法,包括:對一晶圓的一半導體基底蝕刻,以形成複數個溝槽,其中一半導體條置於上述溝槽之間;沉積一矽層,使上述矽層在上述半導體條的側壁上延伸;在上述矽層上沉積一含氧的介電層;在包括氨的環境對上述晶圓退火;在上述溝槽中形成複數個隔離區;使上述隔離區下凹,其中上述半導體條的一頂部高於上述凹下的隔離區的頂表面,而形成一半導體鰭狀物;在上述半導體鰭狀物上形成一閘極堆疊;以及形成以上述半導體鰭狀物為基底的複數個源極/汲極區,其中上述源極/汲極區是在上述閘極堆疊的二側。在一實施例中,對上述晶圓退火造成在上述矽層與上述含氧的介電層之間形成一介電質阻障層。在一實施例中,在約500°C與約700°C之間的範圍的溫度對上述晶圓進行退火,且退火時間在約20分鐘與約40分鐘之間的範圍。在一實施例中,在約900°C與約1100°C之間的範圍的溫度對上述晶圓進行退火,且退火時間在約1毫秒與約5毫秒之間的範圍。在一實施例中,上述半導體裝置的製造方法,更包括在一矽基底的上方磊晶成長一含鍺的半導體層,其中上述含鍺的半導體層與上述矽基底一起形成上述半導體基底,且形成上述矽層以與上述半導體條中的上述含鍺的半導體層的一留下來的部分接觸。
關於一些實施例,是提供一種半導體裝置,包括:一半導體基底;一隔離區,延伸而進入上述半導體基底中,其中上述隔離區包括一介電質阻障層與氧化物層,上述介電質阻障層包括矽與氮,上述氧化物層在上述介電質阻障層上;一半導體鰭狀物,其突出而高於上述介電質阻障層的一頂表面;以及一半導體條,被上述半導體鰭狀物所重疊,其中上述半導體條與上述介電質阻障層接觸。在一實施例中,在上述下部與上述上部的一界面是在上述隔離區的一頂表面與一底表面之間的中間水平。在一實施例中,上述介電質阻障層的厚度是在約1Å與約10Å之間的範圍。在一實施例中,上述介電質阻障層更包括碳與氧。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10‧‧‧晶圓 20‧‧‧半導體基底 20-1‧‧‧基底部 20-2‧‧‧磊晶半導體層 22‧‧‧墊層 23‧‧‧界面(底表面) 24‧‧‧罩幕層 26、70‧‧‧溝槽 30‧‧‧半導體條 32‧‧‧矽層 34‧‧‧含氧的介電層 36‧‧‧介電質阻障層 40‧‧‧介電質材料 42‧‧‧淺溝槽隔離區(隔離區)(介電質區) 42A‧‧‧頂表面 44‧‧‧突出的鰭狀物 46‧‧‧虛置閘極堆疊 48‧‧‧虛置閘極介電質 50‧‧‧虛置閘極電極 52‧‧‧硬罩幕層 54‧‧‧閘極間隔物 56‧‧‧氮化矽層(含矽與氮層) 58‧‧‧閘極間隔物 60‧‧‧凹部 60A‧‧‧底表面 62‧‧‧磊晶區 66‧‧‧接觸蝕刻停止層 68、90‧‧‧層間介電質 72‧‧‧閘極堆疊 74‧‧‧閘極介電質 76‧‧‧閘極電極 78‧‧‧界面層 80‧‧‧高介電常數介電層 82‧‧‧硬罩幕 84‧‧‧矽化物區 86‧‧‧源極/汲極接觸插塞 88‧‧‧蝕刻停止層 92‧‧‧閘極接觸插塞 94‧‧‧源極/汲極接觸插塞 96‧‧‧鰭式場效電晶體 200‧‧‧製程流程 202、204、206、208、210、212、214、216、218、220、222、224、226、228、230‧‧‧製程
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第2圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第3圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第4圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第5圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第6圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第7圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第8圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第9圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第10圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第11圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第12圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第13圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第14圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第15圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第16圖是一透視圖,顯示關於一些實施例的鰭式場效電晶體的形成的中間階段。 第17A圖是一剖面圖,顯示關於一些實施例的鰭式場效電晶體。 第17B圖是一剖面圖,顯示關於一些實施例的鰭式場效電晶體。 第18圖是一製程流程圖,顯示關於一些實施例的鰭式場效電晶體的形成。
10‧‧‧晶圓
54‧‧‧閘極間隔物
56‧‧‧氮化矽層(含矽與氮層)
58‧‧‧閘極間隔物
62‧‧‧磊晶區
66‧‧‧接觸蝕刻停止層
68、90‧‧‧層間介電質
72‧‧‧閘極堆疊
74‧‧‧閘極介電質
76‧‧‧閘極電極
78‧‧‧界面層
80‧‧‧高介電常數介電層
84‧‧‧矽化物區
86‧‧‧源極/汲極接觸插塞
88‧‧‧蝕刻停止層
92‧‧‧閘極接觸插塞
94‧‧‧源極/汲極接觸插塞
96‧‧‧鰭式場效電晶體

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 在一晶圓上形成一矽層; 形成與該矽層接觸的氧化物層;以及 在形成該氧化物層之後,在包括氨(NH3 )的環境對該晶圓退火,以形成在該矽層與該氧化物層之間、且接觸該矽層與該氧化物層的一介電質阻障層,其中該介電質阻障層包括矽與氮。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括在對該晶圓退火之後,移除該矽層的一部分。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中在約500°C與約700°C之間的範圍的溫度對該晶圓進行退火,且退火時間在約20分鐘與約40分鐘之間的範圍。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中在約900°C與約1100°C之間的範圍的溫度對該晶圓進行退火,且退火時間在約1毫秒與約5毫秒之間的範圍。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中在一不含電漿的環境對該晶圓進行退火。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該介電質阻障層的形成,包括: 引導氮原子而使其穿透該氧化物層,其中上述氮原子被該矽層阻擋。
  7. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該矽層的形成,包括磊晶成長一結晶矽層或沉積一多晶矽層。
  8. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該介電質阻障層在該矽層與該氧化物層之間具有一尖峰氮濃度,氮的原子百分比從該介電質阻障層的一中間部向該矽層呈漸變式地降低,且氮的原子百分比從該介電質阻障層的該中間部向該氧化物層呈漸變式地降低。
  9. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該矽層實質上不含鍺,且該矽層是形成在一含鍺的半導體區上。
  10. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該矽層是一虛置(dummy)的閘極電極,且更包括移除該虛置的閘極電極以暴露出該介電質阻障層。
  11. 一種半導體裝置的製造方法,包括: 對一晶圓的一半導體基底蝕刻,以形成複數個溝槽,其中一半導體條置於該些溝槽之間; 沉積一矽層,使該矽層在該半導體條的側壁上延伸; 在該矽層上沉積一含氧的介電層; 在包括氨的環境對該晶圓退火; 在該些溝槽中形成複數個隔離區; 使該些隔離區下凹,其中該半導體條的一頂部高於該些凹下的隔離區的頂表面,而形成一半導體鰭狀物; 在該半導體鰭狀物上形成一閘極堆疊;以及 形成以該半導體鰭狀物為基底的複數個源極/汲極區,其中該些源極/汲極區是在該閘極堆疊的二側。
  12. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中對該晶圓退火造成在該矽層與該含氧的介電層之間形成一介電質阻障層。
  13. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中在約500°C與約700°C之間的範圍的溫度對該晶圓進行退火,且退火時間在約20分鐘與約40分鐘之間的範圍。
  14. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中在約900°C與約1100°C之間的範圍的溫度對該晶圓進行退火,且退火時間在約1毫秒與約5毫秒之間的範圍。
  15. 如申請專利範圍第11項所述之半導體裝置的製造方法,更包括在一矽基底的上方磊晶成長一含鍺的半導體層,其中該含鍺的半導體層與該矽基底一起形成該半導體基底,且形成該矽層以與該半導體條中的該含鍺的半導體層的一留下來的部分接觸。
  16. 一種半導體裝置,包括: 一半導體基底; 一隔離區,延伸而進入該半導體基底中,其中該隔離區包括一介電質阻障層與氧化物層,該介電質阻障層包括矽與氮,該氧化物層在該介電質阻障層上; 一半導體鰭狀物,其突出而高於該介電質阻障層的一頂表面;以及 一半導體條,被該半導體鰭狀物所重疊,其中該半導體條與該介電質阻障層接觸。
  17. 如申請專利範圍第16項所述之半導體裝置,其中該半導體條包括: 一下部,其中該下部包括矽且不含鍺;以及 一上部,包括: 一內側部,以一含鍺的半導體材料形成;及 一外側部,以矽形成,該外側部實質上不含鍺,且該外側部接觸該介電質阻障層。
  18. 如申請專利範圍第17項所述之半導體裝置,其中在該下部與該上部的一界面是在該隔離區的一頂表面與一底表面之間的中間水平。
  19. 如申請專利範圍第16項所述之半導體裝置,其中該介電質阻障層的厚度是在約1Å與約10Å之間的範圍。
  20. 如申請專利範圍第16項所述之半導體裝置,其中該介電質阻障層更包括碳與氧。
TW108122240A 2018-06-29 2019-06-26 半導體裝置及其製造方法 TWI756544B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691901P 2018-06-29 2018-06-29
US62/691,901 2018-06-29
US16/120,677 US11355339B2 (en) 2018-06-29 2018-09-04 Forming nitrogen-containing layers as oxidation blocking layers
US16/120,677 2018-09-04

Publications (2)

Publication Number Publication Date
TW202006830A true TW202006830A (zh) 2020-02-01
TWI756544B TWI756544B (zh) 2022-03-01

Family

ID=68885848

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122240A TWI756544B (zh) 2018-06-29 2019-06-26 半導體裝置及其製造方法

Country Status (5)

Country Link
US (2) US11355339B2 (zh)
KR (1) KR102126477B1 (zh)
CN (1) CN110660857B (zh)
DE (1) DE102018125392A1 (zh)
TW (1) TWI756544B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11757020B2 (en) 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11264282B2 (en) 2020-02-25 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation process
US11522062B2 (en) * 2020-08-14 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing an etch stop layer and an inter-layer dielectric on a source/drain region
US20220223422A1 (en) * 2021-01-14 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface Oxidation Control of Metal Gates Using Capping Layer
US11996317B2 (en) 2021-01-15 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming isolation regions by depositing and oxidizing a silicon liner

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330584A (ja) 1995-05-31 1996-12-13 Nippon Telegr & Teleph Corp <Ntt> 電界効果トランジスタおよびその製造方法
US6380056B1 (en) * 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
JP3875455B2 (ja) 1999-04-28 2007-01-31 株式会社東芝 半導体装置の製造方法
US6617248B1 (en) * 2000-11-10 2003-09-09 Micron Technology, Inc. Method for forming a ruthenium metal layer
US7825011B2 (en) * 2005-05-03 2010-11-02 Nxp B.V. Method of manufacturing a semiconductor device and semiconductor device obtained by means of said method
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
CN100517618C (zh) * 2006-12-05 2009-07-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US7642616B2 (en) 2007-05-17 2010-01-05 Micron Technology, Inc. Tunnel and gate oxide comprising nitrogen for use with a semiconductor device and a process for forming the device
US8198184B2 (en) * 2008-09-30 2012-06-12 Texas Instruments Incorporated Method to maximize nitrogen concentration at the top surface of gate dielectrics
US8501610B2 (en) 2009-04-28 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Non-volatile memories and methods of fabrication thereof
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9000539B2 (en) 2012-11-08 2015-04-07 Texas Instruments Incorporated Metal-gate MOS transistor and method of forming the transistor with reduced gate-to-source and gate-to-drain overlap capacitance
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9166053B2 (en) * 2013-02-22 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device including a stepped profile structure
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9287262B2 (en) * 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
US9496402B2 (en) * 2014-10-17 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate with silicon sidewall spacers
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9666692B2 (en) 2015-07-31 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET gate oxide
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9653604B1 (en) * 2015-12-30 2017-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102481479B1 (ko) 2016-04-29 2022-12-26 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device

Also Published As

Publication number Publication date
TWI756544B (zh) 2022-03-01
US20200006065A1 (en) 2020-01-02
US11355339B2 (en) 2022-06-07
DE102018125392A1 (de) 2020-01-02
CN110660857B (zh) 2023-05-26
KR102126477B1 (ko) 2020-06-25
KR20200002570A (ko) 2020-01-08
CN110660857A (zh) 2020-01-07
US20220301868A1 (en) 2022-09-22

Similar Documents

Publication Publication Date Title
US11854898B2 (en) Wrap-around contact on FinFET
US11380774B2 (en) Etching back and selective deposition of metal gate
CN110416081B (zh) Nfet/pfet的源极/漏极区域的选择性凹进
US11011433B2 (en) NMOS and PMOS transistor gates with hafnium oxide layers and lanthanum oxide layers
TWI696220B (zh) 半導體裝置的形成方法
TWI651761B (zh) 半導體裝置及其製造方法
TWI756544B (zh) 半導體裝置及其製造方法
TWI755106B (zh) 半導體結構及其形成方法
US11626506B2 (en) Reducing pattern loading in the etch-back of metal gate
US20240096707A1 (en) Footing Removal in Cut-Metal Process
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
CN113488434A (zh) 使用相同功函数材料的复合功函数层的形成
US11894274B2 (en) Dummy fin with reduced height and method forming same
KR20220103590A (ko) 격리 영역들을 형성하기 위한 실리콘 라이너의 성막 및 산화