TWI696220B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI696220B
TWI696220B TW107134392A TW107134392A TWI696220B TW I696220 B TWI696220 B TW I696220B TW 107134392 A TW107134392 A TW 107134392A TW 107134392 A TW107134392 A TW 107134392A TW I696220 B TWI696220 B TW I696220B
Authority
TW
Taiwan
Prior art keywords
gate
etching
dielectric
forming
semiconductor device
Prior art date
Application number
TW107134392A
Other languages
English (en)
Other versions
TW201923899A (zh
Inventor
黃銘淇
莊英良
葉明熙
黃國彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201923899A publication Critical patent/TW201923899A/zh
Application granted granted Critical
Publication of TWI696220B publication Critical patent/TWI696220B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置的形成方法,包括:形成閘極堆疊,其包括:第一部分,在第一半導體鰭狀物的一部分的上方;第二部分,在第二半導體鰭狀物的一部分的上方;及第三部分,將第一部分連接於第二部分。對於閘極堆疊的第三部分施行非等向性蝕刻,以在第一部分與第二部分之間形成一開口。在非等向性蝕刻之後,留下第三部分的基腳部分。上述方法還包括:施行等向性蝕刻,以移除基腳部分的金屬閘極部分;以及以介電材料填充開口。

Description

半導體裝置的形成方法
本發明實施例是關於半導體裝置的形成方法。
金屬-氧化物-半導體(Metal-Oxide-Semiconductor;MOS)裝置是積體電路中的基本構件。現存的金屬-氧化物-半導體裝置通常具有一閘極電極,此閘極電極具有使用例如離子佈植或熱擴散等的摻雜作業而被摻雜有p型或n型不純物的多晶矽。將上述閘極電極的功函數調整為矽的能帶邊緣。對於一n型的金屬-氧化物-半導體(n-type Metal-Oxide-Semiconductor;NMOS)裝置,可將功函數調整為接近矽的導帶。對於一p型的金屬-氧化物-半導體(p-type Metal-Oxide-Semiconductor;PMOS)裝置,可將功函數調整為接近矽的價帶。可藉由選擇適當的不純物,來達成調整多晶矽閘極電極的功函數。
具有多晶矽閘極電極的金屬-氧化物-半導體裝置會展現載子空乏效應,其亦被稱為多晶空乏效應。上述多晶空乏效應是在所施加的電場將載子從接近閘極介電質的閘極區掃除時發生。在一n摻雜多晶矽層中,上述空乏區包括離子化的不移動的施體部位(donor site);而在一p摻雜多晶矽層中,上述空乏區包括離子化的不移動的受體部位(acceptor site)。上述空乏效應造成閘極介電質的有效厚度的增加,而使其難以在半導體的表面形成一反轉層(inversion layer)。
上述多晶空乏效應的問題可藉由形成金屬閘極電極或金屬矽化物閘極電極而解決,其中用於n型金屬-氧化物-半導體裝置與p型金屬-氧化物-半導體裝置的金屬閘極可以亦具有能帶邊緣的功函數。由於n型金屬-氧化 物-半導體裝置與p型金屬-氧化物-半導體裝置對於功函數有不同的需求,而使用雙閘極互補式金屬-氧化物-半導體裝置(dual-gate CMOS device)。
在上述金屬閘極電極的形成中,先形成一長的虛置閘極(dummy gate),然後對其進行蝕刻,因此使上述長的虛置閘極的複數個部分彼此分離。然後,將一介電材料填入上述長的虛置閘極之被蝕刻的部分所留下的開口中。然後,研磨上述介電材料,留下上述介電材料之在上述虛置閘極的留下來的部分之間的部分。然後,以金屬閘極取代上述虛置閘極的留下來的部分。
一實施例是關於一種半導體裝置的形成方法,包括:形成一閘極堆疊,上述閘極堆疊包括:一第一部分,在一第一半導體鰭狀物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;及一第三部分,將上述第一部分連接於上述第二部分;對於上述閘極堆疊的上述第三部分施行一非等向性蝕刻,以在上述第一部分與上述第二部分之間形成一開口,其中在上述非等向性蝕刻之後,留下上述第三部分的一基腳部分;施行一等向性蝕刻,以移除上述基腳部分的一金屬閘極部分;以及以一介電材料填充上述開口。
另一實施例是關於一種半導體裝置的形成方法,包括:在一第一閘極間隔物與一第二閘極間隔物之間形成一閘極堆疊,上述閘極堆疊包括:一閘極介電質;及一金屬電極,在上述閘極介電質的上方;對上述閘極堆疊的一第一部分進行蝕刻,以曝露出上述第一閘極間隔物的側壁與上述第二閘極間隔物的側壁;在上述第一閘極間隔物的側壁與上述第二閘極間隔物的側壁上沉積一聚合物層;將上述聚合物層薄化,以曝露出上述閘極堆疊的一基腳部分,其中上述基腳部分是被上述第一閘極間隔物的一部分所重疊;以及對上述基腳部分中的上述金屬電極的一剩餘部分進行蝕刻。
又另一實施例是關於一種半導體裝置的形成方法,包括:形成一 閘極堆疊,其包括一閘極介電質及在上述閘極介電質上的一閘極電極,其中上述閘極介電質與上述閘極電極各自包括:一第一部分,在一第一半導體鰭狀物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;及一第三部分,將上述第一部分連接於上述第二部分;對上述閘極電極的上述第三部分進行蝕刻,以將上述閘極電極的上述第一部分自上述閘極電極的上述第二部分電性分離,其中上述閘極介電質的上述第三部分在上述蝕刻之後包括一剩餘部分,且其中上述閘極介電質的上述第一部分是物理性地連接於上述閘極介電質的上述第二部分;以及以一介電材料填充由上述閘極電極的上述第三部分留下來的一開口。
10:晶圓
20:基底
22:淺溝槽隔離區
22A:頂表面
24:半導體條
24’:突出的鰭狀物
30:虛置閘極堆疊
30’、60’:基腳部
32:虛置閘極介電質
34:虛置閘極電極
36:硬罩幕層
38:閘極間隔物
40:凹部
41:磊晶區
42:磊晶區(源極/汲極區)
46:接觸蝕刻停止層
48:層間介電質
50:界面層
52:高介電常數介電層
54:閘極介電質
56:閘極電極
56-1:TSN層
56-2:TaN層
56-3:TiN層
56-4:TiAl層
56-5:TiN層
56-6:填充金屬
60:閘極堆疊
60’:基腳部
62:硬罩幕
66A、66B:鰭式場效電晶體
68:光阻
69:區域
70:聚合物層
70A:上部
70B:下部
72:開口
74:蝕刻製程(箭號)
76:隔離區(介電質區)
78:隔離區
200:製程流程
202、204、206、208、210、212、214、216、218、220、222、224、226:步驟
W1、W2:寬度
T1、T2:厚度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體(Fin Field-Effect Transistors;FinFET)的形成的中間階段。
第2圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第3圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第4圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第5A圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第5B圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階 段。
第6A圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第6B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第7A圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第7B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第8A圖是一透視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第8B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第8C圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第8D圖是一俯視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第9A圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第9B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第10A圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第10B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階 段。
第11圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第12A圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第12B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第13A圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第13B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第14A圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第14B圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第14C圖是一剖面圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第14D圖是一俯視圖,顯示關於一些實施例的一鰭式場效電晶體的形成的中間階段。
第15圖顯示第關於一些實施例的鰭式場效電晶體的形成的製程流程。
要瞭解的是,以下的揭露內容提供許多不同的實施例或範例以實施本發明實施例的不同構件。以下的揭露內容敘述各個構件及其排列方式的特定實施例或範例,以簡化本發明實施例的說明。當然,這些特定的範例並非用 以限定。例如,元件的尺寸並非受限於所揭露的範圍或值,但可能依存於製程條件及/或裝置所需求的性質。此外,若是本發明實施例敘述了一第一構件形成於一第二構件之上或上方,即表示其可能包括上述第一構件與上述第二構件是直接接觸的實施例,亦可能包括了有附加構件形成於上述第一構件與上述第二構件之間,而使上述第一構件與第二構件可能未直接接觸的實施例。為了簡潔,可能以任意的比例繪示各種構件。此外,本發明實施例可能會在各種實施例重複使用相同的元件符號。這樣的重複是為了敘述上的簡化與明確,而非意指所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用詞。例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,係為了便於描述圖示中一個元件或構件與另一個(些)元件或構件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
根據各種例示的實施例,提供使用金屬閘極切斷製程(cut-metal-gate process)形成的電晶體及其形成方法。根據一些實施例,敘述形成上述電晶體的中間階段。一些實施例的變化會納入討論。在所有圖式及所敘述的所有實施例中,類似的元件符號會用來代表類似的元件。在所敘述的例示的實施例中,是以鰭式場效電晶體(Fin Field-Effect Transistors;FinFET)的形成為例,來解釋本發明實施例的概念。平面式電晶體亦可適用於本發明實施例的實施態樣。
第1至14A圖是一系列的剖面圖及透視圖,顯示關於本發明實施例的一些實施態樣的一鰭式場效電晶體的形成的中間階段。示於第1至14A圖的步驟亦示意性地反映示於第15圖的製程流程200。
第1圖顯示一初始結構的透視圖。上述初始結構包括晶圓10,晶 圓10進一步包括基底20。基底20可以是一半導體基底,上述半導體基底可以是一矽基底、一矽鍺(silicon germanium)基底或以其他半導體材料形成的基底。基底20可被摻雜有p型或n型的不純物。可將例如淺溝槽隔離(Shallow Trench Isolation;STI)區等的隔離區22形成為從基底20的一頂表面延伸進入基底20。基底20之在相鄰的淺溝槽隔離區22間的部分,被稱作半導體條24。根據一些例示的實施例,半導體條24的頂表面與淺溝槽隔離區22的頂表面可實質上彼此齊平。根據本發明實施例的一些實施態樣,半導體條24是原本的基底20的一部份,而因此半導體條24的材料是與基底20的材料相同。根據本發明實施例的替代性的實施態樣,半導體條24是取代條,藉由以下而形成:對基底20之在淺溝槽隔離區22間的部分進行蝕刻,以形成凹部;以及施行一磊晶步驟,以在上述凹部中成長另一種半導體材料。因此,半導體條24是由一種半導體材料形成,其不同於基底20的半導體材料。根據一些例示的實施例,是以矽鍺、矽碳(silicon carbon)或一III-V族化合物半導體材料形成半導體條24。
淺溝槽隔離區22可包括一襯墊氧化物(未繪示),其可以是經由基底20的一表面層的熱氧化而形成的一熱氧化物。上述襯墊氧化物亦可以是使用例如原子層沉積技術(atomic-layer deposition;ALD)、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition;HDPCVD)或化學氣相沉積(chemical vapor deposition;CVD)而形成的一沉積氧化矽層。淺溝槽隔離區22亦可包括一介電材料,其在上述襯墊氧化物的上方,其中上述介電材料可使用可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)、旋轉塗布法(spin-on)或其類似方法而形成。
請參考第2圖,將淺溝槽隔離區22凹下,因此半導體條24的頂部突出而高於淺溝槽隔離區22的剩下部分的頂表面22A,而形成突出的鰭狀物24’。對應的步驟敘述於如第15圖所示的製程流程200的步驟202。上述蝕刻可使 用一乾蝕刻製成來施行,其中使用HF3與NH3作為蝕刻氣體。在本發明實施例的替代性的實施樣態中,是使用一溼蝕刻製程來施行淺溝槽隔離區22的凹下。蝕刻藥劑可包括例如氫氟酸溶液。
在上述的例示性的實施例中,可使用任何適當的方法將上述鰭狀物圖形化。例如,可使用一或多道微影製程,包括雙重圖形化(double-patterning)或多重圖形化(multi-patterning)製程,將上述鰭狀物圖形化。一般而言,雙重圖形化或多重圖形化製程結合微影與自對準製程,得以使形成的圖形的例如節距(pitch)小於使用單一、直接圖形化製程所能得到的節距。例如,在一實施例中,在一基底的上方形成一犧牲層並使用一微影製程將此犧牲層圖形化。使用一自對準製程,以與上述已圖形化的犧牲層並排的方式形成間隔物。然後,移除上述犧牲層,再使用留下來的間隔物或心軸(mandrels)將上述鰭狀物圖形化。
突出的鰭狀物24’的材料亦可以被與基底20的材料不同的材料所取代。例如,突出的鰭狀物24’可以以Si、SiP、SiC、SiPC、SiGe、SiGeB、Ge或一III-V族化合物半導體形成,上述III-V族化合物半導體例如為InP、GaAs、AlAs、InAs、InAlAs、InGaAs或其類似材料。
請參考第3圖,在突出的鰭狀物24’的頂表面上及側壁上,形成複數個虛置閘極堆疊30。對應的步驟敘述於如第15圖所示的製程流程200的步驟204。虛置閘極堆疊30可包括虛置閘極介電質32與虛置閘極介電質32上方的虛置閘極電極34。虛置閘極電極34可使用例如多晶矽來形成,亦可使用其他材料。每個虛置閘極堆疊30亦可包括一個(或複數個)硬罩幕層36,其在虛置閘極電極34的上方。硬罩幕層36可以以氮化矽、氧化矽、碳氮化矽(silicon carbo-nitride)或上述的多層結構形成。虛置閘極堆疊30可相交且跨越於單一或複數個突出的鰭狀物24’及/或淺溝槽隔離區22的上方。虛置閘極堆疊30所具有的長度方向是正交於突出的鰭狀物24’的長度方向。
接下來,在虛置閘極堆疊30的側壁上形成閘極間隔物38。根據本發明實施例的一些實施態樣,是使用例如氮化矽、氧化矽、碳氮化矽、氧氮化矽、氧碳氮化矽(silicon oxy carbo-nitride)或其同類材料來形成閘極間隔物38,且閘極間隔物38可具有一單層結構或包括複數個介電層的一多層結構。
根據本發明實施例的一些實施態樣,施行一蝕刻步驟(其後稱為「源極/汲極凹下」)以對突出的鰭狀物24’之未被虛置閘極堆疊30與閘極間隔物38覆蓋的部分進行蝕刻,其形成的結構如第4圖所示。上述凹下可為非等向性,而因此突出的鰭狀物24’之在虛置閘極堆疊30與閘極間隔物38的正下方的部分受到保護,而未被蝕刻。根據一些實施例,被凹下的半導體條24的頂表面可能會低於淺溝槽隔離區22的頂表面22A。因此,在淺溝槽隔離區22之間形成凹部40。 凹部40是置於虛置閘極堆疊30的二側上。
接下來,藉由選擇性地成長一半導體材料,在凹部40形成磊晶區(源極/汲極區)42,其形成的結構示於第5A圖。對應的步驟敘述於如第15圖所示的製程流程200的步驟206。根據本發明實施例的一些實施態樣,磊晶區42包括矽鍺、矽或矽碳(silicon carbon)。依存於所形成的鰭式場效電晶體是一p型鰭式場效電晶體或一n型鰭式場效電晶體,可以在磊晶的過程中一起作p型或n型不純物的臨場(in-situ)摻雜。例如,當所形成的鰭式場效電晶體是一p型鰭式場效電晶體,可以成長矽鍺硼(SiGeB)、鍺硼(GeB)或其同類材料。相反地,當所形成的鰭式場效電晶體是一n型鰭式場效電晶體,可成長矽磷(silicon phosphorous;SiP)、矽碳磷(silicon carbon phosphorous;SiCP)或其同類材料。根據本發明實施例的替代性的實施態樣,可以以例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述之組合或上述之多層結構等的III-V族化合物半導體來形成磊晶區42。在磊晶區42完全填滿凹部40之後,磊晶區42開始水平擴展,而可能形成刻面(facet)。
在上述磊晶步驟之後,可以進一步對磊晶區42佈植p型或n型的不純物,以形成源極區與汲極區,亦可將其以元件符號「42」標示。根據本發明實施例的替代性的實施態樣,在磊晶過程中已對磊晶區42進行臨場摻雜而形成源極/汲極區時,可以跳過上述佈植步驟。磊晶的源極/汲極區42包括形成於淺溝槽隔離區22的下部與形成在淺溝槽隔離區22的頂表面的上方的上部。
第5B圖繪示根據本發明實施例的替代性的實施態樣之披覆源極/汲極區42的形成。根據這些實施例,如第3圖所示的突出的鰭狀物24’並未被凹下,而在突出的鰭狀物24’上成長磊晶區41。磊晶區41的材料可類似於如第5A圖所示的磊晶區42的磊晶半導體材料,視所形成的鰭式場效電晶體是一p型或一n型的鰭式場效電晶體而定。因此,源極/汲極區42包括突出的鰭狀物24’與磊晶區41。可施行一佈植(或可不施行),以植入p型或n型的不純物。
第6A圖顯示在形成一接觸蝕刻停止層(contact etch stop layer;CESL)46以及一層間介電質(interlayer dielectric;ILD)48之後的結構的透視圖。對應的步驟敘述於如第15圖所示的製程流程200的步驟208。接觸蝕刻停止層46可以以氮化矽、碳氮化矽或其同類材料形成。可使用例如原子層沉積或化學氣相沉積等的一共形(conformal)沉積方法來形成接觸蝕刻停止層46。層間介電質48可包括使用例如可流動化學氣相沉積、旋轉塗布法(spin-on)、化學氣相沉積或其他沉積方法而形成的一介電材料。層間介電質48亦可以以含氧的介電材料形成,其可以是四乙氧基矽烷(Tetra Ethyl Ortho Silicate;TEOS)氧化物、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)氧化物(SiO2)、磷矽玻璃(Phospho-Silicate Glass;PSG)、硼矽玻璃(Boro-Silicate Glass;BSG)、硼磷矽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)或其同類材料。 施行例如化學機械拋光(Chemical Mechanical Polish;CMP)或機械研磨(mechanical grinding),以使層間介電質48的頂表面、虛置閘極堆疊30的頂表面以 及閘極間隔物38的頂表面齊平。
第6A圖所示的結構的剖面圖是繪示於第6B圖。其剖面是由包含第6A圖中的A-A線的垂直平面獲得。如第6B圖所示,繪示出虛置閘極堆疊30中的一個。由於例如在形成虛置閘極堆疊30中的蝕刻效果等的製程上的理由,虛置閘極堆疊30的底部寬於對應的上部。此外,虛置閘極堆疊30可包括具有筆直且垂直的側壁的上部以及具有傾斜側壁的下部。上述傾斜側壁可以是筆直的或可以是具有輕微曲線而實質上為筆直的。虛置閘極堆疊30在底部變寬的情況,稱為基腳效應(footing effect),且將上述變寬的部位稱為基腳區(或基腳部),在此標示為基腳部30’。基腳部30’是被部分的閘極間隔物38重疊。根據本發明實施例的一些實施態樣,虛置閘極堆疊30的底部寬度W1與頂部(其具有垂直邊緣)的寬度W2之差大於約8nm,且可在約8nm與約12nm之間的範圍。閘極間隔物38可遵循虛置閘極堆疊30的側壁的輪廓,而因此具有傾斜的側壁。
接下來,如第7A與7B圖所示,以包括金屬閘極與取代閘極介電質的取代閘極堆疊,來取代包括硬罩幕層36、虛置閘極電極34與虛置閘極介電質32的虛置閘極堆疊30。根據本發明實施例的一些實施態樣,上述取代包括在一或多道蝕刻步驟中,對硬罩幕層36、虛置閘極電極34與虛置閘極介電質32進行蝕刻,其結果在閘極間隔物38的兩相對部分之間形成開口。對應的步驟敘述於如第15圖所示的製程流程200的步驟210。對應的結構可理解為從示於第6A圖的結構將虛置閘極堆疊30移除。
接下來,請參考第7A與7B圖,形成(取代)閘極堆疊60,其包括閘極介電質54與閘極電極56。對應的步驟敘述於如第15圖所示的製程流程200的步驟212。閘極堆疊60的形成包括形成/沉積複數個層,然後施行例如化學機械拋光製程或機械研磨製程等的一平坦化製程。閘極介電質54延伸至藉由上述虛置閘極堆疊的移除而留下來的溝槽中。根據本發明實施例的一些實施態樣,閘極介 電質54包括一界面層(Interfacial Layer;IL)50(第8C圖)作為其下部。界面層50是形成在突出的鰭狀物24’的曝露的表面上。界面層50可包括例如氧化矽層等的氧化物層,其是經由突出的鰭狀物24’的熱氧化、一化學氧化製程或一沉積製程而形成。閘極介電質54可以包括高介電常數介電層52(第8B與8C圖),其形成於界面層50的上方。高介電常數介電層52包括例如HfO2、ZrO2、HfZrOx、HfSiOx、HfSiON、ZrSiOx、HfZrSiOx、Al2O3、HfAlOx、HfAlN、ZrAlOx、La2O3、TiO2、Yb2O3、氮化矽或其同類材料等的一高介電常數介電材料。上述高介電常數介電材料的介電常數(k值)高於3.9,且可高於約7.0。將高介電常數介電層52形成為一共形層,並在突出的鰭狀物24’的側壁上以及閘極間隔物38的側壁上延伸。根據本發明實施例的一些實施態樣,是使用原子層沉積或化學氣相沉積來形成高介電常數介電層52。
請回來參考第7A與7B圖,閘極電極56是形成在閘極介電質54的頂部上,並填充藉由上述虛置閘極堆疊的移除而留下來的溝槽的剩餘部分。閘極電極56中的複數個子層(sub-layer)並未分開顯示於第7A圖;相對於此,在實際上,上述子層由於其成分的不同而相互區別。可以使用例如原子層沉積或化學氣相沉積等的共形沉積方法,來施行至少較下方的子層的沉積,因此閘極電極56(以及每個子層)的垂直部分的厚度與水平部分的厚度是實質上彼此相等。
閘極電極56可包括複數個層,其包括但不限於氮化鈦矽(Titanium Silicon Nitride;TSN)層、氮化鉭(TaN)層、氮化鈦(TiN)層、鈦鋁層(titanium aluminum;TiAl)、一附加的TiN及/或TaN層以及一填充材料。這些層中的一些決定了對應的鰭式場效電晶體的功函數。此外一p型的鰭式場效電晶體的金屬層與一n型的鰭式場效電晶體的金屬層可以彼此不同,因此上述金屬層的功函數適用於對應的p型或n型的鰭式場效電晶體。上述填充材料可包括鋁、銅或鈷。
第7B圖是一例示的閘極堆疊60的剖面圖。上述剖面圖是由包含 第7A圖中的A-A線的垂直平面獲得。由於上述剖面圖是由與淺溝槽隔離區22而不是與突出的鰭狀物24’相交的平面獲得,故界面層50未出現在上述剖面圖中。此外,高介電常數介電層52接觸淺溝槽隔離區22的頂表面。根據一些例示的實施例,閘極電極56包括TSN層56-1、TaN層56-2、TiN層56-3、TiAl層56-4、TiN層56-5以及填充金屬56-6。要瞭解的是,這個層堆疊為一範例,亦可適用具有不同結構的金屬堆疊。閘極堆疊60亦包括基腳部60’,其成因為在虛置閘堆疊30(第6B圖)中的基腳區30’。如圖所示,基腳部60’包括高介電常數介電層52與例如TSN、TaN及/或TiN層等的可能會有的一些金屬層。基腳部60’是位於部分的閘極間隔物38的正下方且被上述部分的閘極間隔物38的所重疊。
接下來,如第8A、8B與8C圖所示,形成複數個硬罩幕62。對應的步驟敘述於如第15圖所示的製程流程200的步驟214。硬罩幕62的材料可以與接觸蝕刻停止層46、層間介電質48及/或閘極間隔物38的一些相同或不同。根據本發明實施例的一些實施態樣,硬罩幕62的形成包括:經由蝕刻而使取代閘極堆疊60凹下,以形成複數個凹部;將一介電材料填充至上述凹部中;以及施行一平坦化步驟,以移除上述介電材料的多餘部分。上述介電材料的剩餘部分為硬罩幕62。根據一些實施例,硬罩幕62是以氮化矽、氧氮化矽、氧碳化矽、氧碳氮化矽或其類似材料形成。
示於第8A圖的結構的一部分的俯視圖顯示在第8D圖,其顯示閘極堆疊60中的一個及其上的硬罩幕62。閘極間隔物38可形成一個環,其完全環繞閘極堆疊60與硬罩幕62。另外,閘極堆疊60與鰭式場效電晶體66A與66B的突出的鰭狀物24’相交並跨越其上方,而在此階段,鰭式場效電晶體66A與66B共用一共通的閘極堆疊60。
示於第8D圖的結構的一剖面圖繪示在第8B圖,其中此剖面圖是從第8D圖中的包含線A-A的平面獲得,且上述平面亦是第8D圖中的包含線A-A 的相同平面。示於第8D圖的結構的一剖面圖繪示在第8C圖,其中此剖面圖是從第8D圖中的包含線B-B的平面獲得。
然後,對硬罩幕62的一部分進行蝕刻,而被蝕刻的部分是如第8D圖中所示的區域69。請參考第9B圖,上述蝕刻製程包括:在硬罩幕62的上方形成一圖形化的光阻68;以及使用光阻68作為一蝕刻罩幕,對硬罩幕62進行蝕刻。第9A與9B圖顯示剖面圖,其分別從第8D圖中的包含線A-A與線B-B的相同平面獲得。對應的步驟敘述於如第15圖所示的製程流程200的步驟216。在對硬罩幕62進行蝕刻之後,可以將光阻68移除。
第10A與10B圖顯示閘極堆疊60的主要蝕刻。對應的步驟敘述於如第15圖所示的製程流程200的步驟218。第10A與10B圖顯示剖面圖,其分別從第8D圖中的包含線A-A與線B-B的相同平面獲得。上述主要蝕刻移除閘極電極之在第8D圖中的區域69中的部分,且用於切開第8D圖中的取代閘極堆疊60而成為二個部分,如第8D與10B圖所示,各作為鰭式場效電晶體66A與66B的一個的一取代閘極電極。對應的步驟因此稱為金屬切斷(或是,金屬閘極切斷)製程。根據一些實施例,開口72延伸至淺溝槽隔離區22,並可以稍微延伸至淺溝槽隔離區22中。
根據本發明實施例的一些實施態樣,上述主要蝕刻包括複數個蝕刻-沉積循環,每個循環包括一蝕刻步驟與聚合物沉積步驟。根據本發明實施例的一些實施態樣,是使用選自且不限於Cl2、BCl3、Ar、CH4、CF4與上述之組合的製程氣體而進行。上述蝕刻可使用在約3mTorr與約10mTorr之間範圍的壓力而施行。在上述主蝕刻,是施加一射頻功率,上述射頻功率可以在約500瓦與約900瓦之間的範圍。亦可施加小於約150瓦的偏壓功率。
上述沉積導致了聚合物層70的形成,聚合物層70包括在開口72的側壁上的部分,以保護其側壁,因此開口72的上部並未在開口72向下延伸時 水平擴張。根據本發明實施例的一些實施態樣,是使用包括SiCl4、O2、Ar及/或其同類物質的製程氣體來施行聚合物層70的沉積。上述沉積可使用在約2mTorr與約15mTorr之間的範圍的壓力、在約400瓦與約900瓦之間的範圍的一射頻功率以及小於約150瓦的一偏壓功率來施行。所形成的聚合物層70包括矽(Si)、氧(O)及碳(C)的元素。另外,在聚合物層70中,包括與其他額外的元素混合的SiO2
每個蝕刻-沉積循環導致開口72進一步向下延伸,直到曝露出淺溝槽隔離區22。藉由每個循環中的蝕刻而曝露出閘極間隔物38,然後藉由其後沉積的聚合物層70而覆蓋閘極間隔物38。上述蝕刻為非等向性,因此開口72的側壁為實質上垂直。聚合物層70包括頂部水平部分及底部水平部分,其中上述頂部水平部分在閘極間隔物38的頂表面上、層間介電質48的頂表面上以及接觸蝕刻停止層46的頂表面上,而上述底部水平部分則在開口72的底部。可以有三或四(或是,更少或更多)個蝕刻-沉積循環。在施行每個循環之後或最後一個循環之後,可以施行一蝕刻後熟化(curing)(或稱固化)的步驟,例如使用O2、N2及H2的一混合氣體。上述熟化氣體的壓力可以在約3mTorr與約10mTorr之間的範圍。在熟化中可以施加一射頻功率,且上述射頻功率可以在約500瓦與約900瓦之間的範圍。上述熟化會移除在上述循環中形成的含氯的聚合物。
由於上述蝕刻為非等向性,藉由與基腳部分60’重疊的閘極間隔物38的遮蔽而使基腳部分60’未被蝕刻。基腳部分60’包括部分的高介電常數介電層52以及金屬閘極電極56的一些留下來的部分。金屬閘極電極56的上述留下來的部分可包括TSN、TaN及/或TiN或更多物質,其取決於基腳部分60’的寬度。
形成於前述製程的聚合物層70具有上部70A與下部70B,其中上部70A在開口72中,下部70B在上部70A之下。下部70B的厚度T2小於上部70A上部70A的厚度T1。例如,厚度T2可以小於厚度T1的約百分之五十,且可以小於厚度T1的約百分之三十。上部70A亦可具有一實質上均勻的厚度,而下部70B則 具有隨著進入開口72的深度的增加而逐漸變小的厚度。
接下來,施行一溼蝕刻製程,其為等向性,以將聚合物層70的至少下部70B薄化,其已相對地薄,因此曝露出基腳部分60’。所造成的結構示於第11圖。對應的步驟敘述於如第15圖所示的製程流程200的步驟220。將聚合物層70的較厚部分薄化,其中上述較厚部分包括上述水平部分與上部70A,但可以不完全移除上述較厚部分。根據本發明實施例的一些實施態樣,使用一化學溶液來施行上述蝕刻,上述化學溶液可以為稀釋的氫氟酸。上述蝕刻可以在約20℃與約30℃之間的範圍的溫度施行,且蝕刻時間可以在約30秒與約60秒之間的範圍。
在上述溼蝕刻的過程中,選擇蝕刻劑而因此局部地蝕刻聚合物層70,而基腳部分60’中的材料則實質上未被蝕刻。上述情況可藉由例如將上述蝕刻溶液(例如氫氟酸)的濃度調整為夠低而達成。在替代性的實施例中,在上述蝕刻溶液中,在稀釋的氫氟酸中的水對比於氟化氫的重量比例是大於約1,500:1,且可以在約1,500:1與約2,500:1之間的範圍。要瞭解的是,蝕刻的效果是與稀釋的氧化氫的濃度有關,且在一高濃度例如約500:1或更高,則可能會導致將所有的聚合物層70與所有的基腳部分60’移除,且使閘極間隔物38受損。因此,要將上述稀釋的氫氟酸的濃度選擇為夠低。
接下來,施行一附加的蝕刻,以移除閘極堆疊的基腳部分60’中的閘極電極56的部分。對應的步驟敘述於如第15圖所示的製程流程200的步驟222。在第12A圖中,是以箭號74來代表上述蝕刻。高介電常數介電層52未被蝕刻,而留下最後的結構。如從第12A~12B圖與第8D圖所能理解,鰭式場效電晶體66A的閘極堆疊的高介電常數介電層52以及鰭式場效電晶體66B的閘極堆疊的高介電常數介電層52,是藉由留在區域69(第8D圖)中的高介電常數介電層52而物理性地連接。由於高介電常數介電層52並非導電性,雖然高介電常數介電層52 的上述留下來的部分物理性地連接鰭式場效電晶體66A的閘極堆疊與鰭式場效電晶體66B的閘極堆疊,但未發生電性連接。
請參考第12A圖,蝕刻製程74可以是一乾蝕刻製程,其施行是使用NF3作為一蝕刻氣體。NF3的壓力可以在約1,000mTorr與約2,000mTorr之間的範圍。對應的晶圓的溫度是在約50℃與約75℃之間的範圍,上述蝕刻的時間可以在約60秒與約180秒之間的範圍。藉由對上述金屬層進行蝕刻且留下高介電常數介電層52,可使用高介電常數介電層52作為一保護層,用以減少特別是在上述基腳區中的橫向蝕刻。還有,為了留下未被蝕刻的高介電常數介電層52,上述蝕刻可為溫和,且由於上述溫和的蝕刻而減少對上述硬罩幕(在第10B圖中的「62」)的損害。
在基腳部分60’中的閘極電極56的部分中的TiN、TaN及TSN的蝕刻,可以使用以下的反應式描述。
TiN+NF+F->TiF(gas)+N2
TaN+NF+F->TaF(gas)+N2
TiSiN+NF+F->TiF(gas)+SiF(gas)+N2
上述蝕刻的產物為氣體,而因此被移除。
亦可施行使用氧(O2)作為一製程氣體的一熟化步驟。上述熟化可持續約10秒與約40秒之間的期間。亦可跳過上述熟化。接下來,使用NF3的另一道蝕刻可接續上述的氧熟化。可使用如前述的蝕刻(亦是使用NF3施行者)的相似的製程條件來施行使用NF3的上述蝕刻。上述氧熟化組合使用NF3的上述蝕刻可以有效地對通常難以被蝕刻的各個TiN、TaN及TSN(特別是TaN)進行蝕刻。
根據本發明實施例的替代性的實施態樣,不施行在第11與12A圖所示的步驟,而施行一溼蝕刻用以將聚合物層70(第10A圖)薄化,並調整蝕刻溶液,因此此蝕刻溶液會同時攻擊曝露的基腳部分60’(包括閘極電極56與高介電常 數介電層52)。在所形成的結構中,將基腳部分60’移除,且所形成的結構示於第12B圖。根據本發明實施例的一些實施態樣,是使用包括氟化氨(NH4F)的一化學溶液來施行上述溼蝕刻。將NH4F的濃度調整至夠高,而因此使其可以蝕刻聚合物層70及基腳部分60’的閘極堆疊部分二者。例如,NH4F的濃度可以高於約百分之十八,且可以在約百分之十八與約百分之二十五之間的範圍。要瞭解的是,NH4F的濃度會影響結果,且其低濃度可能會無法蝕刻例如TaN等的一些金屬層。若上述金屬層未被蝕刻,高介電常數介電層52將亦會被上述金屬層保護。因此,為了有效地移除基腳部分60’的閘極堆疊部分,NH4F的濃度的選擇是依存於基腳部分60’的材料及成分,以確保有效的蝕刻。上述蝕刻可以在約20℃與約30℃之間的範圍的溫度施行,且蝕刻時間可以在約10秒與約30秒之間的範圍。
根據本發明實施例的一些實施態樣,在形成如第12A或12B圖所示的結構之後,例如使用超稀釋的氫氟酸(ultra-diluted HF)作為一蝕刻藥劑,移除剩餘的聚合物層70。所形成的結構分別示於第13A與13B圖。對應的步驟敘述於如第15圖所示的製程流程200的步驟224。根據本發明實施例的替代性的實施態樣,跳過聚合物層70的蝕刻,而聚合物層70將會被留在最後的結構中。因此,將製程流程200中的步驟224敘述在虛線的方塊,以表示其可選擇施行或不施行。
第14A、14B、14C與14D圖顯示介電質(隔離)區76的形成。對應的步驟敘述於如第15圖所示的製程流程200的步驟226。第14D圖顯示所形成的結構的俯視圖,其顯示隔離區76將鰭式場效電晶體66A與66B的上述閘極堆疊分離。第14A與14B圖是從第14D圖中的包含線A-A的平面獲得,第14C圖是從第14D圖中的包含線B-B的平面獲得。
介電質(隔離)區76的形成可包括:將一介電材料沉積至開口72(第13A與13B圖)中;以及施行例如化學機械拋光或機械研磨等的一平坦化製程,以移除上述介電材料的多餘的部分。上述填充方法可包括低壓化學氣相沉積 (low-pressure Chemical Vapor Deposition;LPCVD)、旋轉塗布法、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)或其同類方法。上述填充材料可包括氮化矽、氧化矽、碳化矽、氧氮化矽、氧碳化矽或其同類材料。第14A圖亦顯示聚合物層70,其使用虛線而表示可將其移除或可使其留在最後的結構中。在第14A圖中,留下高介電常數介電層52,且閘極間隔物38的外伸部分則與高介電常數介電層52重疊。
第14B圖顯示根據一些實施例而將上述填充介電材料填至第13B圖中的開口72之後的結構。亦使用虛線顯示聚合物層70,而表示可將其移除或可使其留在最後的結構中。在第14B圖中,未留下高介電常數介電層,而且介電質區76延伸至上述基腳區中。
第14C圖是顯示一剖面圖,其是從第14D圖中的包含線B-B的平面獲得。在整篇說明中,將介電質區76與聚合物層70(若有留下)一起稱為「隔離區78」(第14D圖),其將鰭式場效電晶體66A與66B的上述閘極堆疊彼此分離,而成為取代閘極堆疊60A與60B。在後續的步驟中,會形成更多的層間介電質(未繪示),且在取代閘極堆疊60A與60B的上方形成複數個閘極接觸插塞(未繪示),且使上述閘極接觸插塞與取代閘極堆疊60A與60B接觸。亦可在源極/汲極區的上方形成複數個矽化物區與複數個源極/汲極接觸插塞(未繪示),並使這些矽化物區與源極/汲極接觸插塞與上述源極/汲極區接觸。
本發明實施例的實施態樣具有一些有益的特徵。藉由對取代金屬閘極的基腳部分進行蝕刻,消除了殘留的基腳部分,且避免漏電流的發生。此外,減少橫向蝕刻,且亦減少硬罩幕的損失。還有,擴大了金屬切斷製程的製程窗口。
關於本發明實施例的一些態樣,一種半導體裝置的形成方法,包括:形成一閘極堆疊,上述閘極堆疊包括:一第一部分,在一第一半導體鰭狀 物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;及一第三部分,將上述第一部分連接於上述第二部分;對於上述閘極堆疊的上述第三部分施行一非等向性蝕刻,以在上述第一部分與上述第二部分之間形成一開口,其中在上述非等向性蝕刻之後,留下上述第三部分的一基腳部分;施行一等向性蝕刻,以移除上述基腳部分的一金屬閘極部分;以及以一介電材料填充上述開口。在一實施例中,上述等向性蝕刻包括使用一化學溶液的一溼蝕刻,上述化學溶液包括氟化銨(NH4F)。在一實施例中,上述等向性蝕刻包括使用一製程氣體的一乾蝕刻,上述製程氣體包括NF3。在一實施例中,上述方法更包括施行一附加的等向性蝕刻,以將上述基腳部分的一側壁上的一聚合物層薄化並曝露上述基腳部分。在一實施例中,上述附加的等向性蝕刻是使用氫氟酸稀釋溶液(diluted HF)來施行,且在上述附加的等向性蝕刻的過程中,上述基腳部分實質上未被蝕刻。在一實施例中,在上述等向性蝕刻中,上述閘極堆疊的上述基腳部分中的一高介電常數介電質部分與一金屬閘極電極部分都被移除。在一實施例中,在上述等向性蝕刻中,上述閘極堆疊的上述基腳部分中的一金屬閘極電極部分被移除,留下上述閘極堆疊的上述基腳部分中的一高介電常數介電質部分。在一實施例中,上述介電材料接觸上述高介電常數介電質部分。
關於本發明實施例的一些態樣,一種半導體裝置的形成方法,包括:在一第一閘極間隔物與一第二閘極間隔物之間形成一閘極堆疊,上述閘極堆疊包括:一閘極介電質;及一金屬電極,在上述閘極介電質的上方;對上述閘極堆疊的一第一部分進行蝕刻,以曝露出上述第一閘極間隔物的側壁與上述第二閘極間隔物的側壁;在上述第一閘極間隔物的側壁與上述第二閘極間隔物的側壁上沉積一聚合物層;將上述聚合物層薄化,以曝露出上述閘極堆疊的一基腳部分,其中上述基腳部分是被上述第一閘極間隔物的一部分所重疊;以及對上述基腳部分中的上述金屬電極的一剩餘部分進行蝕刻。在一實施例中,在 對上述基腳部分中的上述金屬電極的上述剩餘部分進行蝕刻之後,留下上述基腳部分中的上述閘極介電質的一部分。在一實施例中,使用氫氟酸稀釋溶液作為一蝕刻劑來施行上述聚合物層的薄化。在一實施例中,在上述聚合物層的薄化中,上述基腳部分實質上未被蝕刻。在一實施例中,對上述基腳部分中的上述金屬電極的上述剩餘部分進行蝕刻,包括使用NF3作為一蝕刻氣體的一第一蝕刻步驟。在一實施例中,上述方法在上述第一蝕刻步驟之後,更包括:使用氧作為一製程氣體來施行一熟化步驟,其中上述閘極堆疊的一剩餘部分曝露於氧;以及使用NF3作為一蝕刻氣體來施行一第二蝕刻步驟。在一實施例中,上述方法更包括將一介電材料填入由上述閘極堆疊的被蝕刻的上述第一部分留下的一開口。在一實施例中,上述閘極堆疊更包括被上述第一部分接合的一第二部分與一第三部分,上述第二部分與上述第三部分各自為一電晶體的一閘極電極。
關於本發明實施例的一些態樣,一種半導體裝置的形成方法,包括:形成一閘極堆疊,其包括一閘極介電質及在上述閘極介電質上的一閘極電極,其中上述閘極介電質與上述閘極電極各自包括:一第一部分,在一第一半導體鰭狀物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;及一第三部分,將上述第一部分連接於上述第二部分;對上述閘極電極的上述第三部分進行蝕刻,以將上述閘極電極的上述第一部分自上述閘極電極的上述第二部分電性分離,其中上述閘極介電質的上述第三部分在上述蝕刻之後包括一剩餘部分,且其中上述閘極介電質的上述第一部分是物理性地連接於上述閘極介電質的上述第二部分;以及以一介電材料填充由上述閘極電極的上述第三部分留下來的一開口。在一實施例中,上述方法更包括在上述閘極堆疊的一側壁上形成一閘極間隔物,其中上述閘極介電質的上述第三部分的上述剩餘部分是被上述閘極間隔物所重疊。在一實施例中,上述閘極電極的上述第三部分的蝕刻包括:一非等向性蝕刻以形成上述開口,其中施行上述非等向性蝕 刻,直到到達上述閘極電極之下的一淺溝槽隔離區的一頂表面;以及一等向性蝕刻以移除上述閘極電極的一剩餘部分。在一實施例中,上述等向性蝕刻是使用NF3作為一蝕刻氣體來施行。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
200‧‧‧製程流程
202、204、206、208、210、212、214、216、218、220、222、224、226‧‧‧步驟

Claims (15)

  1. 一種半導體裝置的形成方法,包括:形成一閘極堆疊,該閘極堆疊包括:一第一部分,在一第一半導體鰭狀物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;一第三部分,將該第一部分連接於該第二部分;對於該閘極堆疊的該第三部分施行一非等向性蝕刻,以在該第一部分與該第二部分之間形成一開口,其中在該非等向性蝕刻之後,留下該第三部分的一基腳部分;施行一等向性蝕刻,以移除該基腳部分的一金屬閘極部分;以及以一介電材料填充該開口。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該等向性蝕刻包括:使用一化學溶液的一溼蝕刻,該化學溶液包括氟化銨(NH4F);或使用一製程氣體的一乾蝕刻,該製程氣體包括NF3
  3. 如申請專利範圍第2項所述之半導體裝置的形成方法,更包括在該等向性蝕刻包括使用該乾蝕刻的情況,施行一附加的等向性蝕刻,以將該基腳部分的一側壁上的一聚合物層薄化並曝露該基腳部分。
  4. 如申請專利範圍第1至3項任一項所述之半導體裝置的形成方法,其中在該等向性蝕刻中,該閘極堆疊的該基腳部分中的一高介電常數介電質部分與一金屬閘極電極部分都被移除;或該閘極堆疊的該基腳部分中的一金屬閘極電極部分被移除,留下該閘極堆疊的該基腳部分中的一高介電常數介電質部分。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中在該 等向性蝕刻中,留下該閘極堆疊的該基腳部分中的該高介電常數介電質部分的情況中,該介電材料接觸該高介電常數介電質部分。
  6. 一種半導體裝置的形成方法,包括:在一第一閘極間隔物與一第二閘極間隔物之間形成一閘極堆疊,該閘極堆疊包括:一閘極介電質;一金屬電極,在該閘極介電質的上方;對該閘極堆疊的一第一部分進行蝕刻,以曝露出該第一閘極間隔物的側壁與該第二閘極間隔物的側壁;在該第一閘極間隔物的側壁與該第二閘極間隔物的側壁上沉積一聚合物層;將該聚合物層薄化,以曝露出該閘極堆疊的一基腳部分,其中該基腳部分是被該第一閘極間隔物的一部分所重疊;以及對該基腳部分中的該金屬電極的一剩餘部分進行蝕刻。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中在對該基腳部分中的該金屬電極的該剩餘部分進行蝕刻之後,留下該基腳部分中的該閘極介電質的一部分。
  8. 如申請專利範圍第6或7項所述之半導體裝置的形成方法,其中使用氫氟酸稀釋溶液作為一蝕刻劑來施行該聚合物層的薄化。
  9. 如申請專利範圍第6或7項所述之半導體裝置的形成方法,其中對該金屬電極的該剩餘部分進行蝕刻,包括使用NF3作為一蝕刻氣體的一第一蝕刻步驟。
  10. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中在該第一蝕刻步驟之後,更包括: 使用氧作為一製程氣體來施行一熟化步驟,其中該閘極堆疊的一剩餘部分曝露於氧;以及使用NF3作為一蝕刻氣體來施行一第二蝕刻步驟。
  11. 如申請專利範圍第6或7項所述之半導體裝置的形成方法,其中該閘極堆疊更包括被該第一部分接合的一第二部分與一第三部分,該第二部分與該第三部分各自為一電晶體的一閘極電極。
  12. 一種半導體裝置的形成方法,包括:形成一閘極堆疊,其包括一閘極介電質及在該閘極介電質上的一閘極電極,其中該閘極介電質與該閘極電極各自包括:一第一部分,在一第一半導體鰭狀物的一部分的上方;一第二部分,在一第二半導體鰭狀物的一部分的上方;一第三部分,將該第一部分連接於該第二部分;對該閘極電極的該第三部分進行蝕刻,形成由該閘極電極的該第三部分留下來的一開口,以將該閘極電極的該第一部分自該閘極電極的該第二部分電性分離,其中該開口延伸至該閘極電極之下的一淺溝槽隔離區的一頂表面,其中該閘極介電質的該第三部分在該蝕刻之後包括一剩餘部分,且其中該閘極介電質的該第一部分是物理性地連接於該閘極介電質的該第二部分;以及以一介電材料填充該開口。
  13. 如申請專利範圍第12項所述之半導體裝置的形成方法,更包括在該閘極堆疊的一側壁上形成一閘極間隔物,其中該閘極介電質的該第三部分的該剩餘部分是被該閘極間隔物所重疊。
  14. 如申請專利範圍第12或13項所述之半導體裝置的形成方法,其中該閘極電極的該第三部分的蝕刻包括:一非等向性蝕刻以形成該開口,其中施行該非等向性蝕刻,直到到達該閘 極電極之下的該淺溝槽隔離區的該頂表面;以及一等向性蝕刻以移除該閘極電極的一剩餘部分。
  15. 如申請專利範圍第12或13項所述之半導體裝置的形成方法,其中該淺溝槽隔離區在該閘極堆疊之下。
TW107134392A 2017-09-29 2018-09-28 半導體裝置的形成方法 TWI696220B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565532P 2017-09-29 2017-09-29
US62/565,532 2017-09-29
US15/966,437 2018-04-30
US15/966,437 US10811320B2 (en) 2017-09-29 2018-04-30 Footing removal in cut-metal process

Publications (2)

Publication Number Publication Date
TW201923899A TW201923899A (zh) 2019-06-16
TWI696220B true TWI696220B (zh) 2020-06-11

Family

ID=65896873

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107134392A TWI696220B (zh) 2017-09-29 2018-09-28 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US10811320B2 (zh)
KR (1) KR102107623B1 (zh)
TW (1) TWI696220B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108573927B (zh) * 2017-03-07 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10811320B2 (en) 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US10847409B2 (en) * 2018-09-27 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20210015543A (ko) 2019-08-02 2021-02-10 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11043576B2 (en) * 2019-08-23 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method
US11145752B2 (en) 2019-09-17 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Residue removal in metal gate cutting process
KR102588490B1 (ko) * 2019-10-29 2023-10-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20220359225A1 (en) * 2021-05-06 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cut metal gate etch dimensional control

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148682A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Finfet with post-rmg gate cut

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3181741B2 (ja) * 1993-01-11 2001-07-03 富士通株式会社 半導体装置の製造方法
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
DE10114778A1 (de) * 2001-03-26 2002-10-17 Infineon Technologies Ag Verfahren zur Herstellung eines MOSFETs mit sehr kleiner Kanallänge
US6433871B1 (en) * 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
US6677635B2 (en) * 2001-06-01 2004-01-13 Infineon Technologies Ag Stacked MIMCap between Cu dual damascene levels
US6627510B1 (en) * 2002-03-29 2003-09-30 Sharp Laboratories Of America, Inc. Method of making self-aligned shallow trench isolation
TW527699B (en) * 2002-04-16 2003-04-11 Macronix Int Co Ltd Method for manufacturing a semiconductor device
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
FR2849221B1 (fr) * 2002-12-23 2005-10-07 Commissariat Energie Atomique Procede de lithographie par pressage d'un substrat mettant en oeuvre une nano-impression
US7186649B2 (en) * 2003-04-08 2007-03-06 Dongbu Electronics Co. Ltd. Submicron semiconductor device and a fabricating method thereof
JP2004319814A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置及びその製造方法
KR100752214B1 (ko) * 2003-10-16 2007-08-28 엘지.필립스 엘시디 주식회사 반투과형 액정표시소자의 제조방법
JP4011024B2 (ja) * 2004-01-30 2007-11-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7148548B2 (en) * 2004-07-20 2006-12-12 Intel Corporation Semiconductor device with a high-k gate dielectric and a metal gate electrode
US20060081908A1 (en) * 2004-10-14 2006-04-20 Smayling Michael C Flash gate stack notch to improve coupling ratio
US7588946B2 (en) * 2005-07-25 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling system for gate formation of semiconductor devices
TWI322485B (en) * 2005-10-12 2010-03-21 Hynix Semiconductor Inc Method for forming contact hole of semiconductor device
US7528017B2 (en) * 2005-12-07 2009-05-05 Kovio, Inc. Method of manufacturing complementary diodes
US7589005B2 (en) * 2006-09-29 2009-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming semiconductor structures and systems for forming semiconductor structures
FR2910686B1 (fr) * 2006-12-20 2009-04-03 Commissariat Energie Atomique Dispositif de memorisation a structure multi-niveaux
US7825007B2 (en) * 2007-05-11 2010-11-02 Semiconductor Energy Laboratory Co., Ltd. Method of joining a plurality of SOI substrates on a glass substrate by a heat treatment
JP5130834B2 (ja) * 2007-09-05 2013-01-30 ソニー株式会社 半導体装置およびその製造方法
US7943480B2 (en) * 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure
US8076735B2 (en) * 2009-10-02 2011-12-13 United Microelectronics Corp. Semiconductor device with trench of various widths
US8501628B2 (en) * 2010-03-23 2013-08-06 Tokyo Electron Limited Differential metal gate etching process
JP5557632B2 (ja) * 2010-07-14 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9184100B2 (en) * 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US8791502B2 (en) * 2011-10-09 2014-07-29 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method of manufacturing the same
US10658361B2 (en) * 2011-12-28 2020-05-19 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
US8673759B2 (en) * 2012-02-17 2014-03-18 Globalfoundries Inc. Dry etch polysilicon removal for replacement gates
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
CN103390556B (zh) * 2012-05-08 2016-09-21 中国科学院微电子研究所 半导体器件制造方法
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US9041125B2 (en) 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9219153B2 (en) * 2013-08-21 2015-12-22 Globalfoundries Inc. Methods of forming gate structures for FinFET devices and the resulting semiconductor products
CN104425522B (zh) * 2013-09-10 2017-10-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US10825738B2 (en) * 2013-11-28 2020-11-03 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor arrangements and methods of manufacturing the same
US9627375B2 (en) * 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9620621B2 (en) * 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
US9064932B1 (en) * 2014-05-02 2015-06-23 Globalfoundries Inc. Methods of forming gate structures by a gate-cut-last process and the resulting structures
CN105097521B (zh) * 2014-05-04 2018-03-30 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9608086B2 (en) * 2014-05-20 2017-03-28 Global Foundries Inc. Metal gate structure and method of formation
US10068982B2 (en) * 2014-05-29 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with metal gate
US10084060B2 (en) * 2014-08-15 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US9373641B2 (en) * 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9543381B2 (en) * 2014-09-11 2017-01-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
CN105428361B (zh) * 2014-09-19 2019-02-01 中国科学院微电子研究所 Cmos器件及其制造方法
US9620417B2 (en) * 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
US9716161B2 (en) * 2014-10-01 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having designed profile and method for forming the same
US9214358B1 (en) * 2014-10-30 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Equal gate height control method for semiconductor device with different pattern densites
KR102217246B1 (ko) * 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9362181B1 (en) * 2014-12-05 2016-06-07 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102290793B1 (ko) * 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
KR102287398B1 (ko) * 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US9331074B1 (en) * 2015-01-30 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9461043B1 (en) * 2015-03-20 2016-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
KR102338363B1 (ko) * 2015-04-15 2021-12-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10269802B2 (en) 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9553090B2 (en) * 2015-05-29 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device structure
US10269651B2 (en) * 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US9698101B2 (en) * 2015-08-28 2017-07-04 International Business Machines Corporation Self-aligned local interconnect technology
US10177240B2 (en) * 2015-09-18 2019-01-08 International Business Machines Corporation FinFET device formed by a replacement metal-gate method including a gate cut-last step
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9520482B1 (en) * 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) * 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9570571B1 (en) * 2015-11-18 2017-02-14 International Business Machines Corporation Gate stack integrated metal resistors
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9893060B2 (en) 2015-12-17 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9985031B2 (en) * 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US9773912B2 (en) * 2016-01-28 2017-09-26 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US9893185B2 (en) * 2016-02-26 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
US9887136B2 (en) * 2016-03-07 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices, and methods of forming the same
TWI612674B (zh) * 2016-03-24 2018-01-21 台灣積體電路製造股份有限公司 鰭式場效電晶體及其製造方法
EP3244447A1 (en) * 2016-05-11 2017-11-15 IMEC vzw Method for forming a gate structure and a semiconductor device
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10734522B2 (en) * 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
US9679985B1 (en) * 2016-06-20 2017-06-13 Globalfoundries Inc. Devices and methods of improving device performance through gate cut last process
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
US20180053829A1 (en) * 2016-08-22 2018-02-22 Globalfoundries Inc. Method of forming a semiconductor device and semiconductor device
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer
US10008601B2 (en) * 2016-10-17 2018-06-26 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
TWI707473B (zh) * 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US9972495B1 (en) * 2016-12-22 2018-05-15 Globalfoundries Inc. Low-K dielectric spacer for a gate cut
US10403507B2 (en) * 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10056469B1 (en) * 2017-02-13 2018-08-21 Globalfoundries Inc. Gate cut integration and related device
CN108573927B (zh) * 2017-03-07 2020-07-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10177041B2 (en) * 2017-03-10 2019-01-08 Globalfoundries Inc. Fin-type field effect transistors (FINFETS) with replacement metal gates and methods
US10083874B1 (en) * 2017-03-23 2018-09-25 Globalfoundries Inc. Gate cut method
US10090169B1 (en) * 2017-03-31 2018-10-02 Globalfoundries Inc. Methods of forming integrated circuit structures including opening filled with insulator in metal gate
US10204905B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10134604B1 (en) * 2017-04-28 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN108807378A (zh) * 2017-05-05 2018-11-13 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
DE102017126027B4 (de) * 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10283503B2 (en) * 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US20190067115A1 (en) * 2017-08-23 2019-02-28 Globalfoundries Inc. Gate cut method for replacement metal gate
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US10483369B2 (en) * 2017-10-30 2019-11-19 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10325912B2 (en) * 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US20190139830A1 (en) * 2017-11-03 2019-05-09 Globalfoundries Inc. Self-aligned gate isolation
US10424588B2 (en) * 2017-11-09 2019-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Cutting metal gates in fin field effect transistors
US10777466B2 (en) * 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10867998B1 (en) * 2017-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10504798B2 (en) * 2018-02-15 2019-12-10 Globalfoundries Inc. Gate cut in replacement metal gate process
US20190341468A1 (en) * 2018-05-04 2019-11-07 Globalfoundries Inc. Method for forming and trimming gate cut structure
US10515955B1 (en) * 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
KR102636464B1 (ko) * 2018-06-12 2024-02-14 삼성전자주식회사 게이트 분리층을 갖는 반도체 소자 및 그 제조 방법
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US20200035674A1 (en) * 2018-07-26 2020-01-30 Qualcomm Incorporated Gate cut last processing with self-aligned spacer
US11171236B2 (en) * 2018-07-31 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-fin isolation regions and method forming same
US10916477B2 (en) * 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US20200227323A1 (en) * 2019-01-13 2020-07-16 Globalfoundries Inc. Isolation structures of finfet semiconductor devices
US11145650B2 (en) * 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170148682A1 (en) * 2015-11-19 2017-05-25 International Business Machines Corporation Finfet with post-rmg gate cut

Also Published As

Publication number Publication date
US10811320B2 (en) 2020-10-20
US11854903B2 (en) 2023-12-26
TW201923899A (zh) 2019-06-16
KR20190038393A (ko) 2019-04-08
US20190103325A1 (en) 2019-04-04
KR102107623B1 (ko) 2020-05-08
US20210057287A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
TWI696220B (zh) 半導體裝置的形成方法
US20210272849A1 (en) Wrap-Around Contact on FinFET
US11342444B2 (en) Dielectric spacer to prevent contacting shorting
US11545400B2 (en) Methods of cutting metal gates and structures formed thereof
US9362386B2 (en) FETs and methods for forming the same
US11830936B2 (en) Gate formation with varying work function layers
TWI755106B (zh) 半導體結構及其形成方法
TWI651761B (zh) 半導體裝置及其製造方法
US20240096707A1 (en) Footing Removal in Cut-Metal Process
KR102334898B1 (ko) 금속 게이트 커팅 공정에서의 잔류물 제거
TW202006830A (zh) 半導體裝置及其製造方法
US20210367059A1 (en) Dummy Fin Profile Control to Enlarge Gate Process Window
US20220199413A1 (en) Method of forming semiconductor device with fin isolation
US11894274B2 (en) Dummy fin with reduced height and method forming same
US20240186186A1 (en) Dummy Fin with Reduced Height and Method Forming Same
TW202147436A (zh) 半導體裝置及其形成方法