DE102017126027B4 - Metallgatestruktur und Verfahren - Google Patents

Metallgatestruktur und Verfahren Download PDF

Info

Publication number
DE102017126027B4
DE102017126027B4 DE102017126027.7A DE102017126027A DE102017126027B4 DE 102017126027 B4 DE102017126027 B4 DE 102017126027B4 DE 102017126027 A DE102017126027 A DE 102017126027A DE 102017126027 B4 DE102017126027 B4 DE 102017126027B4
Authority
DE
Germany
Prior art keywords
metal
etch
metal gate
gate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017126027.7A
Other languages
English (en)
Other versions
DE102017126027A1 (de
Inventor
Ming-Chi Huang
Ying-Liang Chuang
Ming-Hsi Yeh
Kuo-Bin Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/799,555 external-priority patent/US10283503B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017126027A1 publication Critical patent/DE102017126027A1/de
Application granted granted Critical
Publication of DE102017126027B4 publication Critical patent/DE102017126027B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Verfahren (500) zur Herstellung von Halbleitervorrichtungen (100), umfassend:
Ausbilden einer ersten Finne (104) und einer zweiten Finne (104) auf einem Substrat (102), wobei die erste Finne (104) einen ersten Kanalbereich und die zweite Finne (104) einen zweiten Kanalbereich aufweist;
Ausbilden (508) einer Metallgateleitung (804) über dem ersten und dem zweiten Kanalbereich, wobei sich die Metallgateleitung (804) von der ersten Finne (104) zu der zweiten Finne (104) erstreckt und ein Sockelprofil aufweist; und
Durchführen eines Leitungsschnittverfahrens (512) zum Trennen der Metallgateleitung (804) in eine erste Metallgateleitung (804A) und eine zweite Metallgateleitung (804B), wobei zwischen der ersten Metallgateleitung (804A) und der zweiten Metallgateleitung (804B) ein Schnittbereich (1002) liegt, wobei das Leitungsschnittverfahren (512) umfasst:
Durchführen eines ersten Ätzens (512A) bis zu einer oberen Fläche einer STI-Struktur (106) unter der Metallgateleitung (804) oder nach unten über die obere Fläche der STI-Struktur (106) hinaus;
nach dem ersten Ätzen Durchführen eines zweiten Ätzens (512B) ,
wobei das zweite Ätzen (512A) einen Restanteil (1004, 1004') einer metallhaltigen Schicht (808) der Metallgateleitung (804) entfernt, der aufgrund des Sockelprofils entlang einer Seitenwand des Schnittbereichs (1002) verblieben ist; und
nach dem zweiten Ätzen (512B) Durchführen eines dritten Ätzens (512C).

Description

  • HINTERGRUND
  • Die Elektronikbranche hat eine ständig steigende Nachfrage nach kleineren und schnelleren elektronischen Vorrichtungen erlebt, die gleichzeitig eine größere Anzahl von immer komplexeren und anspruchsvolleren Funktionen unterstützen können. Dementsprechend gibt es eine anhaltende Entwicklung in der Halbleiterbranche zur Herstellung von kostengünstigen, hochleistungsfähigen und sparsamen integrierten Schaltungen (ICs). Bislang wurden diese Ziele zum großen Teil dadurch erreicht, dass die Abmessungen der Halbleiter-ICs (z. B. minimale Strukturgröße) verkleinert und dadurch die Produktionseffizienz verbessert und die damit verbundenen Kosten gesenkt wurden. Eine solche Skalierung hat jedoch auch zu einer erhöhten Komplexität des Halbleiterherstellungsverfahrens geführt. Somit erfordert die Erzielung weiterer Fortschritte bei Halbleiter-ICs und -Vorrichtungen ähnliche Fortschritte bei Halbleiterherstellungsverfahren und -technologien.
  • Mehrfachgate-Vorrichtungen wurden eingeführt, um die Gatesteuerung zu verbessern, indem die Gate-Kanal-Kopplung erhöht, der Strom im AUS-Zustand verringert und Kurzkanaleffekte (SCEs) verringert werden. Eine solche Mehrfachgate-Vorrichtung, die eingeführt wurde, ist der Fin-Feldeffekttransistor (FinFET). Der FinFET erhält seinen Namen von der finnenartigen Struktur, die sich aus einem Substrat erstreckt, auf dem sie ausgebildet ist und die zum Ausbilden des FET-Kanals verwendet wird. FinFETs sind kompatibel mit herkömmlichen komplementären Metalloxid-Halbleiter- (CMOS) -Verfahren und ihre dreidimensionale Struktur erlaubt es ihnen, aggressiv skaliert zu werden, während Gatesteuerung und Abschwächung von SCEs beibehalten werden. Zusätzlich wurden Metall-Gateelektroden als Ersatz für Polysilizium-Gateelektroden eingeführt. Metall-Gateelektroden bieten eine Reihe von Vorteilen gegenüber Polysilizium-Gateelektroden, etwa die Vermeidung des Polysilizium-Verarmungseffekts, die Abstimmung der Austrittsarbeit durch Auswahl eines oder mehrerer geeigneter Gatemetalle, neben weiteren Vorteilen. Beispielsweise kann ein Metall-Gateelektroden-Herstellungsverfahren eine Metallschichtabscheidung gefolgt von einem nachfolgenden Metallschicht-Schnittverfahren umfassen. In einigen Fällen kann das Metallgate-Leitungsschnittverfahren zu einem Verlust von Teilen eines Zwischenschicht-Dielektrikums (ILD), unerwünschten Rückständen der einen oder mehreren Metallschichten und/oder anderen Problemen führen, die zu einer verschlechterten Zuverlässigkeit der Vorrichtung führen können.
  • Die US 2016/0351568 A1 beschreibt eine FinFET-Halbleitervorrichtung mit zwei Finnen und einer Gatestruktur. Die Gatestruktur weist zwei Abschnitte auf, die über der ersten bzw. zweiten Finne angeordnet sind und von einer dielektrischen Schicht getrennt sind.
    Aus der US 2017/0148682 A1 ist ein Verfahren zur Herstellung einer FinFET-Halbleitervorrichtung mit mehreren Finnen und einer Gatestruktur bekannt. Das Verfahren umfasst ein mehrstufiges Ätzen eines Bereichs der Gatestruktur mit einem RIE-Schritt und nachfolgendem Nassätzschritt, um eine Öffnung in der Gatestruktur zwischen einem Paar benachbarter Finnen zu erzeugen.
    In der DE 10 2012 111 082 A1 ist eine FinFET-Halbleitervorrichtung mit einer Finne und einer Gatestruktur offenbart, wobei die Gatestruktur zwei angeschrägte Seitenwände längs der Längsrichtung der Finne aufweist und in einem Schnitt rechtwinklig zur Erstreckung des Gates unten eine größere Breite aufweist als oben.
  • Daher haben sich bestehende Techniken nicht in jeder Hinsicht als vollkommen zufriedenstellend erwiesen.
  • Figurenliste
  • Die vorliegende Erfindung betrifft ein Verfahren zur Herstellung von Halbleitervorrichtungen mit den Merkmalen des Anspruchs 1, ein Verfahren mit den Merkmalen des Anspruchs 9 und eine Halbleitervorrichtung mit den Merkmalen des Anspruchs 16.
    Aspekte der vorliegenden Erfindung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • 1 ist eine Perspektivansicht einer Ausführungsform einer FinFET-Vorrichtung gemäß einem oder mehreren Aspekten der vorliegenden Erfindung;
    • 2 ist eine Draufsicht von benachbarten Finnen, einer Metallgatestruktur und einer Metallgate-Schnittstruktur gemäß einigen Ausführungsformen;
    • 3 zeigt eine Querschnittsansicht einer FinFET-Struktur, in der eine Metallgate-Leitung geschnitten wurde, gemäß Ausführungsformen der vorliegenden Erfindung;
    • 4 zeigt eine zugehörige Querschnittsansicht einer FinFET-Struktur, in der eine Metallgate-Leitung geschnitten wurde, gemäß Ausführungsformen der vorliegenden Erfindung;
    • 5 ist ein Flussdiagramm eines Halbleiterherstellungsverfahrens gemäß einem oder mehreren Aspekten der vorliegenden Erfindung;
    • Die 6A, 7A, 8A, 9A, 10A, 11A, 12A und 13A zeigen Querschnittsansichten einer FinFET-Struktur entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt XX' von 1 definiert ist, und gemäß einer Ausführungsform des Verfahrens von 5 hergestellt ist;
    • Die 6B, 7B, 8B, 9B, 10B, 11B, 12B und 13B zeigen Querschnittsansichten der FinFET-Struktur entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt YY' von 1 definiert ist, und gemäß einer Ausführungsform des Verfahrens von 5 hergestellt ist; und
    • Die 8C, 10C, 11C und 12C zeigen Querschnittsansichten einer Gatestruktur, die dem Querschnitt der 8A, 10A, 11A bzw. 12A entspricht und zusätzliche Details hinsichtlich der einen oder mehreren Schichten der Gatestruktur zeigt, gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 14 zeigt Ätzraten einiger Ausführungsformen eines Ätzschritts, der in einem Gate-Schnittverfahren gemäß einigen Ausführungsformen der vorliegenden Erfindung verwendet wird.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es ist auch anzumerken, dass die vorliegende Offenbarung Ausführungsformen in Form von Mehrfachgate-Transistoren oder finnenartigen Mehrfachgate-Transistoren vorstellt, die hierin als FinFET-Vorrichtungen bezeichnet werden. Eine solche Vorrichtung kann eine p-Metalloxid-Halbleiter-FinFET-Vorrichtung oder eine n-Metalloxid-Halbleiter-FinFET-Vorrichtung umfassen. Die FinFET-Vorrichtung kann eine Doppelgate-Vorrichtung, eine Tri-Gate-Vorrichtung, eine Bulk-Vorrichtung, eine Silizium-auf-Isolator- (SOI) -Vorrichtung und/oder eine andere Konfiguration sein. Ein Fachmann kann weitere Ausführungsformen von Halbleitervorrichtungen erkennen, die von Aspekten der vorliegenden Offenbarung profitieren können. Zum Beispiel können einige Ausführungsformen, wie sie hierin beschrieben sind, auch auf Gate-All-Around- (GAA) -Vorrichtungen, Omega-Gate- (Ω-Gate) -Vorrichtungen oder Pi-Gate- (Π-Gate) -Vorrichtungen angewendet werden. In weiteren Ausführungsformen kann eine planare Vorrichtung unter Verwendung einer oder mehrerer der hier beschriebenen Strukturen oder Verfahren hergestellt werden.
  • Die vorliegende Anmeldung bezieht sich allgemein auf eine Metallgatestruktur und verwandte Verfahren. Insbesondere betrifft die vorliegende Erfindung ein Metallgate-Schnittverfahren und eine zugehörige Struktur. Metall-Gateelektroden wurden als Ersatz für Polysilizium-Gateelektroden eingeführt. Metall-Gateelektroden bieten eine Reihe von Vorteilen gegenüber Polysilizium-Gateelektroden, etwa die Vermeidung des Polysilizium-Verarmungseffekts, die Abstimmung der Austrittsarbeit durch Auswahl eines oder mehrerer geeigneter Gatemetalle neben weiteren Vorteilen. Beispielsweise kann ein Metall-Gateelektroden-Herstellungsverfahren ein Abscheiden einer oder mehrerer Metallschichten gefolgt von einem anschließenden Metallschicht-Schnittverfahren umfassen.
  • Im Allgemeinen und gemäß den hierin offenbarten Ausführungsformen sind ein Metallgate-Schnittverfahren und verwandte Strukturen vorgesehen. Zumindest einige Ausführungsformen der vorliegenden Erfindung können verwendet werden, um die Steuerung des Schnittverfahrens zu erhöhen, um beispielsweise das Risiko zu verringern, dass Rückstände der Metallgatestruktur auf der einen oder den mehreren umgebenden Schichten (z. B. dem ILD) nach dem Schnittverfahren erhalten bleiben. Zum Beispiel umfasst in wenigstens einigen bestehenden Verfahren ein Metallgate eine Mehrzahl von unterschiedlich zusammengesetzten Schichten, von denen eine oder mehrere ungewollt nicht vollständig aus dem Schnittbereich entfernt werden können. Dies kann zu unerwünschten Leistungsproblemen führen, wie z. B. dem Verlust der gewünschten Isolationseigenschaften (z. B. eine verringerte Leistung der Elektronen-Basis-Isolation (EBI)). Bestimmte Ausführungsformen der Verfahren und Vorrichtungen, die hierin vorgestellt werden, sorgen dafür, diese Rückstände zu verringern und/oder zu eliminieren, ohne dass sie umgebende Materialien, wie z. B. strukturierte Hartmasken, verloren gehen. Dies kann verbesserte Verfahrensfenster für das Metallgate-Schnittverfahren ermöglichen. Einer oder mehrere der vorgestellten Verfahren können auch in einigen Ausführungsformen das Überätzen von Metallkomponenten während lateralen Ätzens verringern.
  • Um eines oder mehrere der Probleme zu lösen, wie beispielsweise unerwünschte Metallgate-Rückstände nach dem Ätzen, stellen Ausführungsformen der vorliegenden Erfindung eine Metallgatestruktur und ein Verfahren zum Durchführen eines Metallgate-Schnittverfahrens bereit, das mehrere Ätzverfahren für den Metallgateschnitt durchführt.
  • In 1 ist eine FinFET-Vorrichtung 100 gezeigt. Verschiedene hierin offenbarte Ausführungsformen können verwendet werden, um die FinFET-Vorrichtung 100 herzustellen und/oder können in der endgültigen Struktur der FinFET-Vorrichtung 100 vorhanden sein. Die FinFET-Vorrichtung 100 umfasst einen oder mehrere finnenbasierte Mehrfachgate-Feldeffekttransistoren (FETs). Die FinFET-Vorrichtung 100 umfasst ein Substrat 102, mindestens ein Finnenelement 104, das sich aus dem Substrat 102 erstreckt, Isolationsbereiche 106 und eine Gatestruktur 108, die auf und um das Finnenelement 104 herum angeordnet ist. Das Substrat 102 kann ein Halbleitersubstrat wie etwa ein Siliziumsubstrat sein. Das Substrat kann verschiedene Schichten umfassen, beispielsweise auf einem Halbleitersubstrat ausgebildete leitende oder isolierende Schichten. Das Substrat kann verschiedene Dotierungskonfigurationen umfassen, abhängig von den Entwurfsanforderungen, wie sie in der Technik bekannt sind. Das Substrat kann auch andere Halbleiter wie Germanium, Siliziumkarbid (SiC), Silizium-Germanium (SiGe) oder Diamant umfassen. Alternativ kann das Substrat einen Verbindungshalbleiter und/oder einen Legierungshalbleiter umfassen. Ferner kann das Substrat in einigen Ausführungsformen eine Epitaxieschicht (Epi-Schicht) umfassen, das Substrat kann zur Verbesserung der Leistungsfähigkeit verspannt sein, das Substrat kann eine Silizium-auf-Isolator- (SOI) -Struktur aufweisen und/oder das Substrat kann andere geeignete Verbesserungsmerkmale aufweisen.
  • Das Finnenelement 104 wie auch das Substrat 102 können Silizium oder einen anderen elementaren Halbleiter wie Germanium; einen Verbindungshalbleiter, beispielsweise Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, beispielsweise SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen. Die Finnen 104 können unter Verwendung geeigneter Verfahren wie beispielsweise Photolithographie- und Ätzverfahren hergestellt werden. Das Photolithographieverfahren kann das Ausbilden einer Photoresistschicht (Resist), die über dem Substrat (z. B. auf einer Siliziumschicht) liegt, das Belichten des Resists mit einer Struktur, das Durchführen von Nachbelichtungs-Backverfahren und das Entwickeln des Resists umfassen, um ein Maskierungselement auszubilden, das den Resist umfasst. In einigen Ausführungsformen kann das Strukturieren des Resists zum Ausbilden des Maskierungselements unter Verwendung eines Extrem-Ultraviolett-(EUV) -Lithographieverfahrens oder eines Elektronenstrahl- (E-Beam) - Lithographieverfahrens durchgeführt werden. Das Maskierungselement kann dann dazu verwendet werden, Bereiche des Substrats zu schützen, während ein Ätzverfahren Vertiefungen in der Siliziumschicht ausbildet, wodurch eine hervorstehende Finne 104 zurückgelassen wird. Die Vertiefungen können unter Verwendung einer Trockenätzung (z. B. Entfernung durch chemisches Oxid), einer Nassätzung und/oder anderer geeigneter Verfahren geätzt werden. Zahlreiche weitere Ausführungsformen von Verfahren zum Ausbilden der Finnen 104 auf dem Substrat 102 können ebenfalls verwendet werden.
  • Jede der Mehrzahl von Finnen 104 umfasst auch einen Source-Bereich 105 und einen Drain-Bereich 107, wobei die Source/Drain-Bereiche 105, 107 in, auf und/oder um die Finne 104 herum ausgebildet sind. Die Source/Drain-Bereiche 105, 107 können epitaktisch über den Finnen 104 gezüchtet werden. Ein Kanalbereich eines Transistors ist in der Finne 104 unter der Gatestruktur 108 angeordnet. In einigen Beispielen umfasst der Kanalbereich der Finne ein Material mit hoher Beweglichkeit wie Germanium sowie irgendeinen der oben beschriebenen Verbindungshalbleiter oder Legierungshalbleiter und/oder Kombinationen davon. Materialien mit hoher Mobilität umfassen diejenigen Materialien, deren Elektronenmobilität größer als die von Silizium ist.
  • Die Isolationsbereiche 106 können flache Grabenisolations- (STI) -Merkmale sein. Alternativ können ein Feldoxid, ein LOCOS-Merkmal und/oder andere geeignete Isolationsmerkmale auf und/oder in dem Substrat 102 implementiert sein. Die Isolationsbereiche 106 können aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertem Silikatglas (FSG), einem Low-k-Dielektrikum, Kombinationen davon und/oder einem anderen geeigneten Material bestehen, das in der Technik bekannt ist. In einer Ausführungsform sind die Isolationsstrukturen STI-Merkmale und werden durch Ätzen von Gräben in dem Substrat 102 ausgebildet. Die Gräben können dann mit isolierendem Material gefüllt werden, gefolgt von einem chemisch-mechanischen Polier- (CMP) -Verfahren. Weitere Ausführungsformen sind jedoch möglich. In einigen Ausführungsformen können die Isolationsbereiche 106 eine mehrschichtige Struktur umfassen, beispielsweise mit einer oder mehreren Auskleidungsschichten.
  • Die Gatestruktur 108 umfasst einen Gatestapel, der in einigen Ausführungsformen eine über dem Kanalbereich der Finne 104 ausgebildete Grenzflächenschicht, eine über der Grenzflächenschicht ausgebildete Gatedielektrikumsschicht 110 und eine über der Gatedielektrikumsschicht 110 ausgebildete Metallschicht 112 aufweist. Die Grenzflächenschicht kann ein Dielektrikum wie eine Siliziumoxidschicht (SiO2) oder Siliziumoxynitrid (SiON) umfassen. Die Grenzflächenschicht kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) und/oder andere geeignete Verfahren ausgebildet werden. Die Gatedielektrikumsschicht 110 kann eine High-k-Dielektrikumsschicht wie beispielsweise Hafniumoxid (HfO2) umfassen. Alternativ kann die High-k-Dielektrikumsschicht andere High-k-Dielektrika wie TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon oder ein anderes geeignetes Material umfassen. In noch weiteren Ausführungsformen kann die Gatedielektrikumsschicht Siliziumdioxid oder ein anderes geeignetes Dielektrikum umfassen. Die dielektrische Schicht kann durch ALD, physikalische Gasphasenabscheidung (PVD), Oxidation und/oder andere geeignete Verfahren ausgebildet werden. Die Metallschicht 112 steht für eine oder mehrere Metallzusammensetzungen und kann eine leitfähige Schicht wie W, TiN, TaN, WN, Re, Ir, Ru, Mo, Al, Co, Ni, Kombinationen davon und/oder andere geeignete Zusammensetzungen umfassen. In einigen Ausführungsformen kann die Metallschicht 112 ein erstes Metallmaterial für n-FinFETs und ein zweites Metallmaterial für p-FinFETs umfassen. Somit kann die FinFET-Vorrichtung 100 eine Doppel-Austrittsarbeitsmetallgate-Konfiguration umfassen. Beispielsweise kann das erste Metallmaterial (z. B. für n-Vorrichtungen) Metalle umfassen, die eine Austrittsarbeit aufweisen, die im Wesentlichen mit einer Austrittsarbeit des Leitungsbandes des Substrats übereinstimmt oder zumindest im Wesentlichen mit einer Austrittsarbeit des Leitungsbandes des Kanalbereichs der Finne 104 übereinstimmt. In ähnlicher Weise kann beispielsweise das zweite Metallmaterial (z. B. für p-Vorrichtungen) Metalle umfassen, die eine Austrittsarbeit aufweisen, die im Wesentlichen mit einer Austrittsarbeit des Valenzbandes des Substrats übereinstimmt oder zumindest im Wesentlichen mit einer Austrittsarbeit des Valenzbandes des Kanalbereichs der Finne 104 übereinstimmt. Die Metallschicht 112 kann verschiedene Schichten umfassen zusätzlich und einschließlich derer, die die Austrittsarbeit bereitstellen, einschließlich beispielsweise Sperrschichten, Keimschichten, Deckschichten, Füllschichten und/oder anderer geeigneter Zusammensetzungen und Funktionen, beispielsweise die nachstehend beschriebenen. Somit kann die Metallschicht 112 eine Gateelektrode für die FinFET-Vorrichtung 100 bereitstellen, die sowohl eine n- als auch eine p-FinFET-Vorrichtung 100 umfasst. Die Metallschicht 112 kann unter Verwendung von PVD, CVD, Elektronenstrahl- (E-Beam) -Verdampfung und/oder einem anderen geeigneten Verfahren ausgebildet werden. In einigen Ausführungsformen sind Seitenwandabstandshalter an Seitenwänden der Gatestruktur 108 ausgebildet. Die Seitenwandabstandshalter können ein Dielektrikum wie Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxynitrid oder Kombinationen davon umfassen. Eine Hartmaskenschicht 114 (z. B. aus Siliziumnitrid) kann über Bereichen der Metallschicht 112 der Gatestruktur 108 angeordnet sein.
  • Es wird angemerkt, dass eine Zwischenschicht-Dielektrikums- (ILD) -Schicht auf dem Substrat 102, beispielsweise über den Isolationsbereichen 106 und den Source/Drain-Bereichen 105/107 angeordnet sein kann. Die ILD-Schicht ist zur leichteren Darstellung der anderen Schichten nicht gezeigt. Wie unten beschrieben, sorgt eine Metallgate-Schnittstruktur 210 für das Definieren eines Bereichs, in dem ein Abschnitt der Gatestruktur 108 entfernt wird, wodurch unterbrochene Segmente (108A, 108B) der Gatestruktur 108 bereitgestellt werden. Der Bereich der Metallgate-Schnittstruktur 210 kann mit isolierendem Material gefüllt sein, etwa wie in den folgenden Beispielen wie den 3 und 4 beschrieben ist.
  • Bezugnehmend auf 2 ist eine Draufsicht auf benachbarte Finnen 204 und eine Metallgatestruktur 208 gezeigt, die über und im Wesentlichen senkrecht zu den Finnen 204 angeordnet ist. In einigen Ausführungsformen kann der Schnitt XX' von 2 im Wesentlichen parallel zu der Ebene sein, die durch den Schnitt XX' von 1 definiert ist, und der Schnitt YY' von 2 kann im Wesentlichen parallel zu der Ebene sein, die durch den Schnitt YY' von 1 definiert ist. In einigen Fällen können die Finnen 204 im Wesentlichen die gleichen wie die oben beschriebenen Finnen 104 sein und die Metallgatestruktur 208 kann in zumindest einigen Aspekten der oben beschriebenen Gatestruktur 108 ähneln. Beispielhaft zeigt 2 auch eine Metallgate-Schnittstruktur 210, die in einigen Beispielen durch eine strukturierte Hartmaskenschicht (beispielsweise wie unten beschrieben) definiert sein kann. In einigen Ausführungsformen stellt die Metallgate-Schnittstruktur 210 eine Öffnung (z. B. in der strukturierten Hartmaskenschicht) bereit, durch die ein Metallgate-Leitungsschnittverfahren ausgeführt wird und ein Abschnitt 212 einer Metallgatestruktur 208 unter der Öffnung geschnitten werden kann, um die Metallgatestruktur von dem Substrat in der Öffnung zu entfernen, wodurch ein erster und ein zweiter Abschnitt von unterbrochenen Metallgatestruktur-Segmenten bereitgestellt werden (z. B. 208A, 208B von 2, die im Wesentlichen 108A, 108B von 1 ähneln). Ein Metallgate-Leitungsschnittverfahren, wie es hierin beschrieben ist, kann ein Trockenätzverfahren, ein Nassätzverfahren oder eine Kombination davon umfassen, wie unten im Detail beschrieben wird, das verwendet werden, um einen Teil der Metallgatestruktur 208 in einem Bereich zu entfernen, der durch die Metallgate-Schnittstruktur 210 definiert ist. Als Beispiel kann das Metallgate-Leitungsschnittverfahren verwendet werden, um eine Metallgateleitung in getrennte, elektrisch getrennte und unterbrochene Leitungssegmente 208A und 208B zu schneiden. In einigen Ausführungsformen kann eine dielektrische Schicht in einem Leitungsschnittbereich (wo z. B. der Abschnitt der Metallgateschicht entfernt wurde) als Teil des Metallgate-Leitungsschnittverfahrens ausgebildet werden. Wie gezeigt, kann die Metallgate-Schnittstruktur 210 einen Isolationsbereich überlagern, der auf dem Substrat angeordnet ist, wie beispielsweise die Isolationsbereiche 106 von 1. In weiteren Ausführungsformen kann jedoch eine Metallgate-Schnittstruktur 210 über einer Finne wie beispielsweise der Finne 204 liegen, wobei die Finne 204 unter der Metallgate-Schnittstruktur 210 ganz oder teilweise eine Dummy-Finne ist.
  • Unter Bezugnahme auf 3 ist eine Querschnittsansicht eines Abschnitts der FinFET-Struktur 100 entlang einer Ebene gezeigt, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt YY' von 1 definiert ist. Unter Bezugnahme auf 4 ist eine Querschnittsansicht eines Abschnitts der FinFET-Struktur 100 entlang einer Ebene gezeigt, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt XX' von 1 definiert ist. Die FinFET-Struktur 100 umfasst die Metallgatestruktur 108, die geschnitten wurde (108A, 108B). Die Metallgatestruktur 108 kann in Übereinstimmung mit einigen hier beschriebenen Ausführungsformen geschnitten werden, beispielsweise denen in Bezug auf 5. Die FinFET-Struktur 100 kann eines oder mehrere der Merkmale umfassen, die oben unter Bezugnahme auf die 1 und 2 beschrieben wurden, etwa die Finnenelemente 104, die sich aus einem Substrat 102 erstrecken, die Isolationsbereiche 106 und die Gatestruktur 108, die auf und um die Finnenelemente 104 herum angeordnet ist. Die Gatestruktur 108 kann im Wesentlichen der Gatestruktur 108 von 1 und/oder der Gatestruktur 208 von 2 ähneln, die oben beschrieben sind. Die Gatestruktur 108 kann eine Metallgatestruktur sein, beispielsweise ein Gatestapel mit einer Gatedielektrikumsschicht und einer oder mehreren Metallschichten, die über der Gatedielektrikumsschicht ausgebildet sind. In einigen Beispielen kann die Metallschicht eine Mehrzahl von Metallmaterialien umfassen, die beispielsweise ein erstes Metallmaterial (z. B. ein p-Austrittsarbeitsmetall (PWFM)), ein zweites Metallmaterial (z. B. ein n-Austrittsarbeitsmetall (NWFM)) über dem ersten Metallmaterial und ein drittes Metallmaterial (z. B. ein Füllmetall (z. B. Wolfram)) über dem zweiten Metallmaterial und dergleichen umfassen. 3 zeigt ferner einen Metallgate-Schnittbereich 210, wobei die Gatestruktur 108 derart geschnitten wird, dass sie unterbrochen ist, und der als Teil eines Metallgate-Leitungsschnittverfahrens ausgebildet werden kann, wie hierin beschrieben. In verschiedenen Fällen kann in einem nachfolgenden Verarbeitungsschritt eine dielektrische Schicht 322 in dem Bereich des Metallgate-Schnitts 210 und zwischen den Gatesegmenten 108A, 108B ausgebildet werden. Die dielektrische Schicht 322 kann eine andere dielektrische Zusammensetzung aufweisen als das Material der Isolationsbereiche 106 und/oder des Dielektrikums der benachbarten ILD-Schicht, die als ILD-Schicht 320 gezeigt ist. Die ILD-Schicht 320 kann durch chemische Gasphasenabscheidung (CVD) oder andere geeignete Abscheidungsverfahren ausgebildet und in einigen Ausführungsformen nach der Abscheidung planarisiert werden. Die ILD-Schicht 320 kann als nicht einschränkende Beispiele ihrer Zusammensetzung Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid, kohlenstoffhaltige Dielektrika, TEOS und Kombinationen von diesen umfassen und ein Low-k-, High-k- oder Oxiddielektrikum sein und sie kann aus anderen bekannten Materialien für ILD-Schichten ausgebildet sein. Es ist anzumerken, dass die ILD-Schicht 320 als eine einzelne Schicht gezeigt ist, aber die Vorrichtung würde üblicherweise auch andere Dielektrika wie etwa Abstandselemente, Ätzstoppschichten und dergleichen umfassen.
  • 3 zeigt, dass der Metallgate-Schnittbereich 210 sich nach unten über eine obere Oberfläche der STI 106 hinaus erstreckt (z. B. weist der Schnitt eine Überätzung in den STI 106 auf). In weiteren Ausführungsformen erstreckt sich der MetallgateSchnitt jedoch zu der oberen Fläche der STI-Struktur 106 oder kann sich durch die STI-Struktur 106 zu dem Substrat 102 erstrecken. 3 zeigt, dass das Dielektrikum 322, das den Schnittbereich 210 füllt, einen Winkel A in Bezug auf eine Ebene parallel zu einer oberen Fläche der STI 106 aufweist. In einigen Ausführungsformen liegt der Winkel A zwischen ungefähr 80 und 90 Grad.
  • Es ist anzumerken, dass das Profil des Schnittbereichs 210 ein Profil aufweist, das durch eine größere Breite W3 an einem Punkt gekennzeichnet ist, der koplanar mit einer oberen Fläche der STI 106 ist, wie in 4 gezeigt ist. Die größere Breite W3 verjüngt sich zu einer im Wesentlichen konstanten Breite W1 in einem oberen Abschnitt. Der untere Abschnitt unterhalb der größeren Breite kann auch eine im Wesentlichen konstante Breite W2 erhalten. In einer Ausführungsform sind W1 und W2 im Wesentlichen gleich. In einer Ausführungsform sind Seitenwände, die den oberen Bereich mit einer Breite W1 definieren, und die Seitenwände, die den unteren Abschnitt mit einer Breite W2 definieren, kollinear. In einer Ausführungsform hat der Bodenabschnitt eine Höhe H unterhalb einer oberen Fläche der STI 106. Die Höhe H kann zwischen ungefähr 10% und 70% einer Dicke der STI 106 betragen. In einer Ausführungsform geschieht die Verjüngung des Profils von der Breite W3 zur Breite W1 in einem Winkel B zwischen ungefähr 75 Grad und ungefähr 90 Grad in Bezug auf eine Ebene parallel zu einer oberen Fläche der STI 106. Mit anderen Worten sind in einigen Ausführungsformen die Seitenwände der dielektrischen Schicht 322, die den Schnittbereich füllt, senkrecht zu einer oberen Fläche des darunterliegenden Substrats angeordnet. In einigen Ausführungsformen sind die Seitenwände der dielektrischen Schicht 322 in einem Winkel angeordnet, der mindestens 5 Grad von der Senkrechten zur oberen Fläche des Substrats und/oder der Isolationsbereiche 106 abweicht.
  • Mit Bezug auf 5 ist ein Flussdiagramm eines Halbleiterherstellungsverfahrens 500 gemäß zumindest einigen Ausführungsformen gezeigt. Zusätzliche Schritte können auch vor, während und nach dem Verfahren 500 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder vor oder hinter andere Schritten verschoben werden. Es ist auch anzumerken, dass das Verfahren 500 beispielhaft ist und die vorliegende Erfindung nicht über das hinaus beschränken soll, was ausdrücklich in den folgenden Ansprüchen angegeben ist. Das Verfahren 500 wird weiter unten in Verbindung mit den 6A, 6B, 7A, 7B, 8A, 8B, 8C, 9A, 9B, 10A, 10B, 10C, 11A, 11B, 11C, 12A, 12B, 12C, 13A und 13B beschrieben. Die 6A, 7A, 8A, 9A, 10A, 11A, 12A und 13A zeigen Querschnittsansichten einer FinFET-Struktur 600 entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt XX' von 1 definiert ist, und die 6B, 7B, 8B, 9B, 10B, 11B, 12B und 13B zeigen Querschnittsansichten der Struktur 600 entlang einer Ebene, die im Wesentlichen parallel zu einer Ebene ist, die durch den Schnitt YY' von 1 definiert ist.
  • In verschiedenen Ausführungsformen beginnt das Verfahren 500 bei Block 502, wo ein Substrat mit Finnen und Isolationsbereichen bereitgestellt wird. In Bezug auf das Beispiel der 6A und 6B und in einer Ausführungsform von Block 502 ist eine beispielhafte Struktur 600 gezeigt. Die Substruktur 600 kann Teil einer FinFET-Struktur sein. Die Struktur 600 kann eines oder mehrere der Merkmale umfassen, die oben unter Bezugnahme auf 1 beschrieben wurden, etwa die Finnenelemente 104, die sich aus einem Substrat 102 erstrecken, und die Isolationsbereiche 106.
  • Das Verfahren 500 fährt dann mit Block 504 fort, wo Dummy-Gates auf dem Substrat ausgebildet werden. Wie in 6A und 6B gezeigt, wird die Dummy-Gatestruktur 602 auf und um die Finnenelemente 104 herum angeordnet.
  • Die Dummy-Gatestruktur 602 kann einen Gatestapel mit einer dielektrischen Schicht (z. B. mit einer Grenzflächenschicht und/oder einer Gatedielektrikumsschicht) und einer darüberliegenden Gateelektrodenschicht umfassen. In einigen Ausführungsformen besteht die Gateelektrodenschicht aus Polysilizium. Die Gatedielektrikumsschicht der Dummy-Gatestruktur 602 kann eine Opferschicht sein oder in einigen Ausführungsformen in der endgültigen Vorrichtung behalten werden. Die Dummy-Gatestruktur 602 kann anschließend von dem Substrat 102 entfernt werden, beispielsweise in einem geeigneten Gate-Ersetzungsverfahren, das ein Metallgate einbaut.
  • Wie in dem Beispiel von 6A weist die Dummy-Gatestruktur 602 ein „Sockelprofil“ auf, so dass eine untere Breite der Dummy-Gatestruktur 602 größer als ein oberer Abschnitt der Dummy-Gatestruktur 602 ist. Das „Sockelprofil“ umfasst einen unteren Abschnitt mit sich verjüngenden Seitenwänden, die sich von der größeren unteren Breite zu der geringeren oberen Breite erstrecken. Die sich verjüngenden Seitenwände werden auch als Seitenwände bezeichnet, die senkrecht zu einer Oberfläche des Substrats 102 und/oder einer oberen Fläche des Isolationsgebiets 106 sind. Dieses „Sockelprofil“ kann durch Belichtungs-, Entwicklungs- und/oder Ätzverfahren erzeugt werden, die zum Ausbilden der Dummy-Gatestruktur 602 verwendet werden, und kann eine Konfiguration aufweisen, wie sie oben unter Bezugnahme auf 4 beschrieben wurde.
  • Ein Zwischenschicht-Dielektrikum (ILD) 320 ist benachbart zu der Dummy-Gatestruktur 602 angeordnet. Die ILD-Schicht 320 kann im Wesentlichen derjenigen ähneln, die oben in den 3 und 4 beschrieben wurde.
  • Das Verfahren 500 fährt dann mit Block 506 fort, wo die Dummy-Gatestruktur entfernt werden kann, wodurch ein Graben über dem Substrat ausgebildet wird. In einigen Ausführungsformen wird der Graben in der ILD-Schicht 320 ausgebildet, obwohl bestimmte andere Schichten auch verwendet werden können, um die Graben-Seitenwände zu definieren, wie beispielsweise Abstandselemente, die an den Seitenwänden der Dummy-Gatestruktur 602 angeordnet sind. Das Entfernen der Dummy-Gatestruktur kann Nass- und/oder Trockenätzverfahren umfassen, die für die Dummy-Gatestruktur 602 selektiv sind. In einem Beispiel kann eine Ätzlösung mit HNO3, H2O und HF verwendet werden, um das Polysilizium der Dummy-Gatestruktur 602 zu entfernen. In einem weiteren Beispiel kann Chlor- (Cl) -basiertes Plasma verwendet werden, um selektiv eine Polysiliziumschicht zu entfernen. Die 7A und 7B zeigen einen Graben 702, der durch das Entfernen der Dummy-Gatestruktur 602 ausgebildet wurde.
  • Das Verfahren 500 fährt dann mit Block 508 fort, wo eine Metallgatestruktur in dem Graben ausgebildet wird, der durch das Entfernen des Dummy-Gates bereitgestellt wurde. Die Metallgatestruktur kann eine Mehrzahl von Schichten umfassen, beispielsweise eine Grenzflächenschicht, eine Gatedielektrikumsschicht, eine oder mehrere Austrittsarbeitsschichten, Sperrschichten, Haftschichten, Diffusionsschichten, eine Metallfüllschicht und/oder andere geeignete Schichten, die in dem Graben ausgebildet werden.
  • Unter Bezugnahme auf das Beispiel der 8A und 8B ist eine Metallgatestruktur 804 auf dem Substrat 102, unter anderem über und um die Finnen 104 herum ausgebildet. Die Metallgatestruktur 804 umfasst eine Mehrzahl von Schichten, wie sie in dem Beispiel von 8C zeigt sind. Es ist anzumerken, dass die Ausführungsform von 8C nur beispielhaft ist und die Zusammensetzung, die Anzahl der Schichten oder die Konfiguration der Schichten nicht darüber hinaus einschränken soll, was spezifisch in den folgenden Ansprüchen angegeben ist.
  • Die Metallgatestruktur 804 kann eine Austrittsarbeitsschicht umfassen. In einigen Ausführungsformen umfasst eine Austrittsarbeitsmetallschicht ein p-Austrittsarbeitsmetall (PWFM). Lediglich beispielhaft kann die PWFM-Schicht Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC, TiC, TiAlN, TaAlN oder Kombinationen davon umfassen. In verschiedenen Ausführungsformen kann die PWFM-Schicht unter Verwendung von PVD, CVD, Elektronenstrahl- (E-Beam) -Verdampfung und/oder einem anderen geeigneten Verfahren ausgebildet werden. Die Metallgatestruktur 804 kann eine Austrittsarbeitsschicht einer Metallschicht umfassen, die eine n-Austrittsarbeitsschicht (NWFM) umfasst, die beispielsweise Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC, TiC, TiAlN, TaAlN oder Kombinationen davon aufweisen kann. In verschiedenen Ausführungsformen kann die NWFM-Schicht unter Verwendung von PVD, CVD, Elektronenstrahl- (E-Beam) - Verdampfung und/oder einem anderen geeigneten Verfahren ausgebildet werden. In einigen Ausführungsformen sind eine Füllmetallschicht, eine oder mehrere Sperrschichten, Diffusionsschichten und/oder andere geeignete Schichten in der Mehrzahl von Schichten der Metallgatestruktur enthalten. Beispielhafte Metallschichten der Metallgatestruktur 804 können andere Metalle umfassen, wie etwa Ni, Pd, Pt, Be, Ir, Te, Re, Ru, Rh, W, Mo, WN, RuN, MoN, TiN, TaN, WC, TaC TiC, TiAlN, TaAlN oder Kombinationen davon.
  • Die Metallgatestruktur 804 umfasst auch eine Gatedielektrikumsschicht (und in einigen Fällen eine darunterliegende Grenzflächenschicht) unter den Metallschichten der Metallgatestruktur 804. Die Gatedielektrikumsschicht kann ein High-k-Dielektrikum wie Hafniumoxid umfassen.
  • 8C zeigt eine Ausführungsform der Metallgatestruktur 804, die als ein Stapel einer Mehrzahl von Schichten gezeigt ist, die die Metallgatestruktur 804' bilden. Die beispielhafte Metallgatestruktur 804' von 8C umfasst eine High-k-Gatedielektrikumsschicht 806. In einer Ausführungsform besteht die High-k-Dielektrikumsschicht 806 aus Hafniumoxid. In einigen Ausführungsformen liegt unter dem High-k-Gatedielektrikum 806 eine Grenzflächenschicht (z. B. aus Siliziumoxid), die nicht gezeigt ist. Eine erste Metallschicht 808 ist auf der High-k-Gatedielektrikumsschicht 806 angeordnet. In einer Ausführungsform wird die erste Metallschicht 808 durch Abscheiden von Titannitrid (TiN) ausgebildet. In einigen Ausführungsformen kann in der ausgebildeten Struktur der Metallgatestruktur 804' die Zusammensetzung der Metallschicht 808 TiN und Silizium (z. B. aufgrund von Diffusion aus umgebenden Schichten), oder TiSiN umfassen. In einer Ausführungsform wird die erste Metallschicht 808 durch Abscheiden von Tantalnitrid (TaN) oder TaSiN ausgebildet. In einigen Ausführungsformen kann in der ausgebildeten Struktur der Metallgatestruktur 804' die Zusammensetzung der Metallschicht 808 TaN und Silizium umfassen (z. B. aufgrund von Diffusion aus umgebenden Schichten).
  • Eine zweite Metallschicht 810 ist auf der ersten Metallschicht 808 angeordnet. In einer Ausführungsform umfasst die zweite Metallschicht 810 TaN. In einer Ausführungsform umfasst die dritte Metallschicht 812 TiN. In einer Ausführungsform umfasst die vierte Metallschicht 814 TiAl. In einer Ausführungsform umfasst die fünfte Metallschicht 816 (z. B. eine Füllmetallschicht) TiN. Somit umfasst in einigen Ausführungsformen die erste Metallschicht 808 TiSiN, die zweite Metallschicht 810 TaN, die dritte Metallschicht 812 TiN, die vierte Mahlzeitschicht TiAl und/oder die fünfte Metallschicht TiN. Zur Erinnerung sind diese Zusammensetzungen beispielhaft und nur so eingeschränkt, wie in den nachstehenden Ansprüchen spezifisch angegeben ist. Irgendeine oder mehrere dieser Schichten können unter Verwendung von Atomlagenabscheidung (ALD), physikalischer Gasphasenabscheidung (PVD), CVD, beispielsweise plasmaunterstützter CVD, und/oder anderer geeigneter Abscheidungsverfahren ausgebildet werden. Die Gatestruktur 804' ist von einem Dielektrikum umgeben (das als Element 320 bezeichnet ist), etwa das Dielektrikum der benachbarten ILD-Schicht oder anderer dielektrischer Merkmale, wie zum Beispiel Abstandshalterelemente, die an die Gatestruktur angrenzend ausgebildet sind.
  • Es ist anzumerken, dass das Verfahren 500 ein oder mehrere chemischmechanische Polier- (CMP) -Verfahren umfassen kann, die während des Ausbildens der Metallgatestruktur durchgeführt werden.
  • Das Verfahren 500 fährt mit Block 510 fort, wo eine Hartmaskenschicht abgeschieden und strukturiert wird. In einigen Ausführungsformen kann die Hartmaskenschicht eine strukturierte Siliziumnitrid- (SiN) -Schicht umfassen. Alternativ kann die Hartmaskenschicht in einigen Ausführungsformen eine strukturierte dielektrische Schicht wie etwa Siliziumoxynitrid, Siliziumkarbid oder ein anderes geeignetes Material umfassen. Bezugnehmend auf das Beispiel der 8A und 8B wird eine Hartmaskenschicht 802 abgeschieden. In Bezug auf das Beispiel der 9A und 9B wird die Hartmaskenschicht 802 strukturiert. In einigen Ausführungsformen umfasst die strukturierte Hartmaskenschicht 802 eine oder mehrere Öffnungen 902, die einen Bereich definieren, unter dem ein Metallgate-Leitungsschnitt durchgeführt werden soll. Zum Beispiel kann die Öffnung 902 in einigen Fällen einer Metallgate-Schnittstruktur ähnlich der Metallgate-Schnittstruktur 210 von 2 entsprechen. In verschiedenen Ausführungsformen ist ein Abschnitt einer oder mehreren der Gatestrukturen 804 in der Öffnung 902 freigelegt.
  • Das Verfahren 500 fährt mit Block 512 fort, wo ein Metallgate-Leitungsschnittverfahren durchgeführt wird. In Bezug auf das Beispiel der 10A, 10B, 10C, 11A, 11B, 11C und 12A, 12B, 12C wird in einer Ausführungsform des Blocks 512 ein Metallgate-Leitungsschnittverfahren in einer Reihe von Ätzschritten durchgeführt.
  • In einigen Ausführungsformen wird der Block 512 in drei Ätzschritten ausgeführt, die in 5 als Block 512A, 512B und 512C gezeigt sind. In einer Ausführungsform werden die Blöcke 512A, 512B und 512C sequentiell und in dieser Reihenfolge ohne Schritte dazwischen ausgeführt. In einigen Ausführungsformen werden die Blöcke 512A, 512B und 512C sequentiell und in dieser Reihenfolge mit Reinigungs- oder Spül-/Trockenschritten dazwischen ausgeführt. In einigen Ausführungsformen wird jeder der Blöcke 512A, 512B und 512C durch unterschiedliche Werkzeuge ausgeführt, zum Beispiel wird jeder Ätzschritt in einer anderen Kammer durchgeführt.
  • Das Metallgate-Schnittverfahren von Block 512 kann mit einem ersten Ätzverfahren von Block 512A beginnen. In einer Ausführungsform ist das erste Ätzverfahren ein Trockenätzverfahren. Das erste Ätzverfahren kann ein anisotropes Ätzverfahren sein. Zum Beispiel kann das erste Ätzverfahren für das Schneiden der Metallgatestruktur mit im Wesentlichen vertikalen Seitenwänden sorgen, was zu dem Schnittbereich führt.
  • In einigen Ausführungsformen umfasst das erste Ätzverfahren Trockenätzparameter von einem oder mehreren der folgenden.
    Gas Cl2/SiCl4/Ar/CH4/O2/BCl3/CF4
    Druck: 0,4 - 1,33 Pa (3-10 mT)
    Nachhärten: Gas: O2/N2/H2
    Leistung Leistung: 500 ~ 900 W
  • Es verbleibt nach dem ersten Ätzverfahren von Block 512A unerwünschtes restliches Metallgate-Material in dem Schnittbereich. Wie in 10A gezeigt, ist ein Restabschnitt 1004 vorhanden, nachdem das erste Ätzverfahren den Schnittbereich 1002 ausgebildet hat. In einigen Ausführungsformen umfasst der Restabschnitt 1004 Teile einer Gatedielektrikumsschicht und einer oder mehrerer darüberliegender metallhaltiger Schichten der Metallgatestruktur. In einigen Ausführungsformen umfassen die darüberliegenden metallhaltigen Schichten in dem Restabschnitt 1004 mindestens Titan und/oder Tantal. In einigen Ausführungsformen umfassen die darüberliegenden metallhaltigen Schichten in dem Restabschnitt 1004 mindestens Titannitrid und/oder Tantalnitrid. In einigen Ausführungsformen umfassen die darüberliegenden metallhaltigen Schichten in dem Restabschnitt 1004 mindestens eines von Titan oder Tantal, Stickstoff und Silizium (z. B. Silizium, das aus umgebenden Schichten diffundiert ist). 10C zeigt für die beispielhafte Metallgatestruktur 804' nach dem ersten Ätzverfahren von Block 512A, dass der Restabschnitt 1004' die Gatedielektrikumsschicht 806 und die darüberliegende metallhaltige Schicht 808 umfasst. In einigen Ausführungsformen umfasst der Restabschnitt 1004' weiterhin Teile der metallhaltigen Schicht 810. So können beispielsweise in einigen Ausführungsformen nach dem ersten Ätzverfahren Schichtenanteile mit Titan, Tantal, Titan/Tantal-Stickstoff (TiN/TaN) und/oder Titan (oder Tantal), Stickstoff und Silizium (TiSiN/TaSiN) in dem Schnittbereich 1002 verbleiben. In einer Ausführungsform verbleiben eine oder mehrere dieser Zusammensetzungen von einem Teil der Schicht 808. In einer weiteren Ausführungsform verbleibt ein Teil der Schicht 810 ebenfalls in dem Schnittbereich 1002 und kann auch Titan, Tantal, Titan/Tantal-Stickstoff (TiN/TaN) umfassen. In den weiteren Ausführungsformen kann die Schicht 810 das andere von Titan oder Tantal (verglichen mit der Schicht 808) und/oder ein Nitrid des anderen von Titan oder Tantal umfassen. Es ist anzumerken, dass 10B zeigt, dass das erste Ätzverfahren sich in die STI-Struktur 106 erstreckt. In weiteren Ausführungsformen erstreckt sich das erste Ätzverfahren jedoch zu der oberen Fläche der STI-Struktur 106. In einigen Ausführungsformen erstreckt sich das erste Ätzverfahren durch die STI-Struktur 106 zu dem Substrat 102. Es wird angemerkt, wie in 10A gezeigt, dass der Restabschnitt 1004 entlang einer Seitenwand der Öffnung 1002 angeordnet sein kann, die eine Seitenwandlänge (definiert durch die STI-Struktur 106) unterhalb der Ebene der oberen Fläche der STI-Struktur aufweist. In einigen Ausführungsformen wäre diese Seitenwand der Öffnung linear und kollinear mit der oberen Seitenwand der Öffnung.
  • Das Metallgate-Schnittverfahren von Block 512 geht dann zu einem zweiten Ätzverfahren von Block 512B über. In einer Ausführungsform ist das zweite Ätzverfahren ein Trockenätzverfahren. Das zweite Ätzverfahren kann ein isotropes Ätzverfahren sein (z. B. ein isotropes Trockenätzverfahren). In einer Ausführungsform wird das zweite Ätzverfahren so gewählt, dass es TiN, TaN, TaSiN, W und/oder SiN ätzen kann. Das zweite Ätzverfahren kann NF3 als ein Ätzmittel umfassen. Das zweite Ätzverfahren kann für das Ätzen von TiN-, TaN- und/oder TaSiN-Zusammensetzungen sorgen, ohne High-k-Dielektrika zu ätzen. Das zweite Ätzverfahren kann für das Ätzen von TiN-, TaN- und/oder TaSiN-Zusammensetzungen sorgen, ohne TiAl-Zusammensetzungen zu ätzen. Das zweite Ätzverfahren kann für das Ätzen von TiN-, TaN- und/oder TaSiN-Zusammensetzungen sorgen, ohne irgendein umgebendes Dielektrikum wie die Dielektrika der ILD-Schicht 320, der Abstandselemente, der STI 106 usw. zu ätzen. In einer Ausführungsform umfassen die ILD-Schicht 320 und/oder die STI-Schicht 106 Siliziumoxid. Das zweite Ätzverfahren kann selektiv sein, so dass es Siliziumoxid im Wesentlichen nicht ätzt. Mit anderen Worten kann das zweite Ätzverfahren so ausgewählt werden, dass es TiN-, TaN- und/oder TaSiN-Zusammensetzungen ätzt, ohne Siliziumoxid zu ätzen. In einigen Ausführungsformen können aufgrund der isotropen Art des zweiten Ätzverfahrens verbleibende metallhaltige Schichten, wie etwa TaN-, TiN-, TaSiN- und/oder TiSiN-Schichten, lateral in dem Schnittbereich geätzt werden. Die Ätzraten (in 0,1 nm/min) eines beispielhaften zweiten Ätzverfahrens sind in 14 gezeigt.
  • In einigen Ausführungsformen kann das zweite Ätzverfahren bei zwischen 50 und 75 Grad Celsius durchgeführt werden. In einigen Ausführungsformen kann das zweite Ätzverfahren zwischen ungefähr 60 und 180 Sekunden durchgeführt werden. In einer Ausführungsform umfasst das Gas des zweiten Ätzverfahrens NF3. In einigen Ausführungsformen umfasst das Gas ferner O2.
  • Wie in 11A gezeigt, wird der Restabschnitt 1004, der nach dem ersten Ätzverfahren vorhanden ist, durch das zweite Ätzverfahren so (unter anderem lateral) geätzt, dass ein verbleibender Restabschnitt 1102 vorhanden ist. Das heißt, dass nach dem zweiten Ätzverfahren der verbleibende Restabschnitt 1102 in dem Schnittbereich 1002 angeordnet ist, wie in 11A gezeigt. In einigen Ausführungsformen umfasst der verbleibende Restabschnitt 1102 Material der Gatedielektrikumsschicht. 11C zeigt für die beispielhafte Metallgatestruktur 804' nach dem zweiten Ätzverfahren von Block 512B (z. B. nach dem isotropen Trockenätzen), dass der verbleibende Restabschnitt 1102' die Gatedielektrikumsschicht 806 umfasst. Somit verbleiben in einigen Ausführungsformen nach dem zweiten Ätzverfahren Teile der Gatedielektrikumsschicht 806, die ein High-k-Dielektrikum (z. B. HfO2) umfassen.
  • In einigen Ausführungsformen weist das zweite Ätzverfahren eine Ätzrate von TiSiN, die höher als die von TaN ist, und/oder eine Ätzrate von TaN auf, die höher als die von TiN ist. In einigen Ausführungsformen beträgt die Hartmasken- oder BARC-Ätzrate ungefähr 0,45 bis 1,2 Nanometer/Minute (4,5 bis 12 Angström/Minute). Die Ätzrate für die Hartmaske oder die BARC kann somit so gesteuert werden, dass der BARC/HM-Verlust minimiert wird.
  • Es ist anzumerken, dass in einigen Ausführungsformen das zweite Ätzverfahren ein Fluor- (F) -haltiges Ätzmittel verwendet, das während des Ätzens in die ILD-Schicht 320 eindringen kann. In einigen Ausführungsformen kann dies die Dicke der ILD-Schicht 320 zwischen vor dem zweiten Ätzverfahren 512B und nach zweiten Ätzverfahren 512B erhöhen. In einigen Ausführungsformen umfasst die ILD-Schicht 320 Siliziumdioxid (SiO2), das F-Ionen aufweist, nachdem das zweite Ätzverfahren durchgeführt wurde.
  • Das Metallgate-Schnittverfahren von Block 512 fährt dann mit einem dritten Ätzverfahren von Block 512C fort. In einer Ausführungsform kann das dritte Ätzverfahren ein Nassätzverfahren sein. In einigen Ausführungsformen umfasst das dritte Ätzverfahren ein Ätzen mit verdünnter Fluorwasserstoffsäure. Zum Beispiel kann ein verdünntes HF- (DHF) -Ätzmittel zwischen ungefähr 500 (DI): 1 (HF) und 2000 (DI):1 (HF) verwendet werden. In einigen Ausführungsformen weist das dritte Ätzverfahren ein Ätzmittel auf, das so ausgewählt ist, dass es jegliche restlichen Teile der Gatedielektrikumsschicht (z. B. eines High-k-Dielektrikums) von dem Substrat entfernt. In einigen Ausführungsformen ist das dritte Ätzverfahren selektiv für die Zusammensetzung der Gatedielektrikumsschicht (z. B. eines High-k-Dielektrikums wie HfO2). Zum Beispiel muss das Ätzmittel des dritten Ätzverfahrens die Hartmaske oder andere dielektrische Schichten, wie z. B. das ILD 320 oder den Isolationsbereich 106, nicht wesentlich ätzen. Wie in den Beispielen der 12A, 12B und 12C gezeigt, ist der Restabschnitt 1102 nach dem dritten Ätzverfahren von dem Substrat 102 entfernt worden. Aufgrund des ursprünglichen „Sockelprofils“ der Metallgatestruktur 804 weist die resultierende Öffnung 1002 des Metallgate-Schnittverfahrens auch eine Breite in einem Abschnitt auf, die breiter ist als die in anderen Abschnitten (z. B. einem oberen Bereich und einem unteren Bereich in der STI 106) ist.
  • Es ist anzumerken, dass das Profil der Öffnung 1002 ein Profil ist, das durch eine größere Breite W3 an einem Punkt gekennzeichnet ist, der koplanar mit einer oberen Fläche der STI 106 ist. Die größere Breite verjüngt sich zu einer im Wesentlichen konstanten Breite W1 in einem oberen Abschnitt. Der untere Abschnitt unterhalb der größeren Breite kann auch eine im Wesentlichen konstante Breite W2 erhalten. In einer Ausführungsform geschieht die Verjüngung des Profils von der Breite W3 zur Breite W1 in einem Winkel zwischen ungefähr 75 und weniger als 90 Grad. Mit anderen Worten sind die Seitenwände der Öffnung 1002 senkrecht zu einer oberen Fläche des darunterliegenden Substrats 102. Die Seitenwände eines Bereichs der Öffnung 1002 über und unter der Breite W3 umfassen Seitenwände, die kollinear zueinander und/oder im Wesentlichen senkrecht zu einer oberen Fläche des Substrats 102 sind.
  • Somit stellt der Block 512 ein mehrstufiges Ätzverfahren bereit, das Teile der Metallgatestruktur 802 in dem Leitungsschnittbereich 1002 entfernt. In einigen Ausführungsformen erstreckt sich der Leitungsschnittbereich 1002 in die darunterliegenden Isolationsbereiche 106 und trennt wirksam leitende Gatemetallschichten in dann benachbarten Gatestapeln voneinander. In weiteren Ausführungsformen erstreckt sich der Leitungsschnittbereich 1002 durch die Isolationsbereiche 106. Somit kann sich die Öffnung 1002 zu einer Oberfläche des Substrats 102 erstrecken.
  • In der oben beschriebenen Folge von Ätzschritten in Block 512 müssen Ausführungsformen der vorliegenden Erfindung es nicht erfordern, dass das signifikante Überätzen der Metallschichten in dem Schnittbereich benachbarte dielektrische Schichten beschädigt, können jedoch trotzdem für das Entfernen einer oder mehrerer unerwünschter Restschichten der Metallgatestruktur im Leitungsschnittbereich sorgen. Die Entfernung der Rückstände kann zu einer verbesserten EBI-Vorrichtungsleistung führen. Die gesteuerten Ätzverfahren können für ein verringertes laterales Metallätzen sorgen, das zu einem Überätzen der Metallschichten führen würde. Zum Beispiel können in einigen Ausführungsformen die Schritte des Blocks 512 so vorgesehen sein, dass das Ätzen den Verlust der darüberliegenden Hartmaske (z. B. der Hartmaske 802) minimiert und/oder verhindert. Somit kann in einer oder mehreren Ausführungsformen der vorliegenden Erfindung ein Verfahrensfenster für das Metallgate-Schnittverfahren vergrößert werden. In einigen Ausführungsformen kann die Breite W1 eine kritische Abmessung sein, die während des Schnittverfahrens mit selektivem Ätzen wie oben beschrieben angemessen aufrechterhalten wird.
  • Das Verfahren 500 fährt mit Block 514 fort, in dem die Fertigung der Struktur 600 fortgesetzt wird. In einigen Ausführungsformen wird eine dielektrische Schicht in dem Schnittbereich abgeschieden. In weiteren Ausführungsformen wird ein CMP-Verfahren durchgeführt. In Bezug auf das Beispiel der 13A und 13B und in einer Ausführungsform von Block 514 kann eine dielektrische Schicht 1302 abgeschieden werden und ein CMP-Verfahren wird durchgeführt, um eine obere Fläche der dielektrischen Schicht 1302 zu planarisieren. In einigen Ausführungsformen kann die dielektrische Schicht 1302 Siliziumoxid, Siliziumnitrid, -oxynitrid und/oder eine andere geeignete Dielektrikumsschicht umfassen. Somit kann die dielektrische Schicht 1302 in verschiedenen Ausführungsformen weiterhin dazu dienen, die Gatemetallleitungen benachbarter Gatestapel elektrisch zu isolieren. Die dielektrische Schicht 1302 kann eine andere Zusammensetzung als die der ILD-Schicht 320 und/oder der Isolationsbereich 106 aufweisen.
  • Die FinFET-Struktur 600 kann weiterhin einer nachfolgenden Verarbeitung unterzogen werden, um verschiedene Merkmale und Bereiche auszubilden, die in der Technik bekannt sind. Zum Beispiel kann die nachfolgende Verarbeitung verschiedene Kontakte/Durchkontaktierungen/Leitungen und Mehrschicht-Verbindungsmerkmale (z. B. Metallschichten und Zwischenschichtdielektrika) auf dem Substrat ausbilden, die so konfiguriert sind, dass sie verschiedene Merkmale verbinden, um eine funktionale Schaltung auszubilden, die eine oder mehrere FinFET-Vorrichtungen umfassen kann. In Weiterführung des Beispiels kann eine Mehrschichtverbindung vertikale Verbindungen, wie zum Beispiel Durchkontaktierungen oder Kontakte, und horizontale Verbindungen umfassen, wie zum Beispiel Metallleitungen. Die verschiedenen Verbindungsmerkmale können verschiedene leitende Materialien verwenden, beispielsweise Kupfer, Wolfram und/oder Silizid. In einem Beispiel wird ein Damascene- und/oder Dual-Damascene-Verfahren verwendet, um eine kupferbezogene Mehrschicht-Verbindungsstruktur auszubilden.

Claims (18)

  1. Verfahren (500) zur Herstellung von Halbleitervorrichtungen (100), umfassend: Ausbilden einer ersten Finne (104) und einer zweiten Finne (104) auf einem Substrat (102), wobei die erste Finne (104) einen ersten Kanalbereich und die zweite Finne (104) einen zweiten Kanalbereich aufweist; Ausbilden (508) einer Metallgateleitung (804) über dem ersten und dem zweiten Kanalbereich, wobei sich die Metallgateleitung (804) von der ersten Finne (104) zu der zweiten Finne (104) erstreckt und ein Sockelprofil aufweist; und Durchführen eines Leitungsschnittverfahrens (512) zum Trennen der Metallgateleitung (804) in eine erste Metallgateleitung (804A) und eine zweite Metallgateleitung (804B), wobei zwischen der ersten Metallgateleitung (804A) und der zweiten Metallgateleitung (804B) ein Schnittbereich (1002) liegt, wobei das Leitungsschnittverfahren (512) umfasst: Durchführen eines ersten Ätzens (512A) bis zu einer oberen Fläche einer STI-Struktur (106) unter der Metallgateleitung (804) oder nach unten über die obere Fläche der STI-Struktur (106) hinaus; nach dem ersten Ätzen Durchführen eines zweiten Ätzens (512B) , wobei das zweite Ätzen (512A) einen Restanteil (1004, 1004') einer metallhaltigen Schicht (808) der Metallgateleitung (804) entfernt, der aufgrund des Sockelprofils entlang einer Seitenwand des Schnittbereichs (1002) verblieben ist; und nach dem zweiten Ätzen (512B) Durchführen eines dritten Ätzens (512C).
  2. Verfahren (500) nach Anspruch 1, wobei das Durchführen des Leitungsschnittverfahrens (512) umfasst: Ausbilden (510) einer strukturierten Hartmaske (802) über der Metallgateleitung (804), wobei die strukturierte Hartmaske (802) eine Öffnung (902) definiert; und Ätzen der Metallgateleitung (804) durch die Öffnung (902).
  3. Verfahren (500) nach Anspruch 1 oder 2, wobei das erste Ätzen (512A) ein Trockenätzen ist, das zweite Ätzen (512B) ein Trockenätzen ist und das dritte Ätzen (512C) ein Nassätzen ist.
  4. Verfahren (500) nach einem der vorhergehenden Ansprüche, wobei das erste Ätzen (512A) ein anisotropes Ätzen ist und das zweite Ätzen (512B) ein isotropes Ätzen ist.
  5. Verfahren (500) nach einem der vorhergehenden Ansprüche, wobei die metallhaltige Schicht (808) Titannitrid umfasst.
  6. Verfahren (500) nach einem der vorhergehenden Ansprüche, wobei die metallhaltige Schicht (808) ferner Silizium umfasst.
  7. Verfahren (500) nach einem der vorhergehenden Ansprüche, wobei das dritte Ätzen (512C) einen Restanteil (1102') einer Gatedielektrikumsschicht (806) entfernt.
  8. Verfahren (500) nach Anspruch 7, wobei der Restanteil (1102') der Gatedielektrikumsschicht (806) aus Hafniumoxid besteht.
  9. Verfahren (500), umfassend: Ausbilden (508) einer Metallgatestruktur (804) in einem Graben (702), der ein Sockelprofil aufweist, über einem Substrat (102), wobei das Ausbilden (508) der Metallgatestruktur (804) umfasst: Ausbilden einer Gatedielektrikumsschicht (806); Ausbilden einer ersten Metallschicht (808) über der Gatedielektrikumsschicht (806); und Ausbilden einer zweiten Metallschicht (810) über der ersten Metallschicht (808); und Anwenden eines Gate-Schnittverfahrens (512) auf die Metallgatestruktur (804), um einen ersten Abschnitt (804A) der Metallgatestruktur (804) und einen zweiten Abschnitt (804B) der Metallgatestruktur (804) auszubilden, wobei zwischen dem ersten (804A) und dem zweiten Abschnitt (804B) ein Schnittbereich (1002) liegt, wobei das Anwenden des Gate-Schnittverfahrens (512) umfasst: Durchführen eines ersten Ätzverfahrens (512A), um einen ersten Bereich der zweiten Metallschicht (810), einen ersten Bereich der ersten Metallschicht (808) und einen ersten Bereich der Gatedielektrikumsschicht (806) zu entfernen, so dass der Schnittbereich (1002) sich bis zu einer oberen Fläche einer STI-Struktur (106) unter der Metallgatestruktur (804) oder nach unten über die obere Fläche der STI-Struktur (106) hinaus erstreckt; danach Durchführen eines zweiten Ätzverfahrens (512B), um einen zweiten Bereich (1004') der ersten Metallschicht (808) zu entfernen, wobei der zweite Bereich (1004') entlang einer Seitenwand des Schnittbereichs (1002) aufgrund des Sockelprofils verblieben ist; und Durchführen eines dritten Ätzverfahrens (512C), um einen zweiten Bereich (1102') der Gatedielektrikumsschicht (806) zu entfernen.
  10. Verfahren (500) nach Anspruch 9, ferner umfassend: Ausbilden (514) eines Dielektrikums (1302) in dem Schnittbereich (1002) nach dem Durchführen des dritten Ätzverfahrens (512C).
  11. Verfahren (500) nach Anspruch 9 oder 10, wobei die zweite Metallschicht (810) durch das erste Ätzverfahren (512A) vollständig aus dem Schnittbereich (1002) entfernt wird.
  12. Verfahren (500) nach einem der vorhergehenden Ansprüche 9 bis 11, wobei die erste Metallschicht (808) durch eine Kombination des ersten Ätzverfahrens (512A) und des zweiten Ätzverfahrens (512B) vollständig aus dem Schnittbereich (1002) entfernt wird.
  13. Verfahren (500) nach einem der vorhergehenden Ansprüche 9 bis 12, wobei die Gatedielektrikumsschicht (806) durch eine Kombination des ersten Ätzverfahrens (512A), des zweiten Ätzverfahrens (512B) und des dritten Ätzverfahrens (512C) vollständig aus dem Schnittbereich (1002) entfernt wird.
  14. Verfahren (500) nach einem der vorhergehenden Ansprüche 9 bis 13, wobei das zweite Ätzverfahren (512B) für die erste Metallschicht (808) selektiv ist.
  15. Verfahren (500) nach einem der vorhergehenden Ansprüche 9 bis 14, wobei das dritte Ätzverfahren (512C) für die Gatedielektrikumsschicht (806) selektiv ist.
  16. Halbleitervorrichtung (100), umfassend: eine erste Finne (104) und eine zweite Finne (104), die sich aus einem Substrat (102) erstrecken, wobei die erste Finne (104) einen ersten Kanalbereich aufweist und die zweite Finne (104) einen zweiten Kanalbereich aufweist, und eine STI-Struktur (106), die zwischen der ersten (104) und der zweiten Finne (104) liegt; einen ersten Abschnitt (108A) einer Metallgatestruktur (108), der über dem ersten Kanalbereich angeordnet ist, und einen zweiten Abschnitt (108B) der Metallgatestruktur (108), der über dem zweiten Kanalbereich angeordnet ist, wobei der erste (108A) und der zweite Abschnitt (108B) durch einen Gate-Schnittbereich (210) getrennt sind und der Gate-Schnittbereich (210) sich nach unten über eine obere Fläche der STI-Struktur (106) hinaus erstreckt; und eine dielektrische Schicht (322), die in dem Gate-Schnittbereich (210) angeordnet ist; wobei der erste Abschnitt (108A) der Metallgatestruktur (108) eine erste Seite aufweist, die an den Gate-Schnittbereich (210) angrenzt, wobei die erste Seite eine erste Breite (W3) benachbart zu der STI-Struktur (106) und eine zweite Breite (W1) über der ersten Breite (W3) aufweist, wobei die zweite Breite (W1) kleiner als die erste Breite (W3) ist und wobei die dielektrische Schicht (322) koplanar zu der oberen Fläche der STI-Struktur (106) die erste Breite (W3) aufweist, über der ersten Breite (W3) die zweite Breite (W1) aufweist und in einem unteren Abschnitt unterhalb der oberen Fläche der STI-Struktur (106) im Wesentlichen die zweite Breite (W1) aufweist.
  17. Halbleitervorrichtung (100) nach Anspruch 16, wobei der erste (108A) und der zweite Abschnitt (108B) kollinear sind.
  18. Halbleitervorrichtung (100) nach einem der vorhergehenden Ansprüche 16 bis 17, wobei die erste Seite des ersten Abschnitts (108A) der Metallgatestruktur (108) ein sich verjüngendes Profil von der ersten Breite (W3) zu der zweiten Breite (W1) aufweist.
DE102017126027.7A 2017-07-31 2017-11-08 Metallgatestruktur und Verfahren Active DE102017126027B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762539357P 2017-07-31 2017-07-31
US62/539,357 2017-07-31
US15/799,555 US10283503B2 (en) 2017-07-31 2017-10-31 Metal gate structure and methods thereof
US15/799,555 2017-10-31

Publications (2)

Publication Number Publication Date
DE102017126027A1 DE102017126027A1 (de) 2019-01-31
DE102017126027B4 true DE102017126027B4 (de) 2022-02-24

Family

ID=65003865

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017126027.7A Active DE102017126027B4 (de) 2017-07-31 2017-11-08 Metallgatestruktur und Verfahren

Country Status (2)

Country Link
US (1) US11682669B2 (de)
DE (1) DE102017126027B4 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012111082A1 (de) 2012-03-28 2013-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Stapel eines Fin-Feldeffekttransistors
US20160351568A1 (en) 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20170148682A1 (en) 2015-11-19 2017-05-25 International Business Machines Corporation Finfet with post-rmg gate cut

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080194068A1 (en) 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9627375B2 (en) 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9373641B2 (en) 2014-08-19 2016-06-21 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
US9508719B2 (en) 2014-11-26 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device with controlled end-to-end critical dimension and method for forming the same
US9929242B2 (en) * 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102312346B1 (ko) 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR101785803B1 (ko) 2015-05-29 2017-10-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 구조체의 형성 방법
CN104992950A (zh) 2015-06-05 2015-10-21 京东方科技集团股份有限公司 一种阵列基板及其制备方法、显示装置
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9985031B2 (en) 2016-01-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and manufacturing method thereof
US9627379B1 (en) 2016-03-07 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US9887136B2 (en) 2016-03-07 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, FinFET devices, and methods of forming the same
DE102016111237B3 (de) 2016-06-20 2017-11-23 Namlab Ggmbh Rekonfigurierbarer Nanodraht-Feldeffekt-Transistor und dessen Herstellung sowie ein Nanodraht-Array und dessen Rekonfigurierung
TWI707473B (zh) 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10283503B2 (en) * 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012111082A1 (de) 2012-03-28 2013-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-Stapel eines Fin-Feldeffekttransistors
US20160351568A1 (en) 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US20170148682A1 (en) 2015-11-19 2017-05-25 International Business Machines Corporation Finfet with post-rmg gate cut

Also Published As

Publication number Publication date
US11682669B2 (en) 2023-06-20
DE102017126027A1 (de) 2019-01-31
US20210398975A1 (en) 2021-12-23

Similar Documents

Publication Publication Date Title
DE102018115909B4 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102017119141B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und eine Halbleitervorrichtung
DE102017114981B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102016115986B4 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102015100165B4 (de) Verfahren für finfet-isolierung
DE102019116395B4 (de) Herstellungsverfahren zum steuern von profilen von ersatz-gates und zugehörige halbleitervorrichtung
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102019116582A1 (de) Herstellung einer aussparungsgate-struktur
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102016114869A1 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102018124814A1 (de) Metall-Gate-Struktur und Verfahren zu ihrer Herstellung
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102017127542A1 (de) Struktur und verfahren für einen gate-isolierstecker
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE112006001520B4 (de) Prozess für die Herstellung erhabener Source- und Drain-Gebiete mit zu entfernenden Abstandshaltern, wobei "Mausohren" vermieden werden
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102018122665A1 (de) Sockelentfernung in metallschnittverfahren
DE102019009394B4 (de) Isolation von source-drain-regionen zweier muti-gate-transistoren in dichter anordnung
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102017126027B4 (de) Metallgatestruktur und Verfahren
DE102018100940B4 (de) Integrierte Schaltung mit Finne und Gatestruktur und Herstellungsverfahren
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final