TWI822061B - 半導體結構、半導體裝置及其製造方法 - Google Patents

半導體結構、半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI822061B
TWI822061B TW111119854A TW111119854A TWI822061B TW I822061 B TWI822061 B TW I822061B TW 111119854 A TW111119854 A TW 111119854A TW 111119854 A TW111119854 A TW 111119854A TW I822061 B TWI822061 B TW I822061B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
epitaxial
source
epitaxial layer
Prior art date
Application number
TW111119854A
Other languages
English (en)
Other versions
TW202303971A (zh
Inventor
沙哈吉 B 摩爾
李承翰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303971A publication Critical patent/TW202303971A/zh
Application granted granted Critical
Publication of TWI822061B publication Critical patent/TWI822061B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

本文揭露增強多重閘極裝置(如鰭式場效電晶體(FET)或閘極全環(GAA)電晶體)性能的磊晶源極/汲極結構及磊晶源極/汲極結構製造方法。範例性源極/汲極結構自最頂部通道層延伸至半導體基板中的深度。源極/汲極結構含具槽狀頂部表面的未摻雜磊晶層、未摻雜磊晶層上的第一經摻雜磊晶層、第一經摻雜磊晶層上的第二經摻雜磊晶層及第二經摻雜磊晶層上的第三經摻雜磊晶層。未摻雜磊晶層厚度小於磊晶源極/汲極結構進入半導體基板的深度。此厚度與深度基於磊晶源極/汲極結構所屬主動區的尺寸調整,使磊晶源極/汲極結構最佳化性能同時減輕短通道效應。

Description

半導體結構、半導體裝置及其製造方法
本揭露係有關於一種多重閘極裝置,特別係有關於用於增強多重閘極裝置之性能的磊晶源極/汲極結構及其製造方法。
當前,具有延伸且部分地或是完全地環繞通道以在至少兩個側面上提供對通道之存取的閘極的多重閘極(multigate)裝置已被導入,以改善閘極控制。多重閘極裝置可以大幅地微縮IC技術、維持閘極控制以及減輕短通道效應(short-channel effect, SCE),同時能夠與傳統的IC製造製程無縫整合。然而,隨著多重閘極裝置持續地微縮,需要先進的技術來最佳化多重閘極裝置的可靠度(reliability)。因此,儘管現行的多重閘極裝置及製造方法通常已足以滿足它們的預期目的,但它們並非在所有方面都是完全令人滿意的。
本揭露實施例提供一種半導體結構。上述半導體結構包括第一通道層、第一通道層上方的第一閘極、相鄰於第一通道層的第一磊晶源極/汲極結構、第二通道層、第二通道層上方的第二閘極、以及相鄰於第二通道層的第二磊晶源極/汲極結構。第一通道層、第一閘極、第一磊晶源極/汲極結構、第二通道層、第二閘極以及第二磊晶源極/汲極結構位於半導體基板上方。第一磊晶源極/汲極結構包括第一未摻雜半導體層以及位於第一未摻雜半導體層上方的第一經摻雜半導體層,而第二磊晶源極/汲極結構包括第二未摻雜半導體層以及位於第二未摻雜半導體層上方的第二經摻雜半導體層。第一未摻雜半導體層介於第一經摻雜半導體層與半導體基板之間。第二未摻雜半導體層介於第二經摻雜半導體層與半導體基板之間。第一未摻雜半導體層以第一深度延伸至半導體基板內,第二未摻雜半導體層以第二深度延伸至半導體基板內,且第二深度不同於第一深度。第一通道層具有第一通道長度,第二通道層具有第二通道長度,且第二通道長度不同於第一通道長度。
本揭露實施例提供一種半導體裝置。上述半導體裝置包括第一電晶體以及第二電晶體。第一電晶體具有第一通道層、圍繞第一通道層的第一閘極、以及被設置為相鄰於第一通道層的第一磊晶源極/汲極結構。第二電晶體具有第二通道層、圍繞第二通道層的第二閘極、以及被設置為相鄰於第二通道層的第二磊晶源極/汲極結構。第一通道層、第一閘極、第一磊晶源極/汲極結構、第二通道層、第二閘極以及第二磊晶源極/汲極結構被設置於半導體基板上方。第一磊晶源極/汲極結構包括具有第一槽狀頂部表面的第一未摻雜磊晶層,以及具有第一內部部分與第一外部部分的第一經摻雜磊晶層,其中第一內部部分具有第一摻雜物濃度且第一外部部分具有第二摻雜物濃度。第二摻雜物濃度小於第一摻雜物濃度,且第一經摻雜磊晶層的第一外部部分被設置於第一未摻雜磊晶層與第一經摻雜磊晶層的第一內部部分之間。第二磊晶源極/汲極結構包括具有第二槽狀頂部表面的第二未摻雜磊晶層,以及具有第二內部部分與第二外部部分的第二經摻雜磊晶層,其中第二內部部分具有第一摻雜物濃度且第二外部部分具有第二摻雜物濃度。第二槽狀頂部表面被配置為不同於第一槽狀頂部表面。第二經摻雜磊晶層的第二外部部分被設置於第二未摻雜磊晶層與第二經摻雜磊晶層的第二內部部分之間。
本揭露實施例提供一種半導體裝置的製造方法,包括形成第一源極/汲極凹槽以及第二源極/汲極凹槽,第一源極/汲極凹槽延伸穿過複數第一半導體層並以第一深度進入半導體基板,而第二源極/汲極凹槽延伸穿過複數第二半導體層並以第二深度進入半導體基板。第一深度不同於第二深度,第一源極/汲極凹槽位於第一尺寸的第一主動區中,而第二源極/汲極凹槽位於第二尺寸的第二主動區中,其中第二尺寸不同於第一尺寸。上述半導體裝置的製造方法更包括在第一源極/汲極凹槽中形成第一未摻雜磊晶層,並在第二源極/汲極凹槽中形成第二未摻雜磊晶層。第一未摻雜磊晶層的第一厚度小於第一深度,且第二未摻雜磊晶層的第二厚度小於第二深度。上述半導體裝置的製造方法更包括在第一源極/汲極凹槽中以及第一未摻雜磊晶層上方形成第一經摻雜磊晶層,並在第二源極/汲極凹槽中以及第二未摻雜磊晶層上方形成第二經摻雜磊晶層。
本揭露係有關於用於增強多重閘極裝置之性能的磊晶源極/汲極結構及其製造方法,其中多重閘極裝置例如鰭式(fin -like)場效電晶體(field-effect transistors, FET)或是閘極全環(gate-all-around, GAA)FET。
以下之揭露提供許多不同實施例或範例,用以實施本揭露之不同特徵。本揭露之各部件及排列方式,其特定範例敘述於下以簡化說明。理所當然的,這些範例並非用以限制本揭露。舉例來說,若敘述中有著第一特徵成形於第二特徵之上或上方,其可能包含第一特徵與第二特徵以直接接觸成形之實施例,亦可能包含有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵間並非直接接觸之實施例。此外,例如「較低」、「較高」、「水平」、「垂直」、「上方」、「上」、「下」、「下方」、「向上」、「向下」、「頂部」、「底部」等、及其衍生詞(例如:「水平地」、「向下地」、「向上地」等)之空間相對術語被使用,以使本揭露之一個特徵與另一個特徵之間的關係易於理解。空間相對術語旨於涵蓋包含特徵之裝置的不同方向。進一步地,當一數字或是一數字範圍被以「約」、「大約」等用詞進行描述,此用詞旨在涵蓋合理範圍內的數字,此合理範圍考量了製造過程中固有地出現的變化,如同本揭露技術領域具通常知識者所理解的。舉例來說,數字或數字範圍,基於具有與該數字有關之特性的特徵的相關已知製造公差,涵蓋了包含所述數字在內的合理範圍,例如在所述數字的+/-10%內。舉例來說,一材料層具有「約5奈米(nm)」的厚度,可涵蓋自4.5nm至5.5nm的尺寸範圍,其中本揭露技術領域具通常知識者已知之與沉積該材料層有關的製造公差為+/-10%。再進一步來說,本揭露可在多種範例中重複參考數字及/或字母。此重複之目的係為簡化及清晰易懂,且本身並不規定所討論之多種實施例及/或配置間之關係。
第1圖係根據本揭露多種態樣所示,用於製造具有增強之磊晶源極/汲極結構的多重閘極裝置之方法的流程圖。第2A圖至第2H圖係根據本揭露多種態樣所示,在與第1圖之方法相關的多種製造階段,具有增強之磊晶源極/汲極結構的多重閘極裝置100之部分或整體的局部截面圖。第3A圖至第3I圖係根據本揭露多種態樣所示,在與第1圖之方法相關的多種製造階段,多重閘極裝置100的一部分之部分或整體的局部透視圖。第3A圖與第3B圖對應第2A圖的製造階段(其中第2A圖是沿著第3B圖之線段A-A’截取的),第3C圖對應第2B圖的製造階段(其中第2B圖是沿著第3C圖之線段A-A’截取的),第3D圖對應第2C圖的製造階段(其中第2C圖是沿著第3D圖之線段A-A’截取的),第3E圖對應第2D圖的製造階段(其中第2D圖是沿著第3E圖之線段A-A’截取的),第3F圖對應第2E圖的製造階段(其中第2E圖是沿著第3F圖之線段A-A’截取的),第3G圖對應第2F圖的製造階段(其中第2F圖是沿著第3G圖之線段A-A’截取的),第3H圖對應第2G圖的製造階段(其中第2G圖是沿著第3H圖之線段A-A’截取的),且第3I圖對應第2H圖的製造階段(其中第2H圖是沿著第3I圖之線段A-A’截取的)。第4A圖至第4C圖係根據本揭露多種態樣所示,在諸如與第1圖之方法相關的多種製造階段,具有不同主動區尺寸之多重閘極裝置的局部截面圖。在一些實施例中,第4A圖對應第2C圖的製造階段,第4B圖對應第2E圖的製造階段,而第4C圖對應第2G圖的製造階段。
多重閘極裝置100包括至少一個GAA電晶體(即:所具有之閘極圍繞至少一個懸掛(suspended)通道(例如:奈米線、奈米片、奈米棒等)的電晶體,其中該至少一個懸掛通道在源極/汲極之間延伸)。在一些實施例中,多重閘極裝置100被配置為具有至少一個p型GAA電晶體以及至少一個n型GAA電晶體。多重閘極裝置100可被包括於微處理器、記憶體及/或其他IC裝置中。在一些實施例中,多重閘極裝置100可為IC晶片、系統單晶片(system on chip, SoC)或者是其一部分的一部分,其包括各種被動與主動微電子裝置,例如電阻器、電容器、電感器、二極體、p型場效電晶體(PFET)、n型FET(NFET)、金屬氧化物半導體FET (metal-oxide semiconductor FET, MOSFET)、互補式MOS(complementary MOS, CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor, BJT)、橫向擴散MOS(laterally diffused MOS, LDMOS)電晶體、高壓電晶體、高頻電晶體、其他合適的組件、或其組合。為了便於描述與理解,本文同時討論第1圖、第2A圖至第2G圖、第3A圖至第3I圖以及第4A圖至第4C圖。為使說明清晰,第1圖、第2A圖至第2G圖、第3A圖至第3I圖以及第4A圖至第4C圖已被簡化,以更好地理解本揭露的進步性概念。附加的操作可被提供於方法10之前、之中或是之後,且對於方法10的附加實施例,所述的一些操作可被移動、取代或是消除。附加的特徵可被添加於多重閘極裝置100中,且在多重閘極裝置100的其他實施例中,下文所述的一些特徵可被替換、修改或是消除。
來到第1圖及第2A圖(還有對應的第3A圖與第3B圖),在方塊15中,方法10始於接收用於多重閘極裝置100的多重閘極裝置母材(precursor)。在第2A圖中,多重閘極裝置100已經歷了與第3A圖及第3B圖相關的製程,且多重閘極裝置母材包括半導體基板(晶圓)105、半導體層堆疊110(具有半導體層115、半導體層120以及半導體基板105的鰭片部分105’(即:半導體基板105之圖案化且突出的部分)、隔離特徵125以及虛擬(dummy)閘極130A- 130C(通常稱為虛擬閘極130)。舉例來說,半導體層堆疊110藉由下列方式形成:於半導體基板105上方沉積半導體層115與半導體層120,如同第3A圖所繪,以及圖案化半導體層115、半導體層120與半導體基板105以形成自半導體基板105延伸的半導體層堆疊110,如同第3B圖所繪。半導體基板105包括元素半導體,例如矽及/或鍺;包括化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;包括合金半導體,例如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或是包括其組合。於所繪實施例中,半導體基板105包括矽。半導體基板105(包括鰭片部分105’)可包括各種摻雜區域,例如p型摻雜區域(稱為p井)、n型摻雜區域(稱為n井)或其組合。在一些實施例中,鰭片部分105’包括p井,例如在n型電晶體形成於電晶體區域106A中的地方,以及包括n井,例如在p型電晶體形成於電晶體區域106B中的地方,或者反之亦然。n井包括n型摻雜物,例如磷、砷、其他n型摻雜物、或其組合。p井包括p型摻雜物,例如硼、銦、其他p型摻雜物、或其組合。在一些實施例中,半導體基板105(以及鰭片部分105’)中的摻雜區域包括p型摻雜物與n型摻雜物的組合。各種摻雜區域可被直接形成在半導體基板105(以及鰭片部分105’)之上及/或之中,舉例來說,提供p井結構、n井結構、雙井(dual-well)結構、凸起結構、或其組合。可執行離子佈植(ion implantation)製程、擴散(diffusion)製程及/或其他合適的摻雜製程,以形成各種摻雜區域。
在第3A圖中,半導體層115與半導體層120自半導體基板105的頂部表面以交錯或是交替配置垂直地(例如:沿著z方向)堆疊。在一些實施例中,沉積包括以所繪之交錯與交替的配置,磊晶生長(epitaxially grow)半導體層115與半導體層120。舉例來說,在半導體基板105上磊晶生長半導體層115的第一者,在半導體層115的第一者上磊晶生長半導體層120的第一者,在半導體層120的第一者上磊晶生長半導體層115的第二者,並依此類推,直到半導體層堆疊110具有期望數量的半導體層115與半導體層120為止。於此等實施例中,半導體層115與半導體層120可被稱為磊晶層。在一些實施例中,半導體層115與半導體層120之磊晶生長的實現,是藉由分子束磊晶(molecular beam epitaxy, MBE)製程、化學氣相沉積(chemical vapor deposition, CVD)製程、金屬有機物化學氣相沉積(metalorganic CVD, MOCVD)製程、其他合適的磊晶生長製程、或其組合來進行的。半導體層115的成分不同於半導體層120的成分,以在後續製程期間達到不同的蝕刻選擇性及/或不同的氧化速率。半導體層115與半導體層120包括不同的材料、成分原子百分比(constituent atomic percentages)、成分重量百分比(constituent weight percentages)、厚度及/或特性,以在蝕刻製程期間達成期望的蝕刻選擇性,此蝕刻製程例如被實施以在多重閘極裝置的通道區域中形成懸掛之通道層的蝕刻製程。舉例來說,在半導體層115包括矽鍺且半導體層120包括矽的情況下,對於給定的蝕刻劑,半導體層120的矽蝕刻速率小於半導體層115的矽鍺蝕刻速率。在一些實施例中,半導體層115與半導體層120包括相同的材料,但具有不同的成分原子百分比,以達到蝕刻選擇性及/或不同的氧化速率。舉例來說,半導體層115與半導體層120可以包括矽鍺,其中半導體層115與半導體層120具有不同的矽原子百分比及/或不同的鍺原子百分比。半導體層115與半導體層120包括半導體材料的任何組合,此組合提供所期望的蝕刻選擇性、所期望的氧化速率差異及/或所期望的性能特性(例如:使電流最大化的材料),上述半導體材料包含本文所揭露的任何半導體材料。
在第2A圖以及第3B圖中,於圖案化之後,半導體層堆疊110包括半導體基板105的鰭片部分105’(亦稱為基板延伸、基板鰭片部分、鰭片部分、經蝕刻基板部分等),以及包括設置於鰭片部分105’上方的半導體層堆疊部分(即:半導體層堆疊110之包括半導體層115與半導體層120的部分)。半導體層堆疊110實質上沿著x方向延伸,並具有沿著x方向的長度、沿著y方向的寬度以及沿著z方向的高度。在一些實施例中,執行微影及/或蝕刻製程來圖案化半導體層115、半導體層120與半導體基板105,以形成半導體層堆疊110。微影製程可以包括形成光阻層(例如:藉由自旋塗佈(spin coating)形成)、執行曝前(pre-exposure)烤製程、使用光罩執行曝光製程、執行曝後烤(post-exposure)製程、以及執行顯影製程。在曝光製程中,光阻層曝露於輻射能量(例如:紫外(UV)光、深紫外(DUV)光或是極紫外(EUV)光)中,其中光罩根據光罩的圖案及/或光罩類型(例如:二元光罩(binary mask)、相移光罩(phase shift mask)或是EUV光罩)來阻擋、透射及/或反射輻射至光阻層,使得與光罩圖案對應的圖像被投射到光阻層上。因為光阻層對輻射敏感,因此光阻層的曝露部分產生化學變化,且在顯影製程期間,光阻層的曝露(或是未曝露)部分根據光阻層的特性以及顯影製程中所使用之顯影液(developing solution)的特性而被溶解。在顯影之後,圖案化的光阻層包括與光罩對應的光阻圖案。蝕刻製程使用圖案化的光阻層作為蝕刻遮罩,以移除半導體層120、半導體層115以及半導體基板105的一些部分。在一些實施例中,圖案化的光阻層被形成在設置於半導體層堆疊110之上的遮罩層上方,第一蝕刻製程移除遮罩層的一些部分以形成圖案化層(即:圖案化硬遮罩層),並且第二蝕刻製程使用圖案化層作為蝕刻遮罩以移除半導體層堆疊110的一些部分。蝕刻製程可包括乾式蝕刻、濕式蝕刻、其他合適的蝕刻製程、或其組合。在一些實施例中,蝕刻製程為反應式離子蝕刻(reactive ion etching, RIE)製程。在蝕刻製程之後,圖案化的光阻層被移除,例如藉由光阻剝離(stripping)製程或是其他合適的製程移除。替代性地,半導體層堆疊110藉由多重圖案化製程形成,例如雙重圖案化微影(double patterning lithography, DPL)製程(例如:微影-蝕刻-微影-蝕刻(LELE)製程、自我對準(self-aligned)雙重圖案化(SADP)製程、間隔物即介電質(spacer-is-dielectric, SID)製程、其他雙重圖案化製程、或其組合)、三重圖案化製程(例如:微影-蝕刻-微影-蝕刻-微影-蝕刻(LELELE)製程、自我對準三重圖案化(SATP)製程、其他三重圖案化製程、或其組合)、其他多重圖案化製程(例如:自我對準四重圖案化(SAQP)製程)、或其組合。在一些實施例中,於形成半導體層堆疊110的同時,執行指向性自我組裝(directed self-assembly, DSA)技術。進一步地,在一些實施例中,曝光製程可執行無光罩微影(maskless lithography)、電子束(e-beam)寫入及/或離子束寫入,以用於圖案化光阻層。在一些實施例中,半導體層堆疊110的形成是藉由鰭片製造製程進行的,且半導體層堆疊110可被稱為鰭片、鰭片結構、鰭片元件、主動鰭片區域、主動區等(active region)。
在一些實施例中,於圖案化之後,會有溝槽圍繞半導體層堆疊110,使得半導體層堆疊110與多重閘極裝置100的其他主動區分隔。於此等實施例(例如:第3B圖)中,可藉由下列方法將隔離特徵125形成在溝槽中:在半導體基板105上方沉積填充溝槽的絕緣體材料(例如:使用CVD製程或是旋塗式玻璃(spin-on glass)製程),以及執行化學機械研磨(chemical mechanical polishing, CMP)製程以移除多餘的絕緣體材料及/或平坦化隔離特徵125的頂部表面。沉積製程可為流動式CVD(flowable CVD, FCVD)製程、高深寬比沉積(high aspect ratio deposition, HARP)製程、高密度電漿CVD(high-density plasma CVD, HDPCVD)製程、其他合適的沉積製程、或其組合。在一些實施例中,CMP製程移除半導體層堆疊110之頂部表面上方的絕緣體材料。在一些實施例中,絕緣體材料被回蝕刻(etch back),使得半導體層堆疊110的一部分自隔離特徵125延伸(即:半導體層堆疊110的頂部表面高於隔離特徵125的頂部表面)。在一些實施例中,隔離特徵125具有多層結構,例如設置於氮化矽襯墊(liner)上方的氧化物層。在一些實施例中,隔離特徵125包括設置在經過摻雜之襯墊(包括例如硼矽酸鹽玻璃(boron silicate glass, BSG)或是磷矽酸鹽玻璃(phosphosilicate glass, PSG))上方的介電層。在一些實施例中,隔離特徵125包括設置於介電襯墊上方的體(bulk)介電層。隔離特徵125包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如:包含矽、氧、氮、碳或其他合適的隔離成分)、或其組合。隔離特徵125可被配置為淺溝槽隔離(shallow trench isolation, STI)結構、深溝槽隔離(deep trench isolation, DTI)結構、矽局部氧化(local oxidation of silicon, LOCOS)結構及/或其他合適的隔離結構。
在第2A圖以及第3B圖中,虛擬閘極130A-130C被形成於半導體層堆疊110的通道區域上方,使得虛擬閘極130A- 130C被設置在半導體層堆疊110的源極/汲極區域之間。虛擬閘極130A-130C在不同於半導體層堆疊110之縱向方向的方向上延伸(例如:與半導體層堆疊110的縱向方向正交)。舉例來說,虛擬閘極130A-130C實質上彼此平行沿著y方向延伸,且具有沿著y方向的長度、沿著x方向的寬度以及沿著z方向的高度。在例如所繪製的X-Z平面(第2A圖)中,虛擬閘極130A-130C被設置在半導體層堆疊110的頂部表面上。在Y-Z平面(第3B圖)中,虛擬閘極130A-130C可被設置於半導體層堆疊110的頂部表面以及側壁表面之上,使得虛擬閘極130A-130C包裹(wrap)半導體層堆疊110。虛擬閘極130A-130C中的每一者包括虛擬閘極介電質、虛擬閘極電極以及硬遮罩。虛擬閘極介電質包括介電材料。在一些實施例中,虛擬閘極介電質包括界面層(interfacial layer)(例如:包括氧化矽)以及設置於界面層上方的介電層。虛擬閘極電極包括合適的虛擬閘極材料,而硬遮罩包括合適的硬遮罩材料。在一些實施例中,虛擬閘極電極包括多晶矽層,而硬遮罩包括氮化矽層。虛擬閘極130A-130C可包括其他薄層,例如覆蓋層(capping layer)、界面層、擴散層、阻障層(barrier layer)、或其組合。
虛擬閘極130A-130C的形成,藉由沉積製程、微影製程、蝕刻製程、其他合適的製程或其組合進行。舉例來說,執行第一沉積製程以在多重閘極裝置100上方形成虛擬閘極介電層,執行第二沉積製程以在虛擬閘極介電層上方形成虛擬閘極電極層,並且執行第三沉積製程以在虛擬閘極電極層上方形成硬遮罩層。沉積製程包括CVD、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD)、MOCVD、遠程電漿CVD(remote plasma CVD, RPCVD)、電漿增強型CVD(plasma enhanced CVD, PECVD)、HDPCVD、FCVD、HARP、低壓CVD(low-pressure CVD, LPCVD)、原子層CVD (atomic layer CVD, ALCVD)、常壓CVD(atmospheric pressure CVD, APCVD)、次常壓CVD(sub-atmospheric CVD,SACVD)、其他合適的沉積製程、或其組合。接著執行微影圖案化與蝕刻製程來圖案化硬遮罩層、虛擬閘極電極層以及虛擬閘極介電層,以形成虛擬閘極130A-130C,其中虛擬閘極130A-130C包括虛擬閘極介電質、虛擬閘極電極以及硬遮罩。微影圖案化製程可包括光阻塗佈(例如:自旋塗佈)、軟烤(soft baking)、光罩對準、曝光、曝後烤、顯影光阻、沖洗(rinsing)、乾燥(例如:硬烤(hard baking))、其他合適的製程、或其組合。蝕刻製程可包括乾式蝕刻、濕式蝕刻、其他蝕刻製程、或其組合。
來到第2B圖(還有對應的第3C圖)以及第2C圖(還有對應的第3D圖),閘極間隔物132被形成為相鄰於虛擬閘極130A -130C(即:沿著虛擬閘極130A-130C的側壁)(第2B圖(第3C圖)以及第2C圖(第3D圖)),而源極/汲極凹槽(溝槽)140被形成在半導體層堆疊110的源極/汲極區域中(第2C圖(第3D圖))。在第2C圖(第3D圖)中,間隔物層132’被形成在多重閘極裝置100上方。舉例來說,介電層藉由沉積製程而被形成在半導體層堆疊110、隔離特徵125以及虛擬閘極130A-130C上方,沉積製程例如CVD、PECVD、ALD、PEALD、PVD、其他合適的沉積製程、或其組合。介電材料可包括矽、氧、碳、氮、其他合適的間隔物成分或其組合(例如:氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、碳氮化矽硼(silicon boron carbonitride)等)。在一些實施例中,間隔物層132’為單一薄層,例如包括矽與氮的一個介電層(可被稱為氮化矽層)。在一些實施例中,間隔物層132’包括複數薄層,例如藉由第一沉積製程形成的第一介電層(例如:碳氮化矽層),以及藉由第二沉積製程形成在第一介電層上方的第二介電層(例如:氮化矽層)。在一些實施例中,間隔物層132’具有沿著虛擬閘極130A-130C以及半導體層堆疊110之頂部表面與側壁的實質上均勻的厚度。舉例來說,沿著半導體層堆疊110之頂部表面、虛擬閘極130A-130C之頂部表面、半導體層堆疊110之側壁與虛擬閘極130A-130C之側壁的間隔物層132’的厚度實質上相同。在一些實施例中,間隔物層132’藉由順應性的(conformal)沉積製程形成,使得間隔物層132’相符於(conform)其上沉積有間隔物層132’之多重閘極裝置100的表面 (且因此間隔物層132’可被稱為順應性間隔物層)。
在第2C圖(第3D圖)中,繼續進行的製程包括在間隔物層132’上執行間隔物蝕刻,以形成沿著虛擬閘極130A-130C之側壁的閘極間隔物132,並且執行源極/汲極蝕刻(例如:在方法10的方塊20中),以分別在電晶體區域106A與電晶體區域106B中之半導體層堆疊110的源極/汲極區域中形成源極/汲極凹槽140。間隔物蝕刻自多重閘極裝置100的水平(橫向)表面(例如:半導體層堆疊110的頂部表面、隔離特徵125的頂部表面以及虛擬閘極130A- 130C的頂部表面)實質上移除了間隔物層132’,進而沿著虛擬閘極130A-130C的側壁形成各別的閘極間隔物132。在一些實施例中,間隔物蝕刻可以移除半導體層堆疊110的一些部分,進而開始在半導體層堆疊110中形成源極/汲極凹槽140。在一些實施例中,間隔物蝕刻相對於虛擬閘極130A-130C、隔離特徵125及/或半導體層堆疊110,選擇性地移除間隔物層132’。換句話說,間隔物蝕刻實質上移除了間隔物層132’,但並未移除或者是實質上並未移除虛擬閘極130A-130C、隔離特徵125及/或半導體層堆疊110。在一些實施例中,閘極間隔物132包括多於一組的間隔物,例如密封(seal)間隔物、偏移(offset)間隔物、犧牲間隔物、虛擬間隔物及/或主間隔物。舉例來說,在間隔物層132’包括第一介電層與第二介電層的情況下,閘極間隔物132可包括由第一介電層形成的間隔物襯墊(例如:L形襯墊)以及由第二介電層形成的主間隔物。於形成閘極間隔物132之前及/或之後,可執行佈植、擴散及/或退火製程,以在半導體層堆疊110的源極/汲極區域中形成輕度摻雜源極與汲極(lightly doped source and drain, LDD)特徵及/或重度摻雜源極與汲極(heavily doped source and drain, HDD)特徵。
源極/汲極蝕刻移除了半導體層堆疊110的曝露部分(即:半導體層堆疊110之未被虛擬閘極130A-130C與閘極間隔物132所覆蓋的源極/汲極區域),以形成源極/汲極凹槽140,其中源極/汲極凹槽140延伸穿過半導體層堆疊110直到半導體基板105中的一深度(例如:鰭片部分105’中的一深度)。在第2C圖中,蝕刻製程完全移除了半導體層堆疊110之源極/汲極區域中的半導體層115與半導體層120,並且移除了半導體層堆疊110之源極/汲極區域中的一些鰭片部分105’,但並非全部的鰭片部分105’,使得源極/汲極凹槽140延伸進入鰭片部分105’中,但並未貫穿鰭片部分105’。當源極/汲極凹槽140如圖所示般延伸進入鰭片部分105’及/或半導體基板105中時,半導體層堆疊110的通道區域在X-Z平面中具有由鰭片部分105’及/或半導體基板105形成的突出部分(在下文中稱為半導體平臺(mesa)105P’),並且半導體層堆疊110的源極/汲極區域在X-Z平面中具有自鰭片部分105’及/或半導體基板105所形成的凹陷部分。源極/汲極凹槽140因此由半導體層堆疊110之相鄰通道區域的側壁,以及由半導體層堆疊110之源極/汲極區域中的鰭片部分105’及/或半導體基板105之剩餘、凹陷的部分的頂部所形成。在一些實施例中,源極/汲極凹槽140具有U形的截面輪廓,其中U形截面輪廓之實質上呈線性的、側壁及/或垂直的部分,由半導體層堆疊110之相鄰的通道區域形成,而U形截面輪廓之實質上呈曲線的、底部及/或水平的部分,由鰭片部分105’及/或半導體基板105(例如:半導體層堆疊110之通道區域中相鄰的半導體平臺105P’,以及在相鄰的半導體平臺105P’之間延伸,半導體層堆疊110之源極/汲極區域中的鰭片部分105’及/或半導體基板105之剩餘、凹陷的部分)形成。
源極/汲極凹槽140在半導體層堆疊110之相鄰通道區域的側壁之間,具有沿著x方向的寬度W,並且在半導體層堆疊110的頂部表面與源極/汲極凹槽140的最底部部分之間,具有沿著z方向的深度D。深度D為半導體層堆疊110之高度h與源極/汲極凹槽140進入半導體基板105之深度d的總和。深度d對應半導體平臺105P’的高度,其中此高度介於半導體平臺105P’的頂部表面與源極/汲極凹槽140的最底部部分之間。在深度d小於鰭片部分105’的實施例中,半導體平臺105P’由鰭片部分105’形成。在深度d大於鰭片部分105’的實施例中,半導體平臺105P’由鰭片部分105’與半導體基板105形成。在一些實施例中,深度d為約20nm至約100nm。高度h可以經過配置,以最佳化多重閘極裝置的性能及/或製造。舉例來說,在源極/汲極蝕刻之後,半導體層堆疊110的剩餘部分(即:通道區域)具有鰭狀結構,若鰭狀結構過高則容易彎曲及/或塌陷,這會影響製造可靠度及/或裝置可靠度。另一方面,因為較高的鰭狀結構有利於較高的驅動電流,因此過矮的鰭狀結構可能無法為多重閘極裝置提供所期望的性能特性。舉例來說,較矮的鰭狀結構將具有較少的半導體層,且因此限制了多重閘極裝置的通道數量,並且這相應地限制了多重閘極裝置的驅動電流。於所繪實施例中,高度h為約30nm至約80nm,其中高度h大於約80nm會對半導體層堆疊110之剩餘部分造成不希望出現的彎曲及/或塌陷,而高度h小於約30nm則將無法為製造自半導體層堆疊110的多重閘極裝置提供足夠高的驅動電流及/或其他最佳的性能特性。
源極/汲極蝕刻包括乾式蝕刻、濕式蝕刻、其他合適的蝕刻製程、或其組合。多種蝕刻參數可以經過調整,以選擇性地蝕刻半導體層堆疊110(即:半導體層120、半導體層115以及鰭片部分105’),同時最小化(甚至不會產生)對虛擬閘極130A- 130C、閘極間隔物132及/或隔離特徵125的蝕刻,蝕刻參數例如蝕刻氣體成分、載體氣體成分、蝕刻氣體流量(flow rate)、載體氣體流量、蝕刻時間、蝕刻壓力、蝕刻溫度、源功率(source power)、射頻(RF)偏壓電壓、直流(DC)偏壓電壓、RF偏壓功率、直流偏壓功率、其他合適的蝕刻參數、或其組合。舉例來說,用於源極/汲極蝕刻的蝕刻劑經過選擇,能夠以較高的速率移除半導體層堆疊110的材料(例如:半導體材料,例如矽鍺以及矽),高於蝕刻虛擬閘極130A-130C及/或閘極間隔物132的材料(例如:介電材料,例如氧化矽及/或多晶矽材料)(即:蝕刻劑對於半導體層堆疊110的材料具有高蝕刻選擇性)。在一些實施例中,源極/汲極蝕刻的蝕刻氣體包括CH 4、CHF 3、O 2、HBr、SiCl 4、SO 2、SF 6、He、H 2、其他合適的蝕刻氣體成分、或其組合。在一些實施例中,源極/汲極蝕刻為乾式蝕刻,實施含氟蝕刻氣體(例如:CHF 3及/或SF 6)以及含氧蝕刻氣體(例如:O 2)。在一些實施例中,源極/汲極蝕刻所實施的蝕刻溫度為約25攝氏度(℃)至約152℃。在一些實施例中,源極/汲極蝕刻所實施的蝕刻壓力為約5毫托(mTorr)至約100mTorr。在一些實施例中,源極/汲極蝕刻為多步驟(multi-step)蝕刻製程。舉例來說,源極/汲極蝕刻可交替地使用蝕刻劑,以個別地並交替地移除半導體層115與半導體層120。在一些實施例中,執行諸如本文所述的那些微影製程,以形成覆蓋虛擬閘極130A-130C及/或隔離特徵125的圖案化遮罩層,且源極/汲極蝕刻使用圖案化遮罩層作為蝕刻遮罩。在一些實施例中,源極/汲極蝕刻移除半導體層堆疊110之源極/汲極區域中的所有鰭片部分105’,使得源極/汲極凹槽140延伸到隔離特徵125的最底部表面,或是延伸至低於隔離特徵125的最底部表面。在一些實施例中,間隔物蝕刻與源極/汲極蝕刻為單一蝕刻製程。在一些實施例中,間隔物蝕刻與源極/汲極蝕刻是分開的一系列蝕刻製程。
短通道效應(SCE)會影響電晶體性能的可靠度以及可預測性(predictability),其中電晶體性能例如臨界電壓、漏電流、電流電壓行為(current-voltage behavior)及/或其他的性能特徵。因為SCE高度地取決於通道長度,且因此小主動區裝置比大主動區裝置更容易受到SCE的影響,於是本文所述的磊晶源極/汲極製造技術相對於高度h配置了深度d,以最小化及/或減輕取決於多重閘極裝置之主動區尺寸(對於電晶體,為了本揭露的目的,其通常包括設置在源極/汲極區域之間的通道區域)的SCE。舉例來說,來到第4A圖,具有小主動區的多重閘極裝置S與具有大主動區的多重閘極裝置L經過配置,具有相對於高度h不同的高度以最小化SCE,如同下文所進一步描述的。出於本揭露的目的,小主動區裝置通常是指所具有的寬度W1小於或等於約30 nm(及/或通道長度小於或等於約20nm(即:沿著x方向之半導體層120的長度)),而大主動區則是指所具有的寬度W2大於約30nm(及/或通道長度大於約20nm (即:沿著x方向之半導體層120的長度))。在一些實施例中,多重閘極裝置S為記憶體的電晶體,記憶體例如靜態隨機存取記憶體(SRAM)。在一些實施例中,多重閘極裝置L為輸入/輸出(I/O)裝置的電晶體。在一些實施例中,多重閘極裝置S及/或多重閘極裝置L為環形振盪器(ring oscillator, RO)裝置的電晶體。本揭露同樣思及了作為其他類型之積體電路(IC)裝置的電晶體的多重閘極裝置S及/或多重閘極裝置L。
在第4A圖中,多重閘極裝置S(即:小主動區裝置) 所擁有的半導體平臺105P’,具有小於高度h的高度H1(Hl < h),而多重閘極裝置L(即:大主動區裝置)所擁有的半導體平臺105P’,具有大於高度h的高度H2(即H2>h)。換句話說,多重閘極裝置S中之源極/汲極凹槽140的深度d小於多重閘極裝置L中之源極/汲極凹槽140的深度d,多重閘極裝置S中之源極/汲極凹槽140的深度d小於高度h,且多重閘極裝置L中之源極/汲極凹槽140的深度d大於高度h。在一些實施例中,高度H1小於鰭片部分105’的厚度,而高度H2大於鰭片部分105’的厚度。在一些實施例中,高度h對高度H1的比值(即:h/H1)大於1,而高度h對高度H2的比值(即:h/H2)小於1。舉例來說,高度h對高度H1的比值為約1至約4,及/或高度h對高度H2的比值為約0.5至約0.9。在一些實施例中,高度h與高度 H1之間的差值(即:Δheight-S = |h-H1│)為約5nm至約30nm。在一些實施例中,高度h與高度H2之間的差值(即:Δheight-L=│h-H2│)為約10nm至約50nm。在一些實施例中,高度H1為約20nm至約30nm,其中小於20nm的高度H1可能無法在半導體基板105中為隨後形成之未摻雜磊晶源極/汲極層提供足夠的體積以減輕小主動區裝置的SCE,而大於30nm的高度H1會不必要地增加製造/生產時間及/或成本,同時只能為小主動區裝置帶來極少的額外SCE減輕。在一些實施例中,高度H2為約35nm至約100nm,其中小於35nm的高度H2可能無法在半導體基板105中為隨後形成之未摻雜磊晶源極/汲極層提供足夠的體積以減輕大主動區裝置的SCE,而大於100nm的高度H2會不必要地增加製造/生產時間及/或成本,同時只能為大主動區裝置帶來極少的額外SCE減輕。
配置具有比大主動區裝置更淺之源極/汲極凹槽140的小主動區裝置(例如:高度H1<高度H2),理解到小主動區裝置與大主動區裝置對SCE具有不同的易感性(susceptibility)。舉例來說,因為SCE可能隨著源極/汲極凹槽140之深度d的增加而增加(例如:因為源極/汲極深度增加導致半導體基板105中磊晶源極/汲極結構的深度及/或體積增加),因此隨著源極/汲極凹槽140之深度d的增加,小主動區裝置(即:較短的通道長度)可能對於SCE更加敏感。然而,因為大主動區裝置(即:較長的通道長度)比起小主動區裝置對於SCE較不敏感,因此相較之下大主動區裝置對於源極/汲極凹槽深度的增加較不敏感。因此,將大主動區裝置配置為具有與小主動區裝置相同的源極/汲極深度,會不必要地限制大主動區裝置的操作彈性。舉例來說,因為源極/汲極深度影響磊晶源極/汲極結構的深度及/或體積,因此較淺的源極/汲極深度會導致較小的磊晶源極/汲極結構,且因此對通道區域施加的應變(strain)較少,這會限制電晶體的驅動電流。因此,將大主動區裝置的源極/汲極深度限制在最佳化小主動區裝置之性能(例如:藉由減輕SCE)的源極/汲極深度,會限制透過較深源極/汲極凹槽所提供之較大的磊晶源極/汲極結構所能達成的性能改善,儘管大主動區裝置於此等深度下對SCE並不敏感。因此,本文所述之磊晶源極/汲極製造技術的源極/汲極蝕刻經過調整,以基於主動區尺寸最佳化源極/汲極凹槽140的源極/汲極輪廓(例如:為小主動區裝置與大主動區裝置提供不同的源極/汲極深度及/或不同的高度比值,以在最佳化性能的同時減輕 SCE)。在一些實施例中,源極/汲極輪廓可以致使同時形成之未摻雜磊晶層152基於主動區尺寸而具有不同的輪廓,其中不同的輪廓可以具體地增強其對應尺寸之主動區的性能,及/或減輕其對應尺寸之主動區的短通道效應。
在一些實施例中,源極/汲極蝕刻是循環的微影/蝕刻製程。舉例來說,源極/汲極蝕刻可包括執行第一微影製程,以形成覆蓋小主動區裝置(例如:包含多重閘極裝置S)且曝露大主動區裝置(例如:包含多重閘極裝置L)的第一遮罩層;執行第一源極/汲極蝕刻,以在大主動區裝置的源極/汲極區域中形成具有高度H2的源極/汲極凹槽,其中高度H2大於高度h;移除第一遮罩層;執行第二微影製程,以形成曝露小主動區裝置(例如:包含多重閘極裝置S)且覆蓋大主動區裝置(例如:包含多重閘極裝置L)的第二遮罩層;執行第二源極/汲極蝕刻,以在小主動區裝置的源極/汲極區域中形成具有高度H1的源極/汲極凹槽,其中高度H1小於高度h;以及移除第二遮罩層。
來到第2D圖至第2F圖(還有與其對應的第 3E圖至第3G圖),內部間隔物148’被形成在閘極間隔物132下方並介於半導體層120之間且沿著半導體層115的側壁。內部間隔物148’將半導體層120彼此分隔,並將最底部的半導體層120與鰭片部分105’分隔。在第2D圖(第3E圖)中,所執行的蝕刻製程選擇性地蝕刻源極/汲極凹槽140所曝露的半導體層115,同時最小化(甚至不會產生)對半導體層120、鰭片部分105’、虛擬閘極130A-130C、閘極間隔物132及/或隔離特徵125的蝕刻。蝕刻製程在半導體層120之間以及鰭片部分105’與半導體層120之間形成間隙(gap)145。間隙145被設置在閘極間隔物132下方,使得半導體層120懸掛於閘極間隔物132下方並藉由間隙145彼此分隔。在一些實施例中,間隙145至少部分地在虛擬閘極130A-130C下方延伸。蝕刻製程被配置為橫向地蝕刻(例如:沿著x方向及/或y方向)半導體層115。於所繪實施例中,蝕刻製程減少了半導體層115之沿著x方向的長度。蝕刻製程為乾式蝕刻、濕式蝕刻、其他合適的蝕刻製程、或其組合。
在第2E圖(第3F圖)中,沉積製程接著在多重閘極裝置100上方形成間隔物層148,包括在形成源極/汲極凹槽140之多重閘極裝置100的特徵(例如:半導體層115、半導體層120以及鰭片部分105’)上方形成,沉積製程例如CVD、PVD、ALD、RPCVD、PECVD、HDPCVD、FCVD、HARP、LPCVD、ALCVD、APCVD、SACVD、MOCVD、電鍍、其他合適的方法、或其組合。間隔物層148部分地填充源極/汲極凹槽140。於所繪實施例中,沉積製程經過配置,以確保間隔物層148填充間隙145。在第2F圖(以及與其對應的第3G圖)中,接著執行的內部間隔物蝕刻選擇性地蝕刻間隔物層148,以形成填充間隙145的內部間隔物148’,同時最小化(甚至不會產生)對半導體層120、鰭片部分105’、虛擬閘極130A-130C、閘極間隔物132及/或隔離特徵125的蝕刻。間隔物層148(且因此還有內部間隔物148’)所包括的材料,不同於半導體層120的材料、鰭片部分105’的材料、隔離特徵125的材料、虛擬閘極130A-130C的材料及/或閘極間隔物132的材料,以在內部間隔物蝕刻期間達到期望的蝕刻選擇性。在一些實施例中,間隔物層148所包括的介電材料包含矽、氧、碳、氮、其他合適的材料或其組合(例如:氧化矽、氮化矽、氮氧化矽、碳化矽及/或碳氮氧化矽)。在一些實施例中,間隔物層148包括低k值介電材料,例如本文所述的那些。在一些實施例中,摻雜物(例如:p型摻雜物及/或n型摻雜物)被導入至介電材料中,使得間隔物層148包括經過摻雜的介電材料。內部間隔物蝕刻為乾式蝕刻、濕式蝕刻、其他合適的蝕刻製程、或其組合。
來到第2F圖(第3G圖)以及第2G圖(第3H圖),方法10進行到在源極/汲極凹槽140中形成磊晶源極/汲極結構150。舉例來說,方法10包括在方塊25處,於源極/汲極凹槽中磊晶生長未摻雜的半導體層,例如源極/汲極凹槽140中的未摻雜磊晶層152(第2F圖以及第3G圖);在方塊30處,於源極/汲極凹槽中的未摻雜半導體層上方磊晶生長第一經摻雜半導體層,例如源極/汲極凹槽140中的未摻雜磊晶層152上方的磊晶層154A以及磊晶層154B(第2G圖以及第3H圖);以及在方塊35處,於源極/汲極凹槽中的第一經摻雜半導體層上方磊晶生長第二經摻雜半導體層,例如在源極/汲極凹槽140中分別位於磊晶層154A以及磊晶層154B上方的磊晶層156A以及磊晶層156B(第2G圖以及第3H圖)。第一經摻雜半導體層,例如磊晶層154A以及磊晶層154B,具有第一摻雜物濃度,而第二經摻雜半導體層,例如磊晶層156A以及磊晶層156B,具有大於第一摻雜物濃度的第二摻雜物濃度。方法可以進一步包括在第二經摻雜半導體層上方磊晶生長第三經摻雜半導體層,例如分別位於磊晶層156A以及磊晶層156B上方的磊晶層158A以及磊晶層158B(第2G圖以及第3H圖)。未摻雜磊晶層152、磊晶層154A與磊晶層154B、磊晶層156A與磊晶層156B及/或磊晶層158A與磊晶層158B的磊晶生長經過控制(調整),以增強多重閘極裝置100的性能。在一些實施例中,磊晶源極/汲極結構150之各種薄層的磊晶生長經過控制,以最大化由磊晶源極/汲極結構150對多重閘極裝置100之通道區域(在此為半導體層120)所施加的應變。在一些實施例中,最大化磊晶源極/汲極結構150中之磊晶層156A與磊晶層156B的體積及/或摻雜物濃度,會增加施加到多重閘極裝置100之通道區域的應變。在一些實施例中,未摻雜磊晶層152與磊晶源極/汲極結構150之間的界面所具有的缺陷,少於磊晶源極/汲極結構之經摻雜磊晶層與半導體基板之間的界面(並且在一些實施例中沒有缺陷)。因此,未摻雜磊晶層152在經摻雜磊晶層(例如:磊晶層154A、磊晶層154B、磊晶層156B及/或磊晶層156B)與半導體基板105之間提供緩衝,這可以減少多重閘極裝置100中的短通道效應。在一些實施例中,如同本文所述,未摻雜磊晶層152及/或磊晶源極/汲極結構150的輪廓基於主動區尺寸進行調整,以減輕短通道效應並同時最佳化性能(例如:驅動電流)。
在第2F圖(第3G圖)中,未摻雜或是未特意摻雜(unintentionally doped, UID)的未摻雜磊晶層152,被形成在源極/汲極凹槽140的底部部分。未摻雜磊晶層152實質上不含摻雜物。未摻雜磊晶層152包括矽、鍺、矽鍺、其他合適的半導體材料、或其組合。於所繪實施例中,未摻雜磊晶層152包括實質上不含n型摻雜物與p型摻雜物的矽,或是實質上不含n型摻雜物與p型摻雜物的矽鍺。對於本揭露而言,具有小於約5×10 18cm -3之摻雜物濃度的半導體材料被認為是未摻雜的及/或UID。在一些實施例中,未摻雜磊晶層152具有約1×10 18cm -3至約5×10 18cm -3的摻雜物濃度。未摻雜磊晶層152具有槽狀(trough-shaped)結構,並且物理性地接觸半導體平臺105P’、鰭片部分105’及/或半導體基板105。舉例來說,未摻雜磊晶層152具有中央的基底區域152A,基底區域152A在兩側的(opposing)側壁區域152B之間橫向地及/或水平地延伸,其中側壁區域152B自中央的基底區域152A垂直地延伸及/或向上突出,進而形成槽狀區域152’。 在一些實施例中,槽狀區域152’具有梯形(trapezoidal-shaped)的截面輪廓,其中槽狀區域152’具有實質上平坦、線性及/或水平指向的底部(例如:由未摻雜磊晶層152之中央的基底區域152A形成),並且此底部在兩側實質上呈錐形、傾斜及/或垂直指向的側壁(例如:由未摻雜磊晶層152的側壁區域152B形成)之間延伸。在一些實施例中,槽狀結構為U形結構,其中側壁區域152B為U形結構之實質上線性及/或實質上垂直延伸的部分,而中央的基底區域152A則為U形結構之實質上呈曲線及/或實質上水平延伸的部分。在一些實施例中,槽狀結構為V形結構,其中側壁區域152B為V形結構之實質上呈傾斜及/或實質上垂直延伸的部分,而中央的基底區域152A則為V形結構之實質上呈尖頭的部分(pointed section)。
因此,由半導體平臺105P’、鰭片部分105’及/或半導體基板105所形成之源極/汲極凹槽140的底部部分,具有由未摻雜磊晶層152所部分填充的部分(「部分填充底部部分」),以及由未摻雜磊晶層152所填充的部分(「經填充底部部分」)。部分填充底部部分具有沿著z方向的高度h1,而經填充底部部分則具有沿著z方向的高度h2。高度h1對應槽狀區域152’之進入鰭片部分105’及/或半導體基板105的深度d1,其中深度d1介於半導體平臺105P’的頂部表面與未摻雜磊晶層152的頂部表面相對於半導體平臺105P’之頂部表面的最低點之間。高度h1/深度d1亦對應源極/汲極凹槽140之進入鰭片部分105’及/或半導體基板105中的剩餘深度(d remaining)。舉例來說,源極/汲極凹槽140進入鰭片部分105’及/或半導體基板105中的剩餘深度,由深度d與高度h1之間的差值給定(即:d remaining= d-h1)。在一些實施例中,未摻雜磊晶層152沿著z方向的最小厚度由高度h2給定,而未摻雜磊晶層152沿著z方向的最大厚度則由高度h1與高度h2的總和給定。在一些實施例中,未摻雜磊晶層152具有設置於末端部分之間的中央部分,其中中央部分具有最小厚度且末端部分具有最大厚度。在一些實施例中,中央部分及/或末端部分具有不同的厚度。舉例來說,自半導體平臺105P’沿著x方向朝中央部分移動,末端部分所具有之沿著z方向的厚度,可自最大厚度降低至最小厚度,或是降低至大於最小厚度但小於最大厚度的厚度。在另一個範例中,沿著x方向自第一末端部分移動至第二末端部分,中央部分所具有之沿著z方向的厚度,可自第一末端部分處之大於最小厚度但小於最大厚度的厚度,降低至中點處的最小厚度,並接著自中點處的最小厚度,增加到第二末端部分處之大於最小厚度但小於最大厚度的厚度。於此等實施例中,中央部分可具有呈曲線的頂部表面。在一些實施例中,沿著x方向自第一末端部分移動到第二末端部分,中央部分沿著z方向具有實質上均勻的厚度。於此等實施例中,中央部分可具有實質上平坦、線性的頂部表面。
高度h1/深度d1以及高度h2經過控制,以最大化半導體平臺105P’之頂部表面下方的未摻雜磊晶層152的體積,同時最大化位於半導體平臺105P’的頂部表面之上,隨後形成之磊晶源極/汲極結構150的經摻雜磊晶層(即:磊晶層156A及/或磊晶層156B)的體積。如果高度h1/深度d1太小(例如:小於或等於0nm),則未摻雜磊晶層152可能會突出至半導體平臺105P’的頂部表面之上,並進入磊晶源極/汲極結構150之用於對通道區域(即:半導體層120)施加應變的部分中,進而對此應變造成不希望出現的降低。果高度h1/深度d1太大(例如:大於約30nm),則未摻雜磊晶層152在半導體平臺105P’之頂部表面下方的體積可能會太小,及/或未摻雜磊晶層152沿著半導體平臺105P’之側壁的厚度可能會太薄,使得未摻雜磊晶層152在半導體平臺105P’與磊晶源極/汲極結構150的經摻雜磊晶層之間提供了不充足的緩衝,進而抵消了未摻雜磊晶層152的SCE降低功能。在一些實施例中,高度h1/深度d1為約0nm至約30nm。高度h1/深度d1小於約0nm可能會導致未摻雜磊晶層152突出至半導體平臺105P’的頂部表面之上,並進入磊晶源極/汲極結構150之用於對通道區域(即:半導體層120)施加應變的部分中,且進而降低此應變。高度h1/深度d1大於約30nm可能會導致未摻雜磊晶層152沿著半導體平臺105P’之側壁的厚度太薄,使得未摻雜磊晶層152在半導體平臺105P’與磊晶源極/汲極結構150的經摻雜磊晶層之間提供了不充足的緩衝,進而抵消了未摻雜磊晶層152的SCE降低功能。
本文的磊晶源極/汲極製造技術基於主動區的尺寸調整高度h1以及高度h2,其中基於主動區尺寸調整高度h1以及高度h2可以減少(並且在一些實施例中,消除)小主動區裝置及/或大主動區裝置所遭受的SCE,同時最佳化小主動區裝置及/或大主動區裝置的性能。在一些實施例中,高度h1以及高度h2透過用於形成未摻雜磊晶層152的製程進行調整。在一些實施例中,高度h1以及高度h2作為藉由調整源極/汲極蝕刻所獲得之源極/汲極凹槽140的輪廓的結果而被調整。舉例來說,來到第4B圖,對於多重閘極裝置S(即:小主動區裝置),高度h1等於高度H3且高度h2等於高度H4,而對於多重閘極裝置L(即:大主動區裝置),高度h1等於高度H5且高度h2等於高度H6,其中高度H3小於高度H4(H3 < H4),高度H5小於高度H6(H5 < H6),且高度H3小於高度H5(H3 < H5)。換句話說,多重閘極裝置S中之鰭片部分105’及/或半導體基板105中的源極/汲極凹槽140的剩餘深度,小於多重閘極裝置L中之鰭片部分105’及/或半導體基板105中的源極/汲極凹槽140的剩餘深度。因此,藉由如第4A圖中所做的基於主動區尺寸調整源極/汲極凹槽140的源極/汲極輪廓(例如:提供不同的源極/汲極深度及/或不同的高度比值),及/或如第4B圖中所做的基於主動區尺寸調整未摻雜磊晶層152的輪廓(例如:提供不同高度/深度及/或高度比值的未摻雜磊晶層152),所揭露的磊晶源極/汲極製造方法基於主動區尺寸最佳化了未摻雜磊晶層152(即:較小的深度用於小主動區裝置,而較大的深度用於大主動區裝置)。
在一些實施例中,高度H3為約0nm至約10nm。在一些實施例中,高度H3對高度H4的比值為約0.05至約0.3。高度H3小於0nm及/或高度H3對高度H4的比值小於0.05,可能會導致未摻雜磊晶層152突出至半導體平臺105P’的頂部表面之上,並進入磊晶源極/汲極結構150之用於對通道區域(即:半導體層120)施加應變的部分中,且進而對此應變造成不希望出現的降低。高度H3大於10nm及/或高度H3對高度H4的比值大於0.3,可能會導致源極/汲極凹槽140中未摻雜磊晶材料的沉積極少,及/或導致未摻雜磊晶層152沿著半導體平臺105P’之側壁的厚度太薄,使得未摻雜磊晶層152在半導體平臺105P’與磊晶源極/汲極結構150的經摻雜磊晶層之間提供了不充足的緩衝,進而抵消了未摻雜磊晶層152的SCE降低功能。
在一些實施例中,高度H5為約10nm至約30nm。在一些實施例中,高度H5對高度H6的比值為約0.1至約0.5。高度H5小於10nm及/或高度H5對高度H6的比值小於0.1,可能會導致未摻雜磊晶層152突出至半導體平臺105P’的頂部表面之上,並進入磊晶源極/汲極結構150之用於對通道區域(即:半導體層120)施加應變的部分中,且進而對此應變造成不希望出現的降低。高度H5大於30nm及/或高度H5對高度H6的比值大於0.5,可能會導致源極/汲極凹槽140中未摻雜磊晶材料的沉積極少,及/或導致未摻雜磊晶層152沿著半導體平臺105P’之側壁的厚度太薄,使得未摻雜磊晶層152在半導體平臺105P’與磊晶源極/汲極結構150的經摻雜磊晶層之間提供了不充足的緩衝,進而抵消了未摻雜磊晶層152的SCE降低功能。
在一些實施例中,未摻雜磊晶層152藉由選擇性磊晶生長(selective epitaxial growth, SEG)製程形成,選擇性磊晶生長製程自半導體表面(例如:半導體平臺105P’、鰭片部分105’、半導體基板105及/或半導體層120)選擇性地沉積(生長)半導體材料(例如:矽或矽鍺),同時限制(或是防止)半導體材料自介電質表面及/或非半導體表面(例如:內部間隔物148’、虛擬閘極130A- 130C、閘極間隔物132及/或隔離特徵125)生長。舉例來說,矽及/或鍺自半導體層120生長,但並未自半導體平臺105P’、鰭片部分105’、半導體基板105及/或半導體層120生長。在一些實施例中,SEG製程為選擇性CVD製程,此製程將含矽前驅物(precursor)及/或含鍺前驅物還有載體氣體導入至製程腔體內,其中含矽前驅物及/或含鍺前驅物與半導體平臺105P’、鰭片部分105’、半導體基板105及/或半導體層120相互作用,以形成未摻雜磊晶層152。含矽前驅物包括矽烷(SiH 4)、二矽烷(Si 2H 6)、二氯矽烷(SiH 2Cl 2)(DCS)、三氯矽烷(SiHCl 3)、四氯化矽(SiCl 4)、其他合適的含矽前驅物、或其組合。含鍺前驅物包括鍺烷(GeH 4)、二鍺烷(Ge 2H 6)、四氯化鍺(GeCl 4)、二氯化鍺(GeCl 2)、其他合適的含鍺前驅物、或其組合。載體氣體可為惰性氣體,例如含氫氣體(例如:H 2)、含氬氣體(例如:Ar)、含氦氣體(例如:He)、含氮氣體(例如:N 2)、含氙氣體、其他合適的惰性氣體、或其組合。儘管選擇性CVD製程的各種參數可被調節(調整),以確保含矽前驅物及/或含鍺前驅物從半導體表面選擇性地成核(nucleate)與生長,及/或從半導體表面更快地成核與生長,但一些矽及/或鍺材料可能會在介電質表面及/或非半導體表面上成核與生長。為了防止或是限制這種生長,選擇性CVD製程可以進一步將含有蝕刻劑的前驅物導入到製程腔體中,含有蝕刻劑的前驅物能夠與多重閘極裝置100的介電質表面及/或非半導體表面(例如:隔離特徵125、虛擬閘極130A-130C、閘極間隔物132及/或內部間隔物148’)相互作用。含蝕刻劑前驅物包括氯(Cl 2)、氯化氫(HCl)、可促進所期望之半導體材料(例如:矽及/或鍺)生長選擇性的其他含蝕刻劑前驅物、或其組合。因為矽及/或鍺材料在介電質表面及/或非半導體表面上並且從介電質表面及/或非半導體表面的生長,如果存在的話,與矽及/或鍺材料在半導體表面上並且從半導體表面上的生長相比(很可能是連續且融合的),在很大程度上是不連續且離散的(discrete),因此含蝕刻劑前驅物能夠以高於從半導體表面移除矽及/或鍺材料的速率,自介電質表面及/或非半導體表面移除任何矽及/或鍺材料。因此,選擇性CVD製程同時沉積與蝕刻半導體材料,但被配置為具有大於蝕刻速率的沉積速率,以確保半導體材料的淨(net)沉積。在一些實施例中,含蝕刻劑前驅物防止半導體材料在介電質表面及/或非半導體表面上的任何成核。因為未摻雜磊晶層152是未摻雜的,因此在選擇性CVD製程及/或其他SEG製程期間,並未將含摻雜物的前驅物導入製程腔體中。
各種沉積參數可以經過調整,以在半導體表面上選擇性地沉積半導體材料,沉積參數例如沉積氣體成分、載體氣體成分、沉積氣體流量、載體氣體流量、沉積時間、沉積壓力、沉積溫度、源功率、RF偏壓電壓、DC偏壓電壓、RF偏壓功率、DC偏壓功率、其他合適的沉積參數、或其組合。在一些實施例中,於形成未摻雜磊晶層152時,多重閘極裝置100被曝露於沉積混合物中,沉積混合物包括DCS及/或SiH 4(含矽前驅物)、H 2(載體前驅物)以及HCl(含蝕刻劑前驅物)。在一些實施例中,選擇性CVD製程所執行的沉積溫度為約600℃至約750℃。在一些實施例中,選擇性CVD製程所執行的沉積壓力為約10托至約100托。在一些實施例中,選擇性CVD製程被配置為由下而上(bottom-up)的沉積製程(即:從源極/汲極凹槽140的底部到頂部),使得未摻雜磊晶層152自半導體平臺105P’、鰭片部分105’及/或半導體基板105生長,而非半導體層120。在一些實施例中,於選擇性CVD製程之後,執行蝕刻製程以移除可能已經形成在半導體層120上的半導體材料(例如:矽及/或鍺)。沉積後蝕刻包括乾式蝕刻、濕式蝕刻、其他合適的蝕刻製程、或其組合。各種蝕刻參數可以經過調整,以選擇性地蝕刻半導體材料,同時最小化(甚至不會發生)對內部間隔物148’、虛擬閘極130A- 130C、閘極間隔物132及/或隔離特徵125的蝕刻,蝕刻參數例如蝕刻氣體成分、載體氣體成分、蝕刻氣體流量、載體氣體流量、蝕刻時間、蝕刻壓力、蝕刻溫度、源功率、RF偏壓電壓、DC偏壓電壓、RF偏壓功率、DC偏壓功率、其他合適的蝕刻參數、或其組合。舉例來說,為了沉積後蝕刻所選擇的蝕刻劑,能夠以較高的速率移除半導體材料(例如:矽及/或鍺),高於移除內部間隔物148’、虛擬閘極130A- 130C、閘極間隔物132及/或隔離特徵125之材料(例如:介電材料,例如氧化矽及/或多晶矽材料)的速率(即:蝕刻劑對於半導體材料具有高蝕刻選擇性)。在一些實施例中,沉積後蝕刻為乾式蝕刻,施用含氯蝕刻氣體(例如:HCl)以及含氫載體氣體(例如:H 2)。在一些實施例中,沉積後蝕刻執行的HCl流量為約200每分鐘標準立方公分(sccm)至約500sccm。
選擇性CVD製程與沈積後蝕刻是「原位(in-situ)」執行的。舉例來說,選擇性CVD製程與沈積後蝕刻是在相同的製程腔體中進行的,此製程腔體例如CVD機台的製程腔體,使得其上製造有多重閘極裝置100的工作件(晶圓)維持在真空條件下。因此,「原位」通常亦指在不將晶圓曝露於諸如氧氣之外部環境(例如:IC製程系統外部)的情況下,對工作件執行各種製程。因此,選擇性CVD製程與沈積後蝕刻的執行,能夠於製程期間最小化(或消除)曝露於氧氣及/或其他外部環境。
相反地,用於形成未摻雜磊晶層152的選擇性CVD製程與用於形成磊晶源極/汲極結構150之經摻雜磊晶層(即:磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A及/或磊晶層158B)的磊晶生長製程,是「異地(ex-situ)」執行的。舉例來說,磊晶源極/汲極結構150的未摻雜磊晶層152與磊晶源極/汲極結構150的經摻雜磊晶層的形成是在不同的製程腔體中執行的,例如在CVD機台的不同製程腔體中執行,使得在形成未摻雜磊晶層152與經摻雜磊晶層之間,其上製造有多重閘極裝置100的工作件(晶圓)並未維持在真空條件下。舉例來說,當工作件從一個製程腔體(例如:用於沉積未摻雜磊晶層152)轉移到另一個製程腔體(例如:用於沉積經摻雜磊晶層)時,真空條件可能會被破壞。因此,「異地」通常亦指在製程之間可能會將晶圓曝露於諸如氧氣之外部環境(例如:IC製程系統外部)的情況下,對工作件執行各種製程。
在第2G圖(第3H圖)中,磊晶層154A以及磊晶層154B被形成在未摻雜磊晶層152上方。磊晶層154A與磊晶層154B被沿著源極/汲極凹槽140的側壁以及底部設置,且部分地填充源極/汲極凹槽140。磊晶層154A與磊晶層154B物理性地接觸未摻雜磊晶層152、半導體平臺105P’、半導體層120及/或內部間隔物148’。 磊晶層154A與磊晶層154B為不連續的磊晶層,具有離散且分隔的側壁磊晶部分以及底部磊晶部分。側壁磊晶部分被設置於半導體層120的側壁上,並且相鄰之半導體層120上的側壁磊晶部分彼此間並未連接。在一些實施例中,如同圖式所繪製的,側壁磊晶部分包裹對應的半導體層120,且在對應之半導體層120的頂部及/或底部上方延伸。底部磊晶部分被設置於未摻雜磊晶層152的頂部上,且底部磊晶部分並未連接至側壁磊晶部分。在一些實施例中,如同圖式所繪製的,底部磊晶部分物理性地接觸半導體平臺105P’之頂部表面未被未摻雜磊晶層152所覆蓋的部分,例如半導體平臺105P’之頂部表面於最底部內部間隔物148’與未摻雜磊晶層152之間延伸的部分。
底部磊晶部分具有沿著z方向的厚度t1(即:底部厚度),而側壁磊晶部分具有沿著x方向的厚度t2(即:側壁厚度)。厚度t1小於未摻雜磊晶層152的高度h2。於所繪實施例中,厚度t1大於高度h1,底部磊晶部分填充槽狀區域152’,並且底部磊晶部分突出至半導體平臺105P’的頂部表面之上。在一些實施例中,厚度t1小於高度h1,底部磊晶部分並未填充槽狀區域152’,且源極/汲極凹槽140仍舊在半導體平臺105P’的頂部表面下方延伸。在一些實施例中,厚度t1約等於高度h1,並且底部磊晶部分填充槽狀區域152’,但並未突出至半導體平臺105P’的頂部表面之上。在一些實施例中,厚度t1為約10nm至約20nm。在一些實施例中,厚度t2為約2nm至約10nm。厚度t1與厚度t2經過控制,以最大化隨後形成之磊晶源極/汲極結構150中的磊晶層156A以及磊晶層156B的體積。如果厚度t1及/或厚度t2太厚(例如:分別大於約20nm及/或大於約10nm),則磊晶源極/汲極結構150中的磊晶層156A及/或磊晶層156B的體積可能會太小,並且會對多重閘極裝置100的通道區域(即:半導體層120)提供不夠充分的應變。如果厚度t1及/或厚度t2太薄(例如:分別小於約10nm及/或小於約2nm),則磊晶層154A及/或磊晶層154B可能會分別提供不夠充分的生長表面,其中磊晶層156A及/或磊晶層156B隨後從這些生長表面形成。在一些實施例中,於未摻雜磊晶層152具有與磊晶層156A及/或磊晶層156B不同的晶格常數及/或不同的晶格結構的情況下,磊晶層154A及/或磊晶層154B可以作為緩衝層。舉例來說,磊晶層154A的晶格常數及/或晶格結構可以從類似於未摻雜磊晶層152的晶格常數及/或晶格結構,逐漸改變為類似於磊晶層156A的晶格常數及/或晶格結構;及/或磊晶層154B的晶格常數及/或晶格結構可以從類似於未摻雜磊晶層152的晶格常數及/或晶格結構,逐漸改變為類似於磊晶層156B的晶格常數及/或晶格結構。
磊晶層156A及磊晶層156B分別被形成在磊晶層154A及磊晶層154B上方,以填充源極/汲極凹槽140。磊晶層156A及磊晶層156B分別藉由磊晶層154A及磊晶層154B的側壁磊晶部分而與半導體層120分隔,但並未與內部間隔物148’分隔。於所繪實施例中,磊晶層156A及磊晶層156B分別包裹磊晶層154A及磊晶層154B,且物理性地接觸內部間隔物148’。 在一些實施例中,磊晶層154A及/或磊晶層154B的側壁磊晶部分至少部分地在內部間隔物148’上方延伸,使得磊晶層154A以及磊晶層154B分別將磊晶層156A以及磊晶層156B的一些部分與內部間隔物148’分隔。磊晶層156A及磊晶層156B分別藉由磊晶層154A及磊晶層154B的底部磊晶部分而進一步地與未摻雜磊晶層152分隔。磊晶層156A與磊晶層156B具有沿著z方向的厚度t3以及沿著x方向的厚度t4。厚度t3大於磊晶層154A與磊晶層154B之底部磊晶部分的厚度t1,並且大於未摻雜磊晶層152的高度h2。在一些實施例中,厚度t3為約40nm至約100nm。在一些實施例中,厚度t4為約20nm至約60nm。於所繪實施例中,在磊晶層154A與磊晶層154B填充槽狀區域152’的情況下, 磊晶層156A與磊晶層156B被設置為高於半導體平臺105P’的頂部表面。在一些實施例中,於磊晶層154A與磊晶層154B並未填充槽狀區域152’的情況下,磊晶層156A與磊晶層156B填充槽狀區域152’的剩餘部分,並且延伸至半導體平臺105P’的頂部表面下方。在一些實施例中,於磊晶層154A與磊晶層154B的厚度t1約等於高度h1的情況下,磊晶層156A與磊晶層156B可以約略延伸至半導體平臺105P’的頂部表面。
磊晶層158A及磊晶層158B分別被形成在磊晶層156A及磊晶層156B上方。磊晶層158A以及磊晶層158B可被稱為覆帽層(cap layer)。在一些實施例中,磊晶層158A及磊晶層158B作為覆帽層,分別在後續的製程期間保護磊晶層156A及磊晶層156B(即:磊晶源極/汲極結構150的重度摻雜部分),後續的製程例如與製造源極/汲極接點(contact)有關的製程。磊晶層158A及磊晶層158B分別物理性地接觸磊晶層156A及磊晶層156B,並且在所繪實施例中,分別覆蓋磊晶層156A及磊晶層156B的頂部表面。磊晶層158A以及磊晶層158B進一步地在相鄰之虛擬閘極130A-130C的閘極間隔物132之間延伸,並物理性地接觸相鄰之虛擬閘極130A -130C的閘極間隔物132。在一些實施例中,磊晶層158A以及磊晶層158B分別進一步物理性地接觸最頂部的半導體層120之未被磊晶層154A及/或磊晶層156A以及磊晶層154B及/或磊晶層156B所覆蓋的部分。磊晶層158A與磊晶層158B具有沿著z方向的厚度t5以及沿著x方向的厚度t6。在一些實施例中,厚度t5為約10nm至約30nm。於所繪實施例中,因為磊晶層156A與磊晶層156B具有凹陷的頂部表面且因此並未完全填充源極/汲極凹槽140,於是磊晶層158A與磊晶層158B填充源極/汲極凹槽140的剩餘部分,並且延伸至最頂部之半導體層120的頂部表面下方。於此等實施例中,厚度t5由沿著z方向的厚度t7與沿著z方向的厚度t8給定,其中厚度t7對應磊晶層158A與磊晶層158B之低於最頂部的半導體層120之頂部表面的底部磊晶部分的厚度,而厚度t8則對應磊晶層158A與磊晶層158B之高於最頂部的半導體層120之頂部表面的頂部磊晶部分的厚度。在一些實施例中,厚度t8為約1nm至約15nm。於所繪實施例中,厚度t6分別與磊晶層156A以及磊晶層156B的厚度t4約略相同。在一些實施例中,厚度t6為約20nm至約60nm。在一些實施例中,厚度t6於沿著z方向朝向半導體基板105移動時發生變化。舉例來說,厚度t6從最大厚度減少到最小厚度。在一些實施例中,頂部磊晶部分的厚度t6是實質上均勻的,且為最大厚度,而底部磊晶部分的厚度t6則是從小於最大厚度的厚度減少至最小厚度。在一些實施例中,頂部磊晶部分的厚度t6,從小於最大厚度的厚度增加到最大厚度。
厚度t8對應磊晶層158A與磊晶層158B之高於最頂部的半導體層120之頂部表面的高度,厚度t8可被稱為磊晶源極/汲極結構150的凸起(raised)高度。來到第4C圖,本揭露設想了基於主動區尺寸對厚度t8(即:磊晶源極/汲極結構150的凸起高度)進行調整。在一些實施例中,藉由用於形成磊晶層158A與磊晶層158B(在第4C圖中共同稱為磊晶層158)的製程對厚度t8進行調整。在一些實施例中,厚度t8作為藉由調整源極/汲極蝕刻,及/或調整磊晶層156A與磊晶層156B(在第4C圖中共同稱為磊晶層156)、磊晶層154A與磊晶層154B(在第4C圖中共同稱為磊晶層154)及/或未摻雜磊晶層152的磊晶生長所獲得之源極/汲極凹槽140的輪廓的結果來進行調整。應注意的是,與第2G圖相反,當進行沉積時,磊晶層154沿著源極/汲極凹槽140的側壁以及底部連續地(即:不間斷地)延伸。於此等實施例中,磊晶層154將磊晶層156與半導體層120、內部間隔物148’以及未摻雜磊晶層152分隔,使得磊晶層156並未物理性地接觸半導體層120、內部間隔物148’或是未摻雜磊晶層152。
在第4C圖中,對多重閘極裝置S(即:小主動區裝置)而言,厚度t8等於高度H7,對多重閘極裝置L(即:大主動區裝置)而言,厚度t8等於高度H8,且高度H7小於高度H8(H7 < H8)。換句話說,多重閘極裝置S中之磊晶源極/汲極結構150的凸起高度,小於多重閘極裝置L中之磊晶源極/汲極結構150的凸起高度。在一些實施例中,高度H7為約1nm至約5nm。在一些實施例中,高度H8為約5nm至約15nm。高度H7對高度H8的比值(即:凸起高度比值=H7/H8)小於約1。在一些實施例中,凸起高度比值為約0.2至約0.7,其中小於0.2的凸起高度比值,可能會為大主動區裝置提供具有小於期望之凸起高度的磊晶源極/汲極結構150,並因此妨礙大主動區裝置的性能最佳化,而大於0.7的凸起高度比值,可能會為小主動區裝置提供具有大於期望之凸起高度的磊晶源極/汲極結構150,並因此妨礙小主動區裝置的性能最佳化。因此,藉由如第4A圖中所做的基於主動區尺寸修改源極/汲極凹槽140的源極/汲極輪廓(例如:在小主動區裝置以及大主動區裝置中提供不同的源極/汲極深度及/或不同的高度比值),及/或如第4B圖中所做的形成未摻雜磊晶層152,所揭露的磊晶源極/汲極製造方法可以基於主動區尺寸最佳化磊晶源極/汲極結構150的凸起高度(即:較小的凸起高度用於小主動區裝置,而較大的凸起高度用於大主動區裝置)。
回到第2G圖(第3H圖),磊晶層154A與磊晶層156A包括相同的半導體材料,但具有不同的成分濃度。半導體材料可包括矽、鍺、矽鍺、其他合適的半導體材料、或其組合。於所繪實施例中,在電晶體區域106A為p型電晶體區域的情況下,磊晶層154A與磊晶層156A包括p型摻雜的矽鍺,但具有不同的p型濃度。舉例來說,磊晶層154A的p型摻雜物濃度小於磊晶層156A的p型摻雜物濃度。在一些實施例中,磊晶層154A具有約1×10 20cm -3至約5×10 20cm -3的p型摻雜物濃度(例如:硼濃度),而磊晶層156A具有約5×10 20cm -3至約2×10 21cm -3的p型摻雜物濃度(例如:硼濃度)。在一些實施例中,磊晶層154A具有約0.2at%至約1at%的p型摻雜物濃度,而磊晶層156A具有約1at%至約4at%的p型摻雜物濃度。在一些實施例中,磊晶層154A與磊晶層156A進一步具有不同的鍺濃度。舉例來說,磊晶層156A的鍺濃度大於磊晶層154A的鍺濃度。於所繪實施例中更進一步,半導體層120可包括電晶體區域106A中的鍺,且磊晶層154A中的鍺濃度與半導體層120中的鍺濃度約略相同。舉例來說,電晶體區域106A中的磊晶層154A與半導體層120可以具有約25at%的鍺濃度。本揭露同樣思及了磊晶層154A與磊晶層156A具有不同半導體材料,且半導體材料具有相同或不同摻雜物濃度的實施例。
磊晶層154B與磊晶層156B包括相同的半導體材料,但具有不同的成分濃度。半導體材料可包括矽、鍺、矽鍺、其他合適的半導體材料、或其組合。於所繪實施例中,在電晶體區域106B為n型電晶體區域的情況下,磊晶層154B與磊晶層156B包括n型摻雜的矽,但具有不同的n型濃度。舉例來說,磊晶層154B的n型摻雜物濃度小於磊晶層156B的n型摻雜物濃度。在一些實施例中,磊晶層154B具有約1×10 20cm -3至約5×10 20cm -3的n型摻雜物濃度(例如:磷濃度或砷濃度),而磊晶層156B具有約5×10 20cm -3至約2×10 21cm -3的n型摻雜物濃度(例如:磷濃度或砷濃度)。在一些實施例中,磊晶層154B具有約0.2at%至約1at%的n型摻雜物濃度,而磊晶層156B具有約1at%至約4at%的n型摻雜物濃度。在一些實施例中,磊晶層154B與磊晶層156B進一步具有不同的矽濃度。在一些實施例中,磊晶層154B及/或磊晶層156B實質上不具有鍺(即:鍺濃度約為0at%)。本揭露同樣思及了磊晶層154B與磊晶層156B具有不同半導體材料,且半導體材料具有相同或不同摻雜物濃度的實施例。
磊晶層158A與磊晶層158B包括半導體材料,例如矽、鍺、矽鍺、其他合適的半導體材料、或其組合。於所繪實施例中,在電晶體區域106A為p型電晶體區域且電晶體區域106B為n型電晶體區域的情況下,磊晶層158A包括p型摻雜的矽鍺且磊晶層158B包括n型摻雜的矽。在一些實施例中,磊晶層158A具有約1×10 20cm -3至約3×10 21cm -3的p型摻雜物濃度(例如:硼濃度),而磊晶層158B具有約1×10 21cm -3至約3×10 21cm -3的n型摻雜物濃度(例如:磷濃度或砷濃度)。在一些實施例中,磊晶層158A具有約1at%至約6at%的p型摻雜物濃度,而磊晶層158B具有約1at%至約6at%的n型摻雜物濃度。經過摻雜的磊晶層158A與磊晶層158B,例如本文所提供的,可以降低源極/汲極接觸電阻(R CSD)(即:磊晶源極/汲極結構150與隨後形成之源極/汲極接點之間的電流流動的電阻)。在一些實施例中,磊晶層158A及磊晶層158B的摻雜物濃度,分別大於磊晶層156A及磊晶層156B的摻雜物濃度。在一些實施例中,磊晶層158A及/或磊晶層158B的摻雜物濃度,分別等於磊晶層156A及磊晶層156B的摻雜物濃度。在一些實施例中,例如圖式所繪製的,磊晶層158A及/或磊晶層158B的摻雜物濃度,分別小於磊晶層156A及磊晶層156B的摻雜物濃度。在一些實施例中,磊晶層158A及/或磊晶層158B實質上並未具有摻雜物(例如:未摻雜及/或UID)。
磊晶層154A與磊晶層154B可自半導體層120以及未摻雜磊晶層152生長;磊晶層156A及磊晶層156B可分別自磊晶層154A及磊晶層154B生長;而磊晶層158A及磊晶層158B可分別自磊晶層156A及磊晶層156B生長。磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A及/或磊晶層158B可藉由磊晶製程形成,此磊晶製程執行CVD沉積技術(例如:氣相磊晶(VPE)、超高真空CVD(UHV-CVD)、LPCVD及/或PECVD)、分子束磊晶、其他合適的SEG製程、或其組合。磊晶製程可使用氣態及/或液態前驅物,這些前驅物與半導體基板105、鰭片部分105’、半導體層120、未摻雜磊晶層152、磊晶層154A、磊晶層154B、磊晶層156A及/或磊晶層156B的成分相互作用。在一些實施例中,諸如磊晶生長前驅物、磊晶生長溫度、磊晶生長時間、磊晶生長壓力及/或其他合適的磊晶生長參數的磊晶生長條件經過調整,以達成在半導體表面上進行磊晶生長,同時最小化(甚至不會產生)在介電質表面及/或非半導體表面上的生長。在一些實施例中,磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A及/或磊晶層158B是在沉積期間,藉由將摻雜物添加至磊晶製程的源材料(source material)中進行摻雜的。在一些實施例中,磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A及/或磊晶層158B是在沉積製程之後,藉由離子佈植製程進行摻雜的。在一些實施例中,執行退火製程以活化(activate)磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A、磊晶層158B及/或多重閘極裝置100的其他源極/汲極區域(例如:HDD區域及/或LDD區域)中的摻雜物。
於所繪實施例中,磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A以及磊晶層158B藉由各自的SEG製程形成。在一些實施例中,SEG製程為選擇性CVD製程,例如遠程電漿CVD(RPCVD)製程,RPCVD製程將含矽前驅物及/或含鍺前驅物以及載體氣體導入至製程腔體中,其中含矽前驅物及/或含鍺前驅物與多重閘極裝置100的半導體表面相互作用,以分別形成磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A以及磊晶層158B。含矽前驅物包括SiH 4、Si 2H 6、DCS、SiHCl 3、SiCl 4、其他合適的含矽前驅物、或其組合。含鍺前驅物包括GeH 4、Ge 2H 6、GeCl 4、GeCl 2、其他合適的含鍺前驅物、或其組合。載體氣體可為惰性氣體,例如H 2。在一些實施例中,選擇性CVD製程將含有摻雜物的前驅物導入至製程腔體中,以促進磊晶層154A、磊晶層154B、磊晶層156A、磊晶層156B、磊晶層158A及/或磊晶層158B的原位摻雜。含有摻雜物的前驅物包括硼(例如:B 2H 6)、磷(例如:PH 3)、砷(例如:AsH 3)、其他合適的含摻雜物前驅物、或其組合。在一些實施例中,選擇性CVD製程將含有蝕刻劑的前驅物導入至製程腔體中,以防止或限制矽材料及/或鍺材料在介電質表面及/或非半導體表面上的生長,如同本文所述。於此等實施例中,選擇性CVD製程的參數經過調整,以確保半導體材料在半導體表面上的淨沉積。含有蝕刻劑的前驅物包括氯Cl 2、HCl、可促進所期望之半導體材料(例如:矽及/或鍺)生長選擇性的其他含蝕刻劑前驅物、或其組合。
在一些實施例中,於形成磊晶層154A、磊晶層156A及/或磊晶層158A時,多重閘極裝置100被曝露於沉積氣體中,此沉積氣體包括GeH 4(含鍺前驅物)、DCS(含矽前驅物)、H 2(載體氣體前驅物)、B 2H 6(含摻雜物前驅物)以及HCl(含蝕刻劑前驅物)。在一些實施例中,於形成磊晶層154B、磊晶層156B及/或磊晶層158B時,多重閘極裝置100被曝露於沉積氣體中,此沉積氣體包括DCS(含矽前驅物)、H 2(載體氣體前驅物)、PH 3及/或AsH 3(含摻雜物前驅物)以及HCl(含蝕刻劑前驅物)。在一些實施例中,於形成磊晶層154A、磊晶層156A及/或磊晶層158A時,多重閘極裝置100被曝露於沉積氣體中,此沉積氣體包括GeH 4(含鍺前驅物)、H 2(載體氣體前驅物)、B 2H 6(含摻雜物前驅物)以及HCl(含蝕刻劑前驅物)。在一些實施例中,於形成磊晶層154B、磊晶層156B及/或磊晶層158B時,多重閘極裝置100被曝露於沉積氣體中,此沉積氣體包括SiH 4(含矽前驅物)、H 2(載體氣體前驅物)、PH 3及/或AsH 3(含摻雜物前驅物)以及HCl(含蝕刻劑前驅物)。在一些實施例中,當形成磊晶層156A及/或磊晶層156B(它們在磊晶源極/汲極結構150中具有磊晶層的最高體積以及最高摻雜物濃度)時,蝕刻速率與沈積速率經過調整,以提供從最頂部之半導體層120的頂部表面至少部分地移除(蝕刻)經摻雜半導體材料,具體來說,從最頂部之半導體層120的頂部表面之直接相鄰於多重閘極裝置100之閘極結構(即:閘極間隔物以及虛擬閘極130)的部分至少部分地移除(蝕刻)。於此等實施例中,從最頂部之半導體層120的頂部表面之直接相鄰於多重閘極裝置100之閘極結構的部分將重度摻雜的半導體材料移除,可以減少摻雜物自磊晶源極/汲極結構150擴散到隨後形成的金屬閘極中。於此等實施例中更進一步,磊晶層158A及磊晶層158B(它們可以分別具有低於磊晶層156A及磊晶層156B的摻雜濃度)物理性地接觸最頂部之半導體層120的頂部表面之直接相鄰於多重閘極裝置100之閘極結構的部分。
用於不同類型電晶體(例如:NMOS及PMOS)之磊晶源極/汲極結構150的經摻雜磊晶層,可在不同的製程腔體中形成。在一些實施例中,電晶體區域106A(例如:形成多重閘極裝置100之p型電晶體的地方)中之磊晶源極/汲極結構150的磊晶層154A、磊晶層156A及/或磊晶層158A,在第一CVD製程腔體(或機台)中形成,而電晶體區域106B(例如:形成多重閘極裝置100之n型電晶體的地方)中之磊晶源極/汲極結構150的磊晶層154B、磊晶層156B及/或磊晶層158B,則在第二CVD製程腔體中形成。在一些實施例中,經摻雜磊晶源極/汲極形成是循環的微影/沉積製程。舉例來說,經摻雜磊晶源極/汲極形成可以包括執行第一微影製程,以形成覆蓋電晶體區域106A且曝露電晶體區域106B的第一遮罩層;執行第一沉積序列以形成磊晶層154A、磊晶層156A及/或磊晶層158A;移除第一遮罩層;執行第二微影製程以形成曝露電晶體區域106A且覆蓋電晶體區域106B的第二遮罩層;執行第二沉積序列以形成磊晶層154B、磊晶層156B及/或磊晶層158B;以及移除第一遮罩層。
在一些實施例中,第一沉積序列為三個單獨的沉積操作,依序執行以形成磊晶層154A、磊晶層156A及/或磊晶層158A,及/或第二沉積序列為三個單獨的沉積操作,依序執行以形成磊晶層154B、磊晶層156B及/或磊晶層158B。於此等實施例中,可在每個沉積操作之間暫停沉積,例如藉由在沉積操作之間停止將沉積氣體流入製程腔體中。在一些實施例中,於每個沉積操作之間執行吹淨(purging)製程,吹淨製程在後續的沉積操作之前,從製程腔體移除先前沉積操作的沉積氣體以及來自這些沉積氣體的任何副產物。在一些實施例中,第一沉積序列為一個連續的沉積製程,具有三組不同的沉積條件,以用於形成磊晶層154A、磊晶層156A及/或磊晶層158A,及/或第二沉積序列為一個連續的沉積製程,具有三組不同的沉積條件,以用於形成磊晶層154B、磊晶層156B及/或磊晶層158B。沉積條件可包括含矽前驅物流量及/或濃度、含鍺前驅物流量及/或濃度、含摻雜物前驅物流量及/或濃度、含蝕刻劑前驅物流量及/或濃度、沉積壓力、沉積時間、沉積溫度、其他沉積參數及/或其組合。舉例來說,可在沉積序列期間,使含矽前驅物、含鍺前驅物、含摻雜物前驅物及/或含蝕刻劑前驅物持續地流入製程腔體中,但用於每個沉積階段(即:分別為磊晶層154A/磊晶層154B、磊晶層156A/磊晶層156B以及磊晶層158A/磊晶層158B的沉積)之含矽前驅物、含鍺前驅物、含摻雜物前驅物及/或含蝕刻劑前驅物的濃度及/或流量可以不同。用於每個沉積階段的沉積壓力、沉積時間、沉積溫度及/或其他沉積參數可以不同。
本揭露同樣思及了在電晶體區域106A及電晶體區域106B中,具有不同的配置及/或不同的截面輪廓的磊晶源極/汲極結構150。舉例來說,磊晶層154A之底部磊晶部分的厚度t1,可以不同於(例如:大於) 磊晶層154B之底部磊晶部分的厚度t1,及/或磊晶層154A之側壁磊晶部分的厚度t2,可以不同於(例如:大於) 磊晶層154B之側壁磊晶部分的厚度t2。於另一個範例中,在電晶體區域106A中,磊晶層154A的底部磊晶部分連接至磊晶層154A之最底部的側壁磊晶部分,而在電晶體區域106B中,磊晶層154B的底部磊晶部分並未連接到磊晶層154B之最底部的側壁磊晶部分。於此等實施例中,磊晶層156A並未物理性地接觸最底部的內部間隔物148’,而磊晶層156B則是物理性地接觸最底部的內部間隔物148’。在又一個範例中,磊晶層154A的側壁磊晶部分可以大於磊晶層154B的側壁磊晶部分,使得磊晶層154A的相鄰側壁磊晶部分之間的間隙,小於磊晶層154B的相鄰側壁磊晶部分之間的間隙。在又一個範例中,磊晶層154A與磊晶層154B具有不同的形狀,及/或磊晶層156A與磊晶層156B具有不同的形狀。在又一個範例中,磊晶層156A的厚度t3及/或厚度t4,可不同於磊晶層156B的厚度t3及/或厚度t4。在又一個範例中,磊晶層156A與磊晶層156B具有不同的頂部表面配置。舉例來說,磊晶層156A的凹陷頂部表面可以比磊晶層156B的凹陷頂部表面更淺,使得在形成磊晶層156A以及磊晶層156B之後,電晶體區域106A中之源極/汲極凹槽140的剩餘深度,小於電晶體區域106B中之源極/汲極凹槽140的剩餘深度。於此等實施例中,磊晶層158B將延伸至最頂部之半導體層120的頂部表面下方並且比磊晶層158A更低,使得磊晶層158B的厚度t5大於磊晶層158A的厚度t5。在又一個範例中,磊晶層158A的厚度t5、厚度t6、厚度t7及/或厚度t8,可以不同於磊晶層158B的厚度t5、厚度t6、厚度t7及/或厚度t8。在又一個範例中,磊晶層158A與磊晶層158B具有不同的形狀。
來到第2H圖(以及與之對應的第3I圖),多重閘極裝置100可以經歷進一步的製程。舉例來說,將介電層170(例如:接觸蝕刻停止層(contact etch stop layer, CESL)以及層間介電(interlayer dielectric, ILD))層)形成在多重閘極裝置100上方,並執行CMP製程及/或其他平坦化製程,直到抵達(曝露)虛擬閘極130A-130C的頂部部分(或頂部表面)。介電層170被設置在磊晶源極/汲極結構150上方且介於相鄰的閘極間隔物132之間。介電層170藉由下列方式形成:CVD、PVD、ALD、RPCVD、PECVD、HDPCVD、FCVD、HARP、LPCVD、ALCVD、APCVD、SACVD、MOCVD、其他合適的方法、或其組合。在一些實施例中,ILD層藉由FCVD、HARP、HDPCVD或其組合形成。在一些實施例中,平坦化製程移除了虛擬閘極130A-130C的硬遮罩,以曝露下方之虛擬閘極130A-130C的虛擬閘極電極,例如多晶矽閘極電極。ILD層包括介電材料,舉例來說,介電材料包括氧化矽、碳摻雜的氧化矽、氮化矽、氮氧化矽、正矽酸乙酯(TEOS)形成的氧化物、PSG、BSG、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、Black Diamond® (加利福尼亞州聖塔克拉拉之應用材料公司)、乾凝膠(xerogel)、氣凝膠(aerogel)、非晶氟化碳、聚對二甲苯(parylene)、基於苯環丁烯(BCB)的介電材料、SiLK(密西根州密德蘭之陶氏化學公司)、聚酰亞胺(polyimide)、其他合適的介電材料、或其組合。在一些實施例中,ILD層包括的介電材料所具有之介電常數小於二氧化矽的介電常數(例如:k<3.9)。在一些實施例中,ILD層包括的介電材料所具有之介電常數小於約2.5(即:極低k值(ELK)介電材料),例如SiO 2(例如:多孔(porous)二氧化矽)、碳化矽(SiC)、及/或碳摻雜之氧化物(例如:基於SiCOH的材料(具有例如Si-CH 3鍵)),這些介電材料中的每一者皆經過調整/配置,以表現出小於約2.5的介電常數。ILD層可以包括具有多種介電材料的多層結構。接觸蝕刻停止層包括不同於ILD層的材料,例如不同於ILD層之介電材料的介電材料。舉例來說,在ILD層包括包含有矽與氧的介電材料且所具有之介電常數比約等於二氧化矽之介電常數更小的情況下,接觸蝕刻停止層可以包括矽與氮,例如氮化矽或氮氧化矽。
接著執行閘極替換(gate replacement)製程,以分別用閘極堆疊160A、閘極堆疊160B以及閘極堆疊160C取代虛擬閘極130A-130C。舉例來說,虛擬閘極130A-130C被移除以形成閘極開口(形成於閘極間隔物132及/或內部間隔物148’之間),閘極開口曝露半導體層堆疊110(例如:半導體層120與半導體層115)的通道區域。在一些實施例中,執行蝕刻製程,此蝕刻製程相對於介電層170、閘極間隔物132、內部間隔物148’、半導體層115及/或半導體層120選擇性地移除虛擬閘極130A-130C。換句話說,蝕刻製程實質上移除了虛擬閘極130A-130C,但並未移除或者是實質上並未移除介電層170、閘極間隔物132、內部間隔物148’、半導體層115及/或半導體層120。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或其組合。在一些實施例中,蝕刻製程使用圖案化遮罩層作為蝕刻遮罩,其中圖案化遮罩層覆蓋介電層170及/或閘極間隔物132,但圖案化遮罩層中具有曝露虛擬閘極130A- 130C的開口。
在閘極替換製程期間,於在閘極開口中形成閘極堆疊160A-160C之前,先行執行通道釋放(release)製程以形成懸掛的通道層。舉例來說,由閘極開口所曝露的半導體層115被選擇性地移除,以在半導體層120之間以及半導體層120與半導體平臺105P’之間形成氣隙,進而使半導體層120懸掛於多重閘極裝置100的通道區域中。於所繪實施例中,電晶體區域106A與電晶體區域106B中的每一者具有三個懸掛的半導體層120,它們在下文中被稱為通道層120’, 通道層120’ 沿著z方向垂直堆疊以提供三個通道,其中在多重閘極裝置100之電晶體的操作期間,電流可經由這些通道在對應的磊晶源極/汲極結構150之間流動。在一些實施例中,執行蝕刻製程以選擇性地蝕刻半導體層115,同時最小化(甚至不會產生)對半導體層120、半導體平臺105P’、閘極間隔物132、內部間隔物148’及/或介電層170的蝕刻。在一些實施例中,用於蝕刻製程的蝕刻劑經過選擇,以在高於矽(即:半導體層120和半導體平臺105P’)以及介電材料(即:閘極間隔物132、內部間隔物148’、及/或介電層170)的速率下,對矽鍺(即:半導體層115)進行蝕刻(即:蝕刻劑對於矽鍺具有高蝕刻選擇性)。蝕刻製程為乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程、或其組合。在一些實施例中,於執行蝕刻製程之前,可實施氧化製程以將半導體層115轉化為矽鍺氧化物特徵,其中蝕刻製程接著移除矽鍺氧化物特徵。在一些實施例中,於移除半導體層115期間及/或之後,執行蝕刻製程來修改半導體層120的輪廓,以達成用於通道層120’的目標尺寸及/或目標形狀。
接著,閘極堆疊160A-160C(亦稱為高k值/金屬閘極)被形成在閘極開口中。閘極堆疊160A-160C被設置在對應的閘極間隔物132之間。閘極堆疊160A-160C進一步被設置在對應的內部間隔物148’之間。閘極堆疊160A-160C進一步被設置在通道層120’之間以及通道層120’與半導體平臺105P’之間。於所繪實施例中,在多重閘極裝置為GAA電晶體的情況下,閘極堆疊160A-160C圍繞通道層120’,例如在Y-Z平面上圍繞。在一些實施例中,閘極堆疊160A-160C的形成包括在多重閘極裝置100上方沉積閘極介電層,此閘極介電層部分地填充閘極開口、在閘極介電層上方沉積閘極電極層,此閘極電極層部分地填充閘極開口、在閘極電極層上方沉積硬遮罩層,此硬遮罩層填充閘極開口的剩餘部分、以及在硬遮罩層、閘極電極層及/或閘極介電層上執行諸如CMP的平坦化製程,進而形成閘極堆疊160A-160C。沉積製程可包括CVD、PVD、ALD、RPCVD、PECVD、HDPCVD、FCVD、HARP、LPCVD、ALCVD、APCVD、SACVD、MOCVD、電鍍、其他合適的方法、或其組合。儘管所繪實施例係根據閘極後製(gate last)製程製造金屬閘極堆疊,但是本揭露同樣同樣思及了根據閘極先製(gate first)製程或者是根據閘極後製/閘極先製混合製程來製造金屬閘極堆疊的實施例。
閘極堆疊160A-160C經過配置,以根據多重閘極裝置100的設計要求達成所期望的功能,使得閘極堆疊160A-160C可以包括相同或是不同的薄層及/或材料。在一些實施例中,閘極堆疊160A-160C包括閘極介電質(例如:分別包括閘極介電質162A、閘極介電質162B以及閘極介電質162C,它們之中的每一者可以包括閘極介電層),以及包括閘極電極(例如:分別包括閘極電極164A、閘極電極164B以及閘極電極164C,它們之中的每一者可以包括功函數層與體(或填充)導電層)。閘極堆疊160A-160C可包括許多其他薄層,例如覆蓋層、界面層、擴散層、阻障層、硬遮罩層、或其組合。在一些實施例中,閘極介電質162A-162C包括被設置於界面層(包含介電材料,例如氧化矽)上方的閘極介電層,且閘極電極164A-164C分別被設置於閘極介電質162A-162C上方。閘極介電層包括介電材料,例如氧化矽、高k值介電材料、其他合適的介電材料、或其組合。高k值介電材料的範例包括二氧化鉿(HfO 2)、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO 2-Al 2O 3)合金、其他合適的高k值介電材料、或其組合。高k值介電材料一般是指相對於二氧化矽之介電常數(k≈3.9)具有高介電常數(k值)的介電材料。舉例來說,高k值介電材料所具有的介電常數大於約3.9。在一些實施例中,閘極介電層為高k值介電層。閘極電極164A-164C包括導電材料,例如多晶矽、Al、Cu、Ti、Ta、W、Mo、Co、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他導電材料、或其組合。在一些實施例中,功函數層為經過調整以具有所期望之功函數(例如:n型功函數或p型功函數)的導電層,而體導電層則為形成在功函數層上方的導電層。在一些實施例中,功函數層包括n型功函數材料,例如Ti、Ag、Mn、Zr、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、其他合適的n型功函數材料、或其組合。在一些實施例中,功函數層包括p型功函數材料,例如Ru、Mo、Al、TiN、TaN、WN、ZrSi 2、MoSi 2、TaSi 2、NiSi 2、WN,其他合適的p型功函數材料、或其組合。體導電層包括合適的導電材料,例如Al、W、Cu、Ti、Ta、多晶矽、金屬合金、其他合適的材料、或其組合。硬遮罩層包括任何合適的硬遮罩材料,例如可在後續製程期間保護閘極堆疊160A-160C的任何材料(例如:氮化矽或碳氮化矽),其中後續製程例如與形成閘極接點及/或源極/汲極接點有關的製程。
製程可接著繼續進行到形成裝置層級(level)接點,例如金屬至多晶矽(MP)接點,其通常是指通往(to)閘極堆疊160A-160C的接點,以及金屬至裝置(MD)接點,其通常是指通往多重閘極裝置100之電性主動區(例如:磊晶源極/汲極結構150)的接點。裝置層級接點將IC裝置特徵電性地且物理性地連接至局部接點(local contact)(互連),這將在下文中進一步地描述。舉例來說,藉由下列方式形成源極/汲極接點:執行微影與蝕刻製程(例如本文中所述的微影與蝕刻製程),以形成延伸穿過介電層170以曝露磊晶源極/汲極結構150的接點開口;執行第一沉積製程,以在介電層170上方形成部分填充接點開口的接點阻障材料;以及執行第二沉積製程,以在接點阻障材料上方形成接點體材料,其中接點體材料填充接點開口的剩餘部分。於此等實施例中,接點阻障材料與接點體材料被設置在接點開口中以及介電層170的頂部表面上方。第一沉積製程與第二沉積製程可以包括CVD、PVD、ALD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、PEALD、電鍍、無電電鍍(electroless plating)、其他合適的沉積方法、或其組合。在一些實施例中,於形成接點阻障材料之前,先行在磊晶源極/汲極結構150上方形成矽化物層(例如:藉由在磊晶層158A及/或磊晶層158B上方沉積金屬層,並加熱多重閘極裝置100以促使磊晶層158A及/或磊晶層158B的成分與金屬層的金屬成分產生反應)。在一些實施例中,矽化物層包括金屬成分(例如:鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯、其他合適的金屬、或其組合),以及包括磊晶層158A及/或磊晶層158B的成分(例如:矽及/或鍺)。執行CMP製程及/或其他的平坦化製程,以移除多餘的接點體材料以及接點阻障材料,例如從介電層170的頂部表面上方移除,結果即為源極/汲極接點(即:接點阻障層與接點體層填充了接點開口)。CMP製程平坦化了源極/汲極接點的頂部表面,使得介電層170的頂部表面與源極/汲極接點的頂部表面形成了實質上平坦的表面。
製程可繼續進行到形成多層互連(MLI)特徵的附加特徵,例如中間線路層(middle-of-line layer)(例如:接觸蝕刻停止層、ILD層、通孔、閘極接點及/或源極/汲極接點)以及後段製程(BEOL)結構。BEOL結構可包括MLI特徵之附加的金屬化層(層級),例如第一金屬化層(即:第一金屬(M1)層與第零通孔(V0)層)、第二金屬化層(即:第二金屬(M2)層與第一通孔(V1)層)、…,直到第一金屬化層上方之最頂部的金屬化層(即:第X金屬(MX)層與第Y通孔(VY)層,其中X為MLI特徵之圖案化金屬線層的總數,而Y為MLI特徵之圖案化通孔層的總數)。每個金屬化層包括圖案化金屬線層與圖案化通孔層,被配置以提供設置於絕緣層中的至少一個BEOL互連結構。圖案化金屬線層以及圖案化通孔層由任何合適的製程形成,包括藉由各種雙鑲嵌(dual damascene)製程形成,並且包括任何合適的材料及/或薄層。
第5圖係根據本揭露多種態樣所示,多重閘極裝置200之部分或整體的局部截面圖。為使說明清晰並簡單起見,第1圖中之多重閘極裝置100與第5圖中之多重閘極裝置200的相似特徵,由相同的參考符號表示。多重閘極裝置200在許多態樣上類似於多重閘極裝置100,不同之處在於未摻雜磊晶層152具有實質上平坦、實質上線性的頂部表面。於此等實施例中,未摻雜磊晶層152沿著z方向的厚度是實質上均勻的。多重閘極裝置200可包括在微處理器、記憶體及/或其他IC裝置中。在一些實施例中,多重閘極裝置200可為IC晶片、SoC或者是其一部分的一部分,其包括各種被動與主動微電子裝置,例如電阻器、電容器、電感器、二極體、PFET、NFET、MOSFET、CMOS電晶體、BJT、LDMOS電晶體、高壓電晶體、高頻電晶體、其他合適的組件、或其組合。為使說明清晰,第5圖已被簡化,以更好地理解本揭露的進步性概念。附加的特徵可被添加於多重閘極裝置200中,且在多重閘極裝置200的其他實施例中,下文所述的一些特徵可被替換、修改或是消除。
本文揭露了用於增強多重閘極裝置(例如:鰭式場效電晶體(FET)或閘極全環(GAA)FET)的磊晶源極/汲極結構,以及製造此等磊晶源極/汲極結構的方法。本揭露提供許多不同的實施例。本揭露提供許多不同的實施例。一個範例性半導體結構包括第一通道層、第一通道層上方的第一閘極、相鄰於第一通道層的第一磊晶源極/汲極結構、第二通道層、第二通道層上方的第二閘極、以及相鄰於第二通道層的第二磊晶源極/汲極結構。第一通道層、第一閘極、第一磊晶源極/汲極結構、第二通道層、第二閘極以及第二磊晶源極/汲極結構位於半導體基板上方。第一磊晶源極/汲極結構包括第一未摻雜半導體層以及位於第一未摻雜半導體層上方的第一經摻雜半導體層,而第二磊晶源極/汲極結構包括第二未摻雜半導體層以及位於第二未摻雜半導體層上方的第二經摻雜半導體層。第一未摻雜半導體層介於第一經摻雜半導體層與半導體基板之間。第二未摻雜半導體層介於第二經摻雜半導體層與半導體基板之間。第一未摻雜半導體層以第一深度延伸至半導體基板內,第二未摻雜半導體層以第二深度延伸至半導體基板內,且第二深度不同於第一深度。第一通道層具有第一通道長度,第二通道層具有第二通道長度,且第二通道長度不同於第一通道長度。
在一些實施例中,第一深度大於第二深度,且第一通道長度大於第二通道長度。在一些實施例中,第一未摻雜半導體層與第一經摻雜半導體層在第一磊晶源極/汲極中的第一配置,不同於第二未摻雜半導體層與第二經摻雜半導體層在第二磊晶源極/汲極中的第二配置。
在一些實施例中,第一經摻雜半導體層在半導體基板的最頂部表面下方延伸第一距離,而第二經摻雜半導體層在半導體基板的最頂部表面下方延伸第二距離,其中第二距離不同於第一距離。
在一些實施例中,第一磊晶源極/汲極結構具有第一寬度,第二磊晶源極/汲極結構具有第二寬度,且第一寬度不同於第二寬度。
在一些實施例中,第一通道層設置於半導體基板的第一半導體平臺上方,而第二通道層設置於半導體基板的第二半導體平臺上方。第一未摻雜半導體層相鄰於第一半導體平臺,而第二未摻雜半導體層相鄰於第二半導體平臺。第一未摻雜半導體層的頂部表面以第一高度高於第一半導體平臺的頂部表面,第二未摻雜半導體層的頂部表面以第二高度高於第二半導體平臺的頂部表面,且第一高度等於第二高度。
在一些實施例中,第一半導體平臺具有大於第一高度的第三高度,而第二半導體平臺具有小於第二高度的第四高度。在一些實施例中,第一未摻雜半導體層具有小於第三高度的第五高度,而第二未摻雜半導體層具有小於第四高度的第六高度。
在一些實施例中,第一磊晶源極/汲極結構更包括第一經摻雜半導體層上方的第三經摻雜半導體層以及第三經摻雜半導體層上方的第四經摻雜半導體層,第二磊晶源極/汲極結構更包括第二經摻雜半導體層上方的第五經摻雜半導體層以及第五經摻雜半導體層上方的第六經摻雜半導體層。第一經摻雜半導體層介於第一通道層與第三經摻雜半導體層之間。第二經摻雜半導體層介於第二通道層與第五經摻雜半導體層之間。
一個範例性半導體裝置包括第一電晶體以及第二電晶體。第一電晶體具有第一通道層、圍繞第一通道層的第一閘極、以及被設置為相鄰於第一通道層的第一磊晶源極/汲極結構。第二電晶體具有第二通道層、圍繞第二通道層的第二閘極、以及被設置為相鄰於第二通道層的第二磊晶源極/汲極結構。第一通道層、第一閘極、第一磊晶源極/汲極結構、第二通道層、第二閘極以及第二磊晶源極/汲極結構被設置於半導體基板上方。第一磊晶源極/汲極結構包括具有第一槽狀頂部表面的第一未摻雜磊晶層,以及具有第一內部部分與第一外部部分的第一經摻雜磊晶層,其中第一內部部分具有第一摻雜物濃度且第一外部部分具有第二摻雜物濃度。第二摻雜物濃度小於第一摻雜物濃度,且第一經摻雜磊晶層的第一外部部分被設置於第一未摻雜磊晶層與第一經摻雜磊晶層的第一內部部分之間。第二磊晶源極/汲極結構包括具有第二槽狀頂部表面的第二未摻雜磊晶層,以及具有第二內部部分與第二外部部分的第二經摻雜磊晶層,其中第二內部部分具有第一摻雜物濃度且第二外部部分具有第二摻雜物濃度。第二槽狀頂部表面被配置為不同於第一槽狀頂部表面。第二經摻雜磊晶層的第二外部部分被設置於第二未摻雜磊晶層與第二經摻雜磊晶層的第二內部部分之間。
在一些實施例中,第一未摻雜磊晶層之第一槽狀頂部表面相對於半導體基板之最頂部表面的第一最低點,不同於第二未摻雜磊晶層之第二槽狀頂部表面相對於半導體基板之最頂部表面的第二最低點。
在一些實施例中,第一未摻雜磊晶層與第二未摻雜磊晶層中的每一者,被設置為低於半導體基板的最頂部表面。在一些實施例中,第一通道層具有第一長度,第二通道層具有第二長度,且第二長度不同於第一長度。
在一些實施例中,第一未摻雜磊晶層具有設置於複數第一末端部分之間的第一中央部分,第二未摻雜磊晶層具有設置於複數第二末端部分之間的第二中央部分,第一中央部分與第二中央部分具有不同的輪廓,且複數第一末端部分與複數第二末端部分具有不同的輪廓。
在一些實施例中,第一經摻雜磊晶層之第一外部部分的最底部點與第一磊晶源極/汲極結構的最底部表面之間的第一距離 ,不同於第二經摻雜磊晶層之第二外部部分的最底部點與第二磊晶源極/汲極結構的最底部表面之間的第二距離。
在一些實施例中,第一磊晶源極/汲極結構更包括設置於第一經摻雜磊晶層之第一外部部分上方的第三經摻雜磊晶層,第二磊晶源極/汲極結構更包括設置於第二經摻雜磊晶層之第二外部部分上方的第四經摻雜磊晶層,第三經摻雜磊晶層具有第一厚度,且第四經摻雜磊晶層具有不同於第一厚度的第二厚度。
一個範例性之半導體裝置的製造方法包括形成第一源極/汲極凹槽以及第二源極/汲極凹槽,第一源極/汲極凹槽延伸穿過複數第一半導體層並以第一深度進入半導體基板,而第二源極/汲極凹槽延伸穿過複數第二半導體層並以第二深度進入半導體基板。第一深度不同於第二深度,第一源極/汲極凹槽位於第一尺寸的第一主動區中,而第二源極/汲極凹槽位於第二尺寸的第二主動區中,其中第二尺寸不同於第一尺寸。上述半導體裝置的製造方法更包括在第一源極/汲極凹槽中形成第一未摻雜磊晶層,並在第二源極/汲極凹槽中形成第二未摻雜磊晶層。第一未摻雜磊晶層的第一厚度小於第一深度,且第二未摻雜磊晶層的第二厚度小於第二深度。上述半導體裝置的製造方法更包括在第一源極/汲極凹槽中以及第一未摻雜磊晶層上方形成第一經摻雜磊晶層,並在第二源極/汲極凹槽中以及第二未摻雜磊晶層上方形成第二經摻雜磊晶層。
在一些實施例中,第一未摻雜磊晶層與第二未摻雜磊晶層的形成以及第一經摻雜磊晶層與第二經摻雜磊晶層是異地形成的。
在一些實施例中,第一未摻雜磊晶層與第二未摻雜磊晶層的形成,包括執行選擇性化學氣相沉積製程,以及在選擇性化學氣相沉積製程之後執行蝕刻製程。
在一些實施例中,選擇性化學氣相沉積製程的執行與上述蝕刻製程是原位執行的。
在一些實施例中,第一深度大於複數第一半導體層的最頂部表面與半導體基板的最頂部表面之間的第一距離,而第二深度小於複數第二半導體層的最頂部表面與半導體基板的最頂部表面之間的第二距離。
前述內文概述多項實施例或範例之特徵,如此可使於本技術領域中具有通常知識者更佳地瞭解本揭露之態樣。本技術領域中具有通常知識者應當理解他們可輕易地以本揭露為基礎設計或修改其他製程及結構,以完成相同之目的及/或達到與本文介紹之實施例或範例相同之優點。本技術領域中具有通常知識者亦需理解,這些等效結構並未脫離本揭露之精神及範圍,且在不脫離本揭露之精神及範圍之情況下,可對本揭露進行各種改變、置換以及變更。
10:方法 15~35:方塊 100:多重閘極裝置 105:半導體基板 105’:鰭片部分 105P’:半導體平臺 106A:電晶體區域 106B:電晶體區域 110:半導體層堆疊 115:半導體層 120:半導體層 130A:虛擬閘極 130B:虛擬閘極 130C:虛擬閘極 132’:間隔物層 132:閘極間隔物 140:源極/汲極凹槽 D:深度 d:深度 h:高度 W:寬度 145:間隙 148:間隔物層 148’:內部間隔物 152:未摻雜磊晶層 152’:槽狀區域 152A:基底區域 152B:側壁區域 d1:深度 h1:高度 h2:高度 150:磊晶源極/汲極結構 154A, 154B:磊晶層 156A, 156B:磊晶層 158A, 158B:磊晶層 t1~t8:厚度 120’:通道層 160A:閘極堆疊 160B:閘極堆疊 160C:閘極堆疊 162A:閘極介電質 162B:閘極介電質 162C:閘極介電質 164A:閘極電極 164B:閘極電極 164C:閘極電極 170:介電層 125:隔離特徵 A-A’:線段 130:虛擬閘極 H1:高度 H2:高度 W1:寬度 W2:寬度 S:多重閘極裝置 L:多重閘極裝置 H3~H6:高度 154:磊晶層 156:磊晶層 158:磊晶層 H7:高度 H8:高度 200:多重閘極裝置
本揭露自後續實施方式及附圖可更佳理解。須強調的是,依據產業之標準作法,各種特徵並未按比例繪製,並且僅用於說明之目的。事實上,各種特徵之尺寸可能任意增加或減少以清楚論述。 第1圖係根據本揭露多種態樣所示,用於製造具有增強之磊晶源極/汲極結構的多重閘極裝置之方法的流程圖。 第2A圖至第2H圖係根據本揭露多種態樣所示,在與第1圖之方法相關的多種製造階段,具有增強之磊晶源極/汲極結構的多重閘極裝置之部分或整體的局部截面圖。 第3A圖至第3I圖係根據本揭露多種態樣所示,在與第1圖之方法相關的多種製造階段,具有增強之磊晶源極/汲極結構的多重閘極裝置之部分或整體的局部透視圖。 第4A圖至第4C圖係根據本揭露多種態樣所示,在與第1圖之方法相關的多種製造階段,具有不同主動區尺寸的多重閘極裝置之部分或整體的局部截面圖。 第5圖係根據本揭露多種態樣所示,可由第1圖之方法製造,具有增強之磊晶源極/汲極結構的另一個多重閘極裝置之部分或整體的局部截面圖。
105:半導體基板
105P’:半導體平臺
115:半導體層
120:半導體層
130:虛擬閘極
150:磊晶源極/汲極結構
152:未摻雜磊晶層
154:磊晶層
156:磊晶層
158:磊晶層
H7:高度
H8:高度
S:多重閘極裝置
L:多重閘極裝置

Claims (11)

  1. 一種半導體結構,包括:一半導體基板;一第一通道層、上述第一通道層上方的一第一閘極、以及相鄰於上述第一通道層的一第一磊晶源極/汲極結構,其中上述第一通道層、上述第一閘極以及上述第一磊晶源極/汲極結構位於上述半導體基板上方,且上述第一磊晶源極/汲極結構更包括:一第一未摻雜半導體層;以及一第一經摻雜半導體層,位於上述第一未摻雜半導體層上方,其中上述第一未摻雜半導體層介於上述第一經摻雜半導體層與上述半導體基板之間;一第二通道層、上述第二通道層上方的一第二閘極、以及相鄰於上述第二通道層的一第二磊晶源極/汲極結構,其中上述第二通道層、上述第二閘極以及上述第二磊晶源極/汲極結構位於上述半導體基板上方,且上述第二磊晶源極/汲極結構更包括:一第二未摻雜半導體層;以及一第二經摻雜半導體層,位於上述第二未摻雜半導體層上方,其中上述第二未摻雜半導體層介於上述第二經摻雜半導體層與上述半導體基板之間;其中上述第一未摻雜半導體層以一第一深度延伸至上述半導體基板內,上述第二未摻雜半導體層以一第二深度延伸至上述半導體基板內,且上述第二深度不同於上述第一深度;以及其中上述第一通道層具有一第一通道長度,上述第二通道層具有一第二通道長度,且上述第二通道長度不同於上述第一通道長度。
  2. 如請求項1之半導體結構,其中上述第一深度大於上述第二深度,且上述第一通道長度大於上述第二通道長度。
  3. 如請求項1之半導體結構,其中上述第一經摻雜半導體層在上述半導體基板的一最頂部表面下方延伸一第一距離,而上述第二經摻雜半導體層在上述半導體基板的上述最頂部表面下方延伸一第二距離,其中上述第二距離不同於上述第一距離。
  4. 如請求項1之半導體結構,其中:上述第一通道層設置於上述半導體基板的一第一半導體平臺上方,而上述第二通道層設置於上述半導體基板的一第二半導體平臺上方;上述第一未摻雜半導體層相鄰於上述第一半導體平臺,而上述第二未摻雜半導體層相鄰於上述第二半導體平臺;上述第一未摻雜半導體層的一頂部表面以一第一高度高於上述第一半導體平臺的一頂部表面;上述第二未摻雜半導體層的一頂部表面以一第二高度高於上述第二半導體平臺的一頂部表面;以及上述第一高度等於上述第二高度。
  5. 如請求項1之半導體結構,其中:上述第一磊晶源極/汲極結構更包括上述第一經摻雜半導體層上方的一第三經摻雜半導體層以及上述第三經摻雜半導體層上方的一第四經摻雜半導體層,其中上述第一經摻雜半導體層介於上述第一通道層與上述第三經摻雜半導體層之間;以及上述第二磊晶源極/汲極結構更包括上述第二經摻雜半導體層上方的一第五 經摻雜半導體層以及上述第五經摻雜半導體層上方的一第六經摻雜半導體層,其中上述第二經摻雜半導體層介於上述第二通道層與上述第五經摻雜半導體層之間。
  6. 一種半導體裝置,包括:一第一電晶體,具有一第一通道層、圍繞上述第一通道層的一第一閘極、以及被設置為相鄰於上述第一通道層的一第一磊晶源極/汲極結構,其中上述第一通道層、上述第一閘極以及上述第一磊晶源極/汲極結構被設置於一半導體基板上方,且上述第一磊晶源極/汲極結構包括:一第一未摻雜磊晶層,具有一第一槽狀頂部表面;以及一第一經摻雜磊晶層,具有一第一內部部分以及一第一外部部分,上述第一內部部分具有一第一摻雜物濃度且上述第一外部部分具有一第二摻雜物濃度,其中上述第二摻雜物濃度小於上述第一摻雜物濃度,且上述第一經摻雜磊晶層的上述第一外部部分被設置於上述第一未摻雜磊晶層與上述第一經摻雜磊晶層的上述第一內部部分之間;一第二電晶體,具有一第二通道層、圍繞上述第二通道層的一第二閘極、以及被設置為相鄰於上述第二通道層的一第二磊晶源極/汲極結構,其中上述第二通道層、上述第二閘極以及上述第二磊晶源極/汲極結構被設置於上述半導體基板上方,且上述第二磊晶源極/汲極結構包括:一第二未摻雜磊晶層,具有一第二槽狀頂部表面,上述第二槽狀頂部表面被配置為不同於上述第一槽狀頂部表面;以及一第二經摻雜磊晶層,具有一第二內部部分以及一第二外部部分,上述第二內部部分具有上述第一摻雜物濃度且上述第二外部部分具有上述第二摻雜物濃 度,其中上述第二經摻雜磊晶層的上述第二外部部分被設置於上述第二未摻雜磊晶層與上述第二經摻雜磊晶層的上述第二內部部分之間。
  7. 如請求項6之半導體裝置,其中上述第一未摻雜磊晶層之上述第一槽狀頂部表面相對於上述半導體基板之一最頂部表面的一第一最低點,不同於上述第二未摻雜磊晶層之上述第二槽狀頂部表面相對於上述半導體基板之上述最頂部表面的一第二最低點。
  8. 如請求項6之半導體裝置,其中上述第一未摻雜磊晶層與上述第二未摻雜磊晶層中的每一者,被設置為低於上述半導體基板的一最頂部表面。
  9. 如請求項6之半導體裝置,其中上述第一經摻雜磊晶層之上述第一外部部分的一最底部點與上述第一磊晶源極/汲極結構的一最底部表面之間的一第一距離,不同於上述第二經摻雜磊晶層之上述第二外部部分的一最底部點與上述第二磊晶源極/汲極結構的一最底部表面之間的一第二距離。
  10. 一種半導體裝置的製造方法,包括:形成一第一源極/汲極凹槽以及一第二源極/汲極凹槽,上述第一源極/汲極凹槽延伸穿過複數第一半導體層並以一第一深度進入一半導體基板,而上述第二源極/汲極凹槽延伸穿過複數第二半導體層並以一第二深度進入上述半導體基板,其中上述第一深度不同於上述第二深度,上述第一源極/汲極凹槽位於一第一尺寸的一第一主動區中,而上述第二源極/汲極凹槽位於一第二尺寸的一第二主動區中,上述第二尺寸不同於上述第一尺寸;在上述第一源極/汲極凹槽中形成一第一未摻雜磊晶層,並在上述第二源極/汲極凹槽中形成一第二未摻雜磊晶層,其中上述第一未摻雜磊晶層的一第一厚度小於上述第一深度,且上述第二未摻雜磊晶層的一第二厚度小於上述第二深 度;以及在上述第一源極/汲極凹槽中以及上述第一未摻雜磊晶層上方形成一第一經摻雜磊晶層,並在上述第二源極/汲極凹槽中以及上述第二未摻雜磊晶層上方形成一第二經摻雜磊晶層。
  11. 如請求項10之半導體裝置的製造方法,其中:上述第一深度大於上述第一半導體層的一最頂部表面與上述半導體基板的一最頂部表面之間的一第一距離;以及上述第二深度小於上述第二半導體層的一最頂部表面與上述半導體基板的上述最頂部表面之間的一第二距離。
TW111119854A 2021-06-04 2022-05-27 半導體結構、半導體裝置及其製造方法 TWI822061B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163196794P 2021-06-04 2021-06-04
US63/196,794 2021-06-04
US17/685,796 2022-03-03
US17/685,796 US20220392894A1 (en) 2021-06-04 2022-03-03 Epitaxial Source/Drain Structures for Multigate Devices and Methods of Fabricating Thereof

Publications (2)

Publication Number Publication Date
TW202303971A TW202303971A (zh) 2023-01-16
TWI822061B true TWI822061B (zh) 2023-11-11

Family

ID=83378689

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111119854A TWI822061B (zh) 2021-06-04 2022-05-27 半導體結構、半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US20220392894A1 (zh)
CN (1) CN115132661A (zh)
TW (1) TWI822061B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200035696A1 (en) * 2016-09-30 2020-01-30 Institute Of Microellectronics, Chinese Academy Of Sciences Memory device, method of manufacturing the same, and electronic device including the same
US20200066725A1 (en) * 2018-08-27 2020-02-27 Samsung Electronics Co., Ltd. Semiconductor device
US20200312999A1 (en) * 2019-03-26 2020-10-01 International Business Machines Corporation Series connected stacked vertical transistors for high voltage applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200035696A1 (en) * 2016-09-30 2020-01-30 Institute Of Microellectronics, Chinese Academy Of Sciences Memory device, method of manufacturing the same, and electronic device including the same
US20200066725A1 (en) * 2018-08-27 2020-02-27 Samsung Electronics Co., Ltd. Semiconductor device
US20200312999A1 (en) * 2019-03-26 2020-10-01 International Business Machines Corporation Series connected stacked vertical transistors for high voltage applications

Also Published As

Publication number Publication date
CN115132661A (zh) 2022-09-30
TW202303971A (zh) 2023-01-16
US20220392894A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
TWI711075B (zh) 半導體結構及其製造方法
TWI655681B (zh) 半導體結構的製造方法
US11830934B2 (en) Increasing source/drain dopant concentration to reduced resistance
US11289343B2 (en) Method of gap filling using conformal deposition-annealing-etching cycle for reducing seam void and bending
US11848230B2 (en) Different isolation liners for different type FinFETs and associated isolation feature fabrication
TWI737007B (zh) 積體電路裝置及其形成方法
US20220216318A1 (en) Finfet having a work function material gradient
US20210351041A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI822061B (zh) 半導體結構、半導體裝置及其製造方法
TW202137488A (zh) 積體電路裝置
US20230124549A1 (en) Isolation for Multigate Devices
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
US11637042B2 (en) Self-aligned metal gate for multigate device
TWI837803B (zh) 半導體結構及其製造方法
US20230178418A1 (en) Multigate device structure with engineered cladding and method making the same
TWI835315B (zh) 半導體裝置的製造方法
US20230378304A1 (en) Source and Drain Enginering Process for Multigate Devices
US20230137528A1 (en) Multigate Device Structure with Stepwise Isolation Features and Method Making the Same
US20230261077A1 (en) Isolation for Multigate Devices
US20230395436A1 (en) Semiconductor devices with low leakage current and methods of fabricating the same
TW202410467A (zh) 半導體結構及其製造方法
TW202339110A (zh) 半導體結構及其製造方法
KR20230115199A (ko) 얇은 실리콘 캡을 적용한 게이트 스택 형성 방법