KR20240060770A - 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적 - Google Patents

유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적 Download PDF

Info

Publication number
KR20240060770A
KR20240060770A KR1020240055949A KR20240055949A KR20240060770A KR 20240060770 A KR20240060770 A KR 20240060770A KR 1020240055949 A KR1020240055949 A KR 1020240055949A KR 20240055949 A KR20240055949 A KR 20240055949A KR 20240060770 A KR20240060770 A KR 20240060770A
Authority
KR
South Korea
Prior art keywords
metal
substrate
deposited
deposition
dielectric
Prior art date
Application number
KR1020240055949A
Other languages
English (en)
Inventor
수비 피. 하우카
라이야 에이치. 마테로
엘리나 파름
톰 이. 블롬베르그
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20240060770A publication Critical patent/KR20240060770A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Laminated Bodies (AREA)

Abstract

기판의 제2의, 유전체 표면에 대하여 기판의 제1 금속 또는 금속성 표면 상에 물질을 선택적으로 퇴적하기 위한, 또는 제2 실리콘 산화물 표면에 대하여 기판의 제1 금속 산화물 표면 상에 금속 산화물들을 선택적으로 퇴적하기 위한 방법들이 제공된다. 상기 선택적으로 퇴적된 물질은 예를 들어, 금속, 금속 산화물, 금속 질화물, 금속 실리사이드, 금속 탄화물 및/또는 유전체 물질일 수 있다. 일부 실시예들에서, 제1 금속 또는 금속성 표면 및 제2 유전체 표면을 포함하는 기판이 제1 기상 금속 할라이드 반응물 및 제2 반응물과 교대로 그리고 순차적으로 접촉된다. 일부 실시예들에서, 제1 금속 산화물 표면 및 제2 실리콘 산화물 표면을 포함하는 기판이 제1 기상 금속 플루오라이드 또는 클로라이드 반응물 및 물과 교대로 그리고 순차적으로 접촉된다.

Description

유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적{Selective deposition on metal or metallic surfaces relative to dielectric surfaces}
<관련 출원들에 대한 상호-참조>
본 출원은 "SELECTIVE DEPOSITION ON METAL OR METALLIC SURFACES RELATIVE TO DIELECTRIC SURFACES"라는 명칭으로 2015년 8월 3일 출원된 미국 예비 특허출원 번호 62/200,502호 및 "SELECTIVE DEPOSITION ON METAL OR METALLIC SURFACES RELATIVE TO DIELECTRIC SURFACES"라는 명칭으로 2016년 1월 21일 출원된 미국 예비 특허출원 번호 62/281,593호의 우선권의 이익을 주장한다. 그리하여 그것들의 전체는 본 명세서에 참조로써 통합된다.
본 출원 발명은 SiO2 표면과 같은 제2 유전체 표면에 대하여 기판의 제1 금속 또는 금속성 표면 상에서의 물질의 선택적 퇴적에 관한 것이다.
현재 집적 회로들은 다양한 물질 층들이 반도체 기판 상에서 예정된 배열로 순차적으로 구성되는 정교한 공정에 의해 제조된다.
반도체 기판 상에서 물질들의 예정된 배열은 흔히 전체 기판 표면 위로 물질의 퇴적, 마스크층의 퇴적 및 후속되는 선택적 식각 공정과 같은, 상기 기판의 예정된 지역들로부터 물질의 제거가 이어짐으로써 달성된다.
어떤 경우들에서는, 기판 상에 집적된 표면을 제조하는 데 관련된 단계들의 수는 선택적 퇴적 공정을 활용함으로써 감소될 수 있으며, 여기서 물질은 후속되는 공정에 대한 필요성 없이 또는 감소된 필요성과 함께 제2 표면에 대하여 제1 표면 상으로 선택적으로 퇴적된다. 상기 기판의 제2, 유전체 표면에 대하여 상기 기판의 제1 금속 또는 금속성 표면 상에서의 선택적 퇴적을 위한 방법들이 여기에 개시된다.
본 발명이 해결하고자 하는 과제는 개선된 선택적 퇴적 방법을 제공하는 데 있다.
일부 실시예들에서, 기판의 제2 유전체 표면에 대하여 상기 기판의 제1 금속 또는 금속성 표면 상에 물질을 선택적으로 퇴적하는 방법들이 제공된다. 일부 실시예들에서, 상기 방법은 약 225℃ 이상의 온도에서 금속 할라이드를 포함하는 제1 기상 전구체로 상기 기판을 접촉시키는 단계; 제2 기상 전구체로 상기 기판을 접촉시키는 단계; 및 선택적으로 원하는 두께의 물질이 상기 제1 금속 또는 금속성 표면 상에 퇴적될 때까지 상기 접촉시키는 단계들을 반복하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 물질은 약 50% 위의 선택성을 갖고 상기 제2 유전체 표면에 대하여 상기 제1 금속 또는 금속성 표면 상에 퇴적될 수 있다. 일부 실시예들에서, 상기 금속 할라이드는 Nb, Ta, Mo, W, V, Cr의 플루오라이드들 또는 클로라이드들로부터 선택된다. 일부 실시예들에서, 상기 제1 전구체는 NbCl5, NbF5, TaCl5, TaF5, MoFx, MoClx, VFx, VClx 및 CrFx로부터 선택된다. 일부 실시예들에서, 상기 제1 전구체는 NbCl5, NbF5, TaCl5, TaF5로부터 선택된다. 일부 실시예들에서, 상기 제2 유전체 표면은 Si-O 결합들을 포함한다. 일부 실시예들에서, 상기 상기 제2 유전체 표면은 비전도성 표면을 포함한다. 일부 실시예들에서, 상기 금속 할라이드는 텅스텐을 포함하지 않는다. 일부 실시예들에서, 상기 물질은 금속, 금속 산화물, 금속 실리사이즈, 금속 탄화물 또는 금속 질화물을 포함한다. 일부 실시예들에서, 약 300℃ 이상의 온도에서 상기 기판을 금속 할라이드를 포함하는 제1 기상 전구체와 접촉시키는 단계를 더 포함할 수 있다. 일부 실시예들에서, 상기 제2 전구체는 실란을 포함할 수 있다. 일부 실시예들에서, 상기 실란은 디실란, 트리실란, 및 알킬실란으로부터 선택될 수 있다. 일부 실시예들에서, 상기 실란은 디에틸실란이다. 일부 실시예들에서, 상기 제2 전구체는 O-H 결합을 포함하거나 또는 상기 표면에 OH-기들을 제공할 수 있다. 일부 실시예들에서, 상기 제2 전구체는 물 또는 H2O2를 포함할 수 있다. 일부 실시예들에서, 퇴적된 상기 물질을 산소를 포함하는 반응물에 노출시킴으로써, 퇴적된 상기 물질을 산소를 포함하는 물질로 전환시키는 단계를 더 포함할 수 있다. 일부 실시예들에서, 100 퇴적 사이클들 후에 상기 기판의 상기 제2 유전체 표면 상에 측정가능한 물질의 퇴적이 없을 수 있다. 일부 실시예들에서, 상기 물질은 약 95% 위의 선택성를 가지며 상기 제2 유전체 표면에 대하여 상기 제1 금속 또는 금속성 표면 상에 퇴적될 수 있다.
일부 실시예들에서, 기판의 제2 SiO2 표면에 대하여 상기 기판의 제1 금속 산화물 또는 유전체 표면 상에 금속 산화물 막을 선택적으로 퇴적하는 방법으로서, 상기 방법은 상기 기판을 금속 할라이드를 포함하는 기상의 제1 반응물 및 물을 포함하는 기상의 제2 반응물과 교대로 그리고 순차적으로 접촉시키는 단계를 포함하는 하나 이상의 퇴적 사이클들을 포함할 수 있다. 일부 실시예들에서, 상기 기판은 약 225℃ 위의 온도에서 상기 제1 반응물과 접촉될 수 있다. 일부 실시예들에서, 상기 금속 산화물 막은 약 50% 위의 선택성을 갖고 상기 제2 SiO2 표면에 대하여 상기 제1 금속 산화물 또는 유전체 표면 상에 퇴적될 수 있다. 일부 실시예들에서, 상기 제1 금속 산화물 표면은 텅스텐 산화물, 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 또는 질코늄 산화물을 포함할 수 있다. 일부 실시예들에서, 퇴적된 상기 금속 산화물 막은 NbOx를 포함할 수 있다.
본 발명은 상세한 설명으로부터 그리고 첨부한 도면들로부터 더욱 잘 이해될 것이며, 이것들은 본 발명을 설명하기 위한 것을 의미하며 본 발명을 제한하기 위한 것은 아니다.
도 1은 동일한 기판의 제2 유전체 표면에 대하여 상기 기판의 제1 금속 표면 상으로 물질을 선택적으로 퇴적하기 위한 공정을 일반적으로 나타내는 공정 흐름도이다.
도 2는 대략 20 nm 두께의 Ti 층 상에 물리 기상 퇴적(PVD) 공정에 의해 퇴적된 20 nm 내지 30 nm 두께의 텅스텐층 상에 퇴적된 대략 100 nm의 NbOx를 보여주는 단면 주사전자 현미경 사진이다.
도 3은 동일한 기판의 상기 SiO2 표면에 대하여 상기 기판의 텅스텐/텅스텐 산화물 표면 상에 선택적으로 퇴적된 Nb2O5 막의 주사전자 현미경 사진이다.
본 개시의 일부 태양들에 따라, 어떠한 별개의 보호 케미컬들 또는 차단제에 대한 요구없이 선택적 퇴적이 제2 표면에 대하여 제1 표면 상에서 물질을 퇴적하기 위해 사용될 수 있다. 그러나 일부 실시예들에서, 원한다면 보호 케미컬들 또는 차단제가 사용될 수도 있다. 이들은 예를 들어, 자기-조립된(self-assembled) 단층들을 포함할 수 있다. 일부 실시예들에서, 물질이 상기 기판의 제2의, 유전체 표면에 대하여 기판의 제1 금속 또는 금속성 표면 상에 선택적으로 퇴적될 수 있다. 일부 실시예들에서, 상기 제2 표면은 SiO2 기반(based) 표면과 같은 -OH 기들을 포함한다. 일부 실시예들에서, NbOx와 같은 금속 산화물이 제2의, 상이한 SiO2 표면에 대하여 기판의 제1 금속 산화물 또는 유전체 표면 상에 선택적으로 퇴적된다. 일부 실시예들에서, 원자층 퇴적(ALD) 타입 공정들이 사용된다. 물질의 선택적 퇴적이 완료된 후, 원하는 구조들을 형성하기 위해 추가 공정이 수행될 수 있다.
그렇지 않다고 지적되지 않는다면, 만약 여기에서 표면이 금속 표면으로 지칭된다면, 이것은 금속 표면 또는 금속성 표면일 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 금속, 예를 들어, 원소 금속, 금속 질화물, 금속 실리사이드, 금속 탄화물 및/또는 이들의 혼합물을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 표면 산화, 예를 들어 자연 금속 산화물의 표면층을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면의 상기 금속 또는 금속성 물질은 표면 산화와 함께 또는 표면 산화 없이 전기적으로 전도성이다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 H-종단(H-terminated) 실리콘과 같은 실리콘을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 H-종단 실리콘 표면과 같은 실리콘 표면일 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 H-종단 실리콘 표면과 같은 실리콘 표면이 아니다. 상기 제1 금속 또는 금속성 표면은 또한 여기서 제1 표면으로서 지칭될 수도 있다.
일부 실시예들에서, 상기 금속 또는 금속성 표면은 하나 이상의 전이 금속들을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 알루미늄을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 Al, Cu, Co, Ni, W 중의 하나 이상을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 티타늄 질화물을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 Ru와 같은 하나 이상의 귀금속을 포함할 수 있다. 일부 실시예들에서, 상기 금속 또는 금속성 표면은 예를 들어, 루테늄 산화물과 유사한 귀금속 산화물과 같은 전도성 금속 산화물을 포함한다.
일부 실시예들에서, 물질은 금속 산화물 표면을 포함하는 제1 금속 표면 상에 선택적으로 퇴적된다. 금속 산화물 표면은, 예를 들어 텅스텐 산화물, 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 또는 질코늄 산화물 표면일 수 있다. 일부 실시예들에서, 금속 산화물 표면은 금속성 물질의 산화된 표면이다. 일부 실시예들에서, 금속 산화물 표면은 예를 들어, O3, H2O, H2O2, O2, 산소 원자들, 플라즈마 또는 라디컬들 또는 이들의 혼합물들을 포함하는 화합물과 같은 산소 화합물을 사용하여 적어도 금속성 물질의 표면을 산화시킴으로써 생성된다. 일부 실시예들에서, 금속 산화물 표면은 금속성 물질 상에 형성된 자연 산화물이다.
일부 실시예들에서, 물질은 제2 SiO2 표면에 대하여 유전체 표면을 포함하는 제1 표면 상에 선택적으로 퇴적된다.
일부 실시예들에서, 금속, 금속 산화물, 금속 실리사이드, 금속 탄화물 또는 금속 질화물 또는 이들의 혼합물이 기판의 제2 유전체 표면에 대하여 상기 기판의 제1 금속 또는 금속성 표면 상에 선택적으로 퇴적된다. 일부 실시예들에서, 선택적으로 퇴적된 상기 물질은 텅스텐 또는 텅스텐 실리사이드가 아니다. 여기서 유전체라는 용어는 다른 표면, 즉 상기 금속 또는 금속성 표면과의 구별에 있어서 단순화를 위해 사용된다. 특별한 실시예들과 관련하여 다르게 지적하지 않는다면, 본 출원의 맥락에서 상기 유전체라는 용어는 전기적으로 비전도성인 또는 매우 높은 저항력을 갖는 모든 표면들을 커버하는 것으로 이해될 수 있다. 일부 실시예들에서, 상기 유전체 표면은 여기에서 상기 제2 표면으로서 지칭될 수도 있다. 일부 실시예들에서, 상기 제2 표면은 어떠한 유전체 표면을 포함할 수 있다.
일부 실시예들에서, 금속 산화물은 기판의 제1 금속 표면 상에 선택적으로 퇴적되며, 여기서 상기 금속 표면은 제2 SiO2 표면에 대하여 금속 산화물을 포함한다. 일부 실시예들에서, 상기 제1 금속 산화물 표면은 예를 들어, 텅스텐 산화물, 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물 또는 질코늄 산화물 표면일 수 있다. 일부 실시예들에서, 상기 금속 산화물은 제2 SiO2 표면에 대하여 제1 유전체 표면 상에 퇴적된다. 일부 실시예들에서, 상기 제1 금속 산화물 표면 상에 퇴적된 상기 금속 산화물은 NbOx, 예를 들어 Nb2O5이다. 상기 제2 SiO2 표면은 예를 들어, 자연 산화물, 열 산화물 또는 화학적 산화물일 수 있다.
단순화를 위해, 막들과 같은 물질들은 여기서 화학식 MOx로 지칭될 수 있으며, 여기서 M은 금속이다. 상기 화학식 MOx는 상기 물질이 기본적으로 금속 M 및 산소를 포함하는 것을 가리키지만, 불순물들이 또한 이러한 물질에 존재할 수도 있다. 일부 실시예들에서, 상기 화학식 MOx는 상기 물질이 상기 금속 M의 산화물이라는 것을 가리킨다.
도 1은 동일한 기판의 제2 유전체 표면에 대하여 상기 기판의 제1 금속 또는 금속성 표면 상에 물질을 선택적으로 퇴적하기 위한 공정(10)을 일반적으로 보여주는 공정 흐름도이다.
일부 실시예들에서, 단계 11에서 제1 금속 또는 금속성 표면 및 제2 유전체 표면을 포함하는 기판이 제공된다. 일부 실시예들에서, 금속 산화물 표면을 포함하는 제1 금속 표면을 포함하는 기판이 제공된다. 일부 실시예들에서, 상기 제2 표면은 OH-기들을 포함할 수 있다. 일부 실시예들에서, 상기 제2 표면은 SiO2 기반 표면일 수 있다. 일부 실시예들에서, 상기 제2 표면은 Si-O 결합들을 포함할 수 있다. 일부 실시예들에서, 상기 제2 표면은 SiO2 기반 로우(low)-k 물질을 포함할 수 있다. 일부 실시예들에서, 상기 제2 표면은 약 30%보다 많은 또는 약 50%보다 많은 SiO2를 포함할 수 있다. 일부 실시예들에서, 상기 제2 펴면은 GeO2를 포함할 수 있다. 일부 실시예들에서, 상기 제2 표면은 Ge-O 결합들을 포함할 수 있다.
일부 실시예들에서, 상기 기판을 제1 반응물과 접촉시키기 전에 전처리 또는 세정 단계 12가 선택적으로 사용된다. 상기 전처리 또는 세정 단계는 플라즈마, 수소 또는 열 처리를 포함할 수 있다. 예를 들어, 일부 실시예들에서, 만약 있다면 상기 기판의 상기 금속 또는 금속성 표면의 산화된 부분은 선택적으로 감소된다. 일부 실시예들에서, 상기 산화된 표면은 수소 또는 플라즈마, 라디컬들 또는 원자들을 함유하는 수소로 감소될 수 있다. 일부 실시예들에서, 상기 산화된 표면은 예를 들어 포름산과 같은 카르복실산에 노출시킴으로써, 플라즈마없이 감소될 수 있다.
만약 수행되었다면, 상기 선택적인 전처리 또는 세정 단계 12에 이어서, 상기 기판은 단계 13에서 금속 할라이드를 포함하는 제1 반응물과 접촉된다. 일부 실시예들에서, 상기 금속 할라이드는 금속 플루오라이드 또는 금속 클로라이드이다. 일부 실시예들에서, 상기 금속 할라이드는 상기 유전체 표면에 대하여 상기 기판의 상기 금속 또는 금속성 표면 상에 선택적으로 흡착된다. 일부 실시예들에서, 보다 많은 양의 금속 할라이드가 상기 유전체 표면에 대하여 상기 금속 또는 금속성 표면 상에 흡착된다. 일부 실시예들에서, 실질적으로 상기 유전체 표면 상에는 아무런 금속 할라이드도 흡착되지 않는다. 일부 실시예들에서, 상기 유전체 표면 상에는 아무런 금속 할라이드도 흡착되지 않는다. 일부 실시예들에서, 상기 유전체 표면 상에는 아무런 실질적 또는 검출가능한 양의 금속 할라이드도 흡착되지 않는다.
일부 실시예들에서, 금속 산화물 표면을 포함하는 제1 금속 표면 및 제2 SiO2 표면을 포함하는 기판이 예를 들어 금속 플루오라이드 또는 금속 클로라이드와 같은 금속 할라이드 반응물과 접촉된다. 예를 들어, 상기 기판은 NbF5와 접촉될 수 있다. 일부 실시예들에서, 상기 금속 할라이드는 상기 SiO2 표면에 대하여 상기 금속 산화물 표면 상에 선택적으로 흡착된다. 일부 실시예들에서, 더 많은 양의 금속 할라이드가 상기 SiO2 표면에 대하여 상기 금속 산화물 표면 상에 흡착된다. 일부 실시예들에서, 실질적으로 아무런 금속 할라이드가 상기 SiO2 표면 상에 흡착되지 않는다. 일부 실시예들에서, 아무런 금속 할라이드가 상기 SiO2 표면 상에 흡착되지 않는다. 일부 실시예들에서, 아무런 실질적 또는 검출가능한 양의 금속 할라이드도 상기 SiO2 표면 상에 흡착되지 않는다.
일부 실시예들에서, 상기 금속, 금속성 또는 금속 산화물 표면 상에서 상기 흡착된 금속 할라이드는 이어서 단계 14에서 예를 들어, 산화물 또는 금속성 물질, 실리사이드, 금속 질화물, 금속 탄화물 또는 이들의 혼합물과 같은, 원하는 물질을 형성하기 위해 하나 이상의 부가적 반응물들과 반응될 수 있다. 예를 들어, 일부 실시예들에서, 흡착된 금속 할라이드는 물과 같은 -OH기를 포함하는 제2 반응물과 반응할 수 있으며, 금속 산화물을 형성한다. 상기 원하는 물질을 얻기 위하여 부가적인 반응물들이 제공될 수 있다.
일부 실시예들에서, 상기 반응 공간으로부터 상기 금속 할라이드 반응물을 제거하거나 또는 상기 기판을 퍼지 가스에 노출시킨 후에, 상기 기판은 단계 14에서 제2 반응물과 접촉된다. 일부 실시예들에서, 상기 제2 반응물은 -OH기를 포함한다. 일부 실시예들에서, 상기 제2 반응물은 물이다.
상기 기판을 제1 금속 할라이드 반응물과 접촉시키는 단계, 상기 반응 공간으로부터 상기 제1 금속 할라이드 반응물을 제거하는 단계, 상기 기판을 상기 제2 반응물과 접촉시키는 단계, 및 상기 반응 공간으로부터 상기 제2 반응물을 제거하는 단계가 함께 하나의 퇴적 사이클로 고려될 수 있다. 즉, 단계 13 및 14가 하나의 퇴적 사이클로 고려될 수 있다. 일부 실시예들에서, 상기 금속 할라이드 반응물을 제거하는 단계 및/또는 상기 제2 반응물을 제거하는 단계는 불활성 퍼지 가스의 지원으로 달성될 수 있다. 상기 퇴적 사이클은 상기 금속 또는 금속성 표면 상에 원하는 양의 물질을 선택적으로 퇴적하기 위해 복수 회 반복될 수 있다. 예를 들어, 일부 실시예들에서, 원하는 두께로 퇴적된 물질의 박막이 형성될 수 있다.
일단 상기 물질이 상기 제1 금속 표면 상에 원하는 두께로 퇴적되면, 이것은 원하는 물질을 형성하기 위해 단계 15에서 선택적으로 취급될 수 있다. 예를 들어, 원하는 두께의 퇴적 후에, 퇴적 사이클에서 퇴적된 상기 물질은 금속 산화물 또는 금속성 실리케이트를 형성하기 위해 산화될 수 있다. 산화는 예를 들어, 상기 기판을 예를 들어, 물, 오존, 산소 원자들, 산소 라디컬들 또는 산소 플라즈마와 같은 산소를 포함하는 반응물과 접촉시킴으로써 수행될 수 있다. 이와 같은 방법으로, 유전체 물질이 제2의, 상이한 유전체 표면에 대하여 상기 기판의 금속 또는 금속성 표면 상에 퇴적된 물질로부터 형성될 수 있다. 일부 실시예들에서, 상기 퇴적된 물질로부터 예를 들어, 금속 탄화물들, 금속 질화물들, 또는 금속 실리사이드들을 형성하기 위해 다른 처리 단계들이 사용될 수 있다.
일부 실시예들에서, 퇴적 사이클은 처리에 앞서 적어도 10, 25, 50, 100 이상 회수로 반복될 수 있다.
온도를 포함하는 반응 조건들, 상기 기판 표면(들) 및 상기 금속 할라이드 반응물을 포함하는 상기 반응물들이 상기 유전체 표면에 대하여 상기 금속 또는 금속성 표면 상에 물질의 선택적 퇴적을 원하는 수준으로 얻기 위해 선택될 수 있다.
일부 실시예들에서, 약 250 내지 약 350℃, 또는 약 295℃ 내지 약 315℃의 온도에서 금속 산화물이 제2 SiO2 표면에 대하여 금속 산화물 표면을 포함하는 제1 금속 표면 또는 유전체 표면을 포함하는 제1 금속 표면 상에 선택적으로 퇴적된다.
일부 실시예들에서, 하나 이상의 제1 및 제2 표면들이 하나 이상의 상이한 표면들에 대하여 하나의 표면 상에서 퇴적을 향상시키기 위해 처리될 수 있다. 일부 실시예들에서, 상기 제1 금속 또는 금속성 표면은 상기 제2 표면에 대하여 상기 제1 표면 상에서 퇴적을 향상시키기 위해 처리된다. 일부 실시예들에서, 상기 제2 표면은 상기 제1 표면에 대하여 상기 제2 표면 상에서 퇴적을 감소시키기 위해 처리되거나, 또는 비활성화된다. 일부 실시예들에서, 상기 제1 표면은 퇴적을 향상시키기 위해 처리되며, 상기 제2 표면은 퇴적을 감소시키기 위해 처리되며, 그리하여 상기 제2 표면에 대하여 상기 제1 표면 상에서 선택적 퇴적을 증가시킨다. 일부 실시예들에서, 아무런 전처리가 수행되지 않는다.
일부 실시예들에서, SiO2와 같은 유전체 물질들을 포함하는 상기 표면은 하이드로실, 또는 OH-기들을 포함할 수 있으며, 이것은 상기 표면을 친수성으로 만드는 효과를 가지며, 여기서 제2 표면, 유전체 표면, 또는 제2 유전체 표면으로 지칭될 수 있다. 상기 제2 표면이 주변 조건들에 노출될 경우, 이러한 OH-기 표면 종료들(terminations)이 자연적으로 발생될 수 있다. 일부 실시예들에서, 상기 유전체 표면은 친수성 OH-종료된 표면을 제공하기 위해 처리될 수 있다. 일부 실시예들에서, 친수성 OH-종료된 표면은 상기 표면 상에서 OH-기들의 양을 증가시키기 위해 처리될 수 있다. 예를 들어, 상기 유전체 표면은 상기 표면에서 OH-기들의 수를 증가시키기 위해 H2O 증기에 노출될 수 있다. 일부 실시예들에서, 예를 들어 제2 표면 처리는 약 20℃와 약 50℃ 사이 또는 약 25℃와 약 40℃ 사이의 온도에서 버블러(bubbler)를 통하여 흘러온 캐리어 가스에 유전체 표면을 노출시키는 것을 포함할 수 있다. 일부 실시예들에서, 상기 유전체 표면은 적어도 일부 OH-기들을 포함하는 친수성 표면을 제공하기 위해 습기를 포함하는 공기에 노출된다. 일부 실시예들에서, 유전체 표면은 퇴적에 앞서 처리되지 않는다.
전술한 바와 같이, 일부 실시예들에서, 상기 퇴적 공정은 원자층 퇴적(ALD) 타입 공정이다. 일부 실시예들에서, 상기 퇴적 공정은 열적 ALD 공정이다. 일부 실시예들에서, 상기 퇴적 공정은 하나 이상의 퇴적 사이클들을 포함하는 기상 퇴적 공정이며, 여기서 기판은 교대로 그리고 순차적으로 제1 기상 할라이드 반응물 및 제2 기상 반응물과 접촉된다.
일부 실시예들에서, 상기 금속 할라이드는 Nb, Ta, Mo, W, V, 또는 Cr의 플루오라이드 또는 클로라이드이다. 일부 실시예들에서, 상기 금속 할라이드는 Nb 또는 Ta의 플루오라이드 또는 클로라이드이다.
일부 실시예들에서, 상기 제1 전구체는 NbCl5, NbF5, TaCl5, TaF5, MoFx(x는 정수일 수 있으며, 일부 실시예에서 5 또는 6이다), MoClx(x는 정수일 수 있으며, 일부 실시예에서 5이다), WF6, WClx(x는 정수일 수 있으며, 일부 실시예에서 5이다), VFx(x는 정수일 수 있으며, 일부 실시예에서 5이다), VClx(x는 정수일 수 있으며, 일부 실시예에서 4이다), 및 CrFx(x는 정수일 수 있으며, 일부 실시예에서 5이다)로부터 선택된다.
일부 실시예들에서, 상기 제1 전구체는 NbF5 또는 TaF5로부터 선택된다.
일부 실시예들에서, 상기 제1 전구체는 NbCl5 또는 TaCl5로부터 선택된다.
일부 실시예들에서, 상기 제1 전구체는 NbF5이다.
일부 실시예들에서, 상기 제1 전구체는 TaF5이다.
일부 실시예들에서, 상기 제1 전구체는 NbCl5이다.
일부 실시예들에서, 상기 제1 전구체는 TaCl5이다.
일부 실시예들에서, 상기 제1 전구체는 텅스텐 전구체가 아니다. 예를 들어, 일부 실시예들에서, 상기 제1 전구체는 WF6이 아니다.
일부 실시예들에서, 상기 제2 전구체는 예를 들어, 모노실란(SiH4), 디실란(Si2H6), 또는 트리실란(Si3H8)과 같은 실란을 포함한다. 일부 실시예들에서, 상기 제2 전구체는 예를 들어, 디에틸실란과 같은 디알킬실란이다. 일부 실시예들에서, 상기 제2 전구체는 OH 결합들을 포함하거나 또는 상기 표면에 OH기들을 제공한다. 일부 실시예들에서, 상기 제2 전구체는 물이다. 일부 실시예들에서, 상기 제2 전구체는 H2O2이다.
일부 실시예들에서, 상기 제2 반응물은, 예를 들어 수소 H2, 수소 플라즈마, 라디컬들 또는 원자들을 포함할 수 있다. 일부 실시예들에서, 상기 제2 반응물은 예를 들어 모노실란, 디실란 또는 트리실란과 같은 실란일 수 있다. 일부 실시예들에서, 상기 제2 전구체는 플라즈마를 포함하지 않는다.
일부 실시예들에서, 상기 제2 표면에 대하여 상기 제1 표면 상에 선택적으로 퇴적된 상기 물질은 박막을 형성한다. 일부 실시예들에서, 선택적으로 형성된 상기 박막은 금속 박막이다.
일부 실시예들에서, 선택적으로 형성된 상기 박막은 금속 산화물 박막이다. 일부 실시예들에서, 상기 금속 산화물 막은 제2 반응물로써 물을 사용하여 형성된다. 일부 실시예들에서, 금속 산화물 막은 기상 금속 할라이드 반응물을 포함하는 제1 전구체 및 수증기를 포함하는 제2 반응물로 상기 기판을 교대로 그리고 순차적으로 접촉시킴으로써, 기판 상에 유전체 막을 포함하는 제2 표면에 대하여 금속 또는 금속성 막을 포함하는 제1 금속 또는 금속성 표면 상에 선택적으로 퇴적된다. 일부 실시예들에서, 상기 금속 할라이드 반응물은 W을 포함하지 않는다.
일부 실시예들에서, 금속 산화물 막은 기상 금속 할라이드 반응물을 포함하는 제1 전구체 및 수증기를 포함하는 제2 반응물로 상기 기판을 교대로 그리고 순차적으로 접촉시킴으로써, SiO2 표면을 포함하는 동일한 기판의 제2 표면에 대하여 금속 산화물 또는 유전체 표면을 포함하는 기판의 제1 표면 상에 선택적으로 퇴적된다. 예를 들어, NbOx 또는 TaOx와 같은 금속 산화물은 금속 할라이드 반응물을 포함하는 제1 전구체 및 수증기와 같은 산소 반응물을 포함하는 제2 반응물로 상기 기판을 교대로 그리고 순차적으로 접촉시킴으로써, SiO2를 포함하는 제2 표면에 대하여 금속 산화물 또는 유전체를 포함하는 제1 표면 상에 선택적으로 퇴적된다. 특히, 일부 실시예들에서, 예를 들어 Nb2O5와 같은 NbOx는 NbF5 및 H20로부터 예를 들어, 텅스텐 산화물, 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 또는 질코늄 산화물 상에 퇴적된다.
일부 실시예들에서, 선택적으로 퇴적된 상기 박막은 산소 및 니오븀 또는 탄탈륨 중의 하나를 포함한다. 일부 실시예들에서, 선택적으로 퇴적된 상기 박막은 NbOx 또는 TaOx를 포함하며, 여기서 x는 약 2 내지 약 2.75이다. 단순화를 위해, 막들과 같은 물질들은 여기서 화학식 MOx로 지칭될 수 있으며, M은 금속이다. 상기 화학식 MOx는, 상기 물질은 기본적으로 금속 M 및 산소를 포함하며, 불순물들이 또한 이러한 물질 내에 존재한다는 것을 가리킨다. 일부 실시예들에서, 상기 화학식 MOx는 상기 물질이 금속 M의 산화물이라는 것을 가리킨다. 일부 실시예들에서, 선택적으로 퇴적된 상기 박막은 금속 실리사이드이다. 일부 실시예들에서, 상기 금속 실리사이드는 예를 들어, 여기에서 기술된 퇴적 공정에 의해 형성될 수 있으며, 디실란 또는 트리실란을 포함하는 제2 반응물을 포함한다.
일부 실시예들에서, 선택적으로 퇴적된 상기 박막은 금속 탄화물 막이다. 일부 실시예들에서, 상기 금속 탄화물 막은 여기에서 기술된 퇴적 공정에 의해 형성될 수 있으며, 알킬실란을 포함하는 제2 반응물을 포함한다.
일부 실시예들에서, 선택적으로 퇴적된 상기 박막은 금속 질화물이다.
일부 실시예들에서, 상기 기판은 약 225℃ 위의 퇴적 온도에서 금속 할라이드를 포함하는 제1 전구체와 접촉된다. 일부 실시예들에서, 상기 퇴적 온도는 약 250℃ 위, 약 275℃ 위, 또는 약 300℃ 위이다. 일부 실시예들에서, 상기 퇴적 온도는 약 250℃와 약 350℃ 사이, 또는 약 295℃와 약 315℃ 사이이다. 일부 실시예들에서, 상기 온도는 약 600℃보다 낮은, 약 500℃보다 낮은, 또는 약 450℃보다 낮다.
일부 실시예들에서, 여기에서 기술된 퇴적은 온도가 예를 들어, 약 225℃ 내지 약 300℃까지 증가됨에 따라, 모든 경우들에서 반드시 그런 것은 아니지만, 일부 경우들에서 약 300℃ 위에서 보여지는 거의 완벽한 선택성을 가지며 점점 더 선택적으로 될 수 있다. 선택성은 [(금속 또는 금속성 표면 상의 퇴적)-(유전체 표면 상의 퇴적)]/(상기 금속 또는 금속성 표면 상의 퇴적)에 의해 계산된 퍼센테이지로서 주어진다. 퇴적은 다양한 방식들 중의 어떠한 것으로 측정될 수 있으며, 예를 들어 일부 실시예들에서, 퇴적은 퇴적된 물질의 측정된 두께로서 주어질 수 있다. 일부 실시예들에서, 퇴적은 퇴적된 물질의 측정된 양으로써 주어질 수도 있다.
일부 실시예들에서, 선택성은 약 50%보다 큰, 약 60%보다 큰, 약 70%보다 큰, 약 80%보다 큰, 약 90%보다 큰, 약 95%보다 큰, 약 99%보다 큰, 또는 심지어 약 99.5%보다 크다.
일부 실시예들에서, 퇴적은 상기 제1 표면 상에서만 발생되며, 상기 제2 표면 상에서는 발생되지 않는다. 일부 실시예들에서, 상기 기판의 제2 표면에 대하여 상기 기판의 제1 표면 상에서의 퇴적은 적어도 약 80% 선택적이며, 이것은 일부 특정한 적용들에 대하여 충분히 선택적일 수 있다. 일부 실시예들에서, 상기 기판의 제2 표면에 대하여 상기 기판의 상기 제1 표면 상에서의 퇴적은 적어도 약 50% 선택적이며, 이것은 일부 특정한 적용들에 대하여 충분히 선택적일 수 있다. 그러나 일부 실시예들에서, 일부 퇴적은 상기 제2 표면 상에서 발생할 수도 있다.
단순화를 위해, 공정은 여기에서 선택적 퇴적 공정으로 지칭될 수 있으며, 이것은 이러한 공정의 최종 결과가 제2 표면에 대하여 제1 표면 상의 퇴적된 물질의 선택적 양이기 때문이다. 그래서, 여기서 사용된 선택성은 퇴적 공정의 최종 결과를 지칭하며, 반드시 선택적 퇴적만을 지칭하는 것은 아니다. 일부 실시예들에서, 선택성은 예를 들어, 상기 제1 표면 상의 퇴적된 물질에 대하여 상기 제2 표면 상의 퇴적된 물질을 우선적으로 식각하는 퇴적 공정 동안의 고유의 식각을 포함할 수 있으며, 그리하여 상기 제2 표면에 대하여 상기 제1 표면 상에 상기 물질의 선택적 형성을 달성할 수 있다.
일부 실시예들에서, 상기 기판의 제2 표면에 대하여 상기 기판의 제1 표면 상의 퇴적은 상기 선택성을 상실하기 전에 약 500 퇴적 사이클들에 이르도록, 또는 선택성을 상실하기 전에 약 50 퇴적 사이클들에 이르도록, 또는 약 20 퇴적 사이클들에 이르도록, 또는 약 10 퇴적 사이클들에 이르도록, 또는 약 5 퇴적 사이클들에 이르도록 수행될 수 있다. 일부 실시예들에서, 선택성을 상실하기 전에 심지어 1 또는 2 사이클들의 퇴적이 유용할 수 있다.
선택성의 상실은 전술한 선택성들이 더 이상 충족되지 않는 경우 발생되는 것으로 이해될 수 있다. 특정의 환경들에 의존하여, 선택성의 상실은 상기 기판의 제2 표면에 대하여 상기 기판의 제1 표면 상의 퇴적이 약 90%보다 작은 선택적, 약 95%보다 작은 선택적, 약 96%, 97%, 98%, 또는 99%보다 작은 선택적 또는 그 이상보다 작은 선택적인 경우 발생되는 것으로 고려될 수 있다.
일부 실시예들에서, 상기 기판의 제2 표면에 대하여 상기 기판의 제1 표면 상의 퇴적은 선택성을 상실하기 전에 약 50nm의 두께에 이르도록, 또는 선택성을 상실하기 전에 약 10nm에 이르도록, 또는 약 5 nm에 이르도록, 또는 약 3nm에 이르도록, 또는 약 2nm에 이르도록, 또는 약 1nm에 이르도록 수행될 수 있다. 특정의 환경들에 의존하여, 선택성의 상실은 상기 기판의 제2 표면에 대하여 상기 기판의 제1 표면 상의 퇴적이 약 90%보다 작은 선택적, 약 95%보다 작은 선택적, 약 96%, 97%, 98%, 또는 99%보다 작은 선택적 또는 그 이상보다 작은 선택적인 경우 발생되는 것으로 고려될 수 있다.
일부 실시예들에서, 상기 막은 약 0.5nm 내지 약 50nm의 두께에서 상기 제2 유전체 표면에 대하여 약 10보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다(10회 적은 퇴적이 상기 제2 유전체 표면 상에서 발생된다).
일부 실시예들에서, 상기 막은 약 0.5 내지 약 100nm의 두께에서 적어도 98%의 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 상기 막은 약 20nm보다 큰, 약 50nm보다 큰, 또는 약 100nm보다 큰 두께에서 적어도 98%의 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 상기 막은 약 0.5nm 내지 약 50nm의 두께에서 상기 제2 유전체 표면에 대하여 약 20보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다(20회 적은 퇴적이 상기 제2 유전체 표면 상에서 발생된다).
일부 실시예들에서, 상기 막은 약 0.5nm 내지 약 50nm의 두께에서 상기 제2 유전체 표면에 대하여 약 40보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다(40회 적은 퇴적이 상기 제2 유전체 표면 상에서 발생된다).
일부 실시예들에서, 상기 막은 약 0.5nm 내지 약 10nm의 두께에서 상기 제2 유전체 표면에 대하여 약 15보다 큰, 또는 약 25보다 큰, 또는 약 50보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 상기 막은 약 0.5nm 내지 약 5nm의 두께에서 상기 제2 유전체 표면에 대하여 약 25보다 큰, 또는 약 50보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 상기 막은 약 1 내지 25 퇴적 사이클들, 약 1 내지 50 퇴적 사이클들, 약 1 내지 100 퇴적 사이클들, 또는 약 1 내지 150 퇴적 사이클들 후에 약 10보다 큰, 또는 약 20보다 큰, 또는 약 40보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 상기 막은 약 1 내지 50 퇴적 사이클들, 약 1 내지 100퇴적 사이클들, 약 1 내지 250 퇴적 사이클들, 또는 약 1 내지 500 퇴적 사이클들 후에 약 10보다 큰, 또는 약 20보다 큰, 또는 약 40보다 큰 선택성을 갖고 상기 금속 또는 금속성 표면 상에 퇴적된다.
일부 실시예들에서, 25, 50, 100, 또는 심지어 200 사이클들에 이르도록 상기 제2 유전체 표면 상에 아무런 측정가능한 퇴적이 발생되지 않는다. 일부 실시예들에서, 25, 50, 100, 또는 심지어 200 사이클들에 이르도록 상기 제2 유전체 표면 상에 0.1nm보다 작은 물질이 퇴적된다. 일부 실시예들에서, 50, 100, 250 또는 심지어 500 퇴적 사이클들에 이르도록 상기 제2 유전체 표면 상에 0.3nm보다 작은 물질이 퇴적된다.
본 발명의 실시예들은 집적 회로 제조 동안에 마이크로미터-스케일(또는 더 작은) 피쳐들 상에 금속 산화물의 선택적 퇴적으로 지향될 수 있다. 일부 실시예들에서, 상기 선택적 퇴적은 100 마이크로미터보다 작은, 1 마이크로미터보다 작은, 또는 200nm보다 작은 크기를 갖는 피쳐들의 제조를 위해 적용될 수 있다. 금속 표면들 상에서 선택적 퇴적의 경우, 상기 피쳐 또는 라인 폭의 크기는 1 마이크로미터보다 작을 수 있으며, 200nm보다 작을 수 있으며, 100nm보다 작을 수 있으며, 또는 50nm보다 작을 수 있으며, 또는 약 30nm보다 작을 수 있으며, 또는 20nm보다 작을 수 있다. 통상의 기술자는 더 큰 피쳐들 상에서 또는 더 작은 피쳐들 상에서 그리고 다른 맥락들에서 선택적 퇴적이 개시된 방법들을 사용하여 가능하다는 것을 인식할 것이다.
일부 실시예들에서, 선택적으로 퇴적된 막은 약 20 원자%보다 작은, 약 10 원자%보다 작은, 약 5 원자%보다 작은, 약 2 원자%보다 작은, 약 1 원자%보다 작은, 또는 약 0.5 원자%보다 작은 농도에서 할로겐들, 예를 들어 플루오라인과 같은 불순물들을 포함할 수 있다.
일부 실시예들에서, 상기 선택적으로 퇴적된 막은 예를 들어, 희석 HF에서 SiO2에 대하여 식각 선택성을 갖는다. 일부 실시예들에서, SiO2에 대한 습식 식각 선택비는 희석 HF에서 1:5보다 크고, 1:10보다 크고, 또는 1:20보다 크다.
ALD 타입 공정들
ALD 타입 공정들은 전구체 케미컬들의 제어된, 자기-제한적 표면 반응들에 기초한다.
가스 상 반응들은 상기 기판을 상기 전구체들과 교대로 그리고 순차적으로 접촉시킴으로써 방지된다. 기상 반응물들은 예를 들어, 반응물 펄스들 사이에서 상기 반응 챔버로부터 과잉의 반응물들 및/또는 반응물 부산물을 제거함으로써 상기 기판 표면 상에서 서로로부터 분리된다.
간단하게, 제1 금속 또는 금속성 표면 및 제2 유전체 표면을 포함하는 기판이 일반적으로 하강된 압력에서 적합한 퇴적 온도로 가열된다. 퇴적 온도들은 앞에서 논의되었다.
기판의 표면은 전술한 바와 같이 기상의 제1 반응물과 접촉된다. 일부 실시예들에서, 기상의 제1 반응물 펄스가 상기 기판을 함유하는 반응 공간으로 제공된다. 일부 실시예들에서, 상기 기판은 기상의 제1 반응물을 함유하는 반응 공간으로 이동된다. 일부 실시예들에서, 상기 제1 반응물의 약 하나보다 많지 않은 단층이 자기-제한적 방식으로 상기 기판 표면 상에 흡착되도록 조건들이 선택된다. 만약 있다면, 과잉의 제1 반응물 및 반응 부산물들은 예를 들어, 불활성 가스로 퍼징함(purging)으로써 또는 상기 제1 반응물의 존재로부터 상기 기판을 제거함으로써, 상기 기판 표면으로부터 제거된다.
퍼징은 기상 전구체들 및/또는 기상 부산물들이 진공 펌프로 챔버를 배기함으로써 및/또는 아르곤 또는 질소와 같은 불활성 가스로 반응기 내측의 상기 가스를 대체함으로써 상기 기판 표면으로부터 제거된다. 전형적인 퍼징 시간들은 약 0.05 내지 20초, 약 1과 10 사이, 또는 약 1과 2초 사이이다. 그러나, 필요하다면, 예를 들어 극도로 높은 종횡비 구조물들 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들에 대하여 아주 높은 컨포말한(conformal) 스텝 커버리지가 요구되는 경우, 또는 다중 기판들을 갖는 뱃치(batch) 공정들에서, 다른 퍼징 시간들이 활용될 수 있다. 선택적 퇴적에서는, 상기 선택성을 망칠 가능성이 있는 CVD 반응들을 방지하기 위해 더 긴 퍼징 시간들 또는 효과적인 퍼징이 사용될 수 있다.
전술한 바와 같이, 상기 기판의 표면은 각 퇴적 사이클에서 기상의 제2 가스상 반응물과 후속하여 접촉된다. 일부 실시예들에서, 제2 가스상 반응물의 펄스가 상기 기판을 함유하는 반응 공간으로 제공된다. 일부 실시예들에서, 상기 기판은 상기 기상의 제2 반응물을 함유하는 반응 공간으로 이동된다. 만약 있다면, 과잉의 제2 반응물 및 상기 표면 반응의 가스상 부산물들은 상기 기판 표면으로부터 제거된다.
상기 접촉하는 단계 및 제거하는 단계는 원하는 두께의 박막이 상기 기판의 제1 표면 상에 선택적으로 형성될 때까지 반복된다.
과잉 반응물들을 제거하는 것은 반응 공간의 내용물 중의 일부를 배기하는 것 및/또는 헬륨, 질소 또는 다른 불활성 가스로 반응 공간을 퍼징하는 것을 포함할 수 있다. 일부 실시예들에서, 퍼징은 불활성 캐리어 가스를 상기 반응 공간으로 계속 흘려주면서 상기 반응성 가스의 흐름을 차단하는 것을 포함할 수 있다.
상기 ALD 타입 공정들에서 채용된 상기 전구체들은, 만약 상기 전구체들이 상기 기판 표면과 접촉하기 전에 기상이라면, 표준 조건들(실온 및 대기압) 하에서 고상, 액상 또는 기상 물질들일 수 있다. 기판 표면을 기화된 전구체와 접촉시킨다는 것은 상기 전구체 증기가 제한된 시간 주기 동안 상기 기판 표면과 접촉하고 있다는 것을 의미한다. 전형적으로, 상기 접촉 시간은 약 0.05 내지 10초이다. 그러나, 기판 타입 및 그것의 표면 영역에 의존하여, 상기 접촉 시간은 심지어 10초보다 클 수 있다. 접촉 시간은 일부 경우들에서 분 단위일 수 있다. 최적의 접촉 시간은 특별한 환경들에 기초하여 통상의 기술자에 의해 정해질 수 있다.
상기 전구체들의 질량 유량율(mass flow rate)은 또한 통상의 기술자에 의해 결정될 수 있다. 일부 실시예들에서 금속 전구체들의 유량율은 제한없이 약 1과 1000 sccm 사이, 또는 약 100과 500sccm 사이이다.
반응 챔버 내의 압력은 전형적으로 약 0.01 내지 약 20 mbar, 또는 약 1 내지 약 10 mbar이다. 그러나 일부 실시예들에서, 상기 압력은 주어진 특별한 환경들에서 통상의 기술자에 의해 결정될 수 있는 바와 같이, 이 범위보다 크거나 또는 낮을 수 있을 것이다.
사용될 수 있는 적합한 반응기들의 예들은 아리조나, 피닉스(Phoenix)의 ASM America, Inc 및 네덜란드, 알메러(Almere)의 ASM Europe B.V.로부터 구할 수 있는 예를 들어, F-120® 반응기, Pulsar® 반응기 및 Advance® 400 시리즈 반응기와 같은 상업적으로 구입할 수 있는 ALD 장치를 포함한다. 이들 ALD 반응기들에 부가하여, 상기 전구체들을 펄싱하기 위한 적절한 장치 및 수단이 장착된 CVD 반응기들을 포함하여, 박막의 ALD 성장을 시킬 수 있는 다른 많은 종류의 반응기들이 채용될 수 있다. 일부 실시예들에서, 플로우 타입 ALD 반응기가 사용된다. 일부 실시예들에서, 반응물들은 상기 전구체들을 위한 공유된 라인들이 최소화되도록 상기 반응 챔버에 도달할 때까지 분리되어 유지된다. 그러나, 예를 들어 2004년 8월 30일 출원된 미국 특허 출원번호 10/929,348호 및 2001년 4월 16일 출원된 09/836,674호와 같은 다른 배열들이 가능하며, 그것의 개시들은 여기에 참조로써 통합된다.
상기 성장 공정들은 클러스터 툴에 연결된 반응기 또는 반응 공간 내에서 선택적으로 수행될 수 있다. 클러스터 툴 내에서는, 각 반응 공간은 하나의 공정 타입으로 전담되기 때문에, 각 모듈에서 상기 반응 공간의 온도는 일정하게 유지될 수 있으며, 이것은 상기 기판이 각 런(run) 전에 상기 공정 온도에 이르도록 가열되는 반응기에 비하여 처리량을 향상시킨다.
독립형의 반응기는 로드락이 구비될 수 있다. 그 경우에, 각 런 사이에서 상기 반응 공간을 반드시 냉각시켜야 하는 것은 아니다.
< 예시 1 >
여기서 기술된 퇴적 공정은 반응 챔버에서 수행된다. NbF5가 제1 전구체로 사용되었으며, H2O가 제2 반응물로 사용되었다. 상기 제1 전구체 및 제2 반응물은 Pulsar® 2000 반응기의 반응 공간 속으로 교대로 그리고 순차적으로 펄스되며, 여기서 상기 반응물들은 약 300℃의 반응 온도에서 다양한 샘플 기판들과 별도로 접촉하였다. 상기 반응물들은 상기 반응 공간을 퍼징함으로써 펄스들 사이에서 상기 반응 공간으로부터 제거되었다. NbF5를 포함하는 상기 제1 전구체를 위해 사용된 펄스 및 퍼지 시간은 각각 약 0.5초 내지 약 2.0초(펄스) 및 약 6.0초(퍼지)이었다. H2O를 포함하는 제2 반응물을 위해 사용된 펄스 및 퍼지 시간은 각각 약 0.5초 내지 약 2.0초(펄스) 및 5.0초 내지 약 6.0초 이었다.
샘플 기판들의 분석 동안에, 열적 SiO2 표면을 포함하는 기판들의 경우에 적어도 200 사이클들까지 포함하는 또는 적어도 300-500 사이클들까지 포함하는 퇴적 공정들 동안에 상기 기판들의 자연 산화물 또는 실리콘 표면들 상에 아무런 막 성장이 관찰되지 않았다. 막 성장은 도 2에서 보여지는 바와 같이, 상기 샘플 기판들의 PVD 퇴적된 W 표면들 상에서 관찰되었다. 막 성장은 또한 PVD 퇴적된 Co 표면 및 PVD 퇴적된 Ru 표면을 포함하는 샘플 기판들 상에서 관찰되었다.
< 예시 2 >
NbOx 막들이 여기에서 기술된 퇴적 공정을 사용하여 SiO2 표면에 대하여 기판의 금속 산화물 표면 상에 선택적으로 퇴적되었다.
여기서 기술된 퇴적 공정은 반응 챔버에서 수행된다. NbF5가 제1 전구체로 사용되었으며, H2O가 제2 반응물로 사용되었다. 상기 제1 전구체 및 제2 반응물은 Pulsar® 2000 반응기의 반응 공간 속으로 교대로 그리고 순차적으로 펄스되며, 여기서 상기 반응물들은 약 300℃의 반응 온도에서 다양한 샘플 기판들과 별도로 접촉하였다. NbO5 및 H2O 반응물들에 대한 소오스 온도는 각각 45℃ 및 약 21℃였다. 상기 반응물들은 상기 반응 공간을 퍼징함으로써 펄스들 사이에서 상기 반응 공간으로부터 제거되었다. NbF5를 포함하는 상기 제1 전구체를 위해 사용된 펄스 및 퍼지 시간은 각각 약 0.5초 내지 약 2.0초(펄스) 및 약 6.0초(퍼지)이었다. H2O를 포함하는 제2 반응물을 위해 사용된 펄스 및 퍼지 시간은 각각 약 0.5초 내지 약 2.0초(펄스) 및 5.0초 내지 약 6.0초 이었다.
이들 퇴적 조건들 하에서, Nb2O5 막이 500 퇴적 사이클들을 포함하는 퇴적 공정을 사용하여 상기 기판의 상기 제1 금속 산화물 표면 상에 퇴적되는 것으로 관찰되었다. 상기 기판은, 여기서 또한 텅스텐/텅스텐 산화물 영역들로서 지칭되는, 자연 텅스텐 산화물 표면층을 포함하는 텅스텐 점들(dots)을 갖는 SiO2 표면을 포함한다.
상기 퇴적 공정의 선택성에 기인하여, Nb2O5 막은 텅스텐/텅스텐 산화물 점들을 포함하는 상기 기판 표면의 부분들 상에서만 퇴적되었다.
샘플 기판들의 분석 동안에, 열적 SiO2 표면을 포함하는 기판들의 경우에 적어도 200 사이클들에 이르도록 또는 적어도 300-500 사이클들에 이르도록 퇴적 공정들 동안에 상기 기판들의 자연 산화물 또는 실리콘 표면들 상에 아무런 막 성장이 관찰되지 않았다. 막 성장은 도 2에서 보여지는 바와 같이, 상기 샘플 기판들의 PVD 퇴적된 W 표면들 상에서 관찰되었다. 막 성장은 또한 PVD 퇴적된 Co 표면 및 PVD 퇴적된 Ru 표면을 포함하는 샘플 기판들 상에서 관찰되었다. 에너지-분산 X-레이 스펙트로스코피(EDX)를 통해 분석될 때, 상기 SiO2 표면 상에서 아무런 퇴적도 측정되지 않았다. 도 3은 상기 텅스텐/텅스텐 산화물 표면 상에서 결과적인 Nb2O5의 SEM 이미지를 보여준다. 상기 Nb2O5는 약 80nm의 두께를 갖는 텅스텐/텅스텐 산화물 점들 상에 대형 결정들로써 관찰되었다. 상기 막의 두께는 블랭킷 PVD 텅스텐(W) 쿠폰 상에서 유사한 조건들을 갖는 유사한 퇴적 공정을 사용하여 퇴적된 막으로부터 추정되었다.

Claims (20)

  1. 기판의 제2 유전체 표면에 대해 상기 기판의 제1 금속 또는 금속성 표면에 물질을 선택적으로 퇴적하는 방법으로서, 상기 방법은 하나 이상의 퇴적 사이클을 포함하고, 상기 퇴적 사이클은:
    상기 기판을 금속 할라이드를 포함하는 제1 기상 전구체와 접촉하는 단계; 및
    상기 기판을 실란을 포함하는 제2 기상 전구체와 접촉하는 단계;를 포함하고,
    여기서, 상기 물질은 약 50% 이상의 선택성을 갖고 상기 제2 유전체 표면에 대하여 제1 금속 또는 금속성 표면 상에 퇴적되는, 방법.
  2. 청구항 1에 있어서,
    상기 금속 할라이드는 Nb, Ta, Mo, W, V, Cr의 플루오라이드들 또는 클로라이드들로부터 선택된 것을 특징으로 하는 방법.
  3. 청구항 1에 있어서,
    상기 제1 전구체는 NbCl5, NbF5, TaCl5, TaF5, MoFx, MoClx, VFx, VClx, 및 CrFx 중에서 선택되며, 여기서 x는 정수인 것을 특징으로 하는 방법.
  4. 청구항 3에 있어서,
    상기 제1 전구체는 NbCl5, NbF5, TaCl5, 및 TaF5 중에서 선택되는 것을 특징으로 하는 방법.
  5. 청구항 1에 있어서,
    상기 제2 유전체 표면은 Si-O 결합들을 포함하는 것을 특징으로 하는 방법.
  6. 청구항 1에 있어서,
    상기 제2 유전체 표면은 비전도성 표면을 포함하는 것을 특징으로 하는 방법.
  7. 청구항 1에 있어서,
    상기 퇴적 사이클은 상기 제1 금속 또는 금속성 표면 상에 원하는 두께의 재료가 퇴적될 때까지 반복되는 것을 특징으로 하는 방법.
  8. 청구항 1에 있어서,
    상기 기판은 약 225°C 이상의 온도에서 금속 할라이드를 포함하는 상기 제1 기상 전구체와 접촉하는 것을 특징으로 하는 방법.
  9. 청구항 1에 있어서,
    상기 실란은 모노실란, 디실란, 트리실란 중에서 선택되는 것을 특징으로 하는 방법.
  10. 청구항 1에 있어서,
    상기 실란은 알킬실란인 것을 특징으로 하는 방법.
  11. 청구항 10에 있어서,
    상기 실란은 디에틸실란인 것을 특징으로 하는 방법.
  12. 청구항 1에 있어서,
    상기 제2 기상 전구체는 플라즈마를 포함하지 않는 것을 특징으로 하는 방법.
  13. 청구항 1에 있어서,
    상기 물질은 금속 실리사이드인 것을 특징으로 하는 방법.
  14. 청구항 13에 있어서,
    금속 규산염(silicate)을 형성하기 위해 상기 금속 실리사이드를 처리하는 단계를 추가로 포함하는 것을 특징으로 하는 방법.
  15. 청구항 14에 있어서,
    상기 금속 실리사이드를 처리하는 단계는 상기 금속 실리사이드를 산소를 포함하는 반응물과 접촉하는 단계를 포함하는 것을 특징으로 하는 방법.
  16. 청구항 15에 있어서,
    산소를 포함하는 상기 반응물은 물, 오존, 산소 원자, 산소 라디칼 또는 산소 플라즈마를 포함하는 것을 특징으로 하는 방법.
  17. 청구항 13에 있어서,
    상기 물질은 텅스텐 실리사이드가 아닌 것을 특징으로 하는 방법.
  18. 청구항 13에 있어서,
    상기 금속 실리사이드는 약 95% 이상의 선택성을 갖고 제2 유전체 표면에 대해 제1 금속 또는 금속성 표면 상에 퇴적되는 것을 특징으로 하는 방법.
  19. 청구항 1에 있어서,
    상기 물질은 금속 카바이드인 것을 특징으로 하는 방법.
  20. 청구항 1에 있어서,
    상기 기판은 약 250°C이상의 온도에서 금속 할라이드를 포함하는 상기 제1 기상 전구체와 접촉되며, 상기 물질은 약 95% 이상의 선택성를 갖고 상기 제2 유전체 표면에 대하여 상기 제1 금속 또는 금속성 표면 상에 퇴적되는 것을 특징으로 하는 방법.
KR1020240055949A 2015-08-03 2024-04-26 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적 KR20240060770A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562200502P 2015-08-03 2015-08-03
US62/200,502 2015-08-03
US201662281593P 2016-01-21 2016-01-21
US62/281,593 2016-01-21
KR1020230045474A KR102662636B1 (ko) 2015-08-03 2023-04-06 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020230045474A Division KR102662636B1 (ko) 2015-08-03 2023-04-06 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Publications (1)

Publication Number Publication Date
KR20240060770A true KR20240060770A (ko) 2024-05-08

Family

ID=58052888

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020160099078A KR102520620B1 (ko) 2015-08-03 2016-08-03 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR1020230045474A KR102662636B1 (ko) 2015-08-03 2023-04-06 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR1020240055949A KR20240060770A (ko) 2015-08-03 2024-04-26 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020160099078A KR102520620B1 (ko) 2015-08-03 2016-08-03 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
KR1020230045474A KR102662636B1 (ko) 2015-08-03 2023-04-06 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적

Country Status (3)

Country Link
US (3) US10428421B2 (ko)
KR (3) KR102520620B1 (ko)
TW (2) TWI698544B (ko)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (ja) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10475655B2 (en) 2017-05-26 2019-11-12 Applied Materials, Inc. Selective deposition of metal silicides
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
TWI722301B (zh) 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US10643840B2 (en) * 2017-09-12 2020-05-05 Applied Materials, Inc. Selective deposition defects removal by chemical etch
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN112074939A (zh) 2018-03-20 2020-12-11 东京毅力科创株式会社 具有集成计量的衬底加工工具及其使用方法
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
US11302588B2 (en) 2018-03-20 2022-04-12 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019204121A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
WO2020033698A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self-assembled monolayers
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
TWI757659B (zh) 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11738366B2 (en) * 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7243521B2 (ja) * 2019-08-19 2023-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11699577B2 (en) 2021-05-25 2023-07-11 Applied Materials, Inc. Treatment for high-temperature cleans
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230173313A (ko) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 아미노실란계 전구체를 이용한 실리콘 산화막의 선택적 증착 방법

Family Cites Families (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4653428A (en) * 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
DE3751756T2 (de) * 1986-06-30 1996-08-01 Ulvac Corp Verfahren zum Abscheiden aus der Gasphase
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (ja) 1995-02-10 2003-02-04 株式会社アルバック 銅配線製造方法
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100319888B1 (ko) * 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4150154B2 (ja) * 2000-08-21 2008-09-17 株式会社ルネサステクノロジ 半導体集積回路装置
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
DE60137791D1 (de) 2000-11-30 2009-04-09 Asm Int Dünnfilme für magnetische vorrichtungen
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100449782B1 (ko) * 2001-07-19 2004-09-22 삼성전자주식회사 원자층 적층 방법과 이를 이용한 박막 적층 방법 및금속층 적층 방법
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP3479648B2 (ja) 2001-12-27 2003-12-15 クラリアント インターナショナル リミテッド ポリシラザン処理溶剤およびこの溶剤を用いるポリシラザンの処理方法
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20150067397A (ko) 2002-11-15 2015-06-17 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7208412B2 (en) * 2004-08-02 2007-04-24 Micron Technology, Inc. Method of forming metal oxide and semimetal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
CN101460657A (zh) 2006-06-02 2009-06-17 乔治洛德方法研究和开发液化空气有限公司 基于新型钛、锆和铪前体的高k介电膜的形成方法及其用于半导体制造的用途
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20150139628A (ko) 2007-09-14 2015-12-11 시그마 알드리치 컴퍼니 엘엘씨 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
JP2011503876A (ja) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ 原子層堆積プロセス
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2009135686A2 (en) 2008-05-09 2009-11-12 Amf Gmbh Self-assembled monolayers and method of production
US8309446B2 (en) 2008-07-16 2012-11-13 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
TW201027766A (en) 2008-08-27 2010-07-16 Applied Materials Inc Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
EP2459766A1 (en) 2009-07-31 2012-06-06 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US9024312B2 (en) 2009-09-30 2015-05-05 Dai Nippon Printing Co., Ltd. Substrate for flexible device, thin film transistor substrate for flexible device, flexible device, substrate for thin film element, thin film element, thin film transistor, method for manufacturing substrate for thin film element, method for manufacturing thin film element, and method for manufacturing thin film transistor
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (ja) 2010-07-01 2012-01-05 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (ja) 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
WO2012107138A1 (en) 2011-02-07 2012-08-16 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101995602B1 (ko) 2011-06-03 2019-07-02 노벨러스 시스템즈, 인코포레이티드 상호접속을 위한 캡핑층들을 함유하는 금속 및 실리콘
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP2015528011A (ja) 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20150372205A1 (en) 2013-01-31 2015-12-24 Dai Nippon Printing Co., Ltd. Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (ja) 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
CN105308719B (zh) 2013-06-28 2019-07-26 英特尔公司 基于选择性外延生长的iii-v族材料的器件
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
CN105556644B (zh) 2013-09-27 2019-04-19 英特尔公司 通过包括限制材料层在相邻区域上方的侵蚀的选择性的化学反应来在小区域上方形成材料层
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
JP6135475B2 (ja) 2013-11-20 2017-05-31 東京エレクトロン株式会社 ガス供給装置、成膜装置、ガス供給方法及び記憶媒体
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
KR102131379B1 (ko) 2013-12-19 2020-07-08 인텔 코포레이션 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106030758B (zh) 2014-03-28 2020-07-17 英特尔公司 选择性外延生长的基于iii-v材料的器件
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US10421766B2 (en) 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
TWI694167B (zh) 2015-05-01 2020-05-21 美商應用材料股份有限公司 使用表面封端化學性質的薄膜介電質之選擇性沉積
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10464959B2 (en) 2015-06-18 2019-11-05 Intel Corporation Inherently selective precursors for deposition of second or third row transition metal thin films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
EP3424070B1 (en) 2016-03-03 2024-03-27 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films

Also Published As

Publication number Publication date
US10428421B2 (en) 2019-10-01
US20220025513A1 (en) 2022-01-27
TW201712140A (zh) 2017-04-01
TWI721896B (zh) 2021-03-11
KR20170016310A (ko) 2017-02-13
US11174550B2 (en) 2021-11-16
US20170037513A1 (en) 2017-02-09
KR20230051455A (ko) 2023-04-18
TW202035768A (zh) 2020-10-01
US20200010953A1 (en) 2020-01-09
KR102520620B1 (ko) 2023-04-11
KR102662636B1 (ko) 2024-05-03
TWI698544B (zh) 2020-07-11

Similar Documents

Publication Publication Date Title
KR102662636B1 (ko) 유전체 표면들에 대하여 금속 또는 금속성 표면들 상에서의 선택적 퇴적
US10910262B2 (en) Method of selectively depositing a capping layer structure on a semiconductor device structure
US10014212B2 (en) Selective deposition of metallic films
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
US10157786B2 (en) Selective formation of metallic films on metallic surfaces
US9587307B2 (en) Enhanced deposition of noble metals
US9469899B2 (en) Selective deposition of noble metal thin films
US8956971B2 (en) Selective formation of metallic films on metallic surfaces
US11965238B2 (en) Selective deposition of metal oxides on metal surfaces
US9981286B2 (en) Selective formation of metal silicides
US20210384035A1 (en) Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US9947582B1 (en) Processes for preventing oxidation of metal thin films
US8119526B2 (en) Method of forming a metal layer and a method of fabricating a semiconductor device
TWI838513B (zh) 金屬氧化物在金屬表面上之選擇性沉積
KR20180093832A (ko) 알루미늄 및 질소 함유 재료의 선택적 증착

Legal Events

Date Code Title Description
A107 Divisional application of patent